Vlsi Design: A Training Report

You might also like

Download as docx, pdf, or txt
Download as docx, pdf, or txt
You are on page 1of 7

VLSI DESIGN

A Training Report
FOR SUBMITTED IN PARTIAL FULFILLMENT OF THE REQUIREMENT THE
AWARD OF THE DEGREE OF

BACHELOR OF TECHNOLOGY
(Electronics and Communication Engineering)

SUBMITTED TO

AMITY SCHOOL OF ENGINEERING & TECHNOLOGY


AMITY UNIVERSITY, RAJASTHAN
SUBMITTED BY
AKHIL SHARMA
(A20405120001)
(25.08.2022 TO 20.10.2022)
s.no Content Page no.
1 ACKNOWLEGEMENT
INTERNSHIP CERTIFICATE
ABSTRACT
2 INTRODUCTION TO VLSI
- INTRODUCTION
- SYSTEM DESIGN
3 HDL Coding Concepts
- FIRST PROGRAMMING USING VERILOG
4 Combinational Circuits
- MULTIPLEXER DESIGN
- Encoders and Decoders
- Code Converters
- Comparators
5 Sequential Circuit Design
- Latches and Flipflops
- Registers
- Counters
6 Finite State Machines
- Basics of FSM
- Moore's machine
- Mealy's Machine
ACKNOWLEDGEME
NT
It is indeed a great pleasure and matter of immense satisfaction for
me to express my deep sense profound gratitude towards all the
people who have helped, inspired me in my term paper work.

I would like to thank my parents for their well wishes to complete


this work. Finally thanking my friends for their love and support all
the time.

AKHIL SHARMA
ABSTRACT
Since we have a keen interest in knowing new things especially related to the
area of VLSI. We selected a topic related to the area of this field.

As we want to enhance our career from the VLSI design which is also our core
subject, the research made by us to complete this project will prove to be very
helpful.

The main objective of our project on the topic “VLSI DESIGN” is to study the
depth knowledge about the behaviour and designing of different digital
circuits. With the use of XILINX software, designing of practical electronic has
become much easier than before.
So, we would like to clearly mention that, our project purely involves the basic
concepts of VLSI Design.
INTRODUCTION TO VLSI
Very-large-scale integration (VLSI) is the process of creating
an integrated circuit (IC) by combining thousands of transistors into a
single chip. VLSI began in the 1970s when
complex semiconductor and communication technologies were being
developed. The microprocessor is a VLSI device.
Before the introduction of VLSI technology, most ICs had a limited set of
functions they could perform. An electronic circuit might consist of
a CPU, ROM, RAM and other glue logic. VLSI lets IC designers add all
of these into one chip.
The electronics industry has achieved a phenomenal growth over the
last few decades, mainly due to the rapid advances in large scale
integration technologies and system design applications. With the advent
of very large scale integration (VLSI) designs, the number of applications
of integrated circuits (ICs) in high-performance computing, controls,
telecommunications, image and video processing, and consumer
electronics has been rising at a very fast pace.
The current cutting-edge technologies such as high resolution and low
bit-rate video and cellular communications provide the end-users a
marvelous amount of applications, processing power and portability. This
trend is expected to grow rapidly, with very important implications on
VLSI design and systems design.

VLSI Design Flow


The VLSI IC circuits design flow is shown in the figure below. The
various levels of design are numbered and the blocks show processes in
the design flow.
Specifications comes first, they describe abstractly, the functionality,
interface, and the architecture of the digital IC circuit to be designed.
Behavioral description is then created to analyze the design in terms of
functionality, performance, compliance to given standards, and other
specifications.
RTL description is done using HDLs. This RTL description is simulated
to test functionality. From here onwards we need the help of EDA tools.
RTL description is then converted to a gate-level netlist using logic
synthesis tools. A gatelevel netlist is a description of the circuit in terms
of gates and connections between them, which are made in such a way
that they meet the timing, power and area specifications.
Finally, a physical layout is made, which will be verified and then sent to
fabrication.

You might also like