Download as pdf or txt
Download as pdf or txt
You are on page 1of 49

,

THIN FILM PROCESSES





L. ".J

V-1
Chemical Etching
WERNER KERN AND CHERYL A, DECKERT
RCA Laboratories
Princeton, New Jersey
L Introduction 401
[I. Principles and Techniques of Etching 403
IV, Tables of E!chanh and Etching Con,iltinns 4,13
A, Guide to the 1I se of Tanle, 4B
R, Insulators and Diclcctrics 4
A, Chemistry of Etching 40J
B, Factors AfTecting Etching React,,'ns 404
C Etching Techniques and Procc",,, 405
i), Pattern Delineation Etching for Th", Films 407
E, SUlfate Contamination and Cleanin! I cchniqucs 411
11 L Chemical Etching of Specific Materials 413
A, Insulators and Dielectrics 41l
B, Semiconductof' 424
C Conductors 4n
D, Miscellaneous Matcriah 432
C. Elemental Semiconductors 4JR
0, Compound Semiconductors 451
E, Conductors 463
F, Miscellaneous Material, 479
V, Summary and Cone/usions 481
Acknowledgments 481
References 4RI
I. INTRODUCTION
Chemical etching in thin-film technology plays a prominent role in
both the preparation and the utilization of thin films. Regardless of the
method of film deposition or formation. the substrate must first be suit
401
\) I m Audt'mic I nl.:
"II ntthl'l f't:t'lfuducIUlfl In any form
'

I
'\
1
!)
WERNER KERN AND l\''E-.RYl A. DECKERT
uhly prepured, either by removal of work damaged surface layers or by
creating a relief structure of specific geometry. In the first case, chemical
polish etching is usually the method of choice; in the second case, struc
tural etching is required. Once a thin film has been deposited. chemical
etching is often used again, this lime to create patterns in the appropri
ately masked films.
The aim of this review to provide a broad outline of the subject of
chemical etching and to present tables, with references, of etchants and
etching conditions for inorganic materials.
Numerous excellent books. treatises, and reviews are availuble on
theoretical and practical aspects of chemical etching. covering the chem
istry [1-28] and electrochemistry [29-42] of etching processes. A few
partial bibliogmphies have been published on some aspects of etching
[43. 43a]. However. most information on specific etch ants for different ma
terials. with the possible exception of semiconductors. is widely scattered
throughout the scientific literature and is often difficult to retrieve because
etching is most frequently a means to an end and is usually not the pri
mary subject matter of an investigation. An attempt has been made to
bring together essential information that should prove useful to the scien
tist or engineer who must select an etching process for a specific material.
It is obviously impossible to list all etchants for all materials. Instead, a
selection has been attempted which is based. in the authors' opinion. on
the practical usefulness of an etchant and a solid material in thin-film tech
nology. The most recent and advanced information is generally given
preference. Special emphasis is placed on materials and processes used in
semiconductor microelectronics because a substantial part of thin-film
technology is applied in this area with which we are particularly familiar
from pmctical experience.
One important application of chemical etching is in the structural char
acterization of materials. especially the detection of lattice defects in
semiconductors, the study of distribution of localized impurities. the de
lineation of layer structures and p-n junctions, and the determination of
composition. This specialized field of analytical etching is outside the
scope of the present review. Physical-chemical "dry" etching processes
such as sputter etching, plasma etching, and ion milling, are covered in
Chapter V-2. What will be covered is chemical and electrolytic etching of
insulators, semiconductors. and conductors in solution and in the gas
phase.
Chemical formulas noted for reagents refer to the chemicals in the
usual concentrated form, as defined in Section IV .A; parts are by volume.
The crystallographic notifications used are those quoted by the author(s)
of the reference cited.
lil \ v-I.
II. PRINCIPLES AND TECHNIQUES OF ETCHING
A. Chemistry of Etching
Chemical etching may occur hy any of ,everal llitl..:rl:1l1 pnH.:e"l:'
[ I. 2, 81. The simplest mode of etching involves di,solutwn of the makn;.I
in a liquid solvent without uny change in the chemic<d natlll'<; 01 thl: dis
solved spedes. Relatively few imlw.trially important Illatl:rial, ale etdH:d
in this munner. Although this is the only clching PlllC':" fur which the
word "dissolution" is properly used, the krrn h", Lome Into Cll[l11l1UIl USl'
for describing uny etching procedure car ned 'Hit ill hl.jlllli media.
Most etching processes involve nne 01 more chelllle:.! reactiulls. III
order to be truly an etching reuctinn, the PIll""Ct fllllw.:d 1111"t he ,uluhk
in the etchunt medium. or must at lea,t he carned 110m the ,UIf.llC
by the medium. Various types of reuctiulh Whl':!l m<lY he 111\ ,dv",1 all' l'.'
idation-reduuion, of which e1et:!rochellm:al etching I' a ,pecial case.
Lomplexation. and gas phase etching.
An ,lxidation-reduction, or redllx, ddling proCl'" IIlv{)lve, comci
sion of the Illateriul hr:ing r:lched to it hight'l '''Iddtloll ,I all'
M -+ M'" !
Redox etching may occur either in a completely dlelllll,d 'i'\tefll. {Ill'
use of certain chemicull)xidizing agent;, stich ," Cr:". (II ill an dClilt'
r.:hemicul r.:elll:,!I)J. by making the material to he l'Idl\.:d the 'lIwdl'. ,lIld h
applying a suitable eXlernal electromotive forr.:e. A tYPIC.! pllll "I (UII(1l1
density versus t:ell v,)ltage is given in Fig. I; thr: vanous 'lag..:' "I' .lll,,,\..
ure noted. Ekt:lrochelllical effects cun lead tIl cerLlIll 1'1 "hit-ill, III etc
Cormsion 124, is a special case (If ;;iClt",dlll1l1l,d ctLlllIlg
which occurs whr:n variations produce Illl:,,1 alllldn .1Ilt! (.llh"de\
When films of two dlssimilur mr:tals arc in conlact. thc re,ult,1I1l g,d, ,lIlk
uctton can cause undr:rculling at the interfan.: during L'tdllllg 1441.
,..
....
Ui
z
w D
o
Fig. I. Curren! uen,ily cr,u, vull
....
age. Region A -8 B -(' ,I"hle z
w
plateau with poli,hlng. C -[) ,low ga, ,;\ "I"
'"
'" :0
tion with pilling. D-E pol"hing "'lin I"PIU
U
ga' evolution

o
..
z
A
..
Cell V()llAGt
c
,
t
1
404 WERNER KERN AND CHERYL A, DECKERT
Complex formation is frequently involved in etching processes, often
in conjunction with a redox reaction. The ligand groups surround and
bond chemically to the etched species, forming a complex ion or molecule
that is readily soluble in the etchant medium.
Gas phase etching may involve vaporization of the material being
etched in a vacuum or inert atmosphere or may involve reaction of gas
eous etchants with the surl'ace to produce volatile products, Elevated
temperatures are usually required,
B. Factors Affecting Etching Reactions
Etching reactions typically occur by a process involving several se
quential steps [3. 81. The observed dissolution kinetics depend upon the
nature of the rate-limiting step of the process, If the rate of this step is
determined by the chemical reactivity of the species involved. the process
is said to be activation limited, On the other hand. if the rate is determined
by the speed at which fresh reactant can be supplied to the surface, the
process is said to be dIffusion limited,
If a series of materials are all etched in the same solution by a diffu
sion-controlled prm:ess, then the same etch rate is observed fur all
Some etching processes are diffusion limited at low cuncentrations, but
are activation limited at higher concentrations [31. An increase in etching
temperature may cause a change in the etching kinetics 145J, The presence
of catalytic species in the etchant can also affect the etch rates markecly,
Agitation of the solution may increase etch rate if the reaction is diffusion
limited; it may decn:ase etch rate if, for example, lucalized solution heat
ing occurs; or it may have no effect if activation control is involved, In
pattern etching, the slope of the pattern edges depends on the type of ki
netics involved 1461,
Adsorption and desorption processes can affect the etching
profoundly, Adsorption of reactant from the etchant solution onto the
substrate may produce surface complexes which will facilitate the etching
process: however, in many cases adsorption of nonreactive species or for
mation of passivating surface films can slow down or SlOp further etching
[3]. Oxide films on metals are a good example of this phenomenon, Cer
tain types of impurities in the etchant solution, even though present at low
concentrations, may be adsorbed onto the substrate and hinder etching
[8). Desorption of gaseous reaction products sometimes limits the rates of
etching processes [31,
The kinematic aspecb of etching [8, 47 J should also be mentioned
briefly, This refers mainly to the tendency of various crystallographic
}
v-I, (HIMIC"L titHING
-JI
planes to eh.:h at different rates, Various llrientati"lh oj Slllgle c'l y,(,tI 'lIh,
strates may thus etch very differently in a given cldlHnl, alHI sllh,lrdln ill
varying roughness may also exhibit large differellc", III clLli faIL,
Several additional specific factors affecting etdllllg ieacliolh III \',111
OtiS types of materials will be in the discU"lllih of Hlsldahll, ,til.!
semiconductors (Sections III.A and III.B, respectively).
C. Etching Techniques and Processes
Thl' choil:l' of the etching tedwil/lle to be lIsed fOI it givc'lI ,tlilallull
depends upon the material to be etched, the rel/uirClllcllh of /101111:111 gC'1I
eration. the nCl:essary etl:hing rcagellh, the cll:hing pnH:e;"c'S 111\ "Ivn!
and other fal:tllrs slIl:h as eCOnOllllC I:Ollslderatiolls,
I, illl III I'J'sioll
The technil/ue is lil/llid dlelllical immerSion 0' dip ell
where the masked or unmasked objl'l:t i ... III IIll: dl:h ,,,11111"11
Mechallical agitation is usually desirable as it illlplOvn Ihe lilli/'" 11111 \ oIlid
control of the et;,;hing pro;,;ess by enhandng the C\dlallgc <llld 1111\1111' (II
etching at the solid sllrl'm:e with licsil ",ililI
OIl
I h" "h"
local overheating in the case of exutlil'llIlIc IC'''c'llOlh (Ilcleb)
maintaining a uniform and controllahle ctdllllg IaIL'. Huhhle', "I g,"
H,) that nwy form as a reaL'lion prodUL'le:lllcllllg I() IhL' ",h.! 'iii
face and inhibit uniform e\;,;hing, The addition of:, '"llac'c' :Icll vc' dgnll I"
the etch solution can prevent bubhle aCl:ulllUlalloll\ 'Unkll'llll) LIli'C'
ratiu of ekhant tll material being dL'hed should hc' l'lliploycd III 1111 111111 IIc'
reaClant depletion and to maintain the real'liull t..:lllpC(;illlrc' oIlld Ihe' loll,'
of Illtack,
Spray ct.:hll1g IS lI,eflll for gcnerating pal tern, III Id:lIIVd) (lil\ lIilll'
or substrates, especially if steep pallern wal" 'Ill' dL:'IIL:d, '1IlcL: Ihe
pinging imparts a variable degrcl' nl dll CC'IIOIl,lill) III llil'
process, The et.:hing rate is in.:reased llvcr thaI uf inllllL'hlon L:tdllllg, dllll
.:an be regulated by the amount uf pre,slIre applil'd alld thl' \l/c 111 thc'
droplets, Good pro;;es., control Ilnd 1Illifonnily Cdll hl' dlLIIIll'd I>c"'I1'"
fresh etchan! is rilpidly and CUlhtantly ,uppliL'd tu 111l' 11'ac'Iillll Sill' "
the n!actioll producl\ arc continuuusly r..:mOVL:,!.
l'khlllg 1"II,h
self to automation
madllll C"
c .'\dll.d>l.: 1"1
many spel:ilic
r'

',.'.
.
1
,f
.; 406 WERNER KERN AND CHERYL A, DECKERT

J, Electrolytic Etching
Electrically conductive or semiconductive materials are frequently
etched by application of external emf potentials. Electropolishing of
metals and semiconductors is a good example of this technique. The rate
and selectivity of etching can be controlled by the potential and/or the
,:;
current density applied, Electrolytic etching is considerably more compli
:{
cated than other techniques, but can yield results not otherwise attain
able. Specific conditions will be described for various materials in the text
and in the etching tables,
4. Gas-Phase Etching
High-temperature etching in the gas or vapor phase is generally used
for chemically inert materiab that cannot be etched readily in liquid re
agents. A different application is for in situ etching of semiconductor sub
strates immediately prior to epitaxial film growth in the same reactor to
avoid surface contamination that would result by other techniques,
;t

5, Mechanical-Chemical Polishing
:1
This technique is used in semiconductor wafer preparation when a rel
atively defect-free surfa(;e is required, The combination of slow liquid


chemical surface etching with gentle mechanical abrasion to continuously
remove products from the etching reaction can result in a high-quality sur
face polish if carefully optimized conditions are observed, as will be de
"
j
scribed in Section Ill,B,
'p,
$:,
i 6, Isotropic versus Anisotropic Procesus

Isotropic or nonpreferential etching proceeds at an equal rate in all di
f
rections. Amorphous materials of uniform composition etch isotropically,
J'
whereas many crystalline materials etch both isotropically and anisotropi
cally. Anisotropic or preferential etching depends on the crystallographic
i
1
orientation of the material and on the etching reagent used, If polishing
t action is desired. isotropic etching conditions must be selected to achieve
a structureless surface. If structural shaping is the objective. as in the for
mation of deep depressions having side walls of a specific taper angle, ani
sotropic conditions are required, Both liquid and gas-phase etching can be
used for these two types of etching processes,
7, Selective Etching PwcesJes
Selectivity to the differences in etch rate between different ma
teriah, or between compositional or structural variations of the same ma-
T .... )
-'-
v-I, UIEMI(AI. lelnUNG
((' ,
terial. It is one of the most Important faclor, III "pplicd ell hili): '>1.,,(
technological etching processes must he controllahly ,e/eui\ <.: 1>1."'.llI'"
the material to be etched i.s usually part of a ,trllctlile Ihal c"n'l'\l\ ul 'on
eral material components, Seleclivily III etdlillg is :I"hined h) 1'1 (I pc' I
choi..:e of etching techni411e and <:1(:h,1I1I L'uJllj1'I\ilJolI Wllhill tlil' "
straints of the syskms,
Various degrees of etching selecllvit} ai,' dl"II.1hk Inl panl,',II"1 pUI
poses, For example, pattern ell,:hillt! (If Si.,N, or '\11),111111'0 III ",,1 II,I'(),
an et..:h-resistant deposikd SI(), 111m '" III,' ""II Illa,J,. ilillstl,dl' ,j
high degree of dching selectlvil) Oil Ihc olhel Ii,Uld lnllll(llkd P;IIII,,J
etching sele..:tivity of dielectric byel cOlllpo,ill', 1\ IIllI"'ll.lIlI III LIf"'1
ct..:hing, where a desired edge COlliolil c:lIlhl' :lILIIII,'d (Ill Ihl 1",,1, 01 el,'11
rate differem:es of the componelll 1:'Yel' In Ill!' 'd" "I;"IL'I L'klllllg til
eh:L'tri..: "tapt;r'L'olltrol" layer i, !(lIIllL',! nvu Ihe "I,'kdll' 10 he h,'\,'kd
[461, Numerous uther important <lpplic:aliolh 01 'lkcllVc' <.:1c1l'11V ".l\"
been descrihed [26, 27, 415, 49 I.
FII,lioll
(/111 Other }''-IIC(',I.\('.I
('l'rtam highly etl'h-n:sistant m:llcria" ,.Ill h" d,bed h} 11"dllll\'1I1
with moltell salh (uften 'n hUla),) :11 11Igh I,'II'I"'I;""IC' .''''\<'1,11
examples will be noted in Sections I V, B and I V ,I)
Surface oxid,ltlon hy thermal or ;lIhldli': tledllll,'nl" ("I1""ed hI ,1"'111
iGd strippillg of thc nxide films formed, can aho he ,Ihl,kl,'" :111 c'l, llillg
only the ;,econd ,tep, the cl..:illllg "llhe Side--., \\ oil I",
dis":lIssi:d here (Section IILr\),
D, Pattern Delineation Etching for Thin Films
In rnany instan(cs, elching pnlCi:sses arc used I,) J'I\,dIlC,' cllI""1 1'.11
terns in thin Sdected portions of the Ijlm ,II,' 111",J,.cd by .111111"<'1
thin film coating material which is un:dlcded by Ihe ..:1,11"111 (0 hl' 1I'"d I"l
patterning, Etching is then canied (lut so as to re11lm.: <tilth.: 1JIIIIIIIdll'll,'/
in the unprotected regions, The proteC:livc L'oatillg lilill " Ih':l1 IIsll,>lI,
stripped, leaving the desired pallen! in Ihe lIndc") IIll! thlll fillll,
Pattern etching is obviuLJsly a Illllch 1111\1\' dllllples PI')ll'\', 111,11, ""I
pic overall sUIi'aL'c etching, III additloll to ,l'Ieding Ih.' ct,'!liHlI h'''''I!I):'' l
masking material is of prime importance; good aLlhnloll,oIlitlS CO,lill,)! 1<>
the substrate, C'll<lling integrity, aJe4LJate re,ulutillll, ;lIld I L',lSldIlC': hI 111\'
et..:hant arc the main L'onsiderations, Lase in pattelllllll! thc Inasl-,
is important; othCl>I<be thi, plOcedure beCOlllL'S :til ,'I,llIlIg PWlC" 11,,11.
requiring yet ullother mask,
r1
T )
/'1
..OK WERNLR KERN AND CHERYL A, DECKERT
I, Mllsking Materials
The most often used masking materials for high resolution thin film
patterning are photoresists (SOl, organic polymers whose in
certain solvents change drastically as a result of exposure to uv radiation.
Usually, exposure is carried out by placing a glass plate bearing the
sired pattern in an opaque material (such as photographic emulsion or
chromium) over the photoresist-coated substrate and irradiating through
the glass plate, Negative photoresists become less soluble in the de
veloping solution in areas that were irradiated, thus producing a negative
image of the pattern on the glass plate. Positive photoresists become more
soluble in exposed areas and thus produce a positive image of the orig
inal pattern. Excellent photoresists are available commercially from a
number of sources. Negative photoresists are generally tougher than posi
tive resists and can usually withstand more rigorous etching processes,
Positive resists are noted for their superior resolving power, and pallerns
as fine as I lim have been resolved using positive photoresist. Electron
beam and x-ray resists can produce very fine resolution but they have not
yet come into widespread use because of high processing costs,
When the etching process to be used in patterning the in
volves extremes such as elevated temperatures or strong acids,
sist masks may not provide adequate protection, In these cases. metal or
dielectric masks, which can withstand the etching process more effec
tively. are often used, In such cases, the mask is first patterned using a
photoresist process. For example, chemically vapor-deposited (CYD)
is used as a masking material for CYD Si3N4 films. which are typi
cally etched at 180C in H"PO . conditions which would quickly degrade
photoresist films. The Si0
1
itself is readily patterned usinl!. a
perature etching process with a photoresist mask,
Sometimes, a high temperature or extremely degrading chemical etch
ing process can be replaced by an electrochemical procedure which
Iizes a much milder solution, thus allowing a photoresist mask to be
ployed [5
In cases where high resolution is not a requirement, very simple mask
ing procedures are possible. Ordinary cellophane tape is used to mask
against a variety of etchants. Other masking films such as positive
toresist or silver paste can be applied in the areas to be protected using an
artist's paint brush. Certain waxes which melt at temperatures of 100
250C can be painted onto a hot substrate and will resist many etchants,
2. Adhesion and Inler/ace Problems
Good adhesion to the substrate film during etching is the prime re
tll1irf'mf"nl nflhe material. Loss of adhesion usually occurs in one of
CHI:MI(AL EIUIING
-III' j
two 1521: (a) edge allaL'k at the interfill.:e by tlie' t.:lchant (lIlltkn:111
or (b) failure over a large area (lifting, peeling, CidlingJ.
(/, f.;duC' AIIUCh. If pt.:rkLl thlullgl".1I1
etching, and if the etlhing process is isotropic, a ddilll'<llI:d Pdlllill Ilhe
that in Fig. 2a will If the ct.:hant atlad,s Ih..: lulL'lfde..: be'(\'l'l'11
mask and suhslfatc film, however, the top edge of th..: pattelilld Ilhll ,.oil
become quite gradually (/iig, 2h). This phcnol11e'lltHl is cidkd
dercutting. Ullderlutting is a common OlCLIlrelllC bleall'l' 11I,)sl
mask/substrate film comhina!ions involvc no chellliL'al bonding. Iel
y
lug
solely on van dcr Waah fon:es for intcrfalial adhesion. Thc'c rorn's al c
strong enough to good bond ullder oHlina!y contilt">!1S
<i.e .. no eh:hing). hut in the et.:hing ,11'0 tl'nd ( 1"1111
van dcr Waah honds to the mask and suhslrate film Slllr,,<.:..:,. ,tlld III '''Iif,'
thescitlleraL'lions can hI.' strongcr than the m;"k/'<llils!I<tll'
bond, thus lalJsing adhesion failure at the edges of thc paltcln.l\dllc"It>1I
promoters such hc",lmcthyldisihllalle, which Icntin SiO, '"lla,'l'S c',
sentially nonpolar. kad to bettcr etch reslstan<.:c I ql \\ IIh plll'!O"'SI,>1
mu,b simply belallse thc polar ctchan!s arl less attladl'd I" tlil' Iillu
face. even though the a\.:lual van del' Wa,,!'s forces hl'l\H'l'1I till' mudrlll'"
SiO" and the photlllcSlS1 may he smaller than Wililoll! "dlll'Sltl" 1'1<1111<11('1
QUllC often it is that a small amollnt or l\lllll"lkd IlIhkl

(a)
( bl

=---FAST- ETCHIN\J
COATlN6
rlLM
/ //// PATTERNED FILM
SUBSTRATE
(el
Fig. 2. Diffn:nl euge pr.lliiL-.., from htllUU" dl.:grl.'l"'1 (il lllldL'jlUlllllg, jiLt tlll\},l
prlluul'c"I (Hk' of t"JgL', tn) umll.'ll.,,'lIlllllg 11,1'> \I\.:\,:WI.:J .tI flId"f.,.
film ie! of.\ h ... , >'L,h;n" L,,, II.! ,
'T
410 wtRNER KERN AND CHERYL A, DECKERT
ting take place, since a sloped substrate edge is easier to coal uniformly
than a sharp edge, if additional layers are to be deposited subsequently,
In such cases, a very thin layer of nraterial. which dissolves in the etchant
more rapidly than the substrate film, may be deposited prior to masking in
order to achieve controlled undercutting, This is depkted in Fig, 2c, A
recent example of this method is the beveling of permalloy films using a Ti
overcoat [54],
h, Large-Area Failure, Sometimes mask/substrate film adhesion fail
ure occurs over a large area of the interface, This failure can show up in
several ways. A portion of mask coating may be lifted completely from
the surface, it may peel up either from the edges only or else craze and
peel over the whole surface, or it may blister or bubble across the surface.
These failures are usually due to differential stress buildup in the sub
strate film and mask layers, Thermal or chemical treatments can cause the
masking film to go into tensile stress relative to the substrate layers. in
which case peeling. crazing. or lifting can occur, On the other hand. if
stresses in the mask become highly compressive compared with the thin
film/substrate composite, blisters and bubbles will appear in the mask
layer. These problems can be minimized by using a mask material either
with a similar coefficient of thermal expansion to that of the substrate or
witt! sufficient elasticity to conform more easily to the substrate,
3. Factors Affecting Image Resolution
The most obvious factor inHuencing image resolution is, of course. the
resolving capability of the masking material. As already mentioned, nega
tive photoresists have considerably poorer resolving power (- 3 I-Lm line
widths or spacings) than positive resists (- I I-Lm), Metal and dielectric
mask coatings are capable of generally finer resolution, down to the order
of the grain size, Electron beam and x-ray resists cat. also be imaged to
very fine dimensions (-80 Aresolution has been reported
The thicknesses of both the masking material and substrate film limit
their resolution capability when chemical developing and etching proce
dures are used. Since isotropic chemical dissolution produces sloped
edges, a good rule of thumb is that the thickness of the layer to be pat
terned should be no more than one-third of the resolution to be achieved.
Dry etching processes, such as plasma and sputter etching [56], can pro
duce very steep pattern edges, and thus finer resolution can be attained
with a given film thickness,
Etching processes which involve gas evolution can lead to poor image
resolution because of gas bubbles clinging to the substrate, particularly
along the edges. This problem can usually be alleviated by the use of a
)
v-I. CHI MICA] 1,I<llIl'-i(i
.j I
suitable surfaclant in the etching 1lI, by hcat
ing or good agitation throughout the clI.:hing pmcedun:,
In etching where the IJlm becul11cs uxidilCd Ie,g
metals. silicon). masks of a nobler met;11 can lead tll acccicrated
thus producing pronounced underciliting alld Ilh' (II' 1441 By
the use of certain carefully chemical thc
can be markedly reduced [57,58],
E. Surface Contamination and Cleaning Techniques
The important subject or conlalllin,llitlil and Ck"lIillJ,: of surf,lI:n hc
fore and after etching is dosely aSSlll'lalcd "'Ilh pra,'!I,',i1 deh pru,'C"III)!
A detailed discussion of this separate topic is (1lIhllk Ihc ,,'opc "I' Ihi,
chapter; some general alld a hlld Illcr a[lIIe \ce')
arc presented,
Sudace cont<lmin<ltion as r.:lated to elL'hil1g call hc c''''hldel c'd 1111111
two aspet:ts: (I) initially present contaminanb and thc'III,'llhIV,,1 IHllil III
etching, and (2) resiuual contaminanb ariSing frum l.'ldllllg t rl.,III11CII" dlld
their removal as a postelch step if traces ur illlpuri[io e,1I1I1<11 hI.' l<lkl "kd
on the etched surface,
Contamination on prior 10 etching Illay I.lIll'I'1 til palllc'lIlale'
materials, organic re:,idues, or inorganic surface lililh dJ!ferl.'lll f'OIll 111l'
material to be etcheJ, These impurities shuIIIJ he rClllu\cd Sille, Ihq' !II"}
interfere Wilh lhe etching by masking or unul.'sirablc 1,<11.'111 HI '" ilh I Ii,
etchant. Particulate removal t:an be accomplished hy 1I1I1,1'>01l1C Ill'.11
ments in cleaning solutions, use of compressl.:u gas jl.'l" .IPphc'dllon "t IJ"
uid sprays or jet:>, or simple mechamc,\1 mcan, sudl as sU'uhblllg III
Organic n':,sidues are removahle dllwn It) IllUll,llaYI.'1 !evc'l, h)
dissolution in suitable organic solvenb, or hy vapm IdhlXlllg in oigallic
solvents or azeotropic mixtures, Cumpicle IClllo\;d I'
possible only by plasma ashing. glow ui,charge 'P" Ill.: Illig , "I dltllllLl1
reaction leauing to dissolution, Inorganic surface film, he aIL,d,,'d
by specific chemical reagents designeJ to pmullce sulllhlc n:acllt)f}
that can be Hushed away,
Whereas the treatments noteu ahllve ,lie geIK'I;dl} .1I11l
lively noncritical. the effective n.:movaillf rcsiduallr'I\.' c"lIlldllllll,lllh I,'
suiting from etching b far nHlrc diflic'ult III acc Ulllph,h, SUI!." I.'
contaminants are very critical in tel'hnoillgies, sudl ." "ulid'ldlL' dn "l
processing. where the nucleation, growth, "dhesi'III, ,tlllllllll.', and Ik'i
fection of a depositeu hIm can be critically affcc'lL'u 111I1)[llill"" oil till'
substrate surface, High-temperature pwc'c',,_,ing llIil\ Ie'ldlial 'ill
1
(
412 WERNER KERN AND CHERYL A, DECKERT
face impurities to penetrate into the substrate and give rise to undesirable
effects, such as electrical instability of semiconductor devices,
The deposition of especially heavy metals, from liquid
etchants onto semiconductor surfaces is well known since the early ex
perimental work by Holmes el ai, 15,591. and the reviews by Gatos and
Lavine [8] and later by Faust 160], Kane and Larrabee [17] reviewed the
literature up to 1969 on the deposition of chemical impurities from solu
tion onto semiconductors, More recently, Kern reported results of com
prehensive radioactive tracer adsorption studies of anionic and cationic
etch components [61] and trace contaminants [62, 63) on Si, Ge, GaAs,
and surfaces [64], In addition, a decontamination method based on
sequential oxidative desorption and complexing with Ht 0 2 -NH.OH
H
2
0 followed by H20cHCI-H20 was devised [64, 65J, Its remarkable ef
fectiveness was verified specifically [66-69al and indirectly (70-721 by
several authors,
Various additional aspects of cleaning Si surfaces have been reported
[66, 71-77], Surface contamination of GaAs has been reviewed by Stir
land and Straughan 123), Meek PH] used Rutherford ion bachcatlering of
high-energy ions as a sensitive surface analysis tool to determine the im
purities left on clean Si surfaces from various etch components and or
ganic solvents, Neutron activation analysis of Si slices that had been ex
posed to buffered HF etchant was employed to identify problematic trace
contaminants in the NH4F component as As and Cu [79]; purification of
the etchant by treatment with Si chips (80) effectively removed the im
purities,
A series of 18 symposium papers on the preparation and characteriza
tion of clean surfaces indudes theoretical and practical aspects related to
surface contamination on a variety of materials [811. Ryan ('I ai, 1821 and
De Forest [83] have described the preparation of clean surfaces prior to
photoresist coating, Holland [84] discussed cleaning treatments for glass
surfaces, Brown [85], and more recently Mattox ('I (ii, [86, H7] have re
viewed those for thin film substrates of many types, Short-wave uv radia
lion has been found effective for removing hydrocarbons from glass sur
faces 187. 88] and for removing photoresist residues tH9], Ozonization is
an alternate method that offers several advantages [YO], Selection, specifi
cations. and other aspects of surface preparation processes for numerous
materials have been compiled by Snogren [911,
Surface cleaning by glow discharge sputtering techniques can also be
very effective [851, Most organic suM'ace contaminants are removable by'
chemical sputtering in 0" [92 -941, Sputter etching in Ar removes residual
oxide layers on metals, as noted in Chapter I, Section V,c. However,
"uM'ace recontamination due to backscattering [95-97J or ion migration
)
v-I. CflEMICAL I:.ICHING
11 \
can occur dunng rf sputtering treatillellh,
processing arc employed [91'11. Ultrahigh vacuum h<.:ating <lftn
sputter deaning is effective for dl'sorhing gase,> that Illay b<.:co[lll: inlur
porated into the substrate suM'ace during this operatlO[) I',N -I 0 II,
Finally, glow discharge plasma cleaning should be Iloted ,I" llill.' 01 Illl'
most effective methods for suM'ace decontamination, Klfk /I0211"t:vl,'w",1
its application to semil'ollductor device processing, alld Klllllilliak alld
Mattox described reactive plasma cleaning of metab 11011 Many aspl.'l"l'
associated with plasma reactions at solid surfal'e have h<.:ell discu".sed "
recent symposium 11041,
Two additional very important aspech of ,urface
treatment and storage of the cleaned material. The final rilhillg ill Wi: I
cleaning and etching processes is usually done with water. '111<.: PUI ity "I
the water i" then.::fore extremely critical. Deionized and di'lIllcd watl'l
should be to ;,void recontaminatIOn of tht: High'purity l'ln
ic-grad.: isopropyl akohol a good altt:rnative fin;d rill,t: al ..... , \\;tll'l
The removal of water or "kohol i!\ be"t cfft:Llt:d by gc'n
tic centrifugation rather than by baking, Finally, storage or LkalH:d
teriah bc minimized or preferably avoided altogether hy cilrry IlIg
out the cleaning treatment immediately before the l1e.\t pn'Ll',slIlg Opc't.t
tion. If storage is necessary, chemically cleaned clusl'd gLl" C'OIl!;lll,,'I'
(such <I" Petri dishe,,) should be used and kept in a lOlltdllllllaliull lice
dean-mom almosphne, The frequently plastIC CUlildllll'l , ,Ill' IlIdd"
lluate for this purpose, as they usually CdliSC reCl)(l!;lIllllldtWIl "I Lle;tll Sill
faces due to the emissioll of organic vapor" 17')1,
III, CHEMICAL ETCHING OF SPECIFIC MATERIALS
A, Insulators and Dielectrics
I, (jel/eral COllsideri/l ioll.\
Important msulating and dielectric materials Il1clude dlld dl'p,ts
ited vitreous and Lrystalline llxides, chemically vapordcPlhllcli ICV I) I
binary !\ilicates, fused multicomponent silicate glasse" ('VI) 0" spullLT
deposited nitrides and llxynitrides, and several other Lllmpolllllh III
film or bulk form, The chemical dching properties III each ,.f thl'''l' gnlufh
of compounds will be discussed in this sectioll.
In general, insulating and dielectric material" arc relatlvel),' Iller( dlelll
ically and hence require highly reactive media for etching I,:tchallh of
technical importan..:c arc ammonium Iluoride-buflered hylirllllllllli.: dCld
T
414 WERNI:R KERN AND CHERYL A, DECKERT
t

t. used for patterning by photolithographic techniques, strong aqueous hy
drofluoric acid at room temperature, hot 85% phosphoric acid for pattern

etching with oxide or metal masks, and miscellaneous other etchants,
usually strong mineral acids or bases. Vapor or gas phase etching is used
only in the preparation of insulator substrates,
The majority of insulator and dielectric compounds, being amorphous
or extremely microcrystalline, are classified as glasses, Therefore, etch
ing in these cases proceeds isotropically, and variations in the etch rate of
a specific material in a given etchant are functions of chemkal composi
tion, film density, residual stress, defect density, and microstructure. The
etch rate generally decreases as the density or crystallinity of a material
increases.
As in all etching processes, selectivity is one of the most important
etchant parameters in practical applications, A survey of the uses of se
lective etching of dielectrics in semiconductor device processing and in
analytical applications for compositional and structural characterization
has been published recently 1271.
A qualitative summary of etchants for important insulators and dielec
trics is presented in Table II of Section IV, A more concise compilation
would be of questionable value because the etch rates depend very
strongly on the exact conditions of film formation, Furthermore, materials
consisting of more than one single component, such as silicate glasses,
vary continuously in their etch ralC according to composition, so that a
graphical etch rate presentation is more instructive, Emphasis in this sec
tion is therefore placed on the discussion of general trends and a survey of
specific results and references from the literature,
:1, Single
u, SiO
I
. Etchants for Si0
2
are based almost exclusively on aqueous flu
oride solutions, usually HF with or without the addition of NH, F. The
exact chemical mechanism of dissolution is quite complex; it depends
strongly on the ionic strength, the solution pH, and the etchant composi
tion which determine the available quantities of solu tion species including
Hfo"'2, HF, F-, H+, and various fluoride polymers. Raman spectroscopy
has indicated the presence of numerous reaction product species (such as
hexafluorosilicate ions) in etch solution [281. Detailed studies of the reac
tion mechanism underlying etching of Si0
2
have been reported by several
investigators [28, 105-1111,
Addition of NH.F to HF to control the pH yield" so-called buffered
HF (BHF); it is imponant in pattern etching of Si0
2
films using photore
sist masks ll4J where attack of the photoresist masking layer and the
v-I. CHI;MICAI. ElUIlNG
I I )
polymer /dielectric inteliace must bL' minimil.ed, Ammolliul1l l1uuride illl
dition also prevents depletion of the Ilullnde ions. thu, lIlailllaining stahlL'
etching characteristics, The actual rule of Nil, F mali h..: one "I ;'11
SiF
" (NH')2 precipitating or complexing agcllt mlher than Ihat (11'.111'1,.
buffer 11061,
Selcl:tivity in pattern etching of SiO, laya., 'HI AI devil.:" llIet,t1Ii".tllllll
can be improved over BHF by additIon of it dihydroxy;\k"ll/wIII12Iur .,1
glycerollll3ltll the BHF to inhibit allal.:k of the.: lll,'lal
Pattern etching of SiO" tilms in vapnrs !'rurn aqlll'olis III:, althulI!-!h
used, is an interesting alternative tn liquid c:tehing .iIl.! Ciln Yield
comparable results at rcasonabk rates 111011. It P"H':":"<I, h
y
to! l1I<1tiuli .. I
tluosilicil: al:id, which dissociates in the.: v,lpor phase.: III Sil', and III ..
As with insulator Iii illS in general. the liquid eteh raiL' dC:PL'lllb IIllt
on etchant composition, agitation, and It:mpaature, hilI ai,,, Ull th..: It.-n
porosity, residual stre.:ss, micmstru..:tlllc. ddeLt den'lt y. oad ,ltll
and purity of SiO,. A sub,tantial IIlClca'L' In Ihc Jilk ,)1
SiO, hlms has been observed as ,I n:.,uh uf dcl'eLl gL'lIl'lation by cll:LlJ1l1l
beam irradiation [1151. Ar ion implantation 11161, alld IIlIl homhdrdlllelli
[1171 Heat treatments of SiO" lilms dcpllsikd OJ gl 0\\ II ,II j, ,v,. IL'IllPL'I,1
ture decrease the etch rate due to den.,ilkalHlIl ,)f the ... 1111..:1111": IIIX I
the same holds for other dielectric lilm ....
Silicon dioxide films formed hy diffcrenl prOl:":S.,L'S \,11) wlJcly III Iltl'll
etch rate, mainly because of dirferent:..:, in IIlIClI "IIIJLtlill'.
and/or film density. For exampk. the etch rale of SI( I, al ::OS ( in I'L'k"
(2 vol fiNO" 70'7<, 3 vol HF 49%, 6() vul 1I,OII12111(l1 tilL'lmally ).:1(1\\11
(lOO{)Cl or densitied IihllS is 2.0 A/sct:, for rf sputtered IllIns 01 I A ,,'l
for organopyrolytil: (undensified) hlms A/,el:, 1111 l'i":dl<lIl glill
evaporated films 20-7ll A/sec, and for anodized lilms IX-22l'1 A/,el:! llX
Additional etl.:h rate values for variously prcpan:d Si( J, lillll' 111 III
and in BHF etchants have been n:poned for SiO, gruv,1I by thellll;" 0.\1<1.1
tlon[65.105.106, IOX,1I0,1I1,
evaporation [16], halide reaction [1281, pyrolysi, or v.lr')! o"iLiall()11
of organics [16,129-131]. ()xidation of SiB. at low (- SOO'C, 1119, 12()
132-138] and high temperature [l39-142\. anodizatiOll 116, 101] I. hy ,pill
on reagent solutions [1441. and by plasma reaction" 11015-10171. Norlllal
ized etch rates for various types of Si0
2
lilms have he..:n pUhl"hed 1I-l7a
The effects of HF concentration and temperature [lOS, 107-111, I-lX I.so
and the effects of agitation 1109.123. 14XI on etching ,If SiOllilrn, h'\\..:
been examined.
In addition to HF-.;ontaining et..:hanh. SiO" ,lrghlly !II hili
and hot For examph:, thelllwlly glllwn SI(), I,
etched bv SM KOH at 8'ioC at 50 A/min 11511. and 0.1.\1 N"OH at J(lIlfll
,
f
,
416
WERNER KERN AND CHERYL A, DECKERT
!
temperature at :50,2 A/min [152J. The etch rate in lO wt % NaOH at 23C
f
is 0,1 A/min, at 55C 5 A/min, and at 9OC 500 A/min 1153]. The etch rate
! of oxygen-deficient Si0
2
in HF solutions decreases, and SiO requires the
addition of HNO
a
to attain etchability. Alternatively, hot solutions of con
centrated NfLF mixed with NH.OH or alkali hydroxides can be used for
etching SiO films [14].
b, Ti0
2
, Ta205. and Zr0
2
As a general rule. dielectric films deposited at
low temperature exhibit high etch rates (often due to their low density and
amorphous structure), whereas films of the same compound that are an
nealed or deposited at high temperature exhibit consistently lower etch
rates. For example. low-temperature (l50-3OOC) CVO Ti0
2
[154-156] is
readily etchable in 0.5% HF or in warm 98% H
2
SO whereas films an
nealed at IOOOC etch only slowly in 48% HF or in hot H
2
S0
4
or H
3
PO.
{154, 154a, 1551.
Pyrolytic Ta
z
0
5
films deposited at 500C are soluble in dilute HF [157].
Films of amorphous (but not high-temperature crystalline
films 1158)) formed by anodization of deposited Ta films can be etched in
HF-NH.F solutions [159, 160], Electron irradiation of Ta205 (and AlzOa)
films decreases their etch rates [161], in contrast to SiO
z
films. Tantalum
penlOxide films can be patterned with 9 vol NaOH or KOH (30%) plus I
vol H,D: (3()%') at 90C using a Au mask; the etch rate ranges from 1000 to
2000 A/min 1I62. 1631.
Monoclinic zr0
2
films prepared by CV 0 from ZrCl. at 800-1000C are
slowly etchable only in hot H
3
PD
4
[164].
c. AI
2
0
a
. Films of AI
2
prepared by CVO below 500C [165-169], 0
a
grown by plasma oxidation [147. 1701 formed anodically [161, 171, 172],
or deposited at low temperature by evaporation [ 161, 1731, obtained on Al
by boiling in H
2
0 [174], or deposited by sputtering [175-1771. are etchable
in HF. BHF. warm HaPO., and etchants based on HaPO. Thermal densi
fication at 7oo-8ooC tends to form crystalline modifications that exhibit
much lower etch rates [165, 168].
Aluminum oxide films deposited by the AICI
3
hydrolysis process at
9OO-IOOOC are nearly unetchable even in concentrated HF solution and
require boiling 85% HaPD. [135. 178-180). The etch rate in 85% HaP04 at
180C is typically 100 A/min; etch masks of CVO Si02 are useful for pat
terning these films [181].
Selective etching of anodic AI
2
0
a
on Al in multilevel integrated cir
cuits can be accomplished, without attacking the AI. by use of a solution
containing HaPO. and CrDa [182J.
d. Bulk Oxides. Sapphire (a-AI
2
0
J
), spinel and beryllia (BeD)
ulled as substrates for heteroepitaxiaJ CVO of silicon layers are slowly
,}
v-I. CHEMICAL t.T(tllNG
..J17
etchable in /)ollmg concentrated H;;PO,-H
2
SO, mixtlln:, IMI.
phase dchlng at high temperatures has abo been u'>l'd slIccl'.ssfully for
polishing sapphire [ISS) and spinel 1 OissollHion l)f surfacl' irn:gul;1/
itles from crystalline AI"O" has been accomplished by trl'alll]cnh \\ IIIi
molten V" 0" above HOWe 1 mclls of K,S,O,. PhO PhF" <tlld v,n
have been used for dissLllving sapphile 1 lkO call be clL"hcd III hOI
(120"(,) HCI , .... ,
e. OIlier o.ridl:'s. Films of Nb"(), ) and CVI> 111'0, ) IX');Jj ;I/C
etehable in HF. The etchability or (ieO, depends Oil ils IT)stallllgldphlc
moditkation, as noted in Table I of Sc.;ction IV, S,\t:lal 0111,1 llxidcs "I'
importance are classilied under Compound Sl'flllCllllllllclors and arc diS
cussed in Section III.B.4,
3. Einarv (llId tertiary Silical' G/II.I.I/'I IItld Or/dl'.1
a, PIIO,lphwiliclIl'S, The inCLlrporation of I',(), III thL' Si(), lid V>, 1I J...
yields technically very import,mt phosphosih,at.: gtl"l" (PS( i). Ihl' .:tl Ii
rate in bLlth HF and BHF increases wilh increa;..ing p, ;I, shown in Fig 1
for a variety of tilm and etchant compositions. Th.: Illw-lelllj1e'ratlll" ('V t)
lilms were prepared by chemical vapor reactIon frulll Sill" PH,. ;lIld (),
in N, at 450(' 1190-lnl. Densitkation trl'atmenh were dune in N; :d
1000"(' for 60 min. as indit:atcd The thelmall'S(j layer, We'll'
taim:d by rem:tmg vapors of PO(,I" II '>3lllr 1',0, II 9-l1 Will! SiO, al 1000 (
The graphs illustrate al a glance the prollllUIlC.:d .:1'1',,:1., of COlllpusilllll1
and densily for a given phosphorus cllllcelltration, ;lIld thl' ",nt.'ll'IlL" III
Selectivity of a typical BHF compositIOn and" VdflCly or IIl1hult't:rcd III
mixture..,. Note that tht: ekh rate of PSG ill Bill: i, Illlllh k\\ allCC:kd h"
the film compositiolll137. 1l)511han in the 1Il1hurfcre'd Illl.\lurc, Ilu\\l'\'l'l.
the logarithm of the cll:h rate varies in all case, linearly \\lIh Iht.' P e<HIC,1I
tration. More complete graphs relating etch rate with Ijlm l'omp!hllltill
and CYO conditioos have been published clsewhen: /117. 1951
The eteh rates Llr PSG films deposited from Sill, ' PH" and (), III '\1 .II
350C, and containing 0-9 mole P,o", exhibit a maximulll in 'i0';
diluted buffer etch (48% HF:40% NH,F: H,O, I: 10: II hy Volume) llie'
increase in etch rate with increasing P CLHltent in the glass suggests lltal
the SiOi component of the PSG is dissolved by the hufkred HI' alld Ille
1'2
0
5 component principally by waleI' /196/,
Additional etch rale studies have been n:ported IlII PSG Iilms Ple'
pared by low-temperature (300-500C) oxidation ofthc hydride, 1136,1')7
20 I], by high temperature (1000-1100"(.') reaction of SIO, wilh 1'0(1, oJ
[150, 193, 194. 197,202,2031, and by pyroly,is "I' 'ligall')lIIet;tlli
l
',
(700-800C) 1204J,


()
41M WERNER KERN AND CHERYL A. DECKERT
60001 I r

I HF/Z HzO,U'C /
10
I
I
HzO
, I HFI ,
,
,
2t 8 io 4 6 12 14
GLASS COMPOSITION, molt ... P
2
0
5
Fig. J. Etch rates of phospho silicate glass films versus mol % P,O, in the glass. 450C:
CVD films from SiH.-PH,-O,-N,; IOOOC: CVD film densified at IOOOC in N, for I hr.
Thermal, HF/H,O, P/H,O: SiO, + POCI.<O,J at IOOOC [193]. Thermal, P-etch: SiO, +
P,O.(Nal at I02O"C [1941 (from Kem [271. This figure was originally presemed at the 149th
Sprin& Mulin& of The Electrochemical Society, Inc. in Washington, D.C.).
b. Borosilicates. Binary borosilicate glasses (BSG) are important as dop
ant sources and in silicon passivation [77,205]. In early literature, it was
reported that the HF etch rate of CVD, BSG, typically deposited at 450C
from Sill., and O
2
in N
z
, increases strongly with increasing B con
tent, whereas in BHF it decreases sharply with increasing B content to a
minimum and then increases with further B increase [190,199]. These re
sults have since been confirmed [126, 196, 206-209]. A series of graphs
exemplifying the etching behavior of BSG films is shown in Fig. 4 [1%].
Boron oxide at moderate concentrations in BSG, existing as a borosilicate
rather than as B
2
0
3
, appears to protect the SiO
z
from attack by buffered
HF; at high concentrations B
2
0
3
bonding may be impaired and solubility
in water becomes a more important factor, resulting in an increased etch
rate [196). Several other explanations have been proposed [28, 199,206,
'071.
1000
:
,
....
x
u
..
'"
X
y
450' /
I HFl2 XOL,22'
/
//
//1/
I
//
/11/
/ / THERMAL
I /'-P-ETCH,
/
//
-
-----
I I _
/ .-<'" ERIlAL
I . H
Z
O,24
1f
)
v-I. CHEMICAL lTCHINt;
-J I '}
8001 r-----r T - - r
I
700
.,
I
-I
1
z -I
.i
I
200
J
I
I
1
,00
-i
I
1
_L j
0 10 20 JO
I/OLE'I. 8,0, IN GLASS
Fig. 4. Etch rale' al 26" , IT uf heal'[rea[ed horu."licalc gl.,,, III"" III Bill, 01 ',II ''''',
mole percent H20:.! 10 the gl<l\.'I. i:lt.:hlllg '10111111111'1 \.\.L'It: II)
adding di,[IIlcd H,O in [he proportion, indicalcd [0 B H I, ( I () vol N 11.1' I '01 III ; ,
Afler lIl;n a[ 1000"(' III Ar .), IlXl'X buffered HI': .',. \()'; huflcled 111, . 10'; I"dklcd
HF: A, 17< buffered HF (frolll Tenney and Ghe//o 11%1. lel'lIll1ed h) l'elllll"IOIl 01 1"1'
publi,her, The Eleclruchemlcdl Society, Inc I
Etchant for I3SG hav.: b.:.:n r,:pllrtcd lilal arc p.lIlll[1
larly selective with to the B t.:onl.:nIIIIX. 1%, Il}I). 2111
these are useful III analytical and applll'dIIUII' Ikn,i/Il'''"''11
by thermal treatmenb lowers the etch ral.: of BS(, III "II eldldlll, II'JI,
19M, 199,212].
c. Arsenosilicutes. Chemically ar'':lIo,illl'dll: gl""c'
(AsSG), used as diffusion in deVIl'': l.:dlllUlogy. c;ln he
pattern etched readily in BHF [200, 213). Th.: ell'il I'd!\:' 1l1('VI) :\,\(,
films densified at llOOQC in Ar increase logarithmil'ally ano IilllilOlllnll'all)
with increasing AS20 3 content from 0 to H mole I;; :\'i ),1, a, ,h()wlI III
Fig. 5, with a nearly twofold increase III the ett.:h r;ll.: mcr lhi, UlIll'':1I
tration range [196, 214 J. The incorporation of in lhl: fhS(, ,I [[[l'1111 e
during CVD, used to improve the l'il'lladelbllc,. en
hances the etch rate of the film [215 J.
d. A/ufl/illo.lilic(ltl's. Chemically ,ilLIIIlIl\I),dll'dll' b
l
.. "
(AISG) films are abo ett.:hable in H F and III B/I F II h 7. I II')" 2 I I..
420
l
WERNER KERN AND CHERYL A. DECKERT
1500r,----,r--,---,-----y
;1000
i
:!
...
:..
Ill:
J
l
0
__-L----L_ L_ _
I 2

WOlt % As! 0, IN GLASS
Fig. 5. Etch rates al 26"": loe of heat-Ireated arsenosilicatc gla" films in BHf versus
mole percent As...Q, in the glass. Etching Mllutions were prepared as noted in Fig. 4_ After 5
hr at Ilooe in Ar: 0,1000/0 buffered Hf; to. 50% buffered HF; . 100/0 huffered Hf; . 1%
buffered HF (from Tenney and Ghezzo 11%1. reprinted by permiion of the publisher. The
Electrochemical SocielY. Inc).
217]. The incorporation of AltO;J into the glass structure tends to decrease
the P-etch rate of the AISG, whereas the addition of PbO increases it in
comparison with Si0
2
[16]. High-temperature CVD films containing more
than AI
2
0
a
are resistant to HF but are etchable in hot HaPO, similar
to AI
2
0
a
.
e. Other Silicates alld Oxides. Additional binary and ternary silicate
glass films synthesized by CVD bdow 500C are all etchable in aqueous
HF solutions, and include zinc silicates, zinc borosilicates, alumino
borosilicates, aluminophosphosilicates, lead silicates. and lead borosili
cates [190, 191, 199J. Chemically vapor-deposited AltO:! containing sev
eral percent Ta.JOs becomes amorphous and etchable with HF or BHF
(218). Germanosilicates are etchable in BHF.
Anodically grown native oxide films on GaAs are readily etched in di
lute HCI solutions. Films heat treated at 600C become unetchable in
Hel, HN0
3
NH.OH, or NaOH solutions, but they can be etched in hot
concentrated HaPO. [2191 or concentrated HF.
Plasma-grown oxide films on GaAs are practically insoluble in acids
and alkalis except boiling HCI (50-80 A/min) [220). Plasma-grown
oxides of complex composition on GaAsu.tiP
o
, and GaP, on the other
hand. arc easily soluble in acids amI alkalis! 220J.
- ._------------
f
)
v-I. CIH-MKAL ETUllNG -I I
4. Mliltic()llIpollt'1I1 Silinlft' Gla.\.\c,1
Lileralllre rden:nt:es on etching of llllllticompoll<:llt "ili":<lt<: gld"<:'
are very st:ant and are mostly cont:erned wllh ..:hemical durahility and "Ill
wsion effects 1221, 222J. The essential component in all gla" .:1 ... 11
ants is HF. In general, addition of 13
2
0
3
ZnO, and PhO to the SiO
t
lIel
work im:reases the etch rate, whereas incorporatlllg AI,O, hd' ..
de!.:reasing effect and leads to improved ..:hcmical n;"htallL:': of the glil\\
[2221.
Certain glass compositions reqllire th<: additIOn of eompicxlIlg ag,'lIh
to minimize precipitation of insoluble metal iluoride n:actants Ihat Ill.!,,,
and hinder smooth etching. Agitation, important III all <:tching proc<:"c,_
may therefore prove particularly important in attainillg good lIllifolllll!)
Ultrasonic treatment during ett:hing can aho be useful. A...ld,tabk '111
factants funuion.ing at low pH can be added to tilL' <:Ichanl tn <:Ilh<lll":
wetting characteristics and, in the ..:ase ofpatkrn <:tdllllg, fUrlh<:r impml<:
pattern resolution. Additlllll of HCI and H,S04 to aqU ...'Ulh 11/: .... khanl L';tll
convert insoluble fluorides into solllhk salts I 1
Some silicate glasses wilh very high Pb content ar.... 'lllllhk ill "'Ill<:"11'o
H NO., /2241. A reagent containing !.:<ltedwl and cthylell<:lliailline t .... lliI;\l1'
tic acid etches soda-Iim<: glass at a uniforlll rate of 10- 15 IlllL:rfel,'lll"
fringes per hour I.
Etch rates in P-et .... h of fused BSU and Pb-llSG lilllls have heell I,'
ported [118, 2261. Some lead have et .... h ralc, In I'-el<..' h lip ("
SOU A/se..:. as .... ompared to Corning 7740 Pyrex gla'o\ wilh II A/we. alld
thermal SiO, with A/sc..: [2261. Etch have alSll heelll<:pllltcd III I II
sputtered Corning code 172U AISG [2271 and (Jenelal lle.... l.ic (lSC' 1 BS( i
[22HI.
Most sili..:att: glasses arc slowly <Lltac"ed by hot ( ",po,.
for example. ct..:hes al a rate 01'0.05 /-Allli mill at I 'iW( 'I :>:"11 Pyn:x glil\\
also et..:hed slowly by hot 9H% H"SO, 11,0, ( 1 . II. alld I,} h"l Cllii
centrated solutions of NaOH or KOH 1791.
Etch rates of several types of glasses in the forlll "r pld"" .... 11' nil
tained in our laboratory 1791; it summary of thl' Ic,ull'> " pI <:,<:lltcd III
Table II (Section lV.AI.
5. Nitrides ami
Silicon nitride in thc form of thin lilms is uf grcal pla,-llc,1I
in semicondUl:tor electronics because of lis ctlcctlVetle\S ii' ilil al"ali dil
fusion barrier. Chemi!.:ally vapor-deposited Si,N, hlllh .lIe deil;d,,," al
room temperature in concentrated IIF or Bill-', III IU'(), at 140--:'00 (.
in 49'/? H F -70% H NO, (3: 10) at 70"C, and in molt<:1l N a011 at 4)0 ( I 14.
T
422 WERNER KERN AND CHERYL A. DECKERT
230). The etch nile is strongly affected by the presence of any oxygen
linkages in the films; in HF and BHF it increases with increasing oxygen
content, while in it decreases.
The dissolution process for CVD films in acidic fluoride media
follows the same rate law as does thermal Si0
2
l23
R =A[HF] + B(HFil + C,
whereR is in angstroms per minute and the concentrations are molar. The
rate constants for the dissolution processes are summarized in the accom
panying tabulation.
Film Temp,oC A B C
Si.N. 25 0.16 0,31 <0,0001
60 1.9 3.7 - 0,02
Sio. 25 2,50 9.66 0,14
60 10.4 48.6 102
Pattern etching of films is usually carried out by reflux boiling of
85% HaPO. at 180C, with CVD SiOi as an etch mask. The etch rate under
these conditions is typically 100 A/min for CVD SiaN., but only 0-25
A/min for the CVD Si0
2
etch mask and 3 A/min for any exposed single
crystal Si [232]. It should be noted, in this connection, that boiling
must be treated with special care because of its complex chemistry [232.
233J. Etch rate plots as a function of temperature and H
3
P04 concentra
tion are presented in Fig. 61232].
Equal or similar etch rates for SiOi and are required in applica
tions where the etched composite structure must have patterned walls with
uniform taper angle. Hydrogen fluoride-water mixtures of optimal ratio
at elevated temperature can etch composite layers of SiaN. and Si0
2
at an
equal rate lllO, III J, A 0.25 wt % HF solution at 90
D
C etches thermally
grown Si0
2
and typical CVD SiaN. films at an equal rate of 70 A/min
[234]. A 0.20 wt% HF solution at 90C etches SiO, at 45 A/min and SiaN.
at 60 A/min; a near-unity etch rate ratio is generally preferable for film pat
terning of this type [234J. Comparison of activation energies for Si3N4 and
Si0
2
dissolution processes suggests [231] that achievement of equal etch
rates is also facilitated by use of low pH etchants, which maximize [HF]
and minimize [HFi].
Films of "silicon nitride" deposited by plasma-enhanced CVD at low
temperature [235] have very much higher etch rates than high-tempera
ture CVD SiaN. [137, 146. 147, 236-240]. These rates depend strongly
upon the film composition, which may be expressed as SirNwH. [235]. It
has been suggested that these plasma silicon nitride films should be re
-l \ \
v-I. CHEMICAL I:TCHIN(;
2
\:
loor
\
\
8 \
6 \
\
41- \ \ "0.. S',N.
\
\
\0
\
\
\
"
...
E
\
.",
10 . \
\ S"N. AT CONST CONe Of w
I- 8
.. \ 94::. ... H,f>O.
'"' 6
:x:
<.)
I-
w 4
\" \
S,O. (660'C S, CI. +0t + H.I
2
__I
26 f('K")l< 10'
94.5 93 91.5 895 81 83,5 19.5 CONCE"'TRATION ("'H,PO.)
Fig, 6. Solid linc" elch ralc of SI,N" SIO" and Si III [diu,"" h.,dlll); pil'"l'iI''' k .h hi ,il
pre\:-.un..: a fUIKIlOn ofhoiling lCmpl,..'ratl.lfl' and .iI.!t! ((lIh.TOII,ltWIl I)" ... hl..'d
line. etch raie l)f at a com.:entratiun 1)1',)4 )(''t .I'" d jlllldlOIl tllll.'IIIPU,l
lure only (from Van and liall,,,r 1232). repnnled by permi"I"" "I Ill" puh",h", I h,'
ElCLlroo.:hemICal Soo,:,ely, Inci
gan.led as a polysilaLane with unique i'<lthel Ihdl1 ;1' ;, val Il'l \ "I
silicon nitride 12401.
Sili.:on nitride deposited by te..:hniqu..:, "hi) hill,' 11,'
quently lower et.:h rates than Si,N I if they are kss d..: II ,e , I,ll gc'
quantities of gases. and luI' nonsllli.:hiollll.!tl'li':,
A very extensive review uf the IIkrature on d.:i1ing d"ta or Si, N j ;lIld
silicon oxynitrides was prepared by Mikk 12301. Mor..: le..:ent resulh ul
etching have been report;:d llll Si" NI prepared hy ev J) 1110, I [ I ,
241-243], by sputtering te.:hniques [244 -2461. ,Ind 1'01 anodi..: ":UII"":"'1<1I1
of Si,N. to [247
,
,
KERN AND CHERYL A. DI:CKERT
Thl! elch rall! 01 !>11l..:un <JA) 1IIlIh.lI: 01 lilt: generi:1ll1JnTIUI...
is strongly influenced by the conditions and by film den!>ity and
stoichiometry 12301. Typical ett.:h rates for CVD Si.,-NUO" films (prepared
from SiH NH
3
, and NO) in 48% HF are 300-500 A/min, as compan:d
with 30.000-50,000 A/min for and 130-150 A/min for in tWc
HJPO. at l80
a
C the etch rates are 10-100 A/min (or Si".NuO" 8-10 A/min
for SiO
z
, and 60-100 A/min for 1248). More recently, some addl'
tional data have been published f 249], including data for glow-dist.:hargc
deposited films 1147].
Other nitrides for which etching data are available include CVD amur
phous films that rapidly dissolve in concentrated HF, HNO", and
hot HJPO. [250, 250al. Aluminum nitride, BN, and GaN and have been
included in Section III.B.4 on compound semiconductors.
B. Semiconductors
J. Elemental Semiconductors
Silicon is by far the most important elemental semicondut.:tor
of its widespread use in modern microelectronic and photovoltaic
Another elemental semiconductor, germanium, has be.:ome of lesser im
portance. Because of their similarities many of the etching processcs can
be applied to both. The discussion of Si and Ge etching shall be kept 'ier)
brief because of space limitations. A more detailed presentation has been
published elsewhere [27a). Specific details on etchant t.:omposition. et..:h
ing conditions, etch rates, and applications are listed in thc tables of &,;
tion IV.C.
Selenium is a group VI elcmental semiconductor for whit.:h very lillie
information on etching ex.ists. However, all three forms of Sc. are sulubk
in HzSO . In addition, the amorphous modifications are soluble ahu In
CSt. the monoclinic form in HN0
3
, and the hex.agonal crystal form In
CHCI
3

The discussion of elemental semiconductor etching that follows is t.:un


cerned ex.c1usively with Si and Ge.
a. bmtropic Liquid Etching. Isotropic chemical etching of semicondu..:
tors in liquid reagents is the most widely used etching prol:ess for remu .aJ
of work-damaged surfaces, for creating structures or planar surfaces In
single-crystal slices. and for pallerning deposited semiconductor
For Si, etchants containing HF, HNO
a
, and H
2
0 are most frequentl)
used; Ge etchants based on HF. H
2
0
Z
' and H
2
0 are typical. Extcn,i.c:
!>tudies have been reported on the mechanism ofSi etching in HF-HNO,
)
.j ) ,
v-I. CHEMICAl. [ICIllN(i
HF (49
50
40
30
H
2
0 90 80 70 60 50 40 30 20 10 HN0, (b'l %)
fig. 7. Curvc\ of ton!',ltwt fate of I.:hangt:' of die loi(klll..'''''' (I1ul" I'd 1IIIIliltlc' >wUllllllllt.:d
I""U Si ""arcr d\ a fun..:tion of i,.'olllpo\uillll, III till' ,..Pi'; ffJ 70'; Jfl\.t
,),Iem (from SchwurlJ. und I{oholn, [256). repnnkJ by perm",,,,,, "I II.c puhl"hc. I Ill'
S''''lcI y, Inc L
1,2521, in ternary mixtures of HF-HNO:,-HtO, ami in .HjU":OIl\
HF-HNO,,-CHaCOOH compositions 1253 -2561. In high III dd}anh III..:
HNO
a
(ofll.:cntration ddcrmincs the ett.:h rate bCC<lll\": (l.\llbIIOn I, III..:
rate-limiting step. In high-HNO
a
the etch 1<11..:, alc a tUllc
lIuI1 of only the H F becallsl; in this case dissolution is til..: (all'lillli [(Ilg pi"
1253]. In Figs. 7 and i\ iSllctch rate o!!l' ,hUWIl lor III,
HF
b(),
20 iO HNO\i'l(J4b"t..)
fig. 8. Curve!:lo of rate of I.:hunge of dH.': thil..'kIH.. PI,,'I HHUlil",' dHnhlllL'd
1"'0 SI wafer ,urfa,e,) a, a fl'nd;on of eldlanl ,ompo,Jiwn.o Ihc' (.0'; III' 9(1'; IIN() '>'
lem. the dYed of added ,,,taly'l (NaNO,) is ,ho.... n '" Ihe d",heu IlIIe\ (110m Selman, ,Hld
RobbinS 1256], reprinted hy permi,sion of Ihe pub!"her. The Ekelr(J,"clI",',,! S"c'lel)
426 WlRNlR KERN AND CHERYL A. DECKlRI
t1f(4925%)
O
PEAKED CORNERS
a EDGES
rn!!I SQUARE CORNERS
Ii:i;I a EDGES
rn
ROUNDED CORNER
a EDGES
t1
Z
0
Fig. 9. Resultant geometry of the etched Si die as a function of the etthant comp<hHlUO
in the 48% HF-70% HNO:. system (from Schwartz and Robbins [256], reprinted by perm ..
sion of the publisher. The Electrochemical Sodety. Inc.).
HN0
3
-H
1
0 in normal and high concentration acids. The resulting ge:om
etry effects on initially rectangular (I I I)-plane parallelepipeds (II-type:.
2n-cm dice) are indicated in Figs. 9 and 10 for the same elcnants. The: ac
tivation energies for both Si and Ge in HF-HNOa-CHaCOOH eh.:hant
confirmed that the processes are diffusion controlled [257]. Silicon is aho
soluble. to a very small extent, in HF solutions and BHF [258-260J. 11.0'
Hf(59n%1
o PEAKED EDGES a
CORNERS
. SQUARE EDGES a
O CORNERS
m
ROUNDED EDGES
a CORNERS
O
CONI/EX LENS
. SHAPED
H2 0
Fig. 19. itesuJtant geometry of the etched Si die as a function of the etc:hant wmp.., ..I"",
IR the 6O'JI) HF-9O% HNo" system (from Schwanz and Robbins [2561. reprinted b) pel"u>
sion of the publisher. The Electrochemical Society, Inc.j.
,.,-,
v-I. CHEf'ileAl EICHIN(, ,",.1
tropic liquid etching has been used for thinning tlf tie ami Si 1261
266]. for prepassivation surface cleanup 12671. and fnr poli,hlflg 126X-2791.
Germanium etchants based nn HNO,,-HF-Il,O 01 HNO,,-lIF
CH
3
COOH are difficult to control, mainly hccau,e III varlahk
periods [280-2841. The -H/) etch system alTolo, mudl hell<:1
control !280, 284,2851. Removal of thin layers Cie can aC(llrnplished
with 3% H,O, at pH 3.8[286]. Additional iSHlropic ckhanb I ::!X7 -2<).'\ I Ill(
Gc: have been included in Table IV of Section IV.
b. Allisotropic LicluiJ /:'tchitlf{. In anislltmpi.: or orientaliolHJepcmkll1
etching, the etch rate varies within the crY,lallllglilphic .lile<.:
tions of the semiconductor single nyslal. Orientation dln:h have been
allributed III crystallographic pmpertie" parti,ulady Ihi.: denSity of ,til
face free bonds, the relative etch rate IIlcrea,ing wilh Ihe numher of avail
able free bonds 18,.296-2981.
Anisotropic liqlliu e!chants for Si arc 1I,1Ially ,11"alill .... ,uiulillll., l"ed <II
elevated temperature 121. 124, 121 The e"enlial kdlilfe lor ,ilicun
technology of all these solutions is their lip to 100 time' IlIgh<:l el .... h ratt: til
the ( 100) uirection than in the <II t) direction 1J<n, 10..) I hll i.:),.;lIl1pk ill
the case of the water-ethylenediamine-pyn.H:atechol etch'lIlt. the i.:l<.;h
rales of (100). (110), and (III) oriented Si are appr"xlIllilIL'lj- . .lli. \
p.m/hr. respectively !J Ill. Anisotropic etchin or (100) SI through " p;t1
temed SiO, mask creates precise V grooves with Ih.... i.:ug.... " heing I II II
planes, at an angle of 54.r rmlll the (IOU) ,lIrt'ace I'll'krcllIi.d etcilllig
allows fabrication of high-ucnsity monolithic imegratt:d c'lrc'Ulh 10 ..1\1.
3141. Si-on-"apphire integrateu I26X. j051. altd ,)lllel SI dnicn
that require structuring and patterning 1311 , 315 -3::!OI. i\lmlllloplC ek h
of Ge I'm crystallographic studies has been reporled 13211
(". Electrochemical (llld Selective Chellllcul Ercililll:l. Fkliillg ot" 'ellllLllll
ductms in liquid reagents by application o/" an extallal emf i, 1I.,ed Illi
preparing mirrorlike surfaces and creating very thin 'Ingk Ct y,l.d tilin,
Fundamental, theoretical, and practical aspects of semlcondllctor ekcllll
chemistry have been thoroughly treated in ,everal b"o"" and reVll'V'
130-37,39-41].
Specific shapes can be imparted to Ge and Si ,ulltl"lllled
localized electrochemical etching 1322-3:!41, uf (J I.: allli
Si has been achieved by several techniques 1325-32XI
Selective electrochemical etching of single-crystal Si ,ub,lIak." hdV
ing suitable epitaxial structures has been empillyed fllr preparing very thin
Si crystals [269,329-336], P-type and heavily dllpeu Illypl' SI call hi.:
dissolved anodically in dilute (1-5 tv) HF al sufticiently In .... voltage,
.,.,hereas II-type Si does not dissolve. Selective "I
f

WERNER KERN AND CHERYL A. DECKERI
n' -type Si substrates is also possible with alkali solutions in which Ihe:
etch rates are strongly dependent on the electrode potentials [303, 337.
338].
Anodic oxidation of Si in electrolyte solutions based on organic mel"a
{339], followed by oxide dissolution, has been described for sectioning 10
the determination of Si diffusion profiles {340J. Objects in contact with the
Si surface can either slow down or enhance the local etch rate consider
ably [34l}. Substrate and etching conditions in the anodic dissolution of Si
in aqueous HF can lead to brown layers, etch pits, and porous channel)
1269,322,328,329,331-333,337.342-344] caused by preferential etching
and partial dissolution at localized sites (251, 331-333].
films of porous Sit formed purposely from n- and p-type Si by anodic reac
tion in concentrated HF 1329, 344-346], are very similar or identical to
these brown channeled layers.
Selective etching to dissolve Si of different dopant types and re!>i!>ti\i
ties can also be achieved by chemical technique without use of external
electrodes [263.268.304.347-3581. exemplified by Fig. II and the dat.a
presented in Table VI of Section IV.
d. Gas- and Vapor-Phase Etching. Gas- and vapor-phase etching ale
widely used for polishing of Si substrate wafers in situ prior to epitaxl.d
crystal growth. The most successful reagent is sulfur hexafluoride. Sf,.
It produces a smooth, mirrorlike surface when reacted in a dilution v.ith
HI at 950C [359) or (more usually) above I050C. according to the overall
reaction [360]:
4 Si (.) + 2 SF, (gl -+ SiS-, (!> or l) + 3 SiFt (8)
1 I==PRfFfHp::J
l:
..
....
...
o
l:
u
....
w
I I
--r '.
1 )( 10
11
, )( 10'8 , )( 10'9 , )( 10
20
BORON CONCENTRATION
!'i,. II. Selective etching of .ilicon: Si (100) etch rate per minute ver>u. boron cuo,cn
tralion. Etch"nl i. KOH-H,O-i>opropyl alcohol at sire (from Kuhn an.J Itll
(34!1). reprinted by of the publisher, The Electrochemical Society. Inc.).
.j
v I. CHEMICAl. ETCHING
Since the free energy of the reaction is 706.l:l1 10.<.:,11/111<)1.: at 140W('
[361], the etching proceeds and irrevcl'lhly. pludu<.:ing
volatile sulfides and fluorides of 5i as the readioll PWdll<.:h 13hOI. The
etch rate as a function of temperature for O. I SF" i, ,tWWII in
and as a function of SF" partial pressure in Fig. 1.1 1.1021. The
of SF
6
over other reagents lie in the non<.:orro,ivellc", 1l0lllOXlcity, and
low temperature needed, in :.Jdditiun to the cxcellent pl;ulanly attainahle
which makes the process applicable for waleI' thtnllillg.
Other vapor etchants used fur polishing Si arc IICI I \IlJ .nO \, HBI
[365[, HI [371.3721. CI2 [373], H
2
S [1741. HI-HI' In.'' .l7hl, and 11,0
[377,3781.
In general. gas- and vapor-phase eteillng is not depelllkill 011 the le,l,
tivity level or type of the Si. Condition, call be ,elected Jlt the tI('J -tl,
etching system that -result in anisotropic etching of vam>!I' cl st;1I plalles
al difTerent rates; this has been exploitcd lechnolnglcally III ,ei.:clivl'
taxy 1367,309,370], as noted in Section IV, Table VII.
Similar to Si, (IIIJ-Ge can be puli,h et<.:hed With IICI, III
H,. H,5-H, !3t\01. Superior results arc (lbt,linahle with II: -II,() vapul "t
'JOO"C which produces clean, structurc!es, surfaces 11XOI.
TCMPEI,Ai Ufll
121>":/ 'lIN
.!:
:;
..

1,.'l1tv'
,;
u
' ..
:
>- ""
(ii
1
J
""
Fig. 12 ""1: IJ
FIg, 12, Vdpnf uf SI J.th: \l'j '1\1\ \Ulhll,II,: h.:t1Ij\t.'!,llWl' I'll
.. of 10 .1 dun (fl Stln"ofl t'l al" 1,1621, ICpl Inkd h) p.:rllll",,>jull 01 lilt.' pubh"hLI
rh( Ek';\Il)chcmicJI SOCl"t y. Inc!
fig. J3. Vapor phase eh:hing DI \dl(on: Sf ch.:h rak Vel 'Ill") SFji p'lillJtI pi t'",\111 C ,d IUt)O (
,trum Sun"," ,'I ul. /1621, perm""'''1 01 the puhlhhc, I he l.icd,uclicllllc
StJt.:1(ly. Inc.).
430 WERNER KERN AND CHERYL A. DECKERl
e. Chemical-Mechanical Polishing. Polishing by combined chemical
and mechanical processes is usually the last step in preparing flat and spec
ular wafers in silicon device manufacture. The generally preferred tech
nique is the silica-sol (Syton [381]) method [382, 383]. The medium con
sists of a colloidal suspension of silica gel in aqueous NaOH solution of
controlled pH and is dispensed on the polishing pad of a rotating poli!>hing
machine. Silicon removal proceeds by oxidation of the surface by water in
the presence of the alkali ions and continuous dissolution of the surface
oxide, aided by the silica gel which serves as a mild abrasive [383-31561.
The process can also be used for polishing Ge wafers, but H
2
0
2
be
added to the dispersion to achieve a smooth surface finish [3153].
Another process for 5i employs an aqueous solution containing copper
and fluoride ions [387, 388]. The Cu+
2
ions are reduced by Si to metallic
Cu, and Si is oxidized to Si". The Cu deposit on the wafer surface re
moved by a polishing cloth, while the oxidized Si dissolves as fluosilicate.
Mechanical polishing without use of abrasive particles can be com
bined with liquid chemical etching by moving the semiconductor wafer
with uniform pressure on a polishing cloth soaked with the etchantliquid.
This technique has been used for Ge [389] and GaAs [390, 391].
Several reviews are available on semiconductor slicing, lapping, an"
polishing, and the damage introduced by these operations [5, 3!52-3H5.
387, 392-394).
2. Compound Semiconductors
Several reviews are available on etching of compound semiconductor,.
[2,5,7.10,13,19-23, 43a); a very recent survey [27a] was prepared in con
junction with this chapter to complement the tables in Section lV.D. We
shall therefore only outline this section, and present the details later 10
Tables VlIl-XIII.
a. Group IV Compound Semiconductors. The only group IV compoulki
semiconductor of technical importance is silicon carbide (SiC). Etchanl\
consist of molten alkalis or borax [395-397 j, Cla-Oa at 900C and aJ:x.l\e
[396, 398J, and H2 above I 600C (399J (Table VIII). Electrolytic etching III
HF solution is specific for p-type SiC [397, 400].
b. Group III-V Compound Semiconductors. The single most impor'
tant compound semiconductor is single-crystal gallium arsenide
Etching reactions of this, as well as other compound semiconductor" 4f(,
complicated because of crystallographic surface orientation elTe.:t
Chemical etching of GaAs (and other III-V and II - V I compound l>Cml
conductors) proceeds by oxidation-reduction-complexing a.Jl4I.
ogous, in principle. to the general mechanism for Si and Ge etching.
)
vI. Clll.MICt\I II CIlI N(, ..HI
The mo,t commonly employed etchanh for (jaA, an: Ih, ('H"UII
-404], NaOH-H,Ot 1405, 4061, H,SO,-H,O,-H,O 1 4()6-40H I, <lnd
NU,OH -H"Ot-HtO 1404, 4091. High-viscosity ct<.:hanh 'lich a,
HCI-HNo., are prderred for chemical polishing 14101. Jet etching aml/ol
rotation on a polishing pad have been used with several et.:hallh f'lI
polishing and thinning 123, 266, 390, 391, 404, 411, 412
Orientation-dependent etching charaderisti.:, of HH,O" - IH,O
IH,SO. were utilized for etching .::hanneb of V<I(iou, geometric, fur
Gunn.. effect logic circuits 14131 and for superlatlice stllictUI es deposited
by molecular beam epitaxy 14141. Gallium an.enidc douhle helt.:rostfuc
ture lasers have been fabricated using a SllHHJthly acting sekctive elcllant
(onsisting of CH"OH-H"PO,-H,O, 14151. Several addiliunal etehal1h
have been used for crystallographi<.:ally preferential eh:hing 14tU. 40K.
4O'J, 416-41!-:1. Older but useful chemical solution clehanh 419 4241
arc: also listed in Section IV. Table IX. It should abo he noted that very
thin ( 100 A) carbon films are imperviolls to C0l11111011 ..:h:ilallts for 111 V
..:ompounds and can therefore be us;:d as eXl.:dlenl P<Ltl<:ru ddillilllll1
masks 14251.
Etching of GaAs can abo be accomplished by e lect 1111; IiI.: leLillllljllCS
10 alkali solutions [426-4301. in HCI-Ht<) 1411 J. Ill' in IINO, !I,014121
etching of GaAs substrates in preparatiull fur ,,:pltax y III
duJes H,-H,O-N, at IOOOC [4331. and HCI in.l II, As alllbi
above 1'170(' [4341. Since this laller process is .:rilil.:;dl) dqH.;nd":lIt
upon the purity of the Hel elchant, AsCI:
l
, which is availabk at Vt;'IY
purity, is an attractive alternate etchanl 1434a J.
Redox solutions of suitable composition and pH l:an dt.:h ,..:ic.:
lively with respel:t to Ga, ... AI .... As, or vice versa 122, 4351.1 he same ht.:
h"vior is displayed by GaP-InGaP and GaP-GaAIAs hcl..:nlStntt.:lIIr..:s.
Other redox systems etch selectively with to the dupal1l type III
these materials. Aqu.:ous redox solutions that are stabk in bllth al.'ld alld
alkaline solutions includ.: 1
2
- KI, K
3
Fe(CN KJ,'.:(CN)6. alld CijH ,(),
C,U,Ot (quinone-hydroquinone). Redox .:tchants thai al": stable uilly III
the acid pH range are FeCI
3
-F.:Cl
z
and C.:(SO')2-Cc( NO"),,.
Gallium phosphide can be solulion etched in the redllX S} sl..:ms Just
noted and in the etchants listed in Tabk X 120.402.436--4461. Sevelal
electrochemical etchants have also been included 1266. 432. 44.1. 447.
+1111.
Etching characteristics of other 111- V compound seflllcunJu;;l')Js tUI
data have been Dublish.:d are Jj sted ill Section I V . Tahle X II!:\. 402.
418.423,449-4651.
. Other Compound St'lflinHlductor.l. Etching f(li II -V
compound semiconductors [162,466-471:11 arc Iisled in lahk XII, Iho,..:
4Y2 WLI<-..IR KIR" ,\,,1) (Hll<\ I \. I)U I<.U{I
for selected other compound and alloy semiconductc 479-5011 are
summarized in Section IV, Tahle XIII.
C. Conductors
Conducting materials may conveniently he divided into two cate
gories: elemental metal., and Many general reference ... for metal
etching arc availahle IlL 14, 15.24.25.29.38,42.44. 51!::! I.
Electrochemical etching procedure;. have heen devi ... ed for virtually
every condul:tor known 129, 5OJI. The material to he ctched i... made the
anode of an electrochemical cell: the etch rate and ,,>urfal:e Illli ... h are deter
mined by the cell vollage. current den ... ity. and cathode material. a... well
as by the etching medium amI other factors inv(llved in l'hemical
processes. The method of potential "can for etching metal ... 158 I involve,
determining the potenllal range I'til' oxidation using electnlchemical
methods, and then ... ubstitliling a chemical reagent of 'iuitable oxidizing
for t he applied voltage.
In the semiconductor device industry. the metal most often involved
in pattern delineation processes i... AI. and acconJmgly. many etchants for
AI have been reported 114. 46. 57. 58. 'i04. 5051.
An assortment of etchanh i., ;lvailable for other important ciemented
metals: eu and Ni 114.15. ,"i06-S091: Au and Ag 114. 15.58. 'i10-5121:
Pd and Pt 114, 5141: Rh 15141: Cr. Mo. and W: 14. l'i. 51 507.
515-5211: Pb and Sn [15.29.5131: Nb and Ta 114. ::!9. 16::'. 5\3,
Ti, Zr, and Hf114, 15.29.507.5241: Mn 1469. 5131: Co and Fe 129,
4691.
Details of etching procedure,,> for these and otha elemental rnetals arc
listed in Section IV. Table X I V: etl:hant!-. for ...evcral i "lpllltant alloy!-. ap
pear in Table XV.
D. Miscellaneous Materials
Included in this category arc mal which may be
etched in various thin film proce!-.ses. bul v.hich do not
one of the categories already di,cu,,,ed: insulator. semiconductor. or con
ductor.
The majority of entri.:s in Se..:tion I V. Table XV I arc oxides of various
metals. Theory and procedures for oxide film disslliution have been re
viewed 15251. Iron oxides [526-52H I are a particularly important example,
as are the garnet materials! 529-53 II. generally mixed llxide,> of rare-earth
elements.
A few nonmetallil: element;, have been included in the miscellaneous
Y I. '- J" .",., \ l
materials categot, a few other materials of known inter.:,,!. lllo'>tly
silicide;; or carbiu<:s the list.
IV. TABLES OF ETCHANTS AND ETCHING CONDITIONS
A, Guide to the Use of Tables
In this Sel:tion w.: have compiled and referenced specific etchan!;, and
conditions used for numerous technically important thin lilm ma
terials and substrate.,. The cry... tallographil: orientatiun and the
type and concentration, or electrical resistivity of semiconductor materials
have been defined where critical. The average etch rate or recommended
of etching is also noted if the information wa'> available. TYPical
are indicated in the la,t column.
The composition, llf concentrated aqueous reagl'nls noted in the
tables arl' civen in the aecomnanvinc tabulation.
RC;1gcnt WI!!; \\iii ';;
HC! 37 fLO
HI-' !'(H,lhydr,llinel M
H.,SO, 'iii NfI,OIl la, NH"I
H ,!'O, X.I N"OIl <)7Imin.
HCIO, 7() KOI! X" larrrtlx.)
HNO, 70 Na
Z
0
2
bodium pl'roxiJl') 'I.i
CIU()()H
Addititlnal definitions arc
EDTA stands for cthylencdiaminetetraal'etic acid.
Aqua regia con\ish of 3 parts hy voillme of IICI pili"> 1 part by vol
ume of HNO".
BHF stands fur buffered HF prepared hy mixing NH,F. H"O. and
HF at appropriate ratios, i.e .. 6 vol NH4F (40(i1} pili ... I vol HF.
The abhreviati(ln Be stands for Baumc, dl',ignation (If ,",olution dl'n
"tty,
Reagent ratios cited arc on a volume basis unless llthl'rWlse "recitied.
All etching temperatures retCr to degrees centigrade and arl' room
temperature, unless otherwise noted.
The liquid diluent is deionized and/or distilled H,O unless otherwise
indil:ated.
Gaseous reagents are pure, concentrated gases unless otherwise noted.
The abbreviation seE stands for saturated calomel electrode.
10
I pJnUIllJOJ)
!<ld 'I
3
!'I) O"H + "ONH
8';j)
(V 31;j)
IE 8'::1)
if)
'''3 :(I)'OS'H + 'Od'H
(1) SII"s U;)IIOW :(j)
;)SP.4
d
'''3 :(1) 'OS'H + 'Od'H
O'H + "OJ;) + ,Od'H
JD'H + 'O'H + HO){
JD'H + 'O'H + HO)l
]HO)l + ;j'HN : tONH + ::IH
(9-( ',8';j) 100"" d H
IV-- I: '58'::1) lOO>!" ;jH
"'I'l
('f'')
('f'J
f'J
{'J
,,/
,'I
,,/
if
iI
iI
('8
(,,)
(''I
iI
I'J
J'J
(, ;/
,1
(',,/
,'I
,,/
,,/
i'l
,'I
J
(1
('1/
( ','I
1'''
1''1
8
iI
iI
I' 'I 'i
(',1')
( 'f',)
I'J
/'')
;j
iI
'I 'I
"'I
'I 'I
(';j
( '8
1'''
'I 'I
iI
J'J
J'J
p
'I
'I
P
iI
iI
J
P
,'I
Ii
'I
!J
p
II
'I
P
P
P
,)
iI
;/
iI
,J
(''I
iI
P
J
y
iI
P
.)
!lOll-IX)!:
lJOOI-Om:
0011-00':
O(16-00i
nOIl-oo!:
UOOS"J '0001
UO'S"J '0001<
0001
00.;>
0001-008


OOQ!

'd",,;) 'OOl>
'Ilnq 10
I"Wl;)ljl '00.; <

,no'lwnwy
,no'lwowy
,no4wowy
,no4wowy
sno4wow v
l;)uodS


,no4wowy
:J,ull:lOu01't
;)UIIl"ISAJ;)
snoljwowy
;)Ume)SAJ;)
sn0'lwowy
,no,!dJowy
JU'Ifl!)SAJ:l
10 snoljwowy
sn04wowy
DS<ld
')S[y
,)S'y
,)S8
,)5d

'Oil
0
'
5
:;
'ou '89
'slue,!,,);) 1;)'1)0
'OS'H 'Od'H ::IH8 ;jH
HO"N
,suoll'puo" pUP. 'S;)IU 4"1;) ',)UP.ljjI3
1')0) dw;))
I""uue 10
DUOI)P. W1Od
;lJnpru)s
'UO!le"Ij'pol't
L)!.Jl.J.J/J.'(J pUC'! fa /PJJU.JD
I ",q-J.
,
.. &l iJil L,":SUtl 4WI)2!h,ISI ,IILU ilJ 1111 III a.jlll! Ht,iJlJIJlll1! 41 2. II' Ik #'411 iii"
........,.
'"
.;J
:..c
'-i
;.jJ
0
<
...l
>
0
Of!
"
Ul
:r
u
0
z
..:
z
Of!
;.jJ
;,;:
Of!
.JJ
Z
Of!
.:J

Co
Co

;:;,.
r
c:
::c -
::J -:;
::0 00006

r :::;
c
-'j
:5
-:>
E

t
"- !i
-:> '"

E j :.. -:>
:i
!,)
1
-:> I :.. r .:!
-:>

6 ;; ;;,


c.
Z r
N c: ""' ::c
-:;
-:>
.,
- E
" -'"
, c:
'"'
r
;:;
-:> if ;:)
c::
1: ....
e
u
'" E -:> :..

::c
."
c.
-5 'C
.c:
r
r
.9
,.
j
Q.
i
'"
;:; "
c
Q.
"
.c:
"..)
c::

a
l.I.. 5 6
w :::::
:

;
'"
;:) ::ll-:: I
,.2
"c: c::
;;
'" 'i .c: "

;; 2$
"'"
::c
<: .c: -:>
VI '5
..
I,
r ;:; '"'
-:> "

;;
"
'"'
r ::J
:: :;
-:> "
"
,g
c
+
+
';;:;
2
r
E
"


(>
o
Q.
" z
:::
::C3
::c
" "" ". u
"
I
5
'"
'"
...J
<:
u
i
u
"8
::c '"
u
u
J:
.3

!> ;;.,
It. "
or, 0
-
N
'"
.r, ... N r- r-
r- =>
'::
r- r. r- <:> r-
"
=>

;.:)
=> i2 :5 :5
'.., ;."
or,
::c S t; ;." "" .... v ;." .... S
r-
-'
;;
:J\
;;;:!
r-
IP13 ,
WnlP;}W ,;}IIU
loq J
'qll'q ,;}IP.J q=>13 p
;}SIMJ;}qlo sS;}lun Pl0;') :;)lnleJ;}dw;}1 lIulq:>13
o
Q
j'd r r ;J
IJH 'jO'H I{ I{ I{
(j
I{
{,,, r {'(j
8 'j 8 'j j'iI J
'ONH
.) iI I{ 'j p
;1 p I{
19 ''''.:!l
(j :I I{
(jJDH
(j
"
I{
(j r /'1{
,1
1.1) I.JH
,1 i! :I if
(II ;}Iqe.ll 1'J
;j
1'iI I{
...
'UJ.jI!I'I\ lIuIIPl3 '
'IIU q;,)13
''1\01 :;}II!J q"13
'qihq ,u;}A q"l] J
'P;}le"!PUI dS!I'I\J;)ql0 ,s;}lun GA,) :poql;}W
;J
J
!'I{
I{
.)
.)
I{
P
I{
:I
p
'!lnq '0001
"Inq '0001<
"lnq '0001<

()O9-00,
ews"ld
OOOI-OOL
OOOI-()()L
Jlpoue '009
ewsp.ld '00>
UOlsn) '0001 <
leUOSI!JI;).1
leuoll"x;}H

JIUIPOUOyt
sno4dlOwy
sno4dJowy
snoqdJowy
snoqdJowy
sn04dlOwy
sno4dJowy
snoljdJowy
'0;)9
'O'qN
"OJH

'H'NrIS
.c;
,.,
.,.
'N'IS
'N'OrIS
S;)Plxo-sY"9
';lle:>111'lll"'"
(lully 1 1 'OS'H 'Od'H :JH8 :JH
Uo,dw:jj ;}Jnl)OJIS l!1u.;)I'!!W
"jueq:J);) J;)q10
HO"N
l"dUU!! Jl) ' UO IlI!.1Y'poW
",UOIlipUOJ pue ";}I!1J 4:Jl;) 'SIUBIPI3 /tUlJllBW10.:l
(Pi/'"'!1UOJ ) I alqll1.
C. Elemental Semiconductors
Table III
Isotropic Uquid Etching, Si
No, Etchant Substrate. etching conditions Application. etch rate. remarks Refs,
2
.. 3
oc '"
-
4
-
f)
7
.r..
.:::

t
9
10
II
1
HF. HNO,. H,O. or
CH,COOH
HF. HNO,. H,O. or
CH,COOH
HF. HNO,
(al 98 HNO,. 2 HF
(b) 98 HNCl" 2 HF
(c) 98 HNO" 2 HF
(d) 91 HNCl,. 9 HF
Ie) 84 HNCl,. 16 HF
(f) 75 HNCl" 25 HF
(g) 66 HNCl,. 34 HF
900 ml HNO,. 95 ml HF. 5 ml
CH,COOH. 14 g NaClO,
3CH,COOH
, UU PI n'nM'
IUUN(), ."V';'), tHt- ....Y',.
One of the followmg
(a) 5HNO,. 3HF. 3CH
3
COOB
(hl 17 ml ethylene diamine.
8 ml H,O. J g pyrocatechol
(Ref JIll
74SHNO, (65%). 10SHF (4oq),
",K'B,COOH (96'J1.
7SHCIO. poq)
One of the follOWing
ta) 9S ml HNO, (6S'J). 5 ml
BF (4W;Y). 1.0 g NaNO,
".,1, 'l,1o'{,
tin 100 HNO,(6S'J).40'H,O.
6HF 14oq)

4 .
50 ml HF, 50 ml CH,COOH,
200 mg KMnO, (freshl
la) BF
(in 4H,O, I HF
I() % ml H,O, 2 ml HF. 4,3 g

108 ml HF and 350 g NH,F per
1000 ml
(! I II Si. ntype 2 flcm: 25.{)" bath. stir,
ring. sample in agitated Teflon basket
(I Ill. (l0!. (110) Si. n-type. 3 fl-cm: Pt
beaker. 0-5()". Pt-mesh sample basket.
agitation
(II!) Si, n-type 0,05-8 fl-cm. p-type 12
78 fl-cm, stirring and sample rotation,
3()"
orlmin
45 rlmin
88 rlmin
88 r/min
88 r/min
88 rlmin
88 r/min
SI wafers; 0,5 liter/min CO, bubble
stream in illumin, Teflon apI',; float
mounted
II and ,HYpe Si: planetary jel polishing
apl"l wuh nozzle al
910 qclC"f",Uft ... em' ; ...,' 1\".. 'aIr

. lnC'r mtn{{):
bubbk >Heam .n .lium,". Tellon appl
wafer rotale, II r ;mlO, on/off 2 1
(100) SI. thICk wafers
For bulk Ihlnning, followed by (hl
For final thinning
S. power device wafers (pnp); elch for
I() >ec
n' and p-Iype Si except high cone. B
doped
poly Si
epi Si (! 00), nand p doped
bulk Si (100), low doped
pol} Si
epi Si (1001. nand [' doped
bulk S, 1100). 10;; doped
1111), (100)' el'l Si. n.[' 2, 10": 1R'
(III) Si. n'lype 2 !I-em:
Soogie-ery stal Si
nt,pe n'cm
plype 0.4 !l-cm
[',Iype ISO,em
! Ternary diagrams of isoetch rale con
tours and resultant geometry versus
composition of etchants: allows selec
tion of optimal cond, for any app!. (see
Figs, 7-10)
Graphs of reaction rales versus I IT, for
several compositions: En ranging from
4 to 20 kcal/mol
General etching: no difference in etch
rates for n. p-. n-p-type
0,25 ILm/min}
0.60 ILmlmin rotation effects
0,92 ILm/ mm
5.0 ILm/min} best control with
JO I'm/min good results using
-20 ILm,lmin <65% HF etchants
50 ILmlmin
For large-area wafer thinning to <25 I'm;
15 I'm/min. uniform 2,5 I'm. inde
pendent of orienl.. or resistivity
blemish-free
For polishinjl and Ihinnina n- and p-type
Si: nonpreferential, smooth surfllce. to
2O,.m IhickM.. : ,.mt...,
...
I-or .m.II.'CJil '\iIwalCf Ihtnnlnw: "" J ",m.
/-LmlmlO. un.form 10 <0,3 I'm
For wafer thooning to 2 I'm
- 25 I'm/min to -5 I'm thickness
,0,8 j.!mimin to 2 I'-m thickness
For prcpass.vation surface etching
)700 A/lo sec: 18 MIl,em H,O nnse
For pallem etching with SiO, mask
I'-m/min: smooth pallern edges
4 I'-m/mln. nonlinear pallern edges
4 I'-m/mlO: nonlinear pattern edges
O,S j.!m, min, smooth pattern edges
0,(, j.!m. mm: nonlinear pattern edges
O,SI'-m min: nonlInear pattern edges
For ep. S. elchlng
-0 2 I'-mJmin
For surface etchoog
OJ() A min
A'moo
()7!( A mm
For cp. S. etchmg
0,4
1
:: 0,{)8 Almin
0.4< 0,{)8 Almin
0.21 ()) A;mm
[256. 253,
254]
[255J
1252]
[264)
[2661

4
12b'l
[263]
1267J
[268]
(269J
1258J

I( .J J
.',
Table III (Continued)
No. Etchant Substrate. etching condition, ApphcatlOn. etch rate. remarb Refs.
13 15HNO,. 5CH,COOH. 2HF Si For general etching [270]
(planar etch)
14 110 ml CH,COOH. 100 ml Si For general etching [9J
HNO,. 50 ml HF, 3 g I,
(iodine etch)
15 30HNO" 20HF, INa,HPO, Si For general etching: produces superior [271]
(2%) surface finish
16 30HNO
J
, 25CH
J
COOH. 20HF. Si For polishing [271]
I Na,HPO, (2%)
17 9HNO
J
, IHF (white etch) Si: 15 sec For polishing [272]
....
....
c
18 14CH,COOH, 10HF, 5HNO
J
Si: 0.5-3 min For pohshing [273]
19 5HNO" 3HF, 3CH,COOH Si: 2-3 min For slow polishing (sfi!(htly preferential [274]
for crystal defects)
20 5HNO" 3HF, 3CH
J
COOH, (III), (100) Si; 2-3 min For fast polishing; 25 I'm/min; ("chemi [274]
O.06Br,; (CP-4) cal polish No.4")
21 10 ml H,O, (33%), 3.7 g NH,F Si For polishing; I !Lm/14 min [275]
22 1000 ml H,O, 100 g NH,F.
2 ml H,O,
Si For pattern etching; low degree of under
cutting of photoresist mask because of
[276]
r""\
nearly neutral pH
23 95HNO
J
.5HF Si wafer attached to Teflon holder; ro- For wafer thinning [277. 278]
tating
24 9HNO"IHF Si: Jet techmque For wafer thinmng microscopy [261]
2'
"'MOB 14<:; I. add NMO('l (4if. I. SI. "oal .. 'I"'comen. Hppro. KO' For ,hce, for electron mIcro, [279[
unlll nt) U
r
C'\'ulu un S. ""Of')
d 11
III. 1m, .u

7. ,

4
Table IV
hotrop" Liquid Etchin!:: Ge

Nl __
Etchant Substrate. etching conditions
Application, etch rate, remarks
Refs.
50 wt o/c HF. 50 wt o/c H,O,
....
...
175 ml H,O, 0-4O/C1. 25 ml
0.2 M KH,PO, containing
12 ml H,PO, per liter
5H,O. IH,O,
4 4H,O. IHF. IH,O, (No.2 etch:
supero.ol etch I
(100). (110). (III) Ge, n-type, 3 fl-cm
preetched; solution used within 0.5
4 hr. 25
0
, agitated
11(0)
(III)
(110)
(III). 11(0). (201) Ge. n-type. high resis
tivity: magnetIc stirrer. Etchanl com
position yields 2.7-30i H,O, of pH 3.8
(111) Ge: 26'
11001.1111) Ge. 1-3 mon
For general etching, and polishing: ori
entation dependent: triaxial composi
tion etch rate given
11.2 I'm/min
211!Lm/min
39.3 I'm/min
For controlled fractional-I'm removal;
etch rate to concentration
and orientatIon a( pH 3.8. 0.02
!Lm!min: senSItive to pH (min. at pH 4)
For general etching
For general etching: slo", to attack pol
ished surfaces. rna, develop etch
figures
[285]
[286]
[287[
[287.288.
289J
(eonrinued)
Table TV (Colftilfu,d)
No Etehan! Suhs!rate. etching condition, Application. etch rate. remark, Refs.
.. ..
5 100 ml H,O, no vol %1. 8 g
NaOH
Ge; 70"
Freshly prepared
After I hr
For controlled etching
.5 ,um/min
1.25 I'mlmin
[290]
6 5HNO,. 3HF. 3CH,COOH
with 0.1)6 Br, (CP4)
(100). (Ill) Ge; 1.5 min for general etch
ing. 2:2 min for polishing
For general etching and polishing; slightly
preferential for crystal defects
[288.291.
292J
.....
.....
'J
7
8
5HNO,. 3HF. 3CH,COOH
(CP4A. CP-6. CP-8)
II ml CH,COOH with 30 mg I,
dissolved. 10 ml HNO,. 5 ml
HF (iodine etch AI
Ge; 2:l-70"; 2-3 min
(100). till) Ge; 4 min
For slow polishing; much slower than
CP4 at 23
For general etching and polishing; better
than CP4 for (100) Ge
1288.293]
(294]
9 INaOCI (10%). IOH,O
9HF.IHNO,
(100). (Ill) Ge; 40".40 min or as required
for thinning
Ge; jet technique
For general etching and thmning
For small-area thinning for electron mi
croscopy
[295]
[261]
0.....
II NaOCI. H,O
Ge; warm. float specimen For thinning slices for electron micros
scopy
[279]
t'1
.,liT
.. ... fig' ..

..
-


U.8
-
-
T.bl<r V
i\ Liquid ElchinR. Si
No Etchant
KOH solutio",
tal
II> I
Ie)
KOH (4 !V)
6KOH t4 !VI. I isopropanol
6KOH 16!V). I isopropanol
..
...
. '-'
50 ml H,O. 15 g KOH. IS ml
isopropanol
100KOH 12 MI. 25 isopropanol
4

KOH solutlOns; hydrazlOe
ta) KOB 13-50'1)
Ihl 60 hydrazine. 40B,O
Hydrazine-H.O, equimolar
Substrate. etching conditions
(100) epi Si. n-type. 0.01-10 ncm; 6\f
P doped -; 1.5 x 10"lem' Si
B doped < 5 x 10"lem' Si
(100) epi Si
1100 bulk Si
poly Si
(1001 Si; 44-4S
C
f)-ohm p-type
(100) Si
70-90'. 510, ma,ked
110', 10 mm. unmasked
SI bu Ik crystaL 12<f
11001 S,
Application. etch rate. remarks Refs.
For pallerning epi Si on sapphire; con (305)
r
siderably lower etch rates wilh p-type
Si. 60 A/sec
27 A/sec diffusion controlled for -;4 !V
KOH
35 A/sec activation controlled for
?5!v KOH
For patterning epi Si on sapphire or
(268)
spinel. B doping>5 x 10"/em' lowers
etch rate
0.9l'mimin
1.1 I'mlmin
0.7l'm/min
For preparing planar recessed SI struc [313]
tures
-, 10 Aisec
tunzlOg and V I!rooving solar cells
I3IIlJ
for V-groove etching Ino texturizingl
for diffuse-reflectivity textunzing
For structural etching in presence of AI:
1296J
SiO, ; 200 I'm/hr 11111 minimum. 0 III
maximum etch rale
(Contlnuf'd j
...
.
Table V (Continued I
No
6
EI,hanl
Hydrazine-H,O
7
(a) 65 hydraztne. 35H,0
(bl 80 hydrazine. 20H,0
17 ml ethylene d,amine. 8 ml
H,O. 3 g pyrocatechol
8 Tetramethylammonium hydrox
ide. or trimethyl2hydroxy
ethyl ammonlUm hydroxide
(0,5 WI %1
9 4 M NH.F-I M. Cu(NO,l,
10 100 g KOH m 100 ml H,O
II 6LI ....,t 'ff H,O. 23.4 .... 1 'h
KOH, 1:1,.' wI '/( iwpn>panol
Sut>Slrale, etching cond,tlOn,
(1001 SI. 3-5 ncmc I()()". reflux
n.p-types: 0.001-100 11cm reSISL: N,
bubbling. reflux. 110" + =I'
(100)
(110)
(Ill)
SIO,
(100). (l11l Si: 80-90"
(100) n Iype
(100) p Type
(Ill) n type
Thermal SiO,
CVD SiO,
n-type S,. 10-100 Ocm: 22"
(100)
(110)
(Ill)
(110) Si. SiO, masked: boiling
(110l moat etching
(III). tlOO) Si bulk
("", d"""d wllh J\ . P. St.. a
!IUO,. do'....d "'11" J\ . P. St>
Applicallon. etch rate. remarh Ref,
For shaping Si bulk and films: higher etch
rate in< 100> direction than along
<III>
[310J
1,6 /-Lm/min: Vgroove patterns
0,7 /-Lm/min: Hatbottom patterns
For shaping of Si films [311J
50/-Lm/hr
30/-Lm/hr
3/-Lm/hr
-200 A/hr. suitahle as etch mask
For alkali-free SI etching
3600 A/min
2300 A/min
163 A/min
3 A/min. suitable as etch mask
7 A/min. suitable as etch mask
[312]
For very high resolution pallerning: an
isotropic displacement etching
0,185 /-Lm/min
0.1l 7 /-L m/min
0.012/-Lm/min
[298]
For vertical deep etching of moats
(110) Si
50 jJm/6 min
in 1301]
For structural etching. independent of
reslSuvity
0.97 I'm/min
0,04 .,mlm,n lhul no! r,>f' 8 d<>f'C'di
[304J
,-".
f_J"rln,( hrmlt uJ und ld,IITltl,. (,h('mi, all:../("hiffK 51
No
HF
Etchant
""
...
5<;( HF
5 wt 'ff HF
4 IHF. IH,SO. 5H,0; freshl}
mixed
Substrale. etching condlllOns
Epi Si. bulk Sic PI eath.. 150 m," iem'.
\'
n-epi Si llcm on n- bulk S,
<0,015 nem
p.epi Si >0,5 f)-em on nepi on n' bulk
Si <0,015 ii-em
(1111. (1001 Si. n.p type: PI gauze cath"
PI wire to n' S,. 10 V. 18-.5 em scp.
darkne"
No; >3 )( 10"jcm' (0,01 Ocm)
N,. 3 x 10" - 2" 1016/cm' 10,01
0" ncmi
'\'" --2 x 1016/em3 1>0.3 O-cml
lV" '>5 ' 10"icm' (>3 11-cml
(/ II L ( 100) Si. n- type. 75-175 ILm thick
best: Ptmesh eath .. PI w,res to n' Si.
I em sep.. darkne", controlled rate of
Si immer"on
fill L 0,0012 Ocm 115 mA/em', 4.3 V
1l111.0,00:lS!l,cm 80mA',m'-5. c\'
(lIl),O,OJ3n'cm 70mA,cm'.5.8\
(1001.0,0035 ncm. 12' mAlem'. 5,' V
(1001.0011 flcm 135mA/cm'.6.3V
p' bulk Si. nep! SL /Ii" 2 x JO'6;cm'- PI
cath .. 0,' V. agitatIOn, n,' darkne"
needed ROmA,cm' a12,,',20(lmA -em'
at 6'
Application. results. remarks
Selective substrates di"olve leaVIng thin
cpi S, films 10,5-20 ftm thick. 10 cm')
n' d"solves leaving n cpi
n- dIssolves anodically. n cpi is etched
off chemically leaving p epi
Preferential etching and thinmng
complete dissolution
partial dissolution decreases with N"
forming brown. porous struclure,
no etching
with ;"'" A cone.
Polishing and thlnmng of n' Sic thinning
of n'n leaving n-epl laye!
optimum conditions for ,elective
smooth thinning Wllhout fOrmlO1'
brown film
Preferenllal etchIng of p' Si ""'1haul for,
matlon of bro.... n film or rough surface
Refs
[329J
,
[269]
13'0]
13:'11)
13331
I( I
Table VI
No. Etchant Substrate, etching conditions Application, results, remarks Refs.
5 5 wI % HF (2.5 N;
6 5%HF
7 Ethylene glycol with 0.04 N
KNO., 2.5% H,O. 1-2
g/liler AI(NO,h 9H,0

8 63.3 wt % H,O, 23.4 wt %
KOH. 13.3 wi % isopropanol
9 KOH (4 NI
(III), (100) Si; Pt-mesh cath.. 2 em sep.,
20", N, bubbling. darkness
n' 0.001-0.01 n-em: 65-150 mA/cml.
3.5-6 V
p 0.01-1 U-cm: 120-160 mA/eml. 4 V
(111). (1l0J.(100) Si.n-,N" > 2 x 10"1
em'; conditions as In Ref. 269
-130 rnA/em'. 10 V. No> 2 x 10"/
em
l
;
<130mA/em'. <IOV.N" > 2 x 10"/
em
3
;
-130 rnA/em'. 10 V. ND 2 x 10'"/
em'
n- and p-type Si: illumination. 2-ll
rnA/em' 90-280 V. 15 -20". wafer
holder. back of high resist. Si metal
hzed
No sIO'/em'. and
N A S 3 x 10"/cm'
(100) Si. B doped. no electrodes. 80"
(100). doped with B. 10"-10"/em'
(100). doped with B. 10"/cm'
(100). doped with B. lOW/em'
(100) epi Si on sapphire: 60"
11 type. 0.001-10 {}cm
p Iyp<". O.OI-IOU-cm
Polishing and thinning n', p Si
optimum polish thinning
optimum polish thinning
Polishing n' S,
bright finish results
brown layer forms
brown. pitted surface resuhs
Analytical sectioning. Anodization fol
lowed by oxide stripping n- p Si
anodization 2.2 A/v (linear).
.ndep. of dopant type and resistivity
For selective electrodeless etching
0.99-0.95 /Lm/min
0.63/Lm/min
o/Lm/min
For etching epi Si on sapphire
!IO Alsec
!IO An..','
(328)
(331)
[340J
o
1304J
1305J
10 8CH,COOH, 3HNO,. IHF Slnglecrystal S,. 11 and p type doped For ""It:ctlve e1ectrodele" etching of n 1351.
with As. P. Sb or B: no electrodes and ptype Si
for resistivities <0.01 O-cm 0.7-3 /Lm/mln
for resistivities >0.068 {l-cm no etching occurs (same for SI,N,)
for SiO, films 0.05/Lm/ min
II 33CH,COOH. 26HNO,. JaF Poly Si: undoped. B doped (9JIr)
For poly-Si etching
(353)
undopcd
-1500 A/mln
,--'
B doped. <0.01 ll-cm
'" 1000 A/min
12 KOH ,oluliom (1001 SI. bulk crystal
For an"otropic etching a, a function of (349J
nand p type
dopant type: R surface roughness/
etch deplh
(()} 50 g KOH. ]00 ml H,O. 85
0
50 ml CH,OH P-. 0.018 norm. 5 x 10" B/cm'
I.Ob /Lm/minj
pO, 35 H-cm. -3 x 10" B/em'
1.38/Lm/min R 0.04
n-. 0.01 n-cm, 4 x 10" Sb/cm'
].38 /Lm/mm
n-, 9.5 H-cm. 5 10" P/cm'
1.38/Lm/min
(hi 50 g I<OH. 100 ml 2propa 80'
noL 50 ml CH,OH p'
0.
80
/L m/minl R
P . n- . n Ias in (a I 0.17
1.04 /Lm/min I
(e) 50 g KOH, ]00 ml Butyl 92<>
cellosolve. 50 ml CH,OH
1.16/Lm/min
1.53 11m mIn
In (01
R 0.14
n' J
/Lm min
n'
1.60 /Lm, min
(d I H ydrazine n
1.10 /Lm mIn
p
1.30 /Lm' min P'}'
0'7
a, In to 1
n- R .- ,
I.OO/Lm min
n
1.23 urn mm
Table VII
Gas and Vapor Phase Etchin?' Si. Ge
No. Etchant Substrate, etching conditions Application, etch rate, remarh Refs.
SF.-H, (Q.006...().Q2 vol % SF,)
2 SF.-H, 00-'-10-' atm SF,)
3 SF.-H, or He (I(}"-Io-' atm
SF.)
--.
4 HCI-H, 1 I .3-6 vol % Hell
HCI-H, (3.5-S.4 mole % HCIl
(111) Si,p type, 0.004. 30 O-cm, B doped
polished and BHF. etched: 950-1100",
7.5-20 liter /min-' H.
950"C. 0.0110/,; SF,. 7.5 liter /mm H,
II000C. 0.(}2';1, SF. 10 liter/min H,
(111) Si, poli5hed; 100" quam
tube reactor, - 100 liter/min H, (-25
em!see linear velocityl'
1050"C. 2 x HI" atm SF.
1050"C, 1 x 10-' atm SF,
II II) Si. p-type. 50 O-cm: 7.5 cm-diame
ter tube reactor. 1060-1100", linear
velocity 100 em/sec H" He
1060', 2 x 10-' aIm SF.
1060', I x 10-' atm SF.
1III) Si. lapped or polished; 1180-1275
c
,
quar1z tube reaclor
127Y. 2% HCI
1275".3% HCI
1275". 56c;;. HCI
EPI Si.n-Iype: quar1l lUbe reactor. 1100
1350"
1125-1350". ).5 mole c;;. HCI
.. mule 'h HCI
11m... I
... ....

/:, HCI-H, i 1.5-5 mole % Hell


HCI-H. 1<% HCIl
Hel 11.5-20 mole %)
9 HBr-H, <1-6 mole % HBn
I() HI-HF-He-H, W.5-2.R >
HI' atm HI. 10-'% HF. Ror:;
He. 21V", H,I
111115. chemlCall) pol"hed. tuhe reac'
lOr 6 liter/min H, 1300 em!mm hnear
velocIty). 1150 1300"
1280'. 1.4 mole c;;. HCI
1280".3.0 mole % Hel
12110'. <.() mole % HCI
11001.11101. till) S .. IISO12()(Y
(III) Si. 1200"
(110) Si. 1200
(100) Si. 1200"
! 100) Si. n-Iype, epi reactor. 1050-1250"
1050' . 20 mole <;i- HCI
II()()'. 20 mole % HCI
1200.20 mole c;;. HCI
1250' . 20 mole <;( HCl
(III) 5,. chemIcal polished: tuhe reacwr.
(, Iller. mm H, em minI. 10.50
1300
126(1 20 mole <;( HBr
1260' ).0 mole % HBr
1160'.5.0 mole <;( HBr
(III J. 11001 S, polished. nand p-Iype,
hIgh and 10" resist.. 10 hler mm t 50
em L 90(1" qUor17 tuhe reactor
1.2 10 - aIm. HI
I.:' ' II!' atm. HI
For in situ polishing pnor to epitaxy: [359]
smooth. reflecting at 950-11oo"C:
rough al 900"C
0.235 I'mi min
0.755 I'm/min
For wafer thinmng and in situ polishing [360]
prior to epitaxy; smooth etching at
'. 2: 1050": preferential -850"C. SiO,
masks severely undercut
0.2 J,Lffi/min
0.9 I'm/min
For in situ polishing prior to epitaxy; [362J
smooth etching at ? 100000C preferen
tial '" 1050", SiO, attacked> 1060" (see
Figs. 12, 13)
0.2I-'m/min
1.0I-'m/min o
For polishing with good control [363J
L5l-'m/mln
3.0 I'm/min
g.O I-'m/min
For etchmg in conjunction with epi Si (3M]
from
1,/l-'m/min
2.3 I'm/min
bta
-

-
1

.._
I
For polishing. independent of resistivity 13M)
and dopant type
I.0l-'m/mln
2 61''''' mm
4.81'''' mm
For onenlallOn-dependent etching in d, [367]
electric isolation
1.48 I'm/min
3.() I'm/min
3.4 I'm/min
For anisotropic etching in epitaxy 1370]
20 I-'m/min, strongly anisotropic
(100)
20 I'm/min
2ll-'m/min
25I-'m/min. weakly ani<olropic (100)
For polishing. superior to HCI no. 6. in 1365]
dependent of resislivlly and dopanl
Iype: only IT'oderate temp. dependence
1.0 I'm/min
1.8 I'm/min
4.0 I'm/min
For lo,,-temperature polishing: n() dlf (375. 376J
ference helween n./Hypes: It''''. hIgh
reSIstlVll}. 11111. 11()(11
o 12 I'm mm smlxHh
0.40 I'm mm. stepped atm HI
IC"'llnUt'd I
II
Table VII (ConJinlltd)

No. Etchant
CI,-He (0.2% Cl,)
12 H,S-H,. H,O-H,. HCI-H,
J3 HCI-H, (15% HCI)
14 HI-H,
15 H,-H,O
Substrate. etching conditions
(Ill) Si. low resist. p-type. polished:
quartz tube reactor. 1000-1100"
1000"
1040"
1100"
(1IBSi. polished: horiz. reactor. 100hter,'
min H, 1=25 cm/secl. 2: 1100-1200".
1200". H,S, x 10-
3
atm
1200". H,O. 1.3 x Itr' aim
1200", HCI, 1.0 x Itr' atm
(III) Ge, no, Po, pO_type. precleaned
quanz tube reactor, 820" --830":
I 1.4 liter/min HCI-H" I 10 sec
12.2 liter/min HCI-H" 110 sec
(211) Ge. p-type 0.01 !I-em: preetched
with NaOCI soln.; 36 mm i.d. quartz
tube reactor, 911, I,
140 cm/min linear velocity
700 em/min linear velocity
(11),(110). (1001 Ge,n-andp-type, pre
etched with I, etch; 2.5 and 5.S-em i.d.
quartz tube reactors. 900".26 Torr H,O
partial pressure. 4 liter/min H,-H,O.
30 mlO
Application. etch rate. remarks Refs.
For rapid polishing: smooth finish be
tween 1000-1100" and", 1% CI, . Pref
erential < 1000"
0.73 j.Lm/min
0.87 j.Lm/min
1.0 j.Lm/min
For >ery rapid polishing. Faster and
smoother than H,O or HCI
15.1 j.Lm/min
0.071 j.Lm/min
0.183 j.Lm/min
For polish etching (Ill) Ge; etch rate
indep. of temp. >800": mirror bright.
optically flat surface; (100) Ge dev.
square pits
5 I'm/1I0 see
J3 j.Lm/ 110 sec
For polish etching (211) Ge; temperature
most critical for smoothness
23 mg/5 min for 1.39 em'
39 mg/5 min for 1.39 em'
For polish etching; clean, structureless
surfaces: large exeess H, (but not Ar)
impedes etch rate: superior to H,-H,S
under similar conditions
[373]
(374J
[379J
[371]
o
[380]
.,m tim$[ III'. III 1...Wlr TI' ,III. 1I'.Sf' If 1lfl IU. I J .' 1 ' 1.1._ .' ...f
'-

D. Compound Semiconductors
Table VIII
Group IV Compound SemlconducfOrs: SiC
No Etchan!
KOH or NaOH
Na., 0,
....
4
Na.,O2B,O,IOH,O (borax)
H,
26';; O,-ot;( CI, in Ar
Substrate. etching c<mditions
SiC: at >600", 900' for 2 min
SiC: fusion between 350" and 900"
500"
900"
S,C: fusion at I 000" for 2 mtn
S,c' hexagonal; horiz. quartz lUbe fur
nace. gas-phase. 2.5 liter/min (8.5
em/sec linear velOCIty)
1600"
1650'
1700
1750'
/:l-SiC crystal. 900'
Solution-grown cry'tah
EpI crystals. undored
Applications, etch rate, remarks Refs.

General etching [395]
General etching: rapid etching at the higher tem [395]
perature
0.1 mg/cm'min
J
General etchtng: excess borax removed with
[395J
NaOH soln
Preparing smooth SiC crystal surfaces, nonpref [399J
erential etch
Face A: 0.) j.Lm/min: Face B: 0.2.' ;.tmlmin
1.5;.tm 'mln: 0.8 j.Lm/min
;.tm mIn. 0.8 j.Lm/min
4 j.Lm.mln: 2 Jim/min
Pat!em etching {:iSiC: similar to a-SiC, (I I I Iface
smooth but with etch pits. (III i ;3%J
face no pHS. thermal oxide etch mask
03-0.5 j.Lm/min
002 ;.tmmlO
Table IX
Graul' ffl-V Compound Semiconductors' GaA.'
Substrate. etching cond,tions
Application. eteh rate. remarks Refs.
No. Etchant


Fast etching. - 3 I'm/min
[406J
4H,SO. IH,D,. IH,O
(100) GaAs: 50"
Slow etching. 0.2 I'm/min [406J
2
(I M): tH.O.(0.76M) (100) GaAs: 30"
(100), () Ii )GaAs, Cr doped: rotating
Jet polishing. nonpreferential. -8 I'm/min: [266]
28r, . 98CH,OH
slices. jet nozzle
smooth. flat
(100). (1)), Ii jj)GaAs: rotating slices Planar polishing. nonpreferential, -18 I'm/hr [404]
4 700H,O,. INH.OH (29.5%)
on polishing pad
POOl. {l1l)A. {111)8 GaAs: freely rotat
Planar polishing. nonpreferential. - 25 I'm/3 hr [39O.412J ()
5 .!OH,O" INaOC!
ing sUees on polishing pad
;,""0
GaAs; 60". polishing pad
Planar polishing. - I IJ.m/5 min [412]
SA 3H,SO., IH,O, (33%). IH,O
{III} GaAs. 0.13 fl-cm. n-type High polishing. 0.37 mg/Crtr-mlO [410J
6 8 glycerol. lHC!, IHNO,
{lOO) GaAs, epi Structural etching. SiD, mask. 8 I'm/min. lateral [410J
7 8H,O" IH,SO,. 1 H20
dis\. depends on mask alignment
99 WI '7r CH,OH. I wt'7r Br,
{I/OI. {III}B. 0001. {l1I)A GaA:, Preferential structural etching. etch rate I 110) '" (403J
8
II !I)B .,. {I 001 >. IIIIIA
'11

rn..
..
9 973H,O. 20NH.OH. 7H,O, (111)8. (100). (\ I J)A G".}"
Selecllv., r.,moval through SiO, mash. flat pro.
[4091
files. reduced underculling
(111)8
0.20 IJ.m/min
(100)
O.1 2 IJ.m/min
(l1I)A
0.037 IJ.m/min
10 10 citric acid (50 wt % aq. sol.), IIIlIB. (100). (J lilA GaAs
Preferential etching through photoresist masks.
[418J
IH,O,
ftat holes. no attack of resist. Etch
rates (11118 (100) (1l1lA
II 3CH,OH. IH,PO,. IH,O, [IIOJ.IIOO), Ga [IIIJ GaAs
Preferential structural etching. - 2 I'm/min. ex
(415)
cept Gar Ill] reduced twofold
12 1-20Br, . 99-80CH,OH
(for solution or pad etching)
Polishing
[402.412J
J3 3HNO,. 2H,O. 1HF GaAs
Rapid polish etching
..
[419J
J,
14 2HCl. 2H,O. (111)GaAs.lOmin
General etching of (i j j) plane
[420J
15%)' IH,O,
GaA". 5 min
Fast etching. 1O-15IJ.m.min
1421J
16 11'7r). 5HNO.]. (1111. (IIi) GaA,
EtChing both till) and (j j i ) planes
[419J
IHF
17 75H,O. 20H,SO,. SH,O, GaAs
Polish etching
1423J
18 40HCI. 4H,O,. IH.O
GaAs. jet etching. 20" Thinning specimen:, for electron microscopy
14221
(Continued,
T&ble IX
No. Etchant Substrate. etching conditions Application. etch rate. remarks Refs.
19 25HCI0. 75CH,COOH GaAs electrolytic. gently flowing from Thinning specimens for electron microscopy [424J
an orifice above sample at 42 V
20 10--40% KOH or NaOH GaAs. p type and heavily doped n type. Electropolishing to mirror-smooth surfaces [428J
electrolytic. 1-5 A/cm'
21 10% KOH (100)' (110). (III) GaAs. n type. electro Anodic dissolution [426J
lytic. flowing 10% KOH
22 3 M NaOH. (100) GaAs. p type. spray electrolytic. Selective removal of p-type substrate leaving [429J
100 mA/cm'
n-type epi GaAs or GaAs,.,P,

.
23 0.025M NaOH-O.OOI M EDTA (100). (III) Ga. (1111 As GaAs n type; Electropolishing (430J
electrolytic. illumination
24 HCI-H,O GaAs. n type. electrolytic Controlled thinning [431]
25 0.01-1 N HNO, (100) GaAs. n type; electrolytic. 10-20 Controlled electroetching [432]
mA/cm'. 2-3 V
26 H,. AsH,. HC); (900. 3. 2 (100), (III) GaAs. Te. Zn. Si. Cr doped; Substrate polishing prior to epitaxy. 7-11 (434]
cm'/min) vapor phase. 900
0
/Lm/min. nonpreferential. specular
0
27 IOOCH,OH.IBr, GaAs General etching; 8 /Lm/hr
28 5H,SO. IH,0 GaAs Polishing; 25 /Lm/hr
29 70H,O. 20H,O,. 10 fonnic ac.d GaAs Surface cleanup
30 95CH,OH. 58r, (100) GaAs. n-type; CVD SiO, as etch Preferential etching of (32) Ga plane (416)
ma,k,
If r I j' t 11. ...._ .., ,.. ,p m
[.. , ..'.. ' 1......... ........................................... ...
""'"rlIIJ".IUII." _ '"
...
T&ble X
Group /I/-\' Compound Semiconductors: GaP
No. Etchant
Substrate. etching conditions
Application. etch rate. remarks
Refs.
'-'. 1-20% Br, . 99-80% CH,OH
GaP; (solution
niques)
or Pelion cloth tech
Gener}l.l etching and polishing
(402J
..
2
4
6
R
9
1% Br. 99'7r- CH,OH
2HCI. IHNO". IH,O
2HCI. 2H,0. I HNO"
2HCI. 2H,O. I HNO,
Aqua reg.a
2HCI. 2H,SO. 2H,O. IHNO"
3H,SO. IH,O, (33'/(-). IH,O
CH,OH sal. with Br,.
I H,PO. freshly mIXed
GaP
{III) GaP; hot
P (J II) GaP
(III). (ijj). (100) GaP; 60".1-2 min
(ijj) GaP
P {III} GaP; 5 min cold. then 50. or 10
sec etching on Pelion cloth
GaP; 60". 5 min
(II J) GaP; chem-mech. technrque
wafer rotates face down
Polishing. highest-quality surface; -0.25 /Lm/min
Polishing {III} surface
Polishing P {III} surface
Polishing
Groove and pattern etching; SiO, mask
Polishing P {III} surface; Ga {III} face pitting;
etch rate depends on Te carrier conc.
Surface etching for saw damage removal;
I /Lm/5 min etches p-type preferentially
Work damage remo\al. prior to no 10 elch
120)
1438)
(439]
[442]
1441]
1440J
[443.444)
1436.4311
10
II
5H,SO. IH,O,. IH,O
Etchant no. 9
( III) GaP; 80' ..' mrn
( III ) GaP. 50'
SunSlrale preparation for ep. growth. after no. 9
and before no. II etch; 0.6 /Lm. mm optimal
Substrate final etch for epi growth; Immediatel}
after no. 10 etch; 1.5 /Lm;min optimal
1431)
1437)
------- --------
(ConlinUl'd I
- .... -
Table X (Continued,
No. Etcnant Substrate, etcnlng conditions Application, etch rate, remark<. Rd.
11 1.0 M K,Fe(CN),-O.) M KOH (1001, () II) GaP, ", and p-type; 60_95', Polishing and mesa etching; SiO, or Ti mask [445]
sllrring
POll), ,,-type, 95 260 ",m/hr, polished
Ga(llll. 'Hype, SO" I J5 ",m/nr, smooth textured
P(lOO)' ,,-type, SO' 210 ",mihr, polished
13 Cl,-H,O, sat. soln. (III), (1001 GaP, p epi on n substrate PoliShing; -0.5 ",m/min [446J
14 H,PO, (iii). (1111. (1001 GaP, 150-200"C Groove etching; Au mask, V grooves result 1442)
15 IHf,IH,O, GaP, p type on n substrate Preferential etching of p-type GaP on n type (443)
.r..

16 7NaOCI (5,25 wt %). IHCI GaP, n type on p substrate; electrolytic Preferential etching of n-type [443)
""
,,type anode; PI cathode
17 16H,0, 2NaOCI. 2HCI {III) GaP; plii h by chemical,iet etching, Polishing; lIat, smooth surfaces [2(6)
Ga(lll) by jet electroetching
18 Cl, , CH,OH (concentration not Ga( III), PI Ill) GaP; electrolytic jet Localized Ihinning of specimens for transmission [447)
specified) electron microscopy
n

3 N NaOH GaO II), P( ii j) GaP; 20", electrolytic; PI Anodic dissolution and selective etching [448J
cathode
20 0.1 N HNo, (ill) GaP,
mAlem"
.Hype; electrolytic, 20 Anodic dissolution, 1250 '\/300 sec (432J
21 2HNO,.IHCI GaP; 1-2 min Polishing of some orientations (437aJ
0" ('H,OH;.at soln GaP; sample while ('I, bubnle,
Ihroullh ...1 onln ,. 20 mtn
Poli_hlnj/
.. ..." '.171 ; 'lJ r " tlrt r r' 111[" II NI1I. .1 I '11111 , 11 !IFF '., HI' II'. 1 ill.Mil '11'1 4
-
Table XI
Other Group IIl-V Compound Semiconducfon
; No. Etchant Conditions Application. etch rate; remarks Rerf"
....
AIN IO';'{ l"aOH or hot H,PO, 30-80' (NaOH) General etching (449]
BN H,PO, or H,O, 0'1 Hot General etching of pyrolytic films (450; 450a]
BP 3 10% NaOH Electrolytic; in dark, 0.1-10 A/em" Electrochem. etching; ptype etches (451J
> IOOx faster than nt ype
...
J.
AISb 4 lal IHF. IH,O" IH,O I min (a), followed by 2 sec (h) Etching (l ii) face (452J
_4
(h) IHC!. IHNO"
Gal" 5O'7r NaOH L9O"
General etching [453J
6 01 "'. NaOH Electrolytic jet etching Selective etching of singlecrystal. (454]
vapor-transpon grown films
7 H"f'(), HOI
Etches but develops etch figures (455J
GaSp 8 99-800f CH,OH" 1-2or;; Br,
General polishml!
[402J
9 9HNO,.IHF 1-) min
General poll'hing
,402J
10 2HNQ" IHF, ICH,COOH I) sec
Pollshmg: develops pits on (I J J I face (452J
II IHF. lHNn, , IH,O Etching Ij j j 1 face
1457]
12 10 citric aCid ()O wI '7r I. 1H,O, ptype; 5)'; photoresist masks
Pallern etching (100) face: 10 A'sec
/418J
,_--.__ ....
J
Table XI (Continued)
Matenal No. Etchant CondItion, Application. etch rate. remarks Reh
..
InAs 13 Etchant no. 1\
Polishing (II J) and ell il faces (460]
14 5HNo,. 3HF. 3CH,CC)()H. 0.06 Br, General etching (458J
(CP4)
15 75HNO,. UHF. 15CH,COOH. 0.06 Br, 55'
Etching d i lJ face; etch pits on (\ II) face [458J
16 HCI 75'
General etd,ng: 5 mg/cm' min 1457. 459J
17 0.4 M Fe" -WHCI
General etching (452]
....
v.
0<
18 99.6 ml CH,COOH. 0.4 g Br,
General polishing 1423J
InP 19 99CH,OH. IBr"or9OCH,OH, IOBr,
General polishing {402,46IJ
20 IHCI.IHNO,
Etching (100) face: hillocks on (l j h face [46IJ
inSb 21 I, . CH,OH (concentration not specified)
General polishing (402J
22 Etchant no. 17
General etching [452]
23 IHF, IHNO, 2-5 sec Polishing (ii i) and (110) faces: no etch
[423.465] 0
..'
ing on (III) or (100) faces
":.<j
24 5HF. 5HNO,. 2H,O 20 sec Etching (100) and (110) faces [8]
25 5HNO . 3HF. 3CH,COOH (CP-4AI 5-30 sec General polishing [463,464]
26 IH,O. ICH,COOH. 1 CP4 1 min Etching (/ i I). (i Ii) faces. etc. 1463)
27 2HF. IHNO,. ICH,COOH 5-30 sec Polishing; etch pits on (III) face [452J
2X 4H,O, IHF. IH,O, 5-10 sec Etching (i jj) face [457)
2V Etchanl no. 12 311". pholnr.....t mll.k, Pallem etchinjl 11001 race. 60 A/.ec 1418)
n II .11 .1*IUIiI....
1r I rPI IF IflTlE
,.7"1



-t
. ,
Table XII
Group II-VI Compound Semiconductors
Material No. Etchant Conditions Application, etch rate. remarks Refs.
10% KOH General etching 1162,468)
2 HCI 120" General etching (467]
3 H,PO,-H,SO, boiling General etching. at low rate [467,468]
CdO 4 Mineral acids or NH: salts
General etching [4691
CdS 5 100 ml H,O, 1 mI H,SO., 0.08 g Cr,O, 80", 10 min
Etching of [IOTO) face [471)
J:.
'J>
-c
6 16 N H,SO,-0.5 M K,Cr,O, 95".5-10 min
General etching and polishing: etch
pits on (jj j) face
[472J
7 300 ml precip. sihca. 90 ml HNO"
10 g AICI,/lner H,O
Chem.-mech. pOlishing. Poromenc
disk. 240 rlmin, 370 g/cm"
Pohshing (0001) face
[475]
8 70H,O. 30HCI Rotating on Pelion cloth
Polishing Cd. S. and prismatic faces [473J
9 1000 ml H,O, 13.7 g KCl. 0.5 ml Hel pH 2.5. Polite;>. Pix pad. 58 rlmin
0.25-{).40 kg/em'
Polishing Cd face
[476J
10 1000 mI H,O. 13.:1 g KCI. 16 ml HC) pH 1.0; same as no. 9 Folishing S face
1476]
CdSe II 30HNO,. 20H,SO,. IOCH,COOH. 40'. S sec
General etChing: thick films forming (472)
O.IHCI
are soluble in H,SO,
CdTe 12 3HF.2H,O,.IH,O
Polistung (J III and (iii) faces; etch 14711
pit> on (ill) face
(Continued I

Table XII (Continued)
Malenai
--<--<
No< Etchanl Condition, Application. etch rale. remark, Ref,<
13 2HNO,. 2HC!. lH,O Polishing [477]
14
15
20 ml H,O, 10 ml HNO"
99.5 CH,OH, 0.5Br,
4g K,Cr,O,
Slnp deposil
45 min
formed w;th Cs."
Polishong 10 mirrorlike surface
General etching
[477J
[478]
CdTe 10.05)-
HgTe (0.95)
16 6HNO,.IHCI Rinse in IHCI-ICH,OH Polishing 1479]
...

HgSe 17 50HNO" 20H,SO. (18 N).
IOCH,COOH, IHCI
40",10-15 min Polishing 1472]
HgTe 18 6HNO" IHC!. IH,O 10-15 min Polishing [472]
ZnO 19 Mineral acids
solns.
or alkali or NH.CI General etching [469}
ZnS 20 Elchant no< 6 95. 10 min Polishing to high polish: etch pits on
( Ill) face
1472J
21 Elchant no. 15 Same as no< 15 General etching: etch pits on (Ill)
face
(478)
ZnTe 22
23
4HF,3HNO,
Etchant no. 15
Stnpfilm formed with HCI, then H,O
Same as no. 15
General etching and polishing 14721
1478)
p'\ F , II I jill ..

..
".M 'U'
T.bW lUll
Orhrr Srit"ut"d Compound !>rmlnmduc(on
Malerial No. Elchant Condition, Application, etch rale. remarks Refs.
Ag,Se 5H,SO., lH,O, 50", min; rinse in EDTA solution, then Polishing of some orientations
1479J
H
2
0
2 2KOH ,sat.), 2 ethylene glycol. 80", 2 min following damage removal Polishing
14791
IH,O, with etchant no. I
Ai;le 3!'iH.OH.2H,O, Remove film by brushing under water Polishing of some onenta!ions 1479]
Bi,Se, 4 IH,O, tHCI Damaged layer;, following much pol
Polishing and removal of work 1470J
ishing
damaged layers
2HNO"IHCI May be diluted with H
2
0
Cleaning and etching 1470, 481]
....
BI<,Te, 6 Etchant !'io . .5
Cleaning and etching
[470. 481J
'" Hg, fCdI1e 7 80CH,OH.20Br, Rinse with CH,OH
Removal of surface 1482,483]
In,O"
Sn doped
8
'I
H,SO.
HCI
50-60"; Cr film etch mask
Pattern etching. -05 /Am/hr
Pattern etching
1484]
14851
10 1.0 M oxalic acid. aq< soln.
50": AZ,1350 etch mask Pattern etchmg of annealed films 1486]
In,O,: Sno.,
14 <II
II HI
Immerse sample vertically; Shipley
AZ- 1350H mask postbaked 90 min.
Precision pattern etching: 25 A/sec [4871

12 2HCI. IH,O. Zn p"",der
Paint Zn powder-H,O slurr) on sub Rapid 150 A'sec 1487J
,trate. Immerse hOrlZ, IOto HCI-H,O.
:'0'; mask as 10 nt' I(I
In-Je, I' 19CH,COOH
acid< 1 Br
sat with citric FInish ftooding with CH,COOH sat
with cllnc aCid
Polishing
1488]
In.le., ISr,
"
14 4 clfn, aCld
IHF
"'at v.lth Bi
Pol"hinf
1488:
.. ..
(ConllnUf'd i
....
4
Table XIII iCollrillutd)
Material ","0. Etchant ConditIons Applications. etch rate. remarks Refs.
PbS 15 30HCI. 10HNO,. ICH,COOH 50". few min.
CH,COOH
then rinse with 10% Polishing [489]
16 HNO, 70" Rapid etching [489]
PbSe 17 5KOH (45%). 5 ethylene glycol.
IH,O,
Electrolytic: add H,O, during etching to
maintain rate: remove stains with 5()'}f
CH,COOH
Thinning specimens for electron
transmission microscopy
[490]
18 Etchant no. 17 40".3 min Poli.hino [480]
PbTe 19
20
45 ml H,O. 35 ml glycerol. 20 ml
C,H,OH. 20 g KOH
Etchant no. 19
Electrolytic: 4-6 V. 0.2 A/em'
Electrolytic: 10 V: rinse in C,H,OH
Thinning specimens for electron
transmission microscopy
Polishing
[493]
1492)
(494)
21 10 ethylene glycol. 10KOH (sat.
aq. soln. at 25). I H,O,
Felt covered
etch ant
wheel saturated with Polishing [495)
[496]
Pb,_,Sn, Te 22 Etchant no. 19
Electrolytic: 10 V. rinse in C,H,OH Polishing [492)
[494)
23 95HBr. 5Sr,
1-2 min, rinse many times with C,H,OH
followed with slight etching with no.
22
Polishing: faster than etchant no. 22 [494)
sr.", 24 3-IOH,O.IHCI Electrolytic. 5-40 mA/cm' Pattern
mask
precision etching: SiO,
used: 1600 Almin at 20
[498J
mA/cm'
25 HCI. Zn powder Zn powder in photoresist in Ref. 500 Paltern etching
/497.499.
500)
SnO,
Sb doped
26 HC!. Zn powder
Pattern etchinB 150IJ
E. Conductors
Table XI'\'
Elemental Metal.\
Etch rate or
Etching conditions etch time
Remarks
Refs.
Aluminum
4H,PO,. 4CH"COOH. lHNO,. IH,O 350 A/mm
Polishing etch: contact to noble metals is possible with
out increases in etch rate and undercutting
[57.504'J
2 75 /? Na,CO,. 35 g Na,PO,'12H,O, 16 g K,Fe(CN) .
0.5 liter H,O
1300 A/min
Polishing etch: contact to noble metals is possible with
out increase in etch rate and undercutting
[57]
16-J9H,PO. IHNO,. 0-4H,O: 40": stirring 1500-2500 A/min Gas evolution OCcurs
[14J
4
5
IHCIO,.
74.IH,PO,. 18.5H,O. 7.3HNO,: 500
3 "mimin
9000 A/min
(29]
[46*.505]
6
7
8
9
1 HC!. 4H,O: 8<r
2O'k NaOH: 60-90'
FeCI,: 12-36B<
IOHCI. IHSO,. 9H,O. 49' 25-50 "m:mm
For fine hne etchmg: photoresist masks can be used
Photoresist mask can be used
[507'. 532J
114.507)
[15.513J
[15]
10 0.1 M K,B,0,.051 M KOH.0.6M K,Fe(CNt,.
pH 13.t>
I"mmm No H, j, e\Jlved
158]
II 1 Electn> Glo 100 (Electro Glo Co .. ChIcago). .
79'. 7-10 \'. 0.06 A/cm'. PI; cathode
ElectrOChemical polish: excellent polishing occurs
(533J
12 Olher eI'Ir(Kheml,al etche,
/291
dnlttrlU'J j
... -
- - ... __
Table XIV (Continued)
Elch ralr or
Etchl ng conditIons etch time Remark, Refs

Antimony
Aqua regIa or hot H,SO. 1469J
2 5<;< AgNO, [5341
3 I g FeCI,. 3 ml HC!. 12 ml H,O 1534J
----
Ar!'.oenic
----------------.-.-.-'--.-.-.-
HNO, 1469J
Beryllium
....
....
'" 5 g H,SO. 75 g H,PO.(98%), 7 g crO" 13 ml H.O; 49- J.Lm/min Passive film formed removable by immersion for 15- [29.468*)
50" 30 sec in 10% H,SO.
2 HCI 1535J
1% H
2
SO.; preset voltage, 30 V 2:240 Almin Electrochemical etch [468J
4 1% KOH: preset voltage, 50 V 2:60 A/min Electrochemical etch [468]
f"\
electrochemical etches 129. 536J
Bismuth
Hot H,SO, /469J
2 5<;< AgNO, [534J
3 <49 ml sal KI soln., I ml HCI. 5-7 V, 20 A/dm'. stain Pen<xh of 30 sec Electrochemical etch: allo,," brown film formed 10 dis 129]
Ic\" IlrC'! or cKrhon cathode' ."Ive "",,,een elchml/ period'

...

-
-

I''''.:!" 1
-4
CadmIum
3 fuming HNO, (type not specified). IH,O
7CH,COOH. 3 fuming HNO, (type not specified)
I g I,. 3 g Kl, 10 ml H,O
.olectrochemical etches and polishes
Periods 00-10 sec
30 sec-) min
Etchmg periods
stream of H
2
0
are followed by rinsing in a rapid 129)
[291
15341
[29, 537, 538J
ChromIUm'
t; 2
3
4
.5
6
8
9
1 vol t 1g NaOH, 2 ml H,Oi, 3 vo!(J g K,[Fe(CN),. 3 mlf50.IOOOA/min
H
2
0J
I g CeISO,h2(NH..),SO,2H,O. 5 ml HNO" 25 ml 85 Almin
H,O; 28"
1645!! 43 m! HClO,. H,O
to make I Iller: 25-50"
2FeCI,.47Be.IHCI;80"
HCI
454 g AICI,6H,O. 135 I! ZnCl,. 30 ml H,PO. 40() ml
H,O
9 sat. Ce(SO,', soln., IHNO"
Dilute HCI or HNO,
>1500 Aimin
800 Amm
IHCIO. dm', slam
Ie" steel cathode
Does not require depassivation: for etching Cr masks
and vacuum-deposited Cr in general: photoresist
mask can be used
Oxide coating etches at 200 A/min
Recommended for precision patterning ofCr masks on
glass substrates
Good for plated Cr; photoresist mask can be used
Must depassivate with Zn rod
Photoresist can be used
Electnxhemlral pol"h
[14", 15,507.
510*J
[519J
1520J
[IS,507J
[14,516)
(14)
[14.517)
[15.507.513.
S17)
[29]
(ConlinLlf'd \
--
- -
--
2
Table XlV (tonti",..d)
Etch rate or
Etchmg conditIo", etch time Remark> Refs.
Cobalt
I HC!, Ic.,H,OH: 8-9 V. 250 A/dTn'. stainless steel 0.5-1.5 min
Electrochemical polish: bluish-green anodic film is sol- [29J
uble in H,O. gIve, polished surface WIth slight grain
cathode
boundary delineatIon
ElectrochemIcal pohsh: sohd black film forms which is (29]
H,PO. (98%). \- 1.5 V. 1-2 A/dm'. Co cathode
5-10 min
removed by WIping WIth cotton wool
1539. 540J
Other electrochemical etc he;
Copper

...
'" 0' FeCI,. 42"Be; 49"
50 I'm/min Use more dilute solution, for slower etching 114". 15. 50s".
509'J
2 20-30% H,SO. 10-20% crO, or K,Cr.O,: 49" 37 I'm/min
(14". 15.509"]
1 g (NH,.),S,O,. 3 ml H,O; 32-49"
25 I'm/min Addition of 5 ppm Hg as HgCI., activates etehant at [15.513".
lower temperatures 541'-543'J
4 5HNO,. 5CH,COOH. 2H,SO,: dilute with H,O as
Etches Cu and Cubased alloys at same rate as Ni and (506J
-.
:,
desired
g KI. I g 1,.4 ml H
2
0: dip into etch. rinse. remove
N i-based alloys
Rapid etch. but undercutting is limited by formation of [14J
residue with Neutra-clean (Shipley Co.. Newton.
an insoluble Cu compound at line edges
Mas,.)
6 4HNO,. IIH,PO, (98%). 5CH,COOH. 6O-7if 1-2 min Polishing etch (29J
7 2H,PO.t98%I. IH,O; 1.5-2 V. 6-8 A/dm'. Cu cathode 15-30 mm Electrochemical polish [4".29.544" .
545*'
" clf',;lru,.-hcml\",,1 ch.:hf''''
fa .RIP 'WI1 Til

., ..

Gallium
Mineral acids or alkali solutions Must be processed below meltmg point
(469J
Gold

)-, 4 g KL I g I" 40 ml H,O 0.5-1 I'm/min Better of line edges than in more concentnlled [14.15.51.
solution: solution is opaque. so removal from solu,
tion to observe end point is required
2 3HCL IHNO,: 32-38' 25-50 I'm/min
(IS]
NaCN. H,O" H,O mixtures (unspecified composition)
[14, 15J
4 0.5 g 1,.2 g NH,.L 10 ml H,O. 15 ml c.,H,OH: 2if 700 A/min Converts the surface of an underlying Ag layer to the [5101
+
'"
iodide. thus preventing undercutting
--'
0.4 M K"Fe(CN).. 0,2 M KCN. 0.1 M KOH 600 A/min
Fresh solution must be used: no a,t!ack on Pd is ob [58]
served.
6 tOO ml H,.O. 0.5-10 ml HCL 10-JO g NaCl: 4-5 V.
Electrochemical elch which retains bright surface: only 1547]
6.5-2 A/dm'. Mo cathode: 20-40" small amount Cl, evolved
7 Other electrOChemical etches
129.548]
Hafnium
I-c<;f HF
<-6HCIO,. IOOCH,COOH: 18 V. Slamle" steel cath
ode. U" several SUCCe'>lH dIps with continued
agitation
5-10 sec dips
Negative photoreSIst can be u,ed as mask
ElectrochemICal pohsh
-)
[14.549J
[29J
See Zircomum etch fltl,
[291
CConHnurd
--"'".-. ... ",-""", .
Table X"" (Corlli,.."d,
Etching
Etch rate or
etch lime Remarh Refs,
Indium
Mineral acid,
[469J
IHNO,. 3CH,OH; 40-50 V, 30 A/dm', stainless steel
cathode: cool bath
1-2 min Electrochemical polish [291
Iron
3HNO" 7HCI, 30H,0: 6O-7!r
2-3 min Dense brown viscous layer forms on surface: layer is
soluble in solution
[29J
.j.
C>
O<
2
3
4
-I()o/( KAI(SO,l.' 12H,O
3 liter 1O'7c HNO" 0,3 m'/hr 0, injected: 3!r
1 HCIO" 2OCH,COOH; 45-60 V. 40-80 A/dm'. stain
less steel cathode
30 "m/min
15-30 sec
Slowly soluble
Etches iron plate smoothly: 0, removes passive film
Electrochemical polish; solid film sometimes forms on
the surface during washing; removable with dil. HF
[469J
[550J
[29J
5 Other electrochemical polishes
. ,_.
[29J
Lead
-----------,-----,-------,-,,-,---'-'------'-"'----"------,-,------'----------
36-42"Be: 43-54"
[IS. 513J
9FeCI, 42"Be, IHCI 2!rBe: 43-49"
I HtO. 4CH,COOH
Periods 00-10 sec Alternate with immersion in a soln. of 10 g molybdic
acid and 140 ml NH,OH in 240 ml H,O to which 60 ml
HNO, is finally added
[ 15]
(29J
4
5
6
IHNO,.19H,O
35HCIO,. 63(CH,CO),0. 20H,0: <3!r. 50-70 V. 9-12 5-10 min
A Idm', Ph or Cu cathode
()the-, ..k-,:t".d.... m.':.J P'.,tw,
l.tlhtum
---------------'----'
Electrochemical polish
(534J
[291
1191

CH,OH 30 sec Surface achIeves high luster and is smooth [551]
Magnesium
240-400 g FeCI,. 330 ml Hel. H,O to make I liter [469]
1-3HNO,. 17-19H,O [507.513.
534]
3 fuming HNO, !type not specifiedl. IH,O: wash imme' Periods of 3 sec Reaction rc!ac' "Imo,t explosive violence after '-I [29J
diately after removal from solution min; if allowed to continue, it ceases after several
minutes leaving a polished surface
4 7H,PO,(987cL I3C,H,OH: 1-2V.05A/dm',stainle" 0.25 "m/min Electrochemical pohsh: there is considerable initial [29)
steel or "" cathode gassing: shake anode to remove adhering bubbles
5: 5 Other electrochemical etches [29J

Manganese
2
IHel. IH;O
Other dilute mineral acids
1513)
14691
Mercury
Sloght'_ diluted HNO,.
Molybdenum
1469J
5H,PO" 3HNO". 2H,O Polishing elch: contact to noble metals possible with
OUI mcrcase in etch ratc and undercutling
[57J
IH,SO, IHNO,. 1-5H,.0: 11m imin I
f,tm min \
Photoresist mask can be used al 25' 115,507. 518!
(( OnltnUt'J I
.-, "',.... .... .-"-
?",.
Elching cond.tlons
T.b'" xrv
Elch fale or
etch t.me
Molybdenum (Continuedl
-------.... ... ..-------
Remarh Reh.
..
....,
o
4
6
7
20011 K,[Fe(CN),,),2011 NaOH, 3-3.5 g sodium oxalate.
add H,O 10 make I liter
38H,PO,. 15HNO" 3OCH,COOH, 75H,O
I H,SO,. 7CH,OH. 80-120 A/dm'. stainless steel cath
ode; no agilation
100 ml H,PO,. 20 ml H,SO. 40 ml H,O. 0.25 II MoO,;
7(1'.8 V. 0.6-0.9 A/cm'. slainless sleel. graphite or
PI cathode; stlmng
Other etches
-l/tm/min
0.5/t m/ mrn
I min
9.4/tm/mm
Neplunium
Also usable as electrochem etch usinll stainless
cathode al 6 V; pholoresisl masks applicable
Photoresist mask can be used
Electrochen"ca' polish
Electrochemical pohsh. supenor surface finish
steel [14. 15.51'.
507'.5521
1518]
{29]
1553}
[29. 38. 57.
554-5561
HCI 1557/
5HNO,. 5CH,COOH. 2H,SO. H,O as desired
IHNO,. IHCI. 3H,O
FeCI, 42-49"B';; 43-54"
4
I>
3HNO,. IH,SO,. I H,PO, 198%). 5CH,COOH; 85-95"
IHNO,. IH,O; or 9H,PO" IHNO,: or 9OH,PO,.
15HNO,. 4HCL IH,O
IOH,So,. 'OH,O,. H,PO,. <-1 NISO. JO'?!.
Nickel
12 -25 /tm/ min
0.5-1 min
1-2,."m/mln
Etches Ni and Nibased alloys at same rale as Cu and (506)
Cubased alloys
Spray elching recommended 1507]
o
Photoresist mask may be used [15.507'.513')
Gives very llood polish 1291
Polishing elchants for eleclrol"" N,-P: very
undercutllng despile conlacl to noble melah
hllie 1571
For e'cclrole.. , N, film ... nu undC'rcuttmJL \.'mll .... r
fMl'fhnn u...c-ful (Of Cu
com I


8
. 4)H
1
U. 0 A/L:m'
Other electrochemical polishe>
'2 min.... ",m/m1n l:Jel"lrtK:ht rulnh
5601
129J
NiobIum
2 Lacue acid. I H,SO . IHF V. PI cathode. sIll 5-10 mm
nng
EleClrochemical polish
129]
7HF. 7HNO,. 26H,O; 49". 12-20 V. A/drn', PI
cathode
Electrochemical etch
14(9)
/_.,.
9H,SO., IHF: H-45'. 50 V, 2 A/drn'. PI Or carbon 5-10 min
""
cathode Electrochem. etch; temp. nses during use; cool bath
[29]
..
Osmium
Aqua regia
..
1557)
....
Palladium
IHCI. 10HNO,. IOCH,COOH
1000 Aim,"
Aqua reg.a
15611
1513)
Platinum
SH,O. 7HCI. I HNO,: 85'
400-500 AImln
2
f562. 563')
Aqua regIa: precede etchin!! by 30 sec ImmersIOn in HF
EtCh tIme'
mlled because photoreSIst mask" de 114. 507i
strayed
3 M HCI; '0.3-+ 1.4 V versus SeE. modIfied Inangu -1000 Almln
lar waveform -600 Hz: magneuc sl.mng
Electrochem. elch: good resolu[lOn: either po, or neg 15141
photoreslS[' usable
Plutonium
I H,PO.I98%1. 1 dieth}lene glvcol. 5 V, <Adm'. ,lain L 10 mm
less steel carhode
Electrochemical pohsh
1291
(Conllnttf d
.- -.,"_. --__ 'h"' __
Table XIV

Etch rate or
Etchmg conditIOns "tch time Remarks Ref,.
Polonium
Dilute mineral acid, [557J
Potassium
C,H,OH or iCH,),CHOH 3 sec (ethanoB or
10 SeC (I,opro
panol)
Brilliant. smooth surface obtained [551]
Praesiodymium
...
.::
Mineral acid,
-------
Rhenium
[557]
Dilute HNO,
[557]
Rhodium
3 M HC!. -0.3- + 1.4 V versus SCE. modified triangu
ElectTOchem. etch; I I'm line spacings ofRh films over [514]
lar waveform -600 Hz; magnetic stirring
5000 ATi on 5i dearly resolved
Ruthenium

Fused alkalis [557]
Samarium
Minual acids (557J
Sdenium
H,Stl, .I'M' tf" U undt'f C'k'mC"nl.1 wom't.'undu,ton ,"''11
..

Silver
11 g Fe(NO,)" 9 ml H,O; 44-49" 20 IJ.m/mm Photoresist mask can be used [14*, 15, 507*J
2 5-9HNO,.1-5H,O;39-49' 12-251J.m/min
114*,15.517*J
3 35 g AgCN, 37 g KCN, 38 g K,CO,. 100 ml H,O: 2.5- 10 min Electrochem. etch: best polishing in region of voltage (29)
3.0 V. 1 Alent, Ag cathode: ,10.... stirring and currel1t instability
4 3HNO". 19H,0: 2 V, ,[ainle" steel cathode ElectrOChemical etch [507)
5 Kl-I, etches listed for Cu and Ag O.3-llJ.m!sec Immersion followed by H,O rinse [14]
60 A/sec Useful for pattern etching with photoresist mask; rinse [512]
quickly after etching
6 4(,H,OH. INH.OH, IH,O,
....
Sodium
-'
CH,tCH,),CH,OH (nonyl alcohol) 30 sec Brilliant. smooth surface [551)
Stronlium
Liquid ammonia [557]
Tanlalum
2
9NaOH or KOH 00'). IH,O,: heal alka" to 9(t . Ihen
add H,O,
5H,SO. 2HNO,. 2HF
1-2HNO,. IHF. 1-2H,0
A, min
5-20 sec
4 9H,SO. IHf. ,(-4' . 50 \'.
cath,>d,
A urn 1'1 "1 carb,," '-1(1 rnln
Metal (e.g.. Au) rna'\. muq be used: very httle under
cutting: etche, T..,O, and Ta"- al same rale 3, Ta

POlishing elch
[29. 522.
H:O rna) be omllled ft>r fasler elch. espeCially if film
coni aim oxygen and reslsh etching: fasler etch re
duce... re" ... t
(14. 5 HI
Ele.:truchernl,'al etch temp of solUlion n,e, dunng
u... e and 11 rna! he nece ...... tp .cool hath
129;
- '.
-4
2
Tabl., Xf\' (Colltlllu,d)
Etch ratr or
Etching cond.tion;. rtch time Remarks Refs,
Tellurium
2HND,,3H,O [513]
240 g bring to I liter with H,O [513J
Terbium
Mine ... 1 [557]
Thallium
...
...,
.to.
HND, or H,SO,
Thonum

[557]
14CH,COOH, 4HCIO" IH,O; -10".60 A/drn'. stain- 7-12 sec Electrochemical polish [29]
less steel cathode
Tin
FeCI, 36-42"B':; 32-54'

[15, 513)
2 IHNO,,49C,H,OH [534] ('
j .iCIO,: <35', 5O-W V, 40 A/drn', AI cathode; hold
piece vertically and rotate at 50-100 r Imin
420 fLm/min Electrochemical polish; on large sunaces, orange peel
effect may occur
(29]
4 Other electrochemical polishes [29]
Titanium
9H,0. IHF. 3:>" 12 fLm/min Photor",ist mllsk may be used 114, 15, 507]
2 7H,li, 21iNII,., n II,..m/mln PhOI",.,..., maoa. be uW'd 114,15.5071

..,
-


tllO mll',H.OH. 2U ml ,.butyl ",,,,,hoI. t2 II All'l, . II I-JeC;1fochcml4.:.1 fKlh,.h 14m)
zne!, : 30-50 V, 12 A/dm'. .Ied cathode
4 3HCIO,. 5OCH.COOH; 200. 30 V, 30-40 A/dm'. Ti 2 min E)ectrochem. etch: anode to cathode distance about 129]
cathode 3 cm
5 Other electrochemical etches [29. 564, 5651
6 See Zirconium etch no. [29]
Tungsten

34 g KH,PO,. 13.4 g KOH, 33 g K,FeICN).. H,O to 1600 A/min Photoresist mask may be used: high resolution (1- (515]
make I liter 2 /Lml can be achieved
2 5% KOH. 5% K,Fe(CN)", 1% sunaclant: -23",0.2 --2.3/Lm/min Electrochem. etch; photoresist mask can be used; good [51J
A/cm'. PI cathode pauem resolution
5-10% NaOH: 6 V. 3-6 A/dm', stainless steel cathode Electrochem. etch; rotation of anode or agitation of [29, 513. 566)
..,.
-' electrolyte with N, is necessary
4 Other etches
[51.58.515,
555, 567]
Uranium
1-2HClO,. 2OCH,COOH; 5O-W v. 5 A/drn'. stainless 1.9 fLm/mill Electrochemical polish (29)
steel cathode
2 Other electrochemical pohshe,
[29]
VanadIum
_._--.--------------.__.._-----,----_._-_.
1-2HClO,. 18-19CH,COOH: <35', 50-60 V, 16-24 1-2 min Electrocherrucal polish; a second polishing ofabout the f29]
A/dm', stainless steel cathode sarne duration ill a fresh soln. sometimes necessary

Ytlcrbium

l\.hneraJ aCid,
1557j
fC""ww"j'
Table X[V (Collti'....d)
Etch rate or
Etching conditions etch time
Remarks Refs,
Yttrium
Dilule mineral acids or hot KOH solutions
Zinc
[557J
:':j
""
2
3
4
5
2-3HNO, _ J7-18H,0; 38-49"
40 g CrO" 3 g Na,SO. 10 ml HND" 190 ml H,O
1 g crO".5 mI H
2
0; 60 V, 250-350A/dm'. Pt, Ni, orZn
cathode
20-45% KOH; 0-50": interrupted dc or sine wave
method
Other electrochemical etches
251'm/min
71'm/min
40-45 sec
Dense layer formed during treatment is soluble in water
Electrochem, polish: tendency for a passive film for
matlon
Electrochem, etch: Zn is amalgamated first by dipping
in a soln, of 50 g/liter HgCI, for 30 sec
[15,513*]
[29J
129J
I568J
[29,569
571]
Zirconium
45HNO" 8-IOHf. 45H,0 or H,O,: swab for 5-10 sec,
rinse in running H
2
0
5-10 sec Brownish-yellow vapor is evolved: similar solution can
be used for Ti and H f
[29]
,"-'"
:JClO., 7CH,COOH, 4 ethylene glycol:
> 100 A/dm', stainless steel cathode
30-50 V, 20-30 seC Electrochemical polish [29J
Other electrochemical etches {29]
4 Chemical polish elch
1572J
Starred (.) rc,ference numbers refer to secondary numbers.
In acids, der8"ivation of Cr mu\! be Induced h}' til phySIcal contact with declroJ>O"llve mela" tAl wire. Zn rod or rellets): (2) Cr" ions in
aqut"ou'\ .olutlon. nl CH arrhcalJon of. c.-alhi\dl,, f"llrnhaJ Thrn ('r d''''I.(lh't', tn 0.-.(1) aU mlOt"ud aCid..

-
Ii

..

Table XV
Metal All"\,, and Superconductor,
Etch rate
Etching condition,
or etch lime
Remarks
Ref>.
- -
Inconel
FeCI;, 36-4'1'1-1<.'; 43_54
115,513)
...
Invar
"
-..J
.'CB,COOH. 2HNO,. 2HCI. IH,O
[469J
FeCl, Be
Photore,i,t ma,k can he used
1507]
Kovar'J

400cH"COOK 200HNO". 75H,O. 9HCI: rin,e in running H,O 15-20 Sec POlishmg etch. oxide i, first removed in 6 M HCI 14(9)
after clchmg
--
Monel
5HN()',. 5CH,COOH. 2H;SO" dilute wnh H) a' dc"red,
(5061
(Conlinuni)
Table XV
Etch rate
Etching cond,lIofi\ or etch time Remarks Refs.
Nichrome'
FeCI,. 36Be: 43 Photoresist mask may be used [507.5I3J
2 IHNo,.IHCl.3H,O Photoresist mask rna)' be used [507J
..
.... 3 4HCI. !H,O [513)
)C
4 7H,PO,. IH,SO,. 2H,0: II V. Cu cathode. Electrochemical polish [469)
Permalloy
3.9 M H,SO,. 1.12 M H,O,. 0.4-4 M HF 4 ",m/min Edges can be beveled using a Ti overcoat [54]
NbSn
I,SO,. 4HNO,. I HF: 12 V. graphite electrode Electrochem. polish: rinse immediatel), in H,O [469]
Trade name of Westinghouse Electric Corp.
Trade name of Driver-Harris Co.
-
F. Miscellaneoua Mate,lals
-

Table XVI
Miscellaneous Materials
.....
-t
rate or
, .
Etching conditions
etch time
Remarks
Refs.
...
-:.z
p
S
Ag,O
crO,
er,O-,
CuO
FeO or
Fe,O,
MoO,
PbO
WO
C,H,OH (abs)
CS,. C.H,. alkalis: ether: CHCI, toluene
Cs, or toluene
INH.OH.
1O'k KCN
2gCe(NH.).,(SO.k2H,0.lOmlHNO, .50ml H,0;28
c
164.5 g . 90 ml HNO-,. H,O to make
I liter.
IHel. 2H,0: hot
Dilute HF
10'lr ammonium citrate: warm
IHCl.IH,O
9NH.OH. IH,O,. nnse In runmn!, H,O and
2Y7, KOH. rinse with H,O. dip in 6 M HCI. rin,e With
H,O. then CH,OH and
HNO,. followed by H,O rinse. then CH,OH nnse. and
dl)
Na,O,. ho!
200 A/min
Red >rus
Yellow (wi ,) phosphorus
Cr itself etches at 85 A/min
Used for removing Cr,O, contamination from gold sur
faces
Little loss of Cu
Little or nt' )Ss of Fe
No loss of h,
Used for pattern etching
Electrochemical polish
Do not expose piece to air during treatment
[557J
[557]
[557J
[469]
[469J
[519J
[573]
14(9)
[469J
[469J
1526]
1469)
1469]
1469J
1469;
'-'.
t( onltnur d )
_,,,._. __'''''''___
',,<,
/'
.:;.
...
CI:
c
",",
v;
0\
N
v;
;;
....
v;

.-..
v;
v;
....
v;

'<t
_

-


'"
....
v;
g




0:
!:!

OJ
c
v-I. CHEMICAL I'TCHING 4K
1!
"
..
.'"
..

;;
....:
..
:is
..
I
...
.r

'" e
" CI:
o "

'" - '-J::
.c u
u -
-...
w.l
0;
g
-0
c
o
u
""
c
.c
u
W
"0

]

'ij;
'"
"
"
C
'" U
:t!'
"0
U
Ie:
"
:Ii

-0
u
c
.iii
S
o:.c
"0
"...
>.
=.0
CQ
c
E
E
::t
0

v;
o
o
b
QO
,

2'
:i
.n

<2
'
OJ
"0
"
8.
....
u
'" :is
.c
u
_
'"
U
u
.

..c
g
'
U
.!:!'
w.l
iii
ti'
c
o
"0
C

.,g
'" u
.;:;
.1:
!!
u

J:.
U
>
,
c
" Z
'<':.
S
Ii:
'"
'"
'"
'"
'"'
o
'
OJ
"0
"
8.
....
u
'" :is
J::
U
U
'"
u
E
"
.c
g
u 0
,,'
iii
"0
c
...
c..
...
"0
'"
u
t:
"

.c
\J
>
,
o
Vl

Z
:t
o
o iii
zti'
:t
;,;
...
u

;;;
.9
""
c

'2
Ie:
e
Ii:


"
"0
" <>0

OJ
'
o
U
'" ...
:is
.c
:::

] i
"? 8.
...
" .
" Ie:
- 0
V) I ._
"u Vl
t}:'
c.. c -if ...;
I 0 U I'Q
S
"

u

"
]
<
c:
E
-<

,

IJ..
:I:
'" ' U
" "
c.. c
0
"0 " "0
J:. t OJ

b
.-..
,
o
v;
(;)
:
""
o
Vl
:
c
E
....
-<


I
o
v;
o
-
E
o
:I:

Z
..
...
u
'" :is
.c
u
U
'"
u
E
" J::
g '"
!: E
u 0
" ....
iii
"0
C
&
...
"0
'" u

'1:
" U

.c
u
>
,
o
Vl
cf
Z
'
o
6
Z
:I:
(;)

:i G
:I:
:t
Z
v; U
U
IJ..
o
o iii
:i zIi<
.. :t
N
e
Ii:
6
iii
...

u
...
E
c..
6:
c
'" .::,
'" :;;
'0.
;::
1.'
0"0
e
'" 0
" E


6
'" fill
1.'
'" :::
0
<
IJ..
:I:
6
Z
:I:
""
..
i
o
o
u
:i


:;;
C:
:::

iii
1
o
"0
.:
:::
'" e
Ii:
c

'"
'" o
l?
;;i
o
N
.9
'" .
"'''0
..!!
" u ... OJ
ull::
C; '"
CI:
c
E
-<

N
-

..
-
IJ..
X
Z
0
u.:
:::
6
-
>&>
vi
'" o
=:;j
o
U
,
U
I
is
...
<2
]
:::
J::


'"
u
'E
...
.c

U
OJ
iii
c
E
N
;;
N
o
-
E
8 ...
-"8
'!::
" u

-.;; ...
"" c:
5.,8
E
.:
"8 "

N, E

<i<
z8
....
N

'2
'
o
c

'" o
.c
i
"0

o
"0
c
o


...
::
=:;j
v;
t
u
'"
8.
]
1
" "9

'"
":>,
'"
u
E
...
.c
u
1.'
co
E
Ii:
Vi

'?}
c:
o

"

'"

u
'"
8.
...
::
OJ
]
::
V. SUMMARY AND CONCLUSIONS
Fundamental principles of chemicdl etching and
techniques have been brietly outlined, in paltern delinca
tion etching of thin films have been and the importance of sur
face contamination before and after etching ha, been noted.
Chemical etching of specific material, of technical importance ha;,
been discussed and referenced. Extensiv.: tahle" summ,niLing etchanh,
condition" and applications have been presented for im.ulatllrs, dielec
trics, semiconductors, conductors, and miscellaneous nlitteriab to fa.:ili
tate the selection of suitable system,. Most of the inforillation has been
retrieved from the literature which contain... widely dispersed data, It is
obviously impossible to include all etch'lI1h for all rnateriab in a brief
summary, but an attempt has been made III present signiticant and repre
sentative information covering the literature through 1':177.
ACKNOWI ,LllliM1N 1 S
We w"h to lhank C. E. Tracy for h" help 10 lar.uJ;.tlOg Ihe "huill cich'''g ddli" K. Il
Vlorunck for c,lrrying out many of the I.:l("hing anti (, I 1'1I1
offering many ",gge,lion, and for ailically rccic"",,; Ihc lIl.tnU"npl
REFERENt'LS
1. J. W. Fau,\' Jr., in "Solid Slale Phy,ic" Pan A. P'eparatlun, Stlue!urc, Mecha,"c,,1
and Thermal Propcnie," lK. LarkHoro""l and V A. John,on. clh.), Melhod, of l.x
penmenlal Phy,ie>, Vol. 6. Ch. 2,H. AcademIC Prc" , New
J. W, Fau,t, Jr., ill "The Surf",e Chem"lry oi" Melal> and SCJJlIl:onuucl,,,," (II
(iaIO" cd.), pp. 151-173. Wiley, New '1'011..1%0.
3. N. Had,erlllan, in The Su.face Chemblry of Mctuh and Scmiconuut:lor," 111. ('
Gatos, ed.), pp. 313-325. Wiley, New York, I%().
4. P. Lacombe, in "The Surface Chemistry or Meidl> anu Semiconduclllr," III t'. Galo"
ed.)' pp. 244-284. Wiley, New York, 1%0
5. P. J. Holme', in "The Elcclrochemistry of Semiconductor," (P 1. Holme" cd), ('h
B. Academic Press, New York, 1962.
6, B. A. Irving, in "The Electrochemi,try 1)1' Scmiconuuclors" II'
256-2B8. AcademiC Pre", New York, J%Z.
llolmes, cd.), PI'
7. J. W. Faust, in "Semiconducting Compound," IK. K. Wliluru"lIl and H, I.. (ill"'lIlg.
cdS,), Vol. I, pp, 445-468. Reinhold, New YOlk, 1%2.
B. H. C. Gatos and M. C Lavine, Prol', S"ItIjlunJ. 9, 1-46
'i. "Integraleu Circuit Silicon Device Technology; XChemlcal Meldllu'g,cal PrOperll",
of Silicon, ,. ASD-TDR-63-316, Vol. X, AD 625, Rcscan:h Triangle In,t,, Research
Triangle Park, North Carolina, (1965).
'"
U
E
" u
iii
:::
U
Vi
8
o
iii iii
U U
iii
i
iii
6:
.
'"

u
is
E
...
Vl
IU, M, Aven and J, S, l'rener "The Physic, and Chcllll>lry of It VI Compound,," pp 14
155,733. NorthHolland, Puhl., Am,tertlum, J%7.
J l. C. V. King, in "I' Suri'ace Chemi'lry or Meta" and Semiconductor, 'IH C. (jal,'"
ed,), pr. 357-38L Wiley, New York, 1960 .
.tHO
482 WERNER KERN AND CHERYL A. DECKERT
12. S. K. Ghandhi. "The Theory and Practice of Microelectronics,"' Ch. 7. Wiley. Ne10lt
York. 1968.
13. C. D. Dobson. in "Gallium Arsenide Lasers" (e. H. Gooch. ed.). pp. )93-222. Wiley
(interscience), New York, 1969.
R. Giang and L. V. Gregor. in "Handbook of Thin Film Technology" (L. 1.
and R. Giang. cds.), Ch. 7. McGrawHill, New York, 1970.
15. R. J. Ryan. E. B. Dayidson. and H. O. HooK, in "Handbook of Materials and Pro
cesses For Electronics" Ie. A. Harper. ed.). Ch. 14. McGrawHill, New York, 1970.
16. W. A. Pliskin and S. J. Zanin. In "Handbook of Thin Film Technology" (L. L
and R. Giang. eds.), Ch. 11. McGraw-Hili, New York, 1970.
17. P. F. Kane and G. B. Larrabee, "Ch'lracterization of Semiconductor Material . "
McGraw-Hili, New York, 1970. t
18. H. F. Wolf, "Semiconductors," pp. 130-136. Wiley (Intersciel'ce), New York, 197L
19. T. C. Harman and I. Melngailis. Appl. Solid 51011' Sci. 4,1-94 (1974).
20. B. Tuck. J. Motu. Sci. 10, 321 (1975).
21. W. R. Runyan. "Semiconductor Measurements and Instrumentation." Chs. 1,2.7.
and 9. McGraw-Hili, New York. 1975.
22. R. Tijburg. Phys. Technol. September. p. 202 (1976).
23. D. J. Stirland and B. W. Slraughan. Thin Solid Films 31.139 (1976).
24. M. J. Pryor and R. W. Staehle, in "Trealise on Solid Stale Chemistry" (N. B Hanllity.
ed.). Vol. 4. Ch. 9, Plenum. New York, 1976.
25. "Metals Reference Book" (e. J. Smilhells, ed.), 5th Ed. Butterworth, London, 1971>.
26. "Etching for Pattern Definition" (H. G. Hughes and M. J. Rand, eds.). Electrochem.
Soc. Princeton. New Jersey, 1976.
27. W. Kern. ref. 26. pp. 1-ISd.
27a. W. Kern. RCA Rey. 39,278 (1918).
28. J. S. Judge. ref. 26. pp. 19-36.
29. W. Tegert. "The Electrolytic and Chemical of Metals," 2nd Ed. Pergamon.
Oxford. 1959.
30. J. F. Dewald, in "Semiconductors" IN. B. Hannay, ed.). pp. 727-752 Reinhold,
York. 1959.
31. "The Surface Chemi5lry of Metal. and (H. C. GalO', ed.) Wile).
New York, 1960.
32. "The Electrochemistry of SemiconduclOrs" (P. J. Holmes. ed.). A,ademic Pres", Nc'"
York. 1962.
33, J. L Pankoye. ref. 32. Ch. 7.
34. E. A. Eomoy and I. G. Eru"alimchik, "Electrochemistry of Germanium and Silicon
(A. Peiperl. ed. and transl.) Sigma Press, Wa5hington. D.C., 1963.
35. P. J. Boddy. J. Elf'('/rollnul. ('hem. 10, 199 (1965).
36. V. A. Myamlin and Y. V. Pleskoy. "Electrochemistry of Semiconductors." Plenum.
New York. 1967.
37. H. Gerischer. in "Electrochemistry," Part A (W. Jost, ed.), Physical Chemislry. Vol.
9. pp. 463-542. Academic Press. New York 1970.
3S. P. V. Shchigolev. "Electrolylic and Chemical Polishing of Metals." Freund Publ
House. Holon. Israel. 1910.
39. Y. V. Pleskoy. Prog. Surf. Mm,br. Sci. 7,51-9311913).
40. A. K. Vijh. "Electrochemistry of Metal> and Semiconduclors." Dekker, New Yor .
1973.
41. G. L. Schnable and P. F. Schmid!. J. EIt'Clrochem. Soc. 123. 310C (1976).
42. "Corrosion" (L. L. Shreir. ed.), 2nd Ed. Newne,,-Bullerworth. London. 1976.
v-I CIiI.MICAL L I CHING
4X \
43. A. H. AgaJ"nian, Solid SIal<' Techllul. 16( 121. 73 (19731; 18(4), 61 I l'J 1\1.20111.36 11'177;
43d. C. W. Wilmsen and S. Szpak, Thin Solid Film.> 47, 17 (19771
44. L. Romankiy" ref. 26, pp. 161-193.
45. P. R. Camp, 1. t:1.-{,lroch.-m. Suc. 102, 5t!6 (1'155).
46. W. Kern, J. 1.. Vossen, and G. L. Schnable, Anna. Pro!'. Rdwh. 1'ltv.I .. IIliI 1'.214
(973).
47. Il. A. Irving. ref. 32. Ch. 6.
J. L. Vossen. G, L. Schnable, and W. Kern, 1. S .. i. Tecll"ol. 11,0011'174).
49. V. F. Dorfman. SUY. Mitro..!,,('/rull. 5 (2). 'N (IY76)
50. W. S. DeForest. "Photore"ist Material> and ProC",.,c"." M"(;",,,, lIill. NeW York.
1975
51. W. Kern and J. M. Shaw. 1. Eleclro"helil. Su, 118,
52. CA. Deckert and D. A. Pelers, Proc. Kod"A M" r'll'leclroll . .1'1''''111 , hl>llIIan KoJak
Co., Rochester. New York, pp. 3-25 il97MI
53. C A. Deckert. ill "Adhesion Measurement of nlln hlnh, Tht.:k hit",. ,(fI1! Hulk Co.,1
'ng," IK. 1.. Mitta!. ed.), pp. 327-341, ASTM. I'luladclphla. 1'I7M.
S4. J. J. Kelly and G. J. Koe!, 1. EI..uroclwm. SO{. 125, HI>O
55. Anonymous. Ifld. Re.>. 19 (2), 19 (1977)
56. S. Somekh, 1. Vae. Sci. r" ..hllul. 13, 1003 (197fl)
57. J. J. Kelly and C. H. de Minjer.1. t:leclrodlt'lIJ. Su, . 122. '131 11'1l'1
5K. D. MacArthur. ref. 26, pp. 7b-90.
59. P. J. Holme, and K. C. Newman. Proc. 11111. Elcclr. t:ng. 1'1111 // . .\ul'l'/ 15, !HI
(1959)
W. J. W. Fau,l, Jr.. NUll. Bur. Slund. (U.S I. Spcc. Pu/!/. Nu..137. PI' .j \(),-l4! 1191111
61 W. Kern. RCA R,-,' 31,207 (19701.
W. Kern, RCA R.'I. 31, 23411970).
03. W. Kern. RCA R'-I'. 32, b4 (1971).
1>4. W Kern, Solid S,ul, Tecllflol. 15(1),34(1'172; 15(2), 3Y (1'1721
05. W. Kern and D. Puolinen, RCA Re><. 31. IM7 11'170).
06. A. Mayer and D. A. Puulinen, NUll. Bur. :>wlld. 1'1'.431-43.\ (U..\.1. )1"" I'll'" N"
337. (1'I7U).
67. R. C. Hendel)un. 1. tJedro, hem. So... 119,77211'172)
6!! K. L Meek. T. M Huck. anJ e. F. Gibbon. 1 1:ln Ir" .. ilOIl . .1", IlU. 1!41 11')7
0'). D. A. Kie"'lt. I. J. [YHaellens, and J. A. KOlh . .I. Un II''', 1"'11/ .\", 111,110 IIIil4,
69a. D. A. Pelers and C A. Deckert. Electro, 111'111. Ip,. i-.,I('Ih/. 04"'[1 7Hl. on (197X,
70. P. KaiChoudhury. ill "ScrnlCllnduclor Silicoll 1'173" 111. K. Hull.,,)d K K.lhH!;e"
ed,.), pp. 243-257. Elcctrochcm. Soc., Pn""el(lll, Nev. Je"q. 1117\
71. D. K. (hwuld. 1. t:le<'lrocil"'/I. So,. 123.53111'176;.
72. J. A. Amick. Solid S[al" ,/,,,,iJlJo/. 19111). 4711no}
73 D Tolliver. Solid Sldl,' Techllol. 18(11),33 11\1751
74. M. G. Yang. K. M. Kohwad, and G. E. McUulte.1. Un Iro, 11<'111 )," 112.07111'17)1
75. R. Seltzer. e/l'll/il.l M"",!( 15111), SI! 11\17.\).
76. R. A. Geckk, Ekclrllll. p(/( A,,);. Prod. lSI?}. I , 1'11'1.
77. G. L. Schnable, W. Kern. unci K. Il. Cornia"h.1. U'" 11'", 11<'111 ),,' 122.10'1211'17\1
R. L. Meek, 1. t:lc, troelll'lII. Soc. 121, 172 (IY74).
79. W. Kern. unpubll>hed ob'crvation,.
KO. W. Kern, Sem((ond. Prod. Sol,d SflIlc }n/lIIl1l. 611111. n 11% ,), 6, II,. 2. 11'1011
81. "Clean Surfaces: Their Prepalation and CharaclcI'Ilatiun fUI IllIell""lal Slucit",
(G. Goldfinger, ed.). Dekker. New York. 1'!70
82. Ref. pp. 44.45.54.15.59-611.
---,,-
4H4 WERNER KERN AND CHERYL A. DECKERl
83. Ref. 50, Chs. 3 and 7.
84. L. Holland, "The Propenie" ofGla", Surface,," Ch. 5. Wiley, New York. 1964.
S5. R. Brown, in "Hallllbook oCThin Film Technology" (L. I. Maissel and R. Giang, elk!.
Ch. 6, pp. 37-42. McGraw-Hili. New York. 1970.
86. D. M. Mattox, "Surface Cleaning in Thin Film Technology." AVS Monogr. Am. Va.:.
Soc. New York. 1975.
87. R. R. Sowell, R. E. Cuthrell. 0 M. Mattox, and R. D. Bland. 1. Vae. Sci. 1'"chllol. II,
474 (1974).
8S. J. R. Vig. C. F. Cook. N. Schmidtal. l. W. LeBus, and E. Hafner, "Surface Studie, for
Quanz Resonator"." R&D Tech. Rep. ECOM 4251. U.S. Anny Electron. Command,
Fort Monmouth. New Jersey (1974).
89. D. A. Bolon and C. O. Kunz. 1'(1),111. t:llg. Sci. 12, 109 (1972).
90. P. H. Holloway and D. W. Bu.hmire. Annu. Proc. Rehab. Phy,-. 12th p. ISO (1974).
91. R. C. Snogren. "Handbook of Surface Preparation." Palmenon, New York, 1974.
92. J. L. Vossen.J. Appl. Ph),l. 47,)44 (1976).
93. L. Holland, J. VaL Sci. 1'..-hllol. 14, 5 (1977).
94. R. B. Gillette, J. R. Hollahan. and G. L. Carlson, 1. Vue. Sci. 1,534 (1970)
95. J. L. Vossen, J. J. ONeill. Jr .. K. M. Finlay.on, and L. J. Royer. RCA Rei'. 31, 2'13
(1970).
96. C. C. Chang, P. Petroff. G. Qumtana. and J. Sosniak, Surf. Sci. 38,341 (1973).
97. D. V. McCaughan and R. A. Ku,hner. Thin Solid Films 22, 359 (1974).
98. G. J. Kominiak and J. E. Uhl. Rep. SAND 75-0455. Sandia Lab. Albuquerque. New
Mexico (1975).
99. Ref.SI,Ch.5.
100.1'. Smith. Surf. Sci. 27,45 (1'171).
101. A. M. Morgan and l. D"hn,,1. VOl' Sri. Techno!. 10,523 1I\l731.
102. R. W. Kirk. in "Techniques and Application, of Plasma Chemistry" tJ. R. Hollahan
and A. T. Bell. Ch. 9. Wiley, New York, 1974.
103. G. J. Kominiak and D. M. Malto. R"p. SAND 75-6110. Sandia Lab.. Albuquerque,
New Mexico (l97b); Thin Solid Filml 40, 141 (1977).
104. Pla,ma Etching and Depo,ition Technology: Program. Allant; (ja. Meet. 1. 1:'1""1",
chern. Sue. 124. 252C -324C (1'177).
105. J. S. Judge, J. EIt'clrochem SOL 11K, 1772 (1971).
106. E. F. Duffek and D. Pilling, clerIWehem. Sue. blend. AbJlr. No. III, p. 244. Spnng
Meeting 09(5).
107. S. A. Harrell and J. R. People,. Jr., Elec/rvchem. Soc. Exlend. Abm. No. 112. p. 247.
Spling Meeting (1965).
108. C. C. Mai and J. C. Looney, -"emicond. Prod. Solid Siule fechllOl. 9(1).19 (1%6).
109. J. Lawrence, Eleclmchem. Soc. Extend. Ab,tr. 12-2,466 (1972).
110. V. Harrap.in "SemiconductorSilicun 1973" (H. R. Huff and R. R. Burgess, ed. ). pp.
354-362. Electrochem. Soc., Princeton. New Jersey. 1973.
III. R. Herring and J. B. Price, Ele<lff,,hem. Soc. Extend. Abs/r. 73-2,410 (1973).
112. 0, S. Herman, M. A. Schuster, and H. G. Oehler. Eleetrochem. Soc. t:xtelld. AbJtr
71-1,167 (1971).
I U J. J. Gajda. Annu. Proc. R .. liub. Ph)'l .. 12th p. 30 (1974).
114. P. J. Holme, and J, E. Snell. ,\fiavel,clmn. Reli"b, S, 337 (I%b).
115. T. W. O'Keeffe and R. M. Hardy, Solid-SlUle Elecrr"n. 11, 261 11%11).
lib. R. A. Moline. R. R. Buckley, S. E. Ha,zko. and A. U. MacRae.Il::t:t: 'fr"tls. l:.lntr""
On'icel ED-ZO, 840 (1973).
117. G. Bell and J. Hoepfner. ref. 26. pp. 47-55.
!
v-I. CHEMICAL ETCHINlj
-lli\
118. W. A. Pliskin. lftill Solid hlm.1 2, I (I%S)
119. L. A. Murray and N. ullld,mith,1.I:Jec/,ocilcl/J So, 113.
120. I:l. Swaroop. ill "Thin Film Dleicctrk," IF. V,aIIlY. "d.l. p. 407 I.Icci,ucilcIIL
New York, 1'16'1.
121. W. A, Ph,l-.in and R. P. Unall. 1. /:'Ie!'!to, h, II/, .\",. III, ! 1"/..1)
122, D. M. Brown, W, E. Eng.:la. M. Garfin.c!. a",11 . K. HCllm','"I .. / 1/" I,,,, ",,111. \'"
114, 73U 11%7)
In W. Kern. RCA RI'>'. 29, 557
124. W. A. Pli,kin and H. S. L"hm,m.l, L/cctr,,,i,"III. So, 112,1(1111%')
125. D. II. Gralllham and J, Swindal. 1111. M" III..!" /["". \\/11/1. p. I tX Ilil S"oc flytllid
Microclc.:tron. Montgomery. Alabama 11'J7'1
126. T. Kublna, 11'11.1. AI'I'I. Plry.\. II, 141.\ !
127. P. C. Huang and P. M. Schaible. Eicct',,"h<'ttI ,\",. /-.II<'Ild, .,\1"" 1tJ-l. 7'4 (I'nh)
M, J. RanI.!. 1. t:ledrocht'ltl. Soc. 114,274 (1%71
12'1, S Krongclh. /:'Inlro('II(,III. h't/II'''/. 6, 2'1 11'I6K).
I3U. W, Kern and J. P. White. RCA R"I'. 31. I 11'17111
I". Y. AVlg"!. l. B.:ingk",. and M, Schieber. J, 1./,.. "", II, III. \", Ill. 110 I I 1'1/4/.
132. N. Goldsmith and W, Kern. RCA RCI', 211. 1.'.\ (1'!h7J.
133. B. I,:. Deal. P. J, Fl..-mlHg, and 1'. L <:a'tlo, .1. /-I,., I"" h"l11 ,\ .., 115. HIO ,I'lloK I
114. M L. Barry, It1 "Chcnll.:al V.,pnr DCP'h'I""," LI. M Illo"h". I, .<IId J ( W,lh,'"
':lb.), pp. 59.\-bI7. EIc':lrochem. So.: .. New YOlk, l'nO,
135. E, L, Mal.:'Kcnna, [)ro{" ond.jJC PrO( , Plod (.tll} pp 71 X" lild ('PH!
Manage .. Chicago. IlIi"oi, Ilnl).
1.10 L Hall. I Lin /I", hettl. SO" 1111, 1500 1197
37. W. KClii. RC.-I R('I. 37, 7K 11'170),
W. Kern. RCA Rn. 37, )' 11<170).
Il<i, M. l.. ll.!mmoIH.I "lid (i M, IlI)IN':!". 1'ml\ . .\/<'1<11/, \", . .-\1.\/1- 14!. '41,
14U T. L. (,hll. J. R. SIC<.l,,". and (, A, Urubc" 1/(1111 \/"illl/ )," ,\1\1/ 2.11., 12 I t%KI
141. W. J. KIIIII, JI.. R. I I,lll'. and J. B, Waglle,, I, 1 11,,,,,,,Ii,,,, \'" Ill. \11
11\175).
A. K, (j,un<.l (; K. Ad.C'IlIa'lH, V J. 1.",'.11 ,11".1 I{ BI,lIlcl I.ltl h,
.\"1 122. ,\7 \ 1197)).
14.1. J, K,"ildllllan and J. (J1ll.,""ih, J. Lint"', h('lll \," 411' 11'l',',
144. H. (. 1.1111 and \ W I .. u". Ihill Solid Fillll' -ll I t'J771
145. J, Kr.ul<;hman . .I . .-11'1'1. 1'/" I .\/1,4)23 (1%7).
140. J. 1/. Alexander. R. J. Ju}ce, ;lOd H, F. Sil'lilllg, III 111111
!Jlck.. llk"
(F. Vralny. ed.). pp, 140-21lX F1eclro.:hcm. Su" New yo,k, 1%')
147, Ref. IU2. pp. 3b2-374.
147a. A. G. Revc" an<.l K. H. Zainingcr. RCA RI'I'. 29.22 (I%XI, r I (1111, / \ ," \, I
6, 25 (I %'1).
J. Dey. M. Lundgren. and S H,urd. "lIl/"1. I'h"lo/('IIII .\01/111 /',,,, V,II 2 P 4
(P,I'I21:l1. i-:aslman Kodak ('II . Rllche,ter. New Yurk II%X).
14'J. H. 1'. Wolf. ref. p. 372.
ISO W, A. Phkin and R. P. beh. leI'. 26. pp . .\7-41>
III L E. Katl and W. (' ElJman.l. t/ntr", hl'm . .\", 123, 11'171"
In. S. C, H. Llfi an<.l I. J. Pug.I':lMUla\,kICWICl, J A/)/,I. 1'/,,,. 43. II'! II'fl2)
153. L J. PugaclMura"kicwl\:l and H. R. IlanrmlmJ, 1. , '), I /'" 1,,1<,1 14. 4'1 11'177)
1\4. E. T Fitzgibbon,. K. J SlaJ,,". ,111<.1 W. II. 11.,,1\'.115 . .1. Unllll,/',III \'" ii'
11972)
l54a. M. Yokozawa, H. Iwa,", anJ I. 1 cramoto. 1/," 1. .-I/I/,I. 1'/lI" 7. 'Jio I I%X I
486

WERNER KERN AND CHERYL A. DECKERT
IS4b. D. R. Harbison and H. L. Taylor. in "Thin Film Dielectrics" IF. Vratny. cd.). pp.
254-218. Electrochem. Soc. New York. 1969.
155. M. Balog. M. Schieber, S. Patai. and M. Michman, J. Cryst. Growlh 17,298 (19721.
156. Y. W. Hsueh and H. C. Lin. Anna. Rep" CO'll Electr. Insul. Dieleelr. Phnwm. p. SIS
( 1974).
157. E. Kaplan, M. Balog. and D. frohman-Bentchkowsky, J. ElectrociJem Soc. 123, 1570
{I 976).
158_ W. H. Knau..enberger and R. N. Tauber. J. Eleclrochem. Soc. 120,927 (1973).
159. J.P.S. Pringle, J. EIt'Clrocht'm. Soc. 119,482 (1972).
160. P. W. Wyatt. J. EleClrochem. Soc. 122, 1660 (1975).
161. B. H. Hill,J. Elec/fOlht'm. 50('.115,668 (1969).
162. J. Grossman and D. S. Herman. J. Eleclrochem. Soc. 116,674 (1969).
163. H. M_ Day. A. Christou. W. H. Weisenberger, and J. K. Hcrvonen.J. Eleclro/-hem.
Soc. Ill, 769 (1975).
164. R. N. Tauber. A. C. Dumbri. and R. E. Caffrey,J. Electrochem. Soc. 118,747 (1971).
165. J. A. Abaaf, J. Electrochem. Soc. 114,948 (1967).
166. N. Hashimoto, Y. Koga, and E. Yamada. in "Thin Film Dielectrics" (F. Vralny.
cd.). pp. 327-331. Eleclrochem. Soc . New York. 1969.
167. Y. Koga, M. Malsushita. K. Kobayashi. Y. Nakaido. and S. Toyoshima. in "Thin
Film Dieleclrics" (F. Vramy. ed.). pp. 355-377. Electrochem. Soc., New York. 1969.
168. M. T. Duffy and W. Kern. RCA Rn. 31.754 (1970).
169. M. MUlOh. Y. Mizokami. H. Malsui. S. Ha&iwara. and M. Ino. J. Elt'clrochem. Soc.
Ill. 987 (1975).
170. H. Kallo and Y. Koga. J. Elt'c/fochem. Soc. 118. 1619 (1971).
171. A. J. Learn. J. Appl. Phy . 44, 1251 (1973).
172. K. lida.J. Elutrochem. Soc. 124,614 (1977); M. Hirayama and K. Shohno.J. Elen",
chem. Soc. Ill, 1671 (1975).
173. E. Ferrieu and B. Pruniaux.J. EI('(lmcht'm. Soc. 116,1008 (1969).
174. H. Harada. S. Saloh. and M. Yoshida. IEEE Trans. Rehab. R.25, 290 (1976).
175. R. G. Friescr. J. Ele,-Irochem. Soc. 113,357 (1966).
176. T. N. Kennedy. Electron. Packag. Prod. 14(12). 136(1974).
177. R. S. Nowiclr.i. J. Vae. Sci. Techno!. 14. 127 (1977).
178. S. K. Tung and R.E. CaffreY,J. Eleclrochem. Soc. 1l4, 257C. Abstr. RNP-24 (19("..
179. V. Y. Doo and P. J. Tsang, EleclfOchem. Soc. Ex/end. Abslr. No. 16. p. 33. Spnng
Meeting (1969).
180. P. J. Tsang, R. M. and S. Cvikevich. J. EleClroch,m. Soc. 123,57 (19761.
181. K. M. Schlesier. J. M. Shaw. and C. W. Benyon. Jr. RCA Rev. 37,358 (1976).
182. G. C. Schwartz and V. Plauer, J. Eleclrochem. Soc. 122, 1508 (1975).
183. A. Reisman. M. Berlr.cnblit. J. Cuomo. and S. A. Chan. J. Eleclrochem. Soc. III,
1653 (1971).
184. M. F. Ehman. J. Electrochem. Soc. III, 1240 (1974).
18j. H. M. Manasevit. J. Electrochem. Soc. Ill, 293 (1974).
186. J. M. Green. J. Eleetrocht'm. Soc. 119, 1765 (1972).
187. M. Safdar, G. H. Frischal. and H. Salge, J. Am. Ceram. Soc. 57, 106 (1974).
188. B. Siesmayer. R. Heimann. and W. Franke. J. Cryst. Gro"'lh lB, 157 (l975).
189. I. I. Baram. Zh. Prikl. Khim. 38, 2181 (1965).
189-... M. Balog. M. Schieber, M. Michman, and S. Palai. Thin Solid Films 41,
190. W. Kern and R. C. Heim, Electrochem. Soc. EXltnd. Abstr. No. 92. p. 234. Spnn,
Meelinllll9(8).
191. W. Kern and R. C. Heim. J. Elt'clrochem. Soc. 117, 562 (1970).
v-I. CHEMICAL ETCHING -lX?
192. W. Kern. G. L. Schnablc. and A. W F"hcl. R( A Rn. .17, ! 11'176,
193. J. M. Eldridge and P. Balk, Trans. Mellll! . . AIMJ:' 242. '\!'III%HI
194. E. H. Snow and B. E. Deal, J. t:ledmchef/l ..Ioc. 113,26.\ 11'11>1>,
195. W. Kern, Elel:troch<'1I1. S,J(. Ex/end. Ab.ltr. 76-1, 11'.1 (19761.
196. A. S. Tenney and M. Uhazo. J. Elt'ctruchelll. Soc. 1l0. UNI 11'11 I)
197. S. Ni,himatsu and T. Tokuyama. EIt'Clrocilt'lII. SOl. Extend. :111.111 No. 170, p
Fall Meeting (1967).
198. T. Tokuyuma, T. MiyalaJ..i. and M. Iloriul:hi. in "Thin Film ll,d"LI ..,, II' VI"III).
ed.!. pp. 297-326 Ele":llochem. Soc.. New York. 1969.
199. W. Kern and R. C. HClm,J. Ekelrochon. Su ... 117.568 (!'!7(),
200. K. Jinno, H. Kinoshila. and Y. Matsumoto, J. Un Imcl,,'/II .. 124. 12'iX {1'I7
201. K. Chow and L. G. Gani.,c,", J. EI"clrociJelli. S",. 124, 1133 (1\l77l.
202. P. F. S'hmidt. W. Van Gelder. and J. Dmbck. J. /:'/... Ir."I"'/Ii. So,. 115,7') II%X,
203 P. BalJ.. and J. M. [eldridge, Prtle. 11:'1::'" 57. 15.'iK 1196'),.
204. K. Sugawara. T Y chhirni. and U. Sakai, 1/1 "Chemic;11 Vapo,. Dermll""l." !-11th IlIIel
national Conference(J. M. Blocher, Jr. and H E. HilHcrmall. cJ, I. PI'. 407412 lice
trochem. Soc .. Princeton. New Jer,ey, 1975
2il5. W. Kern and A. W. Fi"her. RCA Rn. 31.71 'i {1\l70).
206. A H. EI-Hoshy . ./. "'lee/radll'IIL Soc. 117. ISH.! (1970).
207. D. M. Brown and R. P. Kcnni(:ott,J. t'I"clrocilnll. Soc. lUI, 11'171)
2011. L. Rankel Plauger. J. t,'It'1'lrocilem. SOl 1l0, 14211 (1973).
209. F. N. Schwettmann, R. J. and D. F. Cole, J. /:''1('('1/0,11<'111 Sa, 120, I ,toto
1973).
210. R. O. Schwenker. J. 1,:I(',lrod,mL Soc. 11M, 3IJ 11'171/.
211. S. S. Chang. U.S. Palent .I.7H4.424 (1'174).
212. W. Kern.J. Eleclroc/tellt. Suc. 116,251(, (19691.
213. J. Wong. J. Eleclro,.}'t't1L Soc. 119, 1071 (1972).
214. M. Ghcl.loanJ D. M. Brown.J. Eleclrvcill'lIl. Soc. 120, IlUll'ill,
215. R. B. fair. J. Eleclfoch,'/II. Soc. 119, 13119 (19721.
216. F. C. Everslcijn. Philip; Re.l, Rep. 21,379 (1906).
S. K. Tung and R. E. Caffrey. J. EI",'lmciJem. So,'. 117.9111')7111
218. P. J. Tsang. R. M. Ander,on. and S. Crikcvich. J. Ell'( In/( h,'1/1 lB. II'lih)
219. S. M. Spiller. B. Schwartz. and G. D. Weigle, J. 122,.197 I
220. T. Sugano and Y. Mari. J. I:.'/edrocht'm. So<. 121, 113 (19741
221. G. W. Morey. "The PropertIes llfGlass," 2nd Ed .. Ch. 4. R<:lI1hold. New Y,"J.., 1'1'4
222. L. Holland. "The Properti", of Glass Surface,," Ch,. J and 5. WII"y. New 1'1(>4
223. T, Yoshida and M. Koyama. U.S. Patenl (1974).
124. M. Dumesnil und R. Hewitt, J. Electrocil"",. Sue. 117, Ill() Ilnlll
225. F. M. Ernsberger. J. Alii. Caun!. Suc. 42,.175 (1'}59).
126. W. A. Pli,kin. J. Soc. 114,620 (1967).
227. D. M. Mattox and G. J. Kominiak, J. EIt, Iwchem. Soc. 120, I'" 1147.11
228. W. A. Pliskin, P. D. Davidse. H. S. Lehman. and L. I. Mai"cl. /fiAt J. R"I On II,
461 (1967).
229. H. N. farrer and F. J. C. ROSSOlli, J.lllmg. Nac/. 011'111. 26, I'W) (llJt;.Il.
230. J. T. Milek. "Silicon Nitnde for Microeieciloillc Appiu;all<'ll, , P,If! I 1'1 ep,lI ,III""
and Properties." pp. New Yorio., 1971.
231. C. A. Deckert.J. EIt'C/rodlenl. Soc. 124, 32U (197M).
232. W. van Gelder and V. E. Hauser. J. Elec/rod"III. Soc. 144, Xb'i 11'.167).
233, D. C. Miller. J. Eleclrocht'ffl. Soc 120, 1771 (19731.
234. C. A. Deckert. unpublished observations (1975).
WERNER KERN AND CHERYL A, DECKERI
235. W. Kern and R. S. Rosier, J. Vac. Sci. Technol. 14, 1082 (1977).
236. E. A. Taft. J. EleClT"chem. Soc. 118, 1341 (1971).
237. R. Gereth and W. Scherber, J. Soc. n9, 1248 (1972).
238. Y. Kuwano. JpII. J. Appl. Phy. 8, 876 (1969).
239. M. J. Rand, Ell.'c/rochem. Suc. Ex/end. Abslr. 77-2,419 (1977).
240. W. A. Lanford and M. J. Rand. Soc. Exfelld. Ab.lr. 77.2,421 (1977).
241. V. D. Wohlheiter and R. A. Whitner. J. Ele("/f{)chem. Sac. 119,945 (1972).
242. H. J. Stein.J. Ell.'c/roll. Mult'r. 5, 161 0976).
243. V. D. Wohlheiter. J. Elecrrochem. Soc. 122, 1736 (1975).
244. G. J. Kominiak.J. Elec/fOchml. Soc. 122, 1272 (1975).
245. C. J. Mogab, P. M. Petroff, and T. T Sheng. J. Elecfrochem. Soc. 122,815 (1975).
246. A. W. Stephens. J. L Vossen. and W. Kern,J. ElectroLhem. Soc. 113,303 (1976).
247. C. J. DeIl'Oca, J. EIt'Clfl}chefll. SOL 121", 1225 (1973).
248. D. M. Brown. P. V. Gray. F. K. Herrmann. H. R. Philipp. and E. A. Taft.J. Ul.'clro"
chem. Soc. ISS, 311 (1968).
249. M. J. Rand and J. F. Roben. J. Elenwchem. Soc. 120.446 (1973).
250. H. Nagai and T. Niimi, J. 1:..'1('('1",(11<'111. Soc. 115,671 (1968).
250a. T. Yashiro, J. Elec/rochem. Soc. 119,780 (1972).
251. D. R. Turner, J. Elu/f{)chetn. Soc. 107,810 (1960).
252. D. L Klein and D. J. DStefan. J. Elt'Clrochem. Sac. 109. 37 (19621.
253. H. Robbins and B. Schwartz. J. E/ec/rochem. Soc. 106,505, 1020 (1959).
254. H. Robbins and B. Schwartz, J. Elalrochem. Sac. 107, 108 (1960).
255. B. Schwaru and H. Robbins, J. EleClf{)chem. Soc. 108,365 (1961).
256. B. Schwartz and H. Robbins.J. Elt'cfruchem. Soc. 113, 1903 (1976).
257. A. F. Bogenschutz. W. Kru.cmark. K ...H. LOcherer. and W. Mussingcr. J. EI" I",..
chem. Soc. 114,970 (1967).
258. S. M. Hu and D. R. Kerr, J, I::lnlrocht'm. Sac. 114,414 (1967).
259. W. Hoffmeister. Int. J. Appi. Rudia/. 1,.,,1. 2, 139 (1969).
260. E. Cave. RCA Solid State Di" . personal communication (1972).
261. G. R. Booker and R. Stickler. 8r. J. Appl. Phys. 13,446 (1962).
262. G. Das and N. A. ONeil. IBM J. R<,s. D.:v. 18,76 (1974). yb
f263. c. J. Schmidt, P. V. Lenzo, and.E. G. Spencer. J. Appl. PhYL)Mt, 4080 (In5).
264. A. I. Stoller. R. F. Speers. and S. Opresko, RCA Rev. 31, 265 (1970).
265. J. Freyer. J. Elt'Clr()C'hem. Soc. 122, 1238 (1975).
266. B. A. UnvaJa. D. B. Holt, and A. San,J. EieclfVchem. Soc. 119, 311i (I'}72).
267. R. E. Blaha and W. R. Fahrner.J, EleC/rochem. Soc. 113, 515
261!. C. Raet.zel. S. Schild. and H. Schlotterer. Eleclrachem. Soc. EXI.:nd. Ab>lr. 74-2.
336 (1974).
269. M. J. J. Theunissen. J. A. Apples. and W. H. C. G. Verkuylen. J. Eleclrocht'm. Sot.
117, 959 (970).
270. Ref. 21. p. 199. Table 7.3.
271. R. R. Stead, U.S. Patent 2,973.253 (1961).
272. Ref, 5, p. 370.
273. "Book of ASTM Standards." ASTM. Philadelphia. Pennsylvania. 1967.
274. P. J. Holmes. Prof'. InSi. Elecl. Eng., I'llrl B. Suppl. 17.861 (1959).
275. Telefunken. AG. Brit. Patent 962,335 (1964).
276. M. Chappey and P. Merilel. Fr. Patent 1.266.612 (1961).
277. R. J. Jacodine. J. Appl. Phys. 36, 2811 0965).
278. M. V. Sullivan and R. M. Finne,Elec/rochem. Soc. Meet. Abs/r. No. 156. Fail
(1960),
279. B. A. Irving. 8T. J. Appi. Phys. Il, 92 (1961).
v.. l. (,Hl:.MICAL ElCHIN(;
'fK')
2MO. B. S,hwartz and H. Robbin,. J. /,It-elroeitl'lll . .\(),. III, 1% (1%4,
2MI. B. A. Irving. 1. J-:ietlmcli<!f1I. SOC'. 109, 120
2S2. D, R. Turner. ref. 32, Ch. 4.
2S3. J. W. Fau,t. Jr .. in "Reactivity of Solid." iJ. W Mitchdl. R (. Ilc V HC,. R. W. R"
berts, and P. Cannon, cd,.). pp. 337-343. Wiley. NcI' York. 1%')
2l14. M. F. Ehman, J. W. Fau,t. Jr., Hnd W. B. While . .I /:/n{/""'O/l ,\'" IIH.144;
11971)
2M5. B. Schwartz. 1. l:Jetlnll'ht'lIl. S"c. 114, 21i'i ; 1%71.
2M6. W. Pnmak. R. Kampwirth. anu Y. Dayal . .J. 1:/", II", h011. .\"1. 114. HI' ,1').,>7J.
2M7. P. R. Camp. J. EII'CI",cll"lII. Soc. 102, (1'1'1')
J. P McKelvey anu R. l.. Longjm. 1. Ap/I/. 1'/", 25,11.14 (1,)'.1,
B. W. Ballerman. J. Appl. Phy>. 28, Inb
290. Ref. p. J69.
2')1, F. L. Vogei. W.G. Plann.H. E. Corey, and I,. 1:.11101"'''.1'/1\1./(" 90. 4X'I I I'h\)
R. D. Heiuenreich, U.S.
lY3. Ref 32. p. 3M!.
2<)4. P Wang. SY/"ullia Tec/'"ol. II, 50 (1'158).
2,}S. G. A. Geach. B. A: Irving. anu R. Phillip>. Rnl'''/', h 11.011.10111 HI. 1/'1)7)
2% W. W Harvey anu H. C. Galos. J. Elec/roc!"IIJ. So,'. 105,654 II''''',
2'J7. H. C. Gal,,, and M. C. Lavine. J. t'/cclro,.11,'/IJ. SOl, 107.43 \ I I '!hIli
2'11!. W. K. Zwicker and S. K. Kurtl. "Semiconductor Silicon l'I7l" III R lIuli "uti k k
Burge", elb.). pp. 315-326. Electrochemical SOl' .. Princeton. Ncv, k"C). ''I7l
I. J. Pugacl.. MufU'lkic"icl and B. R. Hammond. J. Va, '", 1"111,,,1 14. 4'1
( 1'1771
300. H. A, Waggener, R. C. Kragne". and A. [ .. Tyler. 1111. Nnuoll /1,.", nl/,,I AI",!
No.lll.p.&H(I9671.
301. A. 1. Stoller. RCA Re" 31.271 (19]0).
JU2. H. A. Waggener. R. C. Kragne", and A. l.. 1,1<: . FIe, lrullll 14012\), 27! 11%7.
JU.l H. A. Waggcnerand J. V. Dalton. EI,'uro,hl'lll . .'I", /:'."0,'/.04/,,/1 72.. 2.5H711,)72,
304. J. B. Price. ill "Semlcondu.:tor Silicon 1971" ill R. Huff amI R R I!llIg",'. I',h ,
pp. 33'1-353. Elcclrochem. Soc. Princeton. Ne" J""cy, 1'17\
l), P. Clemen. EI"ClrO""I'III. Soc. f:. I,/II/. Alnll. 73.. 2.40711')/ \,
306. D. F. Weirrauch. 1. Allpl. P/,)',. 46, 1478 (1')7'1
307. K. E. Bean. R. L. Yeakley. and T. K. P"",dl. 1.'/", 1I0eh('/l/ . .\", /., I, Ifd ..11,,11. 74.. 1.
68(1'174).
JOI!. M. J. Declen:q, J. P. DcMoor. and J. P I.,"f/hell. /:In 11o, h,!Of \,,,. 111,1/.1'\/;'11
75.2.446 (197:1)
JO'J. D. B. Lee, J. App/. Phy.>. 4U. 456'111%9).
310. M. J. Dedcrcq. L Gel/berg. and J. D. Melnul, J. /,.1.... /100 hnl/ \," 122. "\4' 11')1')
311. R. M. Finne and D. L. Klein. 1. 1::leclroeht'lll. S,,,. 114, 11%71
312. M. A.ano. T. Cho. and B. Muraoka. 1::1".-/1'''( ItI'IIl. So, /",,11.1 A/JlI/'. 76.. 2.
( 1916)
313. I. Bas,uu. H. N. Yu, and V. Maniscalco. 1. /:Ie, lrutllCfII \",. 123.172'111')]/)1.
J14. K. E. Bean and W. R. Runyan. J. Ell'ctr".-""",. So,. 124.5& 11'177,
315, T. R. Payne and H. R. Plumlee, If.LE 1. So/id.\11I1< ('in uil.l S(, .. H, n 11'17.11
J 16. K. E. Bean and J. R. 1.aw.on, IEEE J. So/,d,Shll, Circuil.l S(,\!. III 11'1)4 I.
317. W. Tsang and S. Wang. J. AI'!,I. Phl'.>' 46.2164 11\1751
J18. C. R. Hamona and H. W, Branuho"t. If.r:t.l'/wlonol/ui, .\/1(, ( .. !I/ I'"" ,:>,11,
dale. AZ pp. 44-48 (1915).
319. F. Restrepo and C. E. Ba.;ku. IEt.t [rUIl.I Uee/,,," On" n . /).. 2.1. 11')'111'))1
310. R. K. Smdtur. J. EI.:clr""III'II1. .\0" 122. IlJho 11'1751.
-----_ ..
490 WERNER KERN AND CHERYL A. DECKERT
321, R. C. Ellis, Jr., J. Appl. Phys. 15, 1497 (1954); 18, 1068 (1957).
322. A. Uhlir, Bell Sysi. Tech. J. lS, 333 (1956).
323. G. L. Schnable and W. M. Lilker, EleClrQchem. Techllol. 1,203 (1963).
324. Ref. 33, pp. 297-308.
325. G. R. Booker and R. Stickler, 1. Eleclroehf.'m. Soc. lOll, 1167 (1962).
326. M. V. Sullivan, D. L. Klein, R. M. Finne, L. A. Pompliano, and G. A. Kolb, J. Ele('
lroehem. Soc. 1l0, 412 (1963).
327. C. E. HaJlas, Solid Slale Technol. 14(1), 30 (1971).
328. R. L. Meek,J. Elec/foehem. Soc. 118,437 (1971).
329. H. J. A. van Dijk and J. de Jonge, J. Eleelmchem. Soc. 1l7, 553 (1970).
330. R. L. Meek, J. Elee/fochem. Soc. 118, 1240 (1971).
331. M. J. J. Theunissen, J. Eleclrochem. Soc. 119,351 (1972).
332. M. J. Hill, J. Eleclroehem. Soc 120, 142 (1973).
333. C. P. Wen and K. P. Weller, J. EleC'lruehem. Soc. 119,547 (1972).
334. T. I. Kamins, Proc. IEEE 60, 915 (1972).
335. l. Kamins, J. Eleclrochem. S", . 1,286 (1974).
336. T. I. Kamins, Solid,SIaI" Elec/r"n. 17,667 (1974).
337. H. A. Waggener, Bell Sy,-I. Tech. J. 49,473 (1970).
338. H. A. Waggener and J. V. Dahon, Eleclmehem. Sue. EXlend. Absl,. 70-2, 450 (1970)
339. A. Manara. A. Ostidich. G. Pedroli, and G. Restelli, Thill Solid Films 8, 359 (1971).
3,w. H. D. Baroer, H. B. Lo. and J. E. Jones, J. Eleclrm'hem, Soc. Ill, 1404 (1976).
341. J. Sanada. K. Furuno, K. Shima. and T. Momoi, Jpn. J, Appl. Phys. 16,299 (1977).
342, R. Memming and G. Schwandt, Surf. Sci. 4, 109 (1966),
343, P. H. Bellin and W. K. Zwicker, J, Appl. Ph)!s, 41,1216 (1971)
344. Y. Walanabe, y, Arita, T. Yokoyama, and y, Igaraschi, J, EleCirochelll. Soc 112.
1351 (1975).
345. y, Arila and y, Sunohara, J. Elee/rochem, Soc. 114.285 (1977).
346, Y. Yashiro, K, Sailo, and T, Suzuki, Ele<lrochem. SoC, Ex/elld. Abs/r, 74-2. 351
(1974).
347, J. B. Price and W. C, Roman, Eleclrochem. Soc, Ex/end. Absir. 722,584 (1972).
348. G. L. Kuhn and C. J. Rhec,J. Elec/rochem, Soc. 120, 1563 (1973).
349. J. P. While, RCA Solid Slate Division. unpublished observalions (1'04),
150. A. Bohg, J. Elu/rochem. Sot'. 118,401 (1971).
351. H. Muraoka. T, Ohhashi, and y, Sumilomo, ill "Semiconduclor Silicon 1973" (H, R.
Huft'and R. R, Burgess, eds.), pp, 327- 338. Electrochem, Soc.. Princellln, New Jer
sey, 1973.
352. Y. Yasuda and T, Moriya, in "Semiconduclor Silicon 1973" (H, R. Huff and R. R.
Burgess cds.). pp. 271-284, Eleclrochem. Soc., Princelon, New Jersey, 1973.
353. R. E. Chappclow and P. T. Lin, J. Eleclmcht'm. Sul. Ill, 913 (1976).
354. J. Lawrence, Can, Palenl 903,650 (1972).
355. Y. Sumilomo. K. Niwa, H. Sawazaki, and K. Sakai, ill "Semiconduclor Silicon
1973" (H. R. Huff and R. R, Burgess, cds.). pp, 893-904, Electrochem. Soc" Princ.:
Ion. New Jersey, 1973.
356. Y. Sumitomo, T. Yasui, H. Nakatsuka. T. Oohashi, H, Tsulsumi, and H, Mumola,
EIt'Clrochem, So... Ex/el/d, Ab>/r, 72-1.74 (1972),
357. K. E. Peterson, Appl. Phys, Lm. ll, 521 (1977),
358. H. Guckel. S. Larsen, M, G. Lagally, G. Moore, J. S, Miller, and J. 0, Wiley, Appl.
Phys. Let/, ll, 618 (1977).
359. y, S, Chiang lind G, Looney. RCA Laboratories, unpublished observalions (1970).
360. P. Rai-Choudhury, J. Soc. 118,266 (1971),
v-I. CHEMICAL ETCHING ..j ') I
361. S. E. Mayer and D, E. Shea, J, EleClmchulI . .1," 111,550 (1%-1).
362, L. J. Stinson.J. A, Howard, and R. C. Neville,J. t.II'drochem, So, 12J, 551
363. G. A. Lang and T, Slavish. RCA Rev. 24, (1%3)
364. W, H, Shepherd,J, Eleclro..hem, Soc, Ill. 'IHM (1%5),
365, L. V. Gregor. P. Balk, and F. J. Campagnil, IBM J. He.\. [)n'. 'I, n7 (1'16.\)
366. W. Runyan. "Silicon Semiconductor Technology." pp. 72-7.1. MdirawHtll, N"v.
York, 1%5,
367. K, E. Bean and p, S, Gleim. Proc, IEEE 57, I I 'lilY).
368. K. Sugawara. Y. Nak"lawa, and Y. Sugila, iJeClrochelll, Tn ,,,,,,1. b, (196M)
K. Sugawara, J. tJt!c/roehl'lII. Soc. 1111. II()
370. M. Drumimki and R. G"ssner, J. ('rnl. GrowllI 31. 312
371. A, Rei,man and M. J. EI('('I,,,, "<'III. Soc. 112, KI2
372. L. D, Dyer, AlChE J. 18, nil (1972).
373. J p, and R, Ulmer,J, EIt'('/m(h"III, S",. 118,634 (19711
374. P. R:.u-Choudhury and A. J. Norcika,J, EI"Clnnhl'lfI . .10, lib, "f) I I'In'})
375, J. p, Di,muke, and E. R. Levin, ill "Chcnucal I',oc"",ng 01 I\ta
teriab" IL. D. U,,;ker and J. Childrc", p. 13.1. Am. ",,) Ckm. I'''g , N"v.
York,
376. E R. Levin. J. P. Dj,mukc" and M. D. CUlI(h, J. 1,I<'iI''', hu" I", liN, 1171 (I'!!I
377. T. LOw. G. A Gruber, and R Sticklcr.J. /:1"/,, ...111'111 ..1",.11.1, I'h 11%(,1.
378. W. O. Oldham and R. H,)lm'trom, J. E/ITI/O' "CIIl. SO!'. 114, IX I (19h'l)
379 J, A. Amick. E. A. Ruth, and H. HCA Hn. 24, -17\ 11%\)
3KO. T, L. Chu and R. W, Keirn, J, Ut'('/IOC"t'lIl . .10, lib, 12td {I%'I,
381. R. J, Walsh and A, H. Herwg, U.S. Palen! \.170,27311%31
382. E. Mendel, Selllico"d. P,od. Solid S/u/e Inilll"/ 10, :'7 (1%71
383. T. M. Buck and R. L. Meek, Na/. Bur. S/and (U.S ), . 1',,101 No \ 17. pp. 41 'I
430 (InO)
384. J T. Law. Solid .I/ale 1",111101. 1411),25 (('i7I).
385. R. B. Herring, Solhl S/a/I' lechllol, 19(51,37
386. E. L. Kern. G, L Gill. and P. Rioux, in "Semiconductor S,licun I'J77 'III K lIull "n,)
, E. Sirtl, pp. IK6. Elet:lrochem, Soc" Princdun, Nev,. k,,"y,
387, F. Mendel and K. Yang, Pr"c. [I:;EE 57. 1476 (19(9).
388. L. H. Blllke and E Mendel, Solid S/ale feci",,,1 UII). 11'17111
A, I{ei,man <lnd R. Rllhl, J. f.i<'l'Imcilt'lli . .\"'. III, 1-12-' 11'16-0
3'IIJ, V. L. J. Ehelr", /"'11/. Soc. 119, (1'1721.
391. H. Hartnagcl and B. L. Wels" J. Mu/a, .\d. 8, lOll I (1973).
3'12 T M, Bu,k, ill "The Suli'a,e Chemistry or Mela!> al1d SCl1l1UlI""" 1,>( " II! ( (""""
cd.), pp. Wiky, New York, I%U.
393. R, B,S,'per,Nal.fJII,.S/lIlId. (U,S.),Sp,', Pllb!, No.1.n.p!, 41: -1IXII'170,
394. A. C. Bonora, ill "Semiconductor Silicon If! R. Hull dud I cd, I, PI'
154-16'1, Ek<:ll'llchem. Soc" Prin'don, Ncv. Jcr,,:y. 1'177
J. W. Fau,t. ill "Sil";,)/1 ('albide" (J. R. 0'('0111101 allJ J Smillell'. cd, , r 4(H 1',"
gamon, Oxford, 1960.
396. R, W. Barllel1 and M. Bll/low, J, E/cc/rtwi1t'1l1.I",. 117, 1-1.I/l ll'JIIiI
3'17. W, V, Muench and I. Pflltrencder, Thill Solid Fillll.1 31,.l'i
R. C, Smith, t:leClruchem. Soc. Ex/elld, Ab,l/r, No. 1.1, Fall MCCllIIg (1%.1)
39'.1. T, l.. Chu and R. B. Campbell, J. Eleu"" /"'1/1. Soc. 112, ;/" 11%'/
400. R. W. Brander and A. L. Boughey, Br J. App/. Phn. 18, ')(1.' (1%71
401. M. V. Sullivan and G, A. Kolb, J. Ei<,urudmll. Soc. 110, ,\X' , 1')(0\,
402. C. S. Fuller and H. W. 1:.'1"1/10' Ii,.,,,, Soc. 109.8XII,I%21
492
WERNeR KERN AND CHERYL A. DECKERT
403. Y. Tacui. Y. Komiya, and Y. Harada,J. I:Jectrochem. Suc. U8. 118 (1971).
404. J. C. Dymem and G. A. Rozgonyi, J. Electrochem. Soc. U8. 1346 (1971).
405. D. W. Shaw, J. Electr()chem. Soc. 113,958 (1966).
406. I. Shiota, K. Motoya, T. Ohmi, N. Miyamoto, and J. Nishizawa, J. Elercrochem. Soc
124, 155 (1977).
407. M. V. Sullivan and L. A. Pompliano, J. Eleclroehem. Soc. 108. 60C (1961).
408. S. !ida and K. Ito, J. Electrochem. Soc. 118,768 (1971).
409.1. J. Gannon and C. J. Nuese, J. Eiec/mchem. Soc Ill, 1215 (1974).
410. R. D. Packard,J. Electrochem. SUI'. 112,871 (1965).
411. R. W. Bicknell, J. Phys. D 6, 1991 (l973).
412. E. W. Jensen. Solid SIGte T"hnol. 16(8),49 (1973).
4\3. O. Wada, S. Yanaghawa, and H. Takanashi, J. EleClrot'hem. Soc, 123. 1546(1976).
414. W. T, Tsang and A. Y. Cho, Appl. Phys. LeII, 30,293 (1977).
415. J. L. Merz and R. A. Logan,J. Appl. Phy. 47, 3503 (1976).
416, L. A, Koszi and D, L. Rode, J. Electrochem. Soc. 122,1676 (1975).
417. J. G. Grabmaier and C. B, Watson. Phys. Status Solidi 32, K13 (1969).
418. M, Otsubo, T. Oda, H. Kumabe, and H. Miki, J. EI<'Clrochem. Soc. 123.676 (1976).
419. J. L. Richards and A. J. Cro<.:ka, J. Appl. Phy.. 31,611 (1960),
420. 1. G. White and W. C. Roth, J. App/. Ph),s 30,946 (1959).
421. D. N, Nasledov, A. Y. Palrakovd, and B. V. Tsatvenkov, Zh. Tekh. Fiz. 28,779
(1958),
422. E. Biedermann and K. Brack, J. Electruchem. Soc. 113, 1088 (1%6).
423. Ref, 21. p. 199, Table 7.4.
424. E. S. Meieran. J. Appl. Ph)", 36,2544 (1965).
425. G. H. Olson and V. S. Ban, Al'pl. Ph)", Letl. 28,734 (1976).
426. T. Ambridge, C. R, Elliot, anJ M. M. FaklOr. J. App/. Eleuwchem. 3, 1 (1973); 4, 135
tl974).
427. M. M. Faklor, D. G. FiJdyment, and M. R. Taylor, J. J::Jeclroch"/f1. Soc. 122, ISOt>
(1975).
428. Y. V. Pleskov, Dokl. Akl/d. Nuuk SSSR 143, 1399 (I962L
429. C. J. Nuese and J. J. Gannon, J. E/eclf<)('hem. Soe. 117, 1094 (1970).
430. A. Yamamoto and S. Yan". J. Eie<lrocht'm. Sot'. 122,260 (1975).
431. D. L. Rode, B. Schwartz, and J. V. DiLorenzo, Solid-SlUle I:.l('(tron. 17.1119 (1974).
432. B. Schwartz. F. Ermani" and B. H. Bra'tad, J. SoC, 123, IOM'I (1970).
433. C. Lin, L. Chow, and K. Miller, J. Ufcl/'ocht'lll. Suc 117, 407 (1'!70).
434. R, Bhat, D, J. Baliga. and S. K. Ghandhi, J. Eleclrochem. SOL 121, 1378 (1975).
434a. R. Bhal and S. K. Ghandhi, J. Elulf{Jchem. SOL 124, 1447 (1977).
435. R. P. Tijburj! and T. van Dongen, I E/,'Clmchem. So('. 123,687 (1976).
436. W. G. Oldham, Elt!t'''ochelll, Technol. 3,57 (1%5).
437. A. G. Sigai. C. J. R. E. En,lrom, and T. Zamern,ki.J. Eleuf<,,hem. Sot. 120,
947 (1973).
437a. Ref. 8, p. 38.
438. M. I. and Y. S. Boyankaya, Sov. Phys.-S,,/id Slale 8, 1976 (19671.
439. R. H, Saul, J. E/ectrmhem, SO" 115, 1185 (1968).
440. E. HaJkova and R. rremunt, Ph) . Statu. Solidi A 10, K35 (1972).
441. N. E. Schumaker. M. Kuhn, and R. A. Furnage, Jt.EE ]'mns. Eleccron Del'ice; ED-III,
627 (1972),
442. T. Uragaki. H. Yamanaka. and M. Inoue, J. Elec/rochem. Suc. 123,580 (1976).
443. W. H. Hackel!. Jr.. T. E. McGahan, R. W. Dixon, and G. W. Karnrnlotl, J. LI,,t.,,
"hem. Sot'. 1111,973 (1972).
v-I. CHEMICAL ETCHING .j ') \
444. N. E. SchurnakerandG. S. Rozgnnyi,1. f:i,."."tI,,III .. 119,I':lIll'JI':1.
445. L. Ronkel Plou!!cr, 1. 1:;lcc/r",hem. Soc. 121,4', (1))]4).
446. A. Mikh, J. Sot'. 123, 1256 (1'1761.
447. B. [J, Ch,,,c, D. H. Hll/t, and H. A. Unvala. 1. Un 11", 11t'/lL .\p,. 119, 11011<)721.
44S. R. L. Meek and N. E. Schllmaker,1. t:/ec/ro, ''''111. .\", 119, 114!1 11'1721
449. 1'. L. Chu and R. W. Kelm, Jr., J. 1:le('/r<lch"III . .\", 122,9'15 i l'n'l
450. M. J. Rand anJ J, F. Rober". 1. I:'ieuruchu". Su,. liS. (I'IOX)
450a. M. Hirayamo anJ K. Shomo, J. /:;!,'clrochn/l. So, 122. 1671 11'/7\1
451. T L. Chu. M. Gill. and S. S. Chu, 1. H,'ow, helll. S,,, IB,2"I,1'1/61
452. H C. Galo, and M. C. Lavinc.I lilcc{IO,h.. lII, So, 107, 4n 11%11,
4.\.1. L L. (,hu, J. I:'inrrociJt'III. Sot'. 118, !2(JO (1'171)
454. J. L 1. 1:'It't'/r", helll . .)',1('. 119, IIIH (1'1721
455. A. Shinlani and S. Minag,,"'" I Elatroc""If) . .\,,,. 123,706 (l'lll>l
450. A. T. Churchman, G. A. Gcach. and J. Winton. Prot. II SP' .. .\,., \ 2J!I. 1'14 (l'I'hl
457. J. W, Fiuht and A. Sag,,,, 1. ApI'/. Phys. 31. 331 11'1001
458. E. P. WarckUl> anJ PH. Mctlgcr,1. AI'l'I. Plln .'0, %0 (19"11
459 L. 13ern,tcin. 1. 1-:1(', lr>,dH'lII. Soe. 109,270 (1%2).
460. B. L. Sharma, .\oliis(tI{" /:'Iedmll. 9, 12K (I'IMI.
461. B. Tuck and !\ J Baker . .I. Mlltcr. Sd. 8, 1559 i 1'I73)
462. V, Wrick. G. J. Scilla, I. I:. Ea'iman, R. I.. Henry, aoJ L 1\1 """gg.nd 11 .. ,11,'11
Lcll. 12, 3'14 11\1761.
463. J. W. Allcn.I''',I".I. Mog 2,1455 (1'157).
464. J. F. Dewald,l. 1:'I,'(lr""""1I1. .';oe. 104,24411'1571.
465. 1. D. Venable, and R. M. HrolJ<Jy. I AI'I'I. 1'1/\ I. 29, 1025 II<J\XI; 'ee ,Ii", I'l'l ''''".!
commUIliCatIon, cilcJ III D. ll. Hoi!, J. Al'pl. I'hn. ,11,223111%01.
466. A. Rc"mall, M. 13erkenblil. J. Cuomo, and S. A Chan. I /;I,., I"" I" n, .\,,, 1111, 16,
11'171 I.
467. M. F Ehman.l. l:icclI',,, h"III. S",. 121, 1240 i l'n4)
46M. M.I Shehata and R. Kelly,.I. f.'lcuro, h<'lII. So, . 122, 1>''1 II'Ji'1
E. A. Jame,. RCA Laboratorie,. pc"onal '0Illn",,"(.IU,," 11% \ I
J. W. Fau,!. 1. Flnlro, h<'/II. SUl. 10S( 121252(' (1'I'\!lI.
471. J. Wood" Hr. 1. API'/. Phl'.,. 11,296 (1960).
472. E. P. Wan:koi" M. C. Lavine, A. N. Mariallo, and II. ( . (i.ll", .. / ..1/'1,1 /'1" I ..1.1,11'111
(1%2).
473. M. V. Sullivan anJ W R. IIr,ht,1. /'.1(,,11'0'/)<'111 .\", 114,2'1' (I'!h/I
474. W. H. AI'pl. Phy.l. 40, 292M (1%'1).
475. V. Y. Pi"khardt anJ D. L South. J. tkor",h!'!" I", 121.1(1107,1'174)
476. A. A. Prill'harJ and S. Wagner, 1. I:JI'Clr", hOI/, .\",. 124, %1 II'JJ71
477. M.lnoue,l. 1'er"molo. anJ S. Tak"yanagi.1. ,11'1,/1'/1\1, .1-', c'7X 11%2)
478. A. Sagar, W. Lehman. and J. W. Fall'l, 1. AI'I'I. Phl'.l. 39, .'lIl\ II%XI
479. Ref. !S, p. 41.
4l!O. Ref. ii, p. 42.
481. L Teramoto alld S. Takayanagi, 1..41'1'1. 1'111'1 . .12, II') 11% II.
482, S. G. Parker and J. E. Pinllcl!. 1. EI,'clrrwh,1I1. Su,. 11K. IX6i1, 1'17
4S}. Ref. 1'1, p. 43.
484. J. C. C. Fan and F. Ha,;hncr,1. f:Jcctn"ht'lll . .1"'.122,1711) II'Ihl
4!S5. J. Kane. W. Kern. anJ H. P. S"hweiLcr. 'fiJi" -,>,,11" Fillll'\ 29, I" 11'1/'1
4l!b. J. A. Thornton and V. L. HeJgcnlh, 1. I',,, 1<1. /t''/I1i"I. 13, 1I111'l7hl
4S7. G. Brad,haw anJ A. J. Hughe,. lhin .\"lid hll/II .B, U Ilnt.1
4l!iI Ref. 6. pp. 256, 261-2112.
494 WERNER KERN AND CHERYL A. DECKERT
489. R. F. Brebrick and W. W. Scanlon.J. Chem. Phys. Z7, 607 (1957).
490. H. Abrams and R. N. Tauber,J. Elenruchem. Soc. 116, 103 (1969).
491. B. B. Houston and M. K. NOrT, J. Appl Phys. 31, 615 (1960).
492. M. K. NOrT, J. Eleclrochl'm. Soc. 109,433 (1962).
493. E. Levine and R. N. Tauber, J. Eleclrochem. Soc. liS, 107 (1968).
494. Ref. 19. p. 35.
495. A. R. Calawa. T. C. Harman. M. Finn. and P. Youtz. Trans. AlME 1.42, 374 (1968)
496. Ref. 19, pp. 38-39.
497. R. MulO and S. Furuuchi. Asahi Garusu Kenkyu Hokoku lJ, 27 (1973)
498. D. J. Daliga and S. K. Ghandhi. J. Eleclrochem. Soc. 11.4, 1059 (1977).
499. H. B. Bullinger. U.S. Patent 3,615,465 (1971).
500. H. Kusakawa. T. Yata, and W. Fujinaga. Jpn. Patent 75 85.895 (1975).
501. J. Kane. W. Kern. and H. P. Schweizer. J. Eleclrochem. Soc. IlJ. 270 (1976).
502. D. A. Vermilyea. Annu. Rev. Maler, Sci. 1,373 (1971).
503. R. Weiner. Mt!lolioberJliiche 27, 441 (1973).
504. T. Agatsuma. A. Kikuchi. K. Nabada. and A. Tomozawa. J, DeC/mehem. Soc. IlZ,
825 (1975).
505. W. Kern and R. B. Cominoli. J. Vac. Sci. Technol. 14,32 (1977l.
506. H. K. Johnston and T. L. Larson. U.S. Paten! 3.702.273 (1972),
507. "Applications Data for Kodak Photosensitive Resists," Pamphlet po'll. Ea>lman
Kodak Co. Rochester. New York (1966.,
5011. W. N. Greer. Plating (EaS! Orange. N.J.) 48. 1095 (1961).
509. J. R. Sayers and J. Smit. Plaling (East Orange. N.J.) 48,789 (1961)
510. K. Nanwlr.a and M. Maeda. Jpn. Patent 73 08.706 (1973).
511. M. C. Zyetz and A. M. Despres. Am. Val'. Soc. Symp., /Jlh, EXlend. ADslr. p. 169
(1966).
512. F. Okamato. Jpn. J. Appl. Phys. 13.383 (1974).
513. Dynachem Tech. Dala. "Elchants for Melals and Thin Films." Lithoplale. Covina.
California.
514. R. F. Frankenthal and D. H. Eaton. J. Eleclrochem. So('. IlJ, 703 (1976).
515. T. A. Shankoff and E. A. Chandro,s. J. Electrochem. Soc. 122.294 (1975).
516. A. Rogel, Rev. Sci. Instrum. 37, 1416 (1966).
517. F. WoilSch. Solid State Technol. 11(1),29 (1968).
518. D. M. Brown. W. R. J. W. Sprague. and P. J. Saivagni.ID::.E Trans. Electron
Devices ED-18. 931 (1971).
519. A. R. Janus. J. Eleclrochem. Suc. 1111,392 (1972).
520. "'ncidentiallntelligence About Kodak Resists," Vol. 7, No I, p. 4. East
man Kodalr. Co. Rochesler, New York (1969).
521. G. S. Kelsey.J. Eleclrochem. Soc. 11.4,927 (1977).
522. V. H. Choo and 0. F. Devereux. J. Eleclrochem. Soc. IlJ, 1868 (1976),
523. T. Talr.amura and H. Kihara-Morishita. J. f,leclrochem. So('. 122,386 (1975).
524. C. W. Halsted and M. W. Haller. Eleclrochem. Soc. Extend. Abslr. 76-1,748 (1976).
525. J. W. Dilile. in "Oxides and Oxide Films" (1. W. Diggle, ed.). Vol. 2, Ch. 4. Dekker.
New York, 1971.
526. J. D. MacChesne),. P. B. O'Connor, and M. V. Sullivan, J. Electrochem. Soc. 111.776
(1971).
527. W. Sinclair. D. L Rousseau, and J. J. Stancavish, J. Eleclrochem. Sot'. 1ZI,925
(1974).
528. G. W. Kammloll and W. Sinclair. J. Eleclroehem. Soc. 111,929 (1974).
v-I. CHEMICAL!: !OllNG
529. S. J. Licht. J. Electroll. Mala. 4,757
530. T. Kasai. Jpn. J. Appl. Phy;. 14, 1421 (IY751
531. D. C. Miller. 1. E'-lnlrO( hem. Soc. 120. 1771 (IY73).
532. I. Haller, M, Hatlakis. and R. Srinivasan, IBM 1. }(n. 0('\'.12, ,'I (I%S)
533. M. Zamin. P. Mayer. and M. K. Murthy. J. t.ke/rOt'hem. S"e. 123. IJ77 (1'176)
534. "Lange's Handbook ofChemi;try" (N. A. Lange, ed.l. IOlh Ed. Mdil"w-BIJI. Ncv.
York. 1967.
535. J. B. Mooney and J. O. J. EICelr"d,,'m. Suc. 11.4.62511'1771.
536. J. W. Johnson, S. C. Chen. J. S. Chang, and W. J James, Com. I. .'In. 17, Mi.l (1'I77l.
537. Y. Okinaka,J. Ekelmchnn. Soc. 117.28'1 (1'170)
538, R. D. Arll1slfllng. ('"mH. Su', 11.6'01) (1'1711
539. R. D. Cowling and A, C Riddiford, t:/,'Clro,l,illl. ,11/0 ')81 (1%'11
540. W, K. Behi and J. E. roni. J, Eleclrow/(/I, Chnn. 31,63 (I'nl)
541. Becc" Bulletin No. Y7. Be.:co Chern. Oiv .. Uuff"I\!. Ncv. Y nrk
542. Becco Bulletin No. 'N. Bcccu Chern. Div., Uuffaitr. Ncv. York
543. Becco Bulletin Nil. 102. Becco Chern. D,V., Uullalo, New Y"lk
544. R. J. Schaefer anti' J. A, Blodgett. 1. Ekelrochol/. Soc. 12.\ 17Ut (I'no).
545. P. Jacquet. Rev. Metall. (Paris) 42. 133 (1945).
546. D, Landolt, R. H. Mulier, and C. W. Tobias,J, t.It'f'lrocilt'IIL .\p, 1111, 4U (I'III)
547. K. Umeda. Jpn, Patent 7501,3.1 I (1975).
R. P. Frankenthal and O. E. Thompson, 1. r.'l",lro..h .. lII. So... 123,7"') {1'171l)
549. F Huber. W. Witt, and I. H< Prall. P"I< .. Valroll. COUlI'Otlt'tJ/I (un/. p 66 t 1%7,
550. Y. Ohno, T. Matsuoka. and K. Miyaji, Jpn. Patenl 7411,.1737 t1Y74)
551. R. N, CaMe llano and P. H. Schmidt,J. t,leclnrcht'fn ..':io<'. 1111.0)-' 11'1711
552. Ref. 14, pp, 7-38.
553. M. Zamin, P. Mayer. and M. K. MurthY,J. f.'l, ..lro",,1/I So... 12-1. I,'X
554. L. A. Colom and H. A. LeVine. US. Patenl 3.63'1.IH5 IIns).
555. G. D. Barnet[ and A Miller. U,S. l'atenI3.2.12.1I0.1 (1%6)
556. R. de Uernardy and L. F. Oonaghcy. t:/,cll'll'/o""' . .\(If'. bl"II,1 ..1/1.,11. 76-2, (>-1M
(11J76).
.. Handbook llf Chembtry and PhYSICS" (R. C. Weasl and S. M. Sdhy, cd, I, 4l\1\l ....J.
Chern. Rubber Pub!. Co, Cleveland, Ohio, 1'167 19611.
558. K. Baba. Jpn, Palent 74 47.225 (19741.
559, M. J. Graham, G. I. Sproule. D. Caplan. and M. Cohen,J, /:.'I,'c/r", hnl/ . .\1". 1J9.l\8\
(1972).
500 B. MacDougall and M. Cohen. 1. Ueelro,ilelll. Soc 123. I'll i 1'i761.
561. M. S. Shivaraman and C M. Svensson. J. /:.'Ieclro!'!It'm. Soc. lB. I "'ill 11'1761
562. M. J. Rand. J. Elee/roehl'm. Soc. 122,811 (1'0175).
563. M. J. Rand and J. F. Rllbens,l!.ppl. Phy,< L('I/. 24. 4Y (19741
564. E. J. Kelly, Pro", Inl. CcHlgr. Mel. Corml .. 51h, Tokyo, IY72 p. 1.17
565. E. J. Kelly. J, Eleelroch'III. Soc. IlJ, 162 (1976/.
566. J. W. Johm.on and C. L. Wu, J. Ele('[mch"m. Soc. 118, J\iU911'i71 i
567. G. S. Kelsey, J. EI"Clrocilelli. Soc. 124,81411'0177/,
568. T. P. Dirkse. D. DeWit. and R. Shoemaker, J. l:'Inllocht'lIi. So, 115.4-1211'IMI
569. M. Eisenberg. H. F. Bauman. and D. M. UrcHner, J. /:.'Icdro, hem. Soc. 108, ')\1'1
(1961).
570. R. D, Armstrong and G. M, l3ulman.1. EleC/rtl<uwl. Ch .. "" 25, 121
571. R. W. Powers and M. W. Breiler. J. Elalrocll ..m. Soc. 116. 719 (1%')1.
572. F. M. Cain, "Zirconium and its Alloys," Am. Met" Column,,,. Oh,u. 1953
\. !'1;1 ">'lUI''';)'''!I) 1\ 1:1'-' "PH H -1\j,;lUl' -\ H fhi;)
;>-:4t,: I 1: 1 ' j J ;::-(\;: \'", "':'(1'\ l'IJ,I}'l I
.. )\"\ ;11, I ,,\ l<,-'I{"
';"''-H,' f)f,r '-II\!i
Y i' -,'
'Ii
r r
U1
PU"tl
\.1' '1 "]'\ i,',
l\
tl
LJ_::
t _,
, , . 1 [, <lHd .. ,( ',.; : J i:
l}j : "i 1 1 ( , \ 1 '-,.,.. II; ( i ,.. ,;.-:; "'! tl \" r ' y,

You might also like