Download as pdf or txt
Download as pdf or txt
You are on page 1of 1

Date: December 15, 2022 afficheur7segment.

vhd Project: afficheur7segment

1 library.ieee;
2 use ieee.std_logic_1164.all;
3 entity bcd7segment is;
4 port(data:in std_logic_vector(3 downto 0);
5 7segment:out std_logic_vector(0 to 6 ));
6 end bcd7segment;
7 architecture afficheur of bcd7segment is
8 begin
9 with data select
10 7segment<=
11 "0000001" when x"0";
12 "1001111" when x"1";
13 "0010010" when x"2";
14 "0000110" when x"3";
15 "1001100" when x"4";
16 "0100100" when x"5";
17 "0100000" when x"6";
18 "0001111" when x"7";
19 "0000000" when x"8";
20 "0000100" when x"9";
21 "1111111" when others;
22 end afficheur;

Page 1 of 1 Revision: afficheur7segment

You might also like