Professional Documents
Culture Documents
VHDL Multiplexor
VHDL Multiplexor
VHDL Multiplexor
LIBRARY ieee;
USE ieee.std_logic_1164.all ;
Wo W1
ENTITY mux2to1 IS PORT ( w0, w1,S
: IN
: OUT STD_LOGIC ) ;
END mux2to1 ;
f
S
ARCHITECTURE Behavior OF mux2to1 IS BEGIN
;
WITH S SELECT
f<= w0 WHEN ‘0’,
w1 WHEN OTHERS;
END Behavior
Multiplexor de 4 a 1
library IEEE;
use IEEE.std_logic_1164.all;
entity mux4to1 is
port (
y : out std_logic;
sel1, sel0, x3, x2, x1, x0 : in std_logic
);
end entity;
begin
end architecture;
Multiplexor 8 a 1
library IEEE;
use IEEE.std_logic_1164.all;
entity mux is
Y : out std_logic);
end mux;
begin
if (enable==0)
then
begin
begin
case sel is
end process;
else
Y <=0;
end if;
end mux8;