Jes Asmussen, D Reinhard - Diamond Films Handbook-Marcel Dekker (2002)

You might also like

Download as pdf or txt
Download as pdf or txt
You are on page 1of 686

Diamond Films

Handbook
edited by
Jes Asmussen
D. K. Reinhard
Michigan State University
East Lansing, Michigan

MARCEL

MARCEL INC.
DEKKER, NEWYORK BASEL
D E K K E R
ISBN: 0-8247-9577-6

This book is printed on acid-free paper.

Headquarters
Marcel Dekker, Inc.
270 Madison Avenue, New York, NY 10016
tel: 212-696-9000; fax: 212-685-4540

Eastern Hemisphere Distribution


Marcel Dekker AG
Hutgasse 4, Postfach 812, CH-4001 Basel, Switzerland
tel: 41-61-261-8482; fax: 41-61-261-8896

World Wide Web


http://www.dekker.com

The publisher offers discounts on this book when ordered in bulk quantities. For more
information, write to Special Sales/Professional Marketing at the headquarters address
above.

Copyright 䉷 2002 by Marcel Dekker, Inc. All Rights Reserved.

Neither this book nor any part may be reproduced or transmitted in any form or by any
means, electronic or mechanical, including photocopying, microfilming, and recording,
or by any information storage and retrieval system, without permission in writing from
the publisher.

Current printing (last digit):


10 9 8 7 6 5 4 3 2 1

PRINTED IN THE UNITED STATES OF AMERICA


Preface

It has been known for over two centuries that diamond is a particular form
of carbon. Since we live in a world where carbon in general is omnipresent
but carbon in the form of diamond is extremely rare, there has been long-
standing interest in the development of ways to convert ordinary forms of
carbon to diamond. A distinctive method of diamond synthesis at low pres-
sures, in which diamond is metastable with respect to graphite, is often
referred to as the ‘‘new diamond technology’’ to distinguish it from high-
pressure diamond synthesis, in which diamond is the stable form of carbon.
Over two decades of intensive science and engineering have gone into de-
veloping and understanding low-pressure diamond deposition. Diamond
Films Handbook is a comprehensive source of information for readers in-
terested in the details of how low-pressure diamond synthesis is achieved
as well as how the diamond may be used for practical applications.
In low-pressure diamond synthesis, common sources of carbon, such
as methane, are transformed by chemical vapor deposition to diamond at
atmospheric or subatmospheric pressures and at temperatures that are com-
mon to materials processing. We are fortunate to include in this book a
chapter on the history of this amazing achievement, written by John C.
Angus, a pioneer in the field. An important practical result of this synthesis
approach is the ability to deposit diamond in layers, or films, over substrates
of dimensions not previously possible. Currently, the capability exists to
synthesize layers of diamond over surface areas as great as several hundred
square centimeters. A host of applications are based on this diamond dep-
osition capability combined with the exceptional physical properties of di-
iii
iv Preface

amond. The latter include extreme hardness, high thermal conductivity, low
coefficient of friction, high degree of chemical inertness, low electron work
function, low infrared and microwave absorption, and wide bandgap
semiconductivity.
In fact, there are competing methods of achieving low-pressure dia-
mond deposition. The three that have received the most attention in the
literature are the arc jet method, the hot-filament method, and the microwave
plasma method. However, other methods also show significant promise, in-
cluding optical assisted deposition and combustion synthesis. This book de-
votes a chapter to each of these methods, describing them in significant
detail. Thus, the reader may compare apparatus requirements, processing
conditions, and deposition results. To provide a better understanding of the
various deposition methods, a chapter that provides the basic physics and
chemistry of diamond film technology, as well as a chapter that describes
diamond film quality characterization techniques, precede the deposition
chapters.
As for applications of diamond formed by low-pressure deposition, the
scientific and engineering literature has addressed far too many potential
applications for each to be considered in detail in one book. As is often the
case in new technologies, the path of applications development has deviated
significantly from early projections. Speaking broadly, the technical and mar-
keting difficulties in developing applications-based products have been more
difficult than initially envisioned. This book includes four chapters address-
ing applications that provide useful information on the types of issues gen-
erally important in product development. Two chapters discuss applications
for which products based on low-pressure diamond deposition are available
in a broad market sense: cutting tools and thermal management. Two addi-
tional chapters discuss applications for which low-pressure diamond prod-
ucts are primarily in the development stage: optical applications and elec-
trical applications.
We have been working jointly on diamond deposition since 1987. Our
knowledge of microwave plasma source design and plasma-aided deposition
led to an invitation from the Norton Company’s Diamond Film Division to
participate in the field of diamond synthesis. Over the past 15 years, it has
been remarkable to participate in and observe the evolution of the new
diamond technology. Progress in the field, starting with initial efforts to
deposit an exotic and useful material at low rates and in small areas to the
current capability to deposit films with thickness up to 1 mm and over large
areas, has been dramatic. For us it has been an exciting and invigorating
experience, and interesting personal relationships have been established in
the process that have contributed to many lasting memories.
Preface v

Phenomenal advances in the field have resulted from methodical


worldwide collaborations among those in industry, academia, and govern-
ment laboratories. What is the future going to bring? People across the world
are working on this technology, and we expect it will continue its rapid pace
and development and that applications will appear in areas not yet envi-
sioned. Thus, it is our hope that this book will provide those currently work-
ing in this area, and those contemplating entering the area, with a useful
source of information, reference, and education.
Diamond Films Handbook is intended to serve as a valuable source of
information for readers interested in low-pressure deposition of diamond
films and their applications. This is made possible by virtue of the chapter
authors who have contributed their time and their unique expertise. We thank
them for their contributions to this book, as well as to the field in general.
We also express our gratitude to the publisher Marcel Dekker, Inc., and for
the editing skills of Rita Lazazzaro and Ann Pulido, who made this book
possible.
Professor Asmussen thanks his wife Colleen for her years of patience,
support, and tolerance of his cluttered offices. Professor Reinhard thanks his
family, Sharon, Sarah, Daniel, and David for their long-standing support and
much valued encouragement along the way. Both editors are grateful to the
students with whom it has been their good fortune to work.

Jes Asmussen
D. K. Reinhard
Contents

Preface iii
Contributors ix

1. Introduction 1
Jes Asmussen and D. K. Reinhard

2. A Short History of Diamond Synthesis 17


John C. Angus

3. Film Characterization Methods: Structure and


Composition 27
Ludwig Josef Balk and Ralf Heiderhoff

4. Deposition Chemistry: Deposition Pathways, Nucleation,


and Growth 55
David S. Dandy and Michael E. Coltrin

5. Thermally Assisted (Hot-Filament) Deposition of


Diamond 119
Joseph E. Yehoda

6. Plasma Torch Diamond Deposition 141


Joachim V. R. Heberlein and Naoto Ohtake
vii
viii Contents

7. Microwave Plasma-Assisted Diamond Film Deposition 211


Timothy A. Grotjohn and Jes Asmussen

8. Combustion Synthesis of Diamond 303


Colin A. Wolden

9. Laser-Assisted and Optical Pumping Techniques for


Diamond Synthesis 325
Vish V. Subramaniam and Shashi M. Aithal

10. CVD Diamond Solutions for Machining and Other


Mechanical Applications 425
Brian L. Cline and James M. Olson

11. Diamond Heat Spreaders and Thermal Management 511


Ajay P. Malshe and W. D. Brown

12. Diamond Active Electronic Devices 579


Hiromu Shiomi

13. Diamond Film Optics 607


D. K. Reinhard

Index 665
Contributors

Shashi M. Aithal* Center for Advanced Plasma Engineering and Non-


Equilibrium Thermodynamics Laboratories, Department of Mechanical En-
gineering, The Ohio State University, Columbus, Ohio

John C. Angus Department of Chemical Engineering, Case Western Re-


serve University, Cleveland, Ohio

Jes Asmussen Electrical and Computer Engineering Department, Michi-


gan State University, East Lansing, Michigan

Ludwig Josef Balk Department of Electronics, University of Wuppertal,


Wuppertal, Germany

W. D. Brown High Density Electronics Center and Department of Elec-


trical Engineering, University of Arkansas, Fayetteville, Arkansas

Brian L. Cline Cline Innovations, LLC, Sterling, Massachusetts

Michael E. Coltrin Chemical Processing Sciences Department, Sandia


National Laboratories, Albuquerque, New Mexico

*Current affiliation: Novellus Systems, Inc., San Jose, California.

ix
x Contributors

David S. Dandy Department of Chemical Engineering, Colorado State


University, Fort Collins, Colorado

Timothy A. Grotjohn Electrical and Computer Engineering Department,


Michigan State University, East Lansing, Michigan

Joachim V. R. Heberlein Department of Mechanical Engineering, Uni-


versity of Minnesota, Minneapolis, Minnesota

Ralf Heiderhoff Department of Electronics, University of Wuppertal,


Wuppertal, Germany

Ajay P. Malshe High Density Electronics Center and Department of Me-


chanical Engineering, University of Arkansas, Fayetteville, Arkansas

Naoto Ohtake Department of Mechanical Sciences and Engineering, To-


kyo Institute of Technology, Tokyo, Japan

James M. Olson Process Technology Development, Fairchild Semicon-


ductor, South Portland, Maine

D. K. Reinhard Electrical and Computer Engineering Department, Mich-


igan State University, East Lansing, Michigan

Hiromu Shiomi Sumitomo Electric Industries Ltd., Itami, Japan

Vish V. Subramaniam Center for Advanced Plasma Engineering and Non-


Equilibrium Thermodynamics Laboratories, Department of Mechanical En-
gineering and Chemical Physics Program, The Ohio State University, Co-
lumbus, Ohio

Colin A. Wolden Department of Chemical Engineering, Colorado School


of Mines, Golden, Colorado

Joseph E. Yehoda Diamonex, Inc., Allentown, Pennsylvania


1
Introduction

Jes Asmussen and D. K. Reinhard


Michigan State University, East Lansing, Michigan

I. DIAMOND FILM TECHNOLOGY—AN OVERVIEW

Advances in diamond science and technology represent a remarkable


achievement in materials research and development. Diamond is, of course,
not a new material. Many of the unique properties of naturally occurring
diamond have been appreciated since time immemorial. Neither is the syn-
thesis of diamond particularly new: reliable methods of forming diamond
from carbon-containing compounds were reported in the middle of the twen-
tieth century. What is remarkable is the current capability of synthesizing
diamond structures and layers of dimensions not previously possible, with
deposition areas up to several hundred square centimeters and direct depo-
sition on a wide variety of substrates. This achievement has been made
possible both by an improved scientific understanding of how diamond is
formed and by a significant engineering development of chemical vapor
deposition (CVD) systems designed specifically for the deposition of dia-
mond. During recent years, it has become widely recognized that polycrys-
talline and homoepitaxial diamond can be deposited using a variety of CVD
techniques. These new and relatively simple synthesis methods led to a
worldwide research effort devoted to improved synthesis, to understanding
the properties of the CVD films, and to applications of diamond.
Figure 1 shows a simplified phase diagram of carbon. From this it may
be seen that diamond is the minimum energy state, and therefore the stable
form, of carbon at high pressures and temperatures, that is, at pressures of
thousands of atmospheres and temperatures of thousands of degrees Celsius.
Graphite, however, is the stable form of carbon under ordinary temperature
1
2 Asmussen and Reinhard

Figure 1 Phase diagram for carbon. This simplified phase diagram for carbon
shows that at sufficiently high temperatures and pressures diamond is the stable, or
minimum energy state, of carbon atoms. At lower temperatures and pressures, graph-
ite is the stable form. Under ordinary conditions for temperature and pressure, near
1 atm and room temperature, diamond may be considered a metastable form of
carbon. Even though it is not the minimum energy state, it does not spontaneously
convert to graphite.

and pressure conditions. One method of synthesizing diamond is to subject


graphite to conditions of approximately 55,000 atmospheres and tempera-
tures of about 2000⬚C. The first group to report diamond synthesis under
these conditions publicly was the General Electric group including Bundy,
Hall, Strong, and Wentorf in 1955 [1]. Since then, a variety of technical
Introduction 3

approaches to achieve diamond growth under such conditions have been


reported, and they are known collectively as high-temperature, high-pressure
diamond synthesis. High-temperature, high pressure processes have been
proved to be commercially successful in terms of producing diamond pow-
der and grit useful in various industrial applications.* However, these pro-
cesses are not amenable to direct deposition of diamond on substrates or to
large-area deposition and are not the subject of this book. Instead, the focus
of the book is on diamond formed under conditions of pressures generally
less than an atmosphere and temperatures less than 1000⬚C. This approach
to diamond synthesis is sometimes known as the new diamond technology.
At ordinary temperatures and pressures, although diamond is not the
minimum energy state of carbon, it is also not an unstable stage of carbon.
In other words, once carbon atoms are in the diamond lattice spatial arrange-
ment, the solid does not spontaneously convert to graphite under low-tem-
perature, low-pressure conditions. Such nonminimum energy arrangements
are known as metastable states. Formation of diamond from nascent carbon-
containing species under metastable conditions is both thermodynamically
allowed and readily achieved under proper deposition conditions. It is the
lower temperatures and pressures associated with this method of diamond
synthesis that have offered the capability of direct deposition of diamond
on a variety of substrates and have opened the possibility of new applications
of diamond. For many such applications, the diamond thickness need be
only on the order of micrometers; hence the structures are referred to as
diamond films.
The applications interests in diamond are based on the exceptional
properties of diamond including extreme hardness, high thermal conductiv-
ity, high electrical resistivity, low coefficient of friction, high degree of
chemical inertness, low electron work function, large energy gap, low infra-
red absorption, and high breakdown voltage. In many cases, the properties
of diamond are superlative. For example, it is reported to have the highest
hardness of any material, the highest thermal conductivity, and the lowest
compressibility. In other cases, the diamond material property is not nec-
essarily the best, but diamond is still highly competitive with other materials.
For example, the coefficient of friction is comparable to that of Teflon. In
any case, diamond is properly considered to be a unique material because
it exhibits an unusual constellation of highly attractive properties of interest
for many applications.

*High-temperature, high-pressure methods have also produced gem quality diamond, appar-
ently not under commercially viable conditions.
4 Asmussen and Reinhard

II. METHODS OF DIAMOND FILM DEPOSITION UNDER


METASTABLE CONDITIONS

A history of the development of diamond deposition methods under meta-


stable conditions is provided by Angus in Chap. 2, and a detailed description
of the key chemical and physics concepts is presented by Dandy and Coltrin
in Chap. 4. The appropriate conditions for achieving low-pressure diamond
synthesis can be obtained by a variety of methods. Generally, as shown in
Fig. 2, these methods have certain things in common, including gaseous
feedstock, a means of providing energy (thermal, electrical, combustion, or
optical) that causes the gas components to dissociate, and a substrate on
which the deposition takes place [2].
The gas feedstock includes at least one source of carbon-containing
species. Most commonly, this is methane; however, a variety of other car-
bon-containing gas sources have been used. The energy provided to the
system breaks down the input gas into a variety of subspecies such as, in
the case of methane, CH3 and other molecules and atoms. It is the carbon-
containing subspecies that are involved in donating a carbon atom to the
growing diamond film on the substrate. In addition to the carbon-containing
gas, most methods use hydrogen gas as a feedstock input. Often, for ex-
ample, methane is diluted to between 0.5 and 5% in hydrogen. The energy
provided to the system also breaks down the hydrogen gas, producing large
amounts of atomic hydrogen. Atomic hydrogen plays an important role in
that it helps maintain the diamond tetrahedral sp3 atomic configuration in
the growing film as opposed to the sp2 configuration, which leads to graphite.

Figure 2 Generic deposition system for metastable synthesis of diamond. There


are many types of diamond deposition systems that are capable of diamond synthesis
under metastable conditions; however, they share generic features. All require a
feedstock that contains carbon. The feedstock is usually gaseous and generally con-
tains hydrogen as well as the carbon source. Energy is provided to the system in
order to dissociate the molecules of the input gases. A variety of energy sources
have been used, including thermal, electrical, optical, and combustion. A substrate
is provided on which the deposition takes place.
Introduction 5

A variety of means have been developed to provide energy to the


deposition system sufficient to break down the input gases. One of the most
straightforward is the hot-filament method. In this method, the gases flow
through a reactor that contains a wire or mesh filament apparatus typically
made of tungsten, which is heated to a temperature sufficient to decompose
significant fractions of the hydrogen and methane gases. Yehoda in Chap. 5
describes the hot-filament method in detail. Alternatively, a variety of ap-
proaches use electrical energy inputs to the deposition system in the form
of dc, rf, or microwaves. Among the more successful of these are the arcjet
method, described by Heberlein and Ohtake in Chap. 6, and microwave
plasma–assisted CVD described by Grotjohn and Asmussen in Chap. 7.
Combustion processes can also be used to provide the necessary species.
Originally this approach used essentially a welding torch apparatus; how-
ever, the concept has also been applied to more sophisticated systems as
described in Chap. 8 by Wolden. Finally, optical energy may be used, and
Subramaniam and Aithal describe laser-assisted and optically pumped meth-
ods in Chap. 9. All of these methods have proved to be effective at depos-
iting diamond under metastable conditions, although each has advantages
and disadvantages as discussed in more detail in the cited chapters.
The cost per carat of producing CVD diamond has decreased in recent
years with improved system development. However, the capital cost asso-
ciated with a production-scale deposition system is still a serious concern
for all the various approaches. The associated economic considerations can
present a barrier for the introduction of CVD diamond products. Therefore,
deposition system development and improvement is a vital aspect of the
future of CVD diamond applications.

III. MATERIAL PROPERTIES OF DIAMOND


A. General Properties
Pure diamond is composed only of the element carbon. The carbon atoms
are arranged in the crystal structure known as the diamond lattice, in which
each carbon atom has four nearest neighbors in the tetrahedral arrangement
associated with sp3 chemical bonds. The nearest neighbor distance is 1.54
Å and the unit cell dimension is 3.567 Å at 298 K. There are 8 atoms per
unit cell and 1.77 ⫻ 1023 atoms/cm3, which is the highest atomic density of
any terrestrial material. The density at 298 K is 3.515 g/cm3. Diamond quan-
tity is often expressed in carats, where one carat is equal to 200 mg, or
about 57 mm3. The quality of diamond specimens varies considerably be-
cause both natural and synthetic diamond may contain impurities and de-
fects. Natural diamond is classified into type I and type II, with the former
6 Asmussen and Reinhard

containing nitrogen as an impurity and the latter being essentially nitro-


gen free. Further information about diamond classification is contained in
Chap. 13.
As previously discussed, diamond is a metastable form of carbon at
ordinary temperatures and pressures, whereas graphite is the stable phase.
With sufficient perturbation, the metastable phase gives way to the stable
phase. For example, if diamonds are heated in an inert atmosphere, the onset
of graphitization is detected at 1800 K. The rate of graphitization increases
such that at 2400 K a 0.1 carat diamond is completely reduced to graphite
in less than 3 min [3]. Diamond is highly inert chemically, except for two
situations. It is susceptible to oxidizing agents at high temperatures. For
example, if diamond is heated in the presence of oxygen, oxidation begins
at around 900 K. Also, diamond is subject to chemical attack by certain
metals at high temperatures. These include carbide formers such as tungsten,
tantalum, titanium, and zirconium as well as solvents for carbon such as
iron, cobalt, manganese, nickel, chromium, and platinum.
Thorough reviews of diamond properties are contained in the literature
[4,5]. Several single-crystal diamond properties of particular interest for ap-
plications are briefly reviewed in the following sections. Later chapters cover
many of these properties in more detail and relate them to deposition con-
ditions and to particular applications.

B. Mechanical Properties
Diamond is the hardest known substance. Diamond also has the lowest com-
pressibility, the highest elastic modulus, and the highest isotropic speed of
sound (18,000 m/sec) of any known material. The degree of hardness is
quantified in terms of both resistance to indentation and abrasion (or scratch)
resistance. One indentation method uses a Vickers indenter with a square
pyramidal diamond point. Another hardness test uses a Knoop indenter in
which a pyramidal point with long and short axes in the ratio of 7:1 is used.
Depending on the crystallographic orientation of the sample, Knoop inden-
tation hardness values are reported to be in the range of 5700–10,400 kg/
mm2 where the values are determined on the basis of the indenter load and
the dimensions of the indentation. As a comparison, the value for case-
hardened steel is approximately 400 kg/mm2. When applying the hardness
concept in terms of scratch and abrasion resistance, the scratch hardness
Mohs hardness value is 10, the largest of any material. Cline and Olson
describe tests related to abrasion resistance of diamond under various con-
ditions in detail in Chap. 10.
In terms of compressibility, the ratio of tensile stress to linear strain,
or Young’s modulus, is 1050 GPa, a value approximately five times higher
Introduction 7

than that of steel. Because of its brittle nature, diamond is not particularly
strong; however, the cleavage strength of diamond is reported to be at least
as great as the tensile strength of tungsten wire, approximately 400 kg/
mm2 [4].

C. Thermal Properties
The thermal conductivity of pure diamond exceeds that of all solids in the
range of 90 to 1200 K and is to an extent limited by isotropic purity because
the naturally occurring 13C isotope acts as a phonon scatterer. At room tem-
perature, the experimental value for the thermal conductivity of high-purity,
natural single crystalline diamond is approximately 20 W/cm-K, which is
about five times higher than the thermal conductivity of copper. Diamond’s
thermal conductivity increases with decreasing temperature, reaching a max-
imum of 42 W/cm-K near 80 K, below which the thermal conductivity
decreases. Impurities, such as nitrogen, reduce the thermal conductivity.
Type I diamonds with 0.1% nitrogen have room temperature thermal con-
ductivity values approximately 50% that of type II diamonds, which have a
negligible nitrogen concentration. Isotropic purity increases the thermal con-
ductivity. Synthetic diamond crystals grown with pure carbon-12 have ther-
mal conductivities 50% higher than those of natural diamond for which the
atomic weight is 12.01 because the material contains 1.1% carbon-13.
Malshe and Brown discuss various practical issues associated with dia-
mond’s thermal conductivity in Chap. 11.
Diamond has the lowest specific heat of any solid in the temperature
range between 0 and 800 K. At 300 K the value is 6.195 J/mol-K. The
thermal expansion of diamond is also low. In the range of 300 to 1200 K,
it increases from 0.8 ⫻ 10⫺6 to 4.8 ⫻ 10⫺6 with increasing temperature.

D. Electrical Properties
The electrical conductivity of diamond is even more sensitive to impurities
than the thermal conductivity. The energy band structure of diamond exhibits
an indirect energy gap with a value of 5.47 eV at 300 K. This is sufficiently
large that at temperatures near room temperature the intrinsic carrier con-
centration is negligible and the material is an insulator with a dielectric
constant of approximately 5.7. In practice, the resistivity of highly pure
diamond is in the range of 1014 –1016 ⍀-cm. However, it is also possible to
dope diamond substitutionally with boron. This introduces holes in the va-
lence band such that the electrical conductivity drops dramatically with in-
creasing boron concentration. Resistivities as low as 0.1 ⍀-cm can be
achieved by boron doping. Consequently, diamond can also take the form
8 Asmussen and Reinhard

of a p-type semiconductor. The Hall mobility associated with holes in dia-


mond is 1600 cm2/v-sec, a value that is considerably higher than the hole
mobility of either silicon or gallium arsenide. The literature also contains
reports of n-type doping of diamond; however, the consistent achievement
of n-type diamond appears at this time to be highly problematic. Shiomi
gives further information about diamond electronic properties in Chap. 12.

E. Optical Properties
It has been argued that pure diamond has the largest optical transmission
bandwidth of any solid material. It extends from an ultraviolet (UV) cutoff
of approximately 225 nm (corresponding to band-to-band excitation) through
the far infrared and into the microwave range and beyond. There is slight
absorption between 2.5 and 6 ␮m due to phonon excitation, with the ab-
sorption coefficient value peaking at about 12 cm⫺1. Throughout the entire
visible region, pure diamond has essentially no optical absorption and is
clear. Impurities can appreciably alter the optical transmission, however. As
described by Reinhard in Chap. 13, impurities of various types can impart
a wide range of colors to diamond and can even cause diamond to be
opaque. Diamond has a large refractive index for a transparent material,
approximately 2.4, and therefore a large reflection coefficient and a small
angle for total internal reflection. Diamond is also photoconductive. There
is a strong photoconductive peak at 225 nm due to excitation of electrons
across the band gap in pure diamond, and in boron-doped diamond there
are also peaks from 1.4 to 3.5 ␮m due to excitation of the deep-lying ac-
ceptor levels.

F. Polycrystalline Considerations
When CVD diamond is deposited on nondiamond substrates, the resulting
film is polycrystalline. The question arises as to how similar the properties
of polycrystalline CVD diamond are to those of single-crystal diamond. The
answer is that for the most part, with some notable exceptions, it is possible
to grow polycrystalline diamond with properties essentially identical to those
associated with single-crystal diamond. One significant exception is that of
fracture strength, which may be measured by loading diamond plates to the
point of failure. Freestanding plates of CVD polycrystalline diamond are
reported to have a fracture strength about an order of magnitude less than
that of single-crystal diamond of the same thickness. The fracture paths in
CVD diamond samples appear to be a mixture of transgranular and inter-
granular with no preferred direction, suggesting that the grain boundaries
are not inherently weak [6]. The fracture origins appear to be at flaws [7].
A second significant difference between even the best polycrystalline dia-
Introduction 9

mond and single-crystal diamond is that of carrier mobility. Hall effect hole
mobilities in p-type CVD diamond are an order of magnitude or more less
than single-crystal values. Finally, polycrystalline CVD diamond samples do
not show the well-defined cleavage planes of single-crystal diamond and so
are not useful for traditional gem applications.
Most other material properties of CVD polycrystalline diamond can be
quite similar to those of single-crystal diamond—provided care is taken to
achieve the desired effect. As a case in point, consider optical transmission.
Raytheon, for example, has reported 350-␮m-thick CVD polycrystalline
samples with optical transmission essentially identical to that of ideal dia-
mond [6]. That is, except for the onset of band gap absorption at 225 nm
and multiphonon absorption in the infrared, the sample is optically clear.
However, the same cannot be said for most CVD diamond samples, which
often show appreciable optical absorption and scattering, to the point of
appearing to the eye to be gray or even black. The difference lies in depo-
sition variables such as the chemical composition of the feed gases, system
purity, and also, in this particular case, postprocessing. The sample surface
was polished to reduce optical scattering effects. In terms of thermal con-
ductivity, the best CVD diamond is reported to have the same value as
single-crystal diamond. However, if CVD diamond is grown with more em-
phasis on growth rate than quality, such high values are not to be expected.
Many nonoptimized CVD diamond samples have thermal conductivities that
are one quarter to one half the value of single-crystal diamond. Even so, the
thermal conductivity is still significantly higher than that of other high-ther-
mal-conductivity electrical insulators such as aluminum nitride or beryllium
oxide. In terms of mechanical properties, experimental studies of Young’s
modulus for CVD polycrystalline diamond have resulted in values close to
that of single-crystal diamond, that is, about 1000 GPa.
In summary, for many (but not all) applications the properties of poly-
crystalline CVD diamond are sufficiently close to those of single-crystal
diamond. However, not all CVD diamond is of the same quality. Therefore
it is important to assess diamond quality. There are a variety of means of
doing this, as reviewed by Balk and Heiderhoff in Chap. 3.

IV. CVD DIAMOND APPLICATIONS


A. Applications Overview
Diamond’s combination of attractive material properties makes it of interest
for a large number of applications. Some of these are listed as follows.
Cutting tool inserts
Coated cutting and drilling tools
10 Asmussen and Reinhard

Rotating seals and wear surfaces


Laser heat sinks
Electronic packaging
Electronic passivation layers
Optical windows
Optical coatings
X-ray lithography
Gyrotron windows
Cold cathode electron emitters
Photon emitters
Acoustic speakers
Active electronic devices
Thermistors
Pressure sensors
Surface acoustic wave devices
Nuclear particle detectors
UV detectors
Lasers
Electrodes for electrochemistry
Several of these applications are considered in detail in Chaps. 10, 11, 12,
and 13, namely applications related to cutting tools, thermal management,
electronics, and optics. The purpose of this section is to provide a brief
overview of the broad spectrum of present and potential applications.

B. Cutting Tools
Among the early successful CVD polycrystalline diamond products have
been those involved in cutting tools of various sorts [8]. One approach is to
grow relatively thick layers of CVD diamond from which separate freestand-
ing pieces are obtained. These pieces are then brazed onto a cutting tool.
Alternatively, the diamond may be deposited directly onto the cutting tool.
Both approaches have proved to be effective in cutting most nonferrous
materials including aluminum, brass, bronze, ceramics, graphite, and glass
fiber–reinforced structures. Diamond end mill, drill, and router products are
available. A thorough treatment of this application may be found in
Chap. 10.

C. Wear Surfaces
The abrasion resistance of diamond combined with the low coefficient of
friction makes diamond of interest for wear surfaces such as bearings and
Introduction 11

seals. For this application, the diamond surface should be smooth. Generally,
polycrystalline diamond film surfaces are not smooth in the as-grown state.
Potential solutions are postprocessing, in the form of polishing; growth with
highly oriented grains such that a relatively smooth surface results; and
growth with extremely small crystallites, sometimes referred to as nano-
crystalline films. This is a potentially significant market for which product
development is still needed.

D. Thermal Management
The high thermal conductivity of diamond, combined in some cases with its
chemical inertness and high electrical resistivity, makes it of interest for a
variety of thermal management applications. Laser diamond heat sinks and
other thermal management substrates formed from CVD polycrystalline di-
amond are examples of available products. Because diamond combines ex-
ceptionally high thermal conductivity with exceptionally low electrical con-
ductivity, it is of considerable interest in electrical packaging applications.
It provides efficient paths for heat flow without compromising the electrical
isolation of individual components. This application area is covered in depth
in Chap. 11.

E. Transmission Applications
Diamond is of interest for several applications in which it provides a window
with high transmittance for various portions of the electromagnetic spectrum.
In the x-ray portion of the spectrum, diamond is of interest for x-ray lithog-
raphy masks. The low atomic number of diamond results in low x-ray ab-
sorption. Another example is in high-power gyrotrons such as are used in
fusion research. This application requires the transmission of very large pow-
ers (megawatts) at microwave frequencies (170 GHz) as well as the ability
to dissipate heat rapidly. The ability to transmit high powers in the optical
portion of the spectrum is of interest to laser designers because the design
of high-power lasers is power limited by damage limits to laser optics rather
than limitations of the laser medium or pumping mechanisms. The scratch
resistance and chemical inertness make diamond of interest as an optical
coating material as well. Optical applications of diamond are covered in
Chap. 13.

F. Electron and Photon Sources


Diamond exhibits a low, and sometimes negative, electron affinity. A neg-
ative electron affinity indicates that the conduction band minimum lies above
12 Asmussen and Reinhard

that of the vacuum level at the surface. Any electrons accumulating in the
conduction band are thus readily available for emission into the vacuum.
Therefore, diamond is of interest as an electron source and particularly as a
cold-cathode electron emitter. Such field emitters are important for the de-
velopment of field emission flat panel displays and vacuum microelectronic
devices. Diamond has also been reported to be a photon source and, in fact,
laser action has been reported based on optically active color centers [9].
Also, diamond-based diodes have demonstrated light emission upon forward
bias. Further information about diamond-based photon sources is contained
in Chap. 13.

G. Acoustic Applications
High-quality loudspeakers coated with CVD diamond are marketed that
show significantly improved performance at high frequencies. One version
of the product consists of two layers of aluminum separated by a honeycomb
structure. One of the aluminum layers is coated with diamond, giving the
unit greater rigidity, which translates into improved high-frequency response.
Surface acoustic wave (SAW) devices rely on interdigitated electrodes
to launch elastic waves within a solid with frequencies in the MHz to GHz
range. Such devices are used in the broadcast industry. Careful choice of
the electrode periodicity and the material properties allows band-pass filters
to be made. High-frequency operation requires very fine electrode spacing.
Because acoustic waves traveling in diamond exhibit extremely high veloc-
ities, diamond offers the prospect of high-frequency (>2 GHz) operation
without the need for technologically challenging electrode spacing [10].

H. Detectors and Sensors


Diamond-based devices are also of interest for detecting a variety of radi-
ation types as well as sensing various physical parameters such as temper-
ature and pressure. For example, diamond thermistors have been proposed
for temperature measurement in hostile environments such as chemical pro-
cessing, gearbox oil, and cryogenics [11]. The piezoresistive effect of dia-
mond has been used to sense pressure, and p-type CVD polycrystalline di-
amond is reported to have a large piezoresistive gauge factor [12]. Diamond
is extremely radiation hard, with a 55-eV displacement energy for a carbon
atom in the diamond lattice. It also acts as an ionizing radiation detector
and is therefore of interest for radiation measurements where exposure to
large doses is required. The large band gap of diamond make it of interest
as a UV detector, based on photoconductivity, that is blind to visible light.
Introduction 13

I. Diamond Electronics
Diamond diodes and diamond transistors have been fabricated on polycrys-
talline CVD diamond. In principle, diamond offers intriguing possibilities
for such devices. Diamond has a large electron saturation velocity and a
high breakdown field in comparison with both silicon and gallium arsenide.
At electric fields above approximately 105 V/cm, the velocity of conduction
band electrons in both silicon and gallium arsenide saturates at about 107
cm/sec. The saturation velocity in single-crystal diamond is over twice this
high. Higher carrier velocities translate to faster switching speeds and higher
operating frequencies. Also, the large band gap of diamond means that even
at elevated temperatures, the intrinsic carrier concentration is negligible. In
addition, the large thermal conductivity of diamond means heat generated
by electronic devices fabricated in and on a diamond substrate would be
rapidly dissipated. Together, these properties indicate a potential for diamond
in high-speed, high-temperature, high-power, high-voltage applications.
In fact, impressive results have been reported for polycrystalline dia-
mond electronic devices with diodes operating at temperatures of 1000⬚C
[13] and field effect transistors with a breakdown voltage above 200 V [14].
However the full potential of diamond electronics has not been realized
because of the polycrystalline nature of the films. Therefore a significant
challenge related to this area is the growth of single-crystal films on non-
diamond substrates with significant areas. Chapter 12 discusses the area of
active diamond electronics in detail.

J. Electrochemical Applications
The electrochemical behavior of boron-doped CVD diamond has proved to
be of interest for electrochemistry applications. Compared with platinum
electrodes, diamond electrodes give a much wider potential range over which
no significant water decomposition occurs. Therefore, diamond electrodes
are suitable substrates for reactions spanning a wide potential range in aque-
ous solutions [15]. They also have the advantage of chemical stability, even
in highly aggressive environments.

V. SUMMARY

The ability to deposit diamond directly over large areas on a variety of


substrates has resulted in a variety of interesting current and potential ap-
plications. In most, but not all, cases, the quality of the diamond is sufficient
for the intended application. However, market development has been slower
14 Asmussen and Reinhard

than many participants had expected. In many cases this is based on eco-
nomic considerations. Customers for a new product are not easily convinced
to commit to significant purchases unless the economic advantages are clear
and significant. Therefore, continued reduction in the cost of CVD diamond
production is important to the future of the field. In other cases, such as
electronic and optical applications, additional product quality improvement
is needed. Thus, improvements in deposition methodology and film quality
also remain a critical area for future work.

REFERENCES
1. FP Bundy, HT Hall, HM Strong, RH Wentorf. Nature 176:51–55, 1955.
2. BV Derjaguin, DB Fedoseev. The synthesis of diamond at low pressure. Sci
Am 233:102–109, 1975.
3. Properties of Diamond. De Beers Industrial Diamond Division.
4. RM Chrenko, HM Strong. Physical Properties of Diamond. General Electric
Technical Information Series, Report No. 75CRD089, October 1975.
5. ER Berman. Physical Properties of Diamond. Oxford: Clarendon Press, 1965.
6. TJ Valentine, AJ Whitehead, RS Sussmann, CJH Wort, GA Scarsbrook. Me-
chanical properties of bulk polycrystalline CVD diamond. Diamond Relat Ma-
ter 3:1168–1172, 1994.
7. DC Harris. Development of Chemical-Vapor Deposited Diamond for Infrared
Optical Applications. Status Report and Summary of Properties. Naval Air
Warfare Center Weapons Division, China Lake, CA, Report NAWCWPNS TP
8210, 1994.
8. K Bigelow. Progress in the commercialization of CVD diamond. In: M Yosh-
ikawa, M Murakaw, Y Tzeng, WA Yarbrough, eds. Second International Con-
ference on the Applications of Diamond Films and Related Materials, MYU,
Tokyo, 1993, pp 5–12.
9. S Rand, L Deshazer. Visible color-center laser in diamond. Opt Lett 10:481–
483, 1985.
10. MD Whitfield, B Audic, CM Flannery, LP Kehoe, GM Crean, C Johnston, PR
Chalker, RB Jackman. Polycrystalline diamond films for acoustic wave devices.
Diamond Relat Mater 7:533–539, 1998.
11. BL Jones. Novel and electronic applications of diamond materials. In: M Yosh-
ikawa, M Murakawa, Y Tzeng, WA Yarbrough, eds. Second International Con-
ference on the Applications of Diamond Films and Related Materials, MYU,
Tokyo, 1993, pp 21–28.
12. M Aslam, I Taher, A Masood, MA Tamor, TJ Potter. Piezoresistivity in vapor
deposited diamond films. Appl Phys Lett 60:2923–2925, 1992.
13. A Vescan, I Daumiller, P Gluche, W Ebert, E Kohn. Very high temperature
operation of diamond Schottky diode. IEEE Electron Device Lett 18:536–538,
1997.
Introduction 15

14. P Gluche, A Aleksov, A Vescan, W Ebert, E Kohn. Diamond surface-channel


FET structure with 200 V breakdown voltage. IEEE Electron Device Lett 18:
547–549, 1997.
15. H Martin, A Argoitia, JC Angus, AB Anderson, U Landau. Boron-doped dia-
mond electrodes for electrochemical applications. In: A Feldman, Y Tzeng, WA
Yarbrough, M Yoshikawa, M Murakawa, eds. Applications of Diamond films
and Related Materials, 3rd International Conference, 1995, pp 91–94.
2
A Short History of
Diamond Synthesis

John C. Angus
Case Western Reserve University, Cleveland, Ohio

I. INTRODUCTION

In this chapter a brief discussion of the events leading to the successful


synthesis of diamond at high pressures is given, followed by a somewhat
more detailed description of the development of methods of growing dia-
mond by chemical vapor deposition. An excellent source of information on
many aspects of diamond has been given by Davies [1]. An important review
is by Hazen [2]. Bundy [3], a member of the original General Electric di-
amond group, has presented an extremely informative account of the high-
pressure, high-temperature reactions of carbon to form diamond. DeVries
[4] and Angus [5] have summarized developments in the low-pressure,
chemical vapor deposition of diamond; Amato [6] has given an interesting
popular account of the field.

II. SCIENTIFIC UNDERSTANDING OF DIAMOND

Two fundamental facts about diamond had to be understood before serious


attempts at diamond synthesis could be undertaken. The first was to prove
that diamond was composed entirely of the element carbon. The second was
to determine that diamond was denser than common forms of carbon, such
as charcoal. These two empirical facts led to numerous attempts to convert
less dense forms of carbon into diamond by compression at high pressures.
Foremost among these early workers were Hannay, Moissan, and Parsons.
It is now believed that none of these attempts was successful.
17
18 Angus

The very first scientific study of diamond appears to have been done
by two Italian scientists, Averani and Targioni [7], at the Accademio del
Cimento in Florence. They studied diamond combustion in 1694, but they
did not trap or identify the product gases. In 1772, Lavosier showed that
the combustion products obtained from diamond and charcoal were similar.
In 1797, Tennant did further combustion experiments and concluded that
diamond ‘‘consists entirely of charcoal, differing from the usual state of that
substance only by its crystallized form.’’ Because diamond was known to
be denser than charcoal, compression appeared to be a reasonable route to
achieve diamond synthesis. It was not, however, until an understanding of
chemical thermodynamics was achieved that high-pressure synthesis was
approached from a truly scientific viewpoint.
The first accurate determination of a point on the diamond-graphite equi-
librium line was made by the American chemists Lewis and Randall [8]. They
showed that, at room temperature, diamond and graphite are in equilibrium
at approximately 10,000 atmospheres (⬃1 GPa). Subsequent calculations of
the diamond-graphite equilibrium curve up to approximately 2000 K were
performed by Simon [9], Liepunski [10], Rossini and Jessup [11], and others.
A sketch of the phase diagram of carbon is shown in Figure 1.

III. HIGH-PRESSURE SYNTHESIS

The thermodynamic calculation of the diamond-graphite stability line meant


that serious, scientifically based efforts to achieve diamond synthesis at high
pressures could now be made. Professor Percy Bridgman at Harvard Uni-
versity was a pioneer in these efforts. However, the first high-pressure syn-
thesis of diamond was achieved by Liljeblad and Lundblad at the Swedish
firm Allemanna Svenska Elektrisia Aktiebolaget (ASEA). They inexplicably
did not announce their success, and the first public announcement of suc-
cessful diamond synthesis was made by the General Electric Corporation in
1955. Synthesis was achieved by dissolving graphite, or other nondiamond
carbons, in molten transition metals and precipitating the carbon out as di-
amond. This was done in the diamond-stable region of the phase diagram
at temperatures in the range 1700 to 2000 K and pressures from 7 to 10
GPa. The history of these developments has been ably documented by others
[1–3].

IV. DIAMOND SYNTHESIS AT METASTABLE CONDITIONS


A. Earliest Work
Diamond synthesis at low pressures, where diamond is metastable with re-
spect to graphite, received serious attention, even after the diamond-graphite
Short History of Diamond Synthesis 19

Figure 1 Sketch of carbon phase diagram. Regions of metastability of diamond


and graphite are bounded by (dashed line) extensions of the melting curves of dia-
mond and graphite, respectively. Approximate regions for high-pressure, high-tem-
perature (HPHT) and chemical vapor deposition (CVD) synthesis of diamond are
shown.

equilibrium line was established. The region of diamond metastability is


most easily envisioned by extension of the diamond melting curve into the
graphite-stable region (see Fig. 1). This extension defines a region where
diamond will not transform into liquid carbon. In principle, diamond can be
synthesized anywhere within this region of metastability if graphite forma-
tion can be suppressed. It is of great interest that only a small fraction of
this region has been explored, even though many different approaches have
been attempted. The following account of diamond synthesis in the region
of diamond metastability is abstracted from Ref. 5.
The earliest relevant report appears to be that of Meincke [12]. He
reported a series of experiments with carbon arcs in which diamond was
formed. Surprisingly, this work, which was reported in the open technical
literature, received little attention, either at the time of publication or in
recent years. It is also remarkable that Meincke sent some of his samples to
William Eversole for identification [13].
William G. Eversole, at the Linde Division of the Union Carbide Cor-
poration in the United States, presented the first documented report of dia-
20 Angus

mond growth at low pressures that was subsequently confirmed by others.


Eversole first proposed growing diamond at low pressures in a series of
corporate memoranda dated September 9, 1949, October 6, 1949, and Oc-
tober 20, 1949 [14]. In these memoranda he proposed that carbon monoxide
be used as a source gas to precipitate diamond on a diamond seed crystal.
Growth of diamond seed crystals was achieved in the period November 26,
1952 to January 7, 1953 [14]. Conclusive proof and repetition of the ex-
periments took place from February 17, 1953 to October 15, 1953. This
predates the successful diamond synthesis at high pressure by the General
Electric Company, which was accomplished in December 1954 and an-
nounced publicly in 1955 [15]. It just predates February 15, 1953, indicated
by Liander as the date for the first synthesis of diamond at ASEA in Sweden
[16]. The record indicates that Eversole was the first to create new diamond
by any method. It should be noted, however, that Eversole grew new dia-
mond on preexisting diamond seeds; the General Electric and ASEA syn-
thesis started with nondiamond carbons.
The General Electric Company mounted a serious effort to grow dia-
mond at low pressures from 1951 through 1956. This work did not result
in successful diamond growth, despite the exploration of numerous methods.
These experiments are summarized in an internal General Electric report
[17]. The General Electric Group tried several methods for depositing dia-
mond on diamond seed crystals, including deposition from solid iron-carbon
alloys, deposition from a column of liquid metal whose carbon supersatu-
ration was controlled by an imposed temperature gradient, electrodeposition
from high-temperature carbon-containing electrolytes, and vapor deposition
from carbon vapor and from CO, CH4, CCl4, and CBr4.
During this era there was much doubt that diamond could be grown
at all under metastable conditions. Neuhas [18], for example, used theoretical
arguments to show that diamond would not nucleate under metastable con-
ditions. It was accepted without question by many that diamond could be
grown only at high pressures where it is the thermodynamically stable phase.
A notable exception was Bridgman [19], who said
We know from the thermodynamic potential that graphite is ordinarily
the preferred form, but this does not enable us to say that the actual
precipitate will be graphite and not diamond. As a matter of fact there
are many known instances in which an element’s unstable form, cor-
responding to diamond, separates from a solidifying liquid or solution
in preference to the stable form.

In other words, metastable phases can form from precursors with high
free energy if the activation barriers to more stable phases are sufficiently
high. As the precursors fall in energy they can be trapped in a metastable
Short History of Diamond Synthesis 21

configuration. Formation of a metastable phase depends on selecting con-


ditions under which rates of competing processes to undesired products are
low. The processes competing with diamond growth are spontaneous graph-
itization of the diamond surface and nucleation and growth of graphitic
deposits.
The critical role of hydrogen in permitting metastable diamond growth
was recognized by some early workers. The low-energy electron diffraction
(LEED) study at the Bell Laboratories by Lander and Morrison [20] is the
most significant. It was shown that a (111) diamond surface saturated with
hydrogen gave an unreconstructed (1 ⫻ 1) LEED pattern. The dangling
bonds normal to the surface are terminated with hydrogen atoms, which
maintain the bulk-terminated diamond lattice to the outermost surface layer
of carbon atoms. When hydrogen is absent, the surface reconstructs into
more complex structures.
Lander and Morrison [20] also noted that there was a kinetic barrier
to graphitization of a hydrogen-covered diamond surface and that there was
significant mobility of carbon atoms (or vacancies) in the same temperature
range. They pointed out that these conditions should permit epitaxial growth
of diamond. They stated

Epitaxial growth of diamond on diamond is possible despite the large


instability with respect to graphite. It is simply necessary to inhibit the
nucleation of graphite while adding carbon atoms to the surface. Pre-
sumably this restricts the process to temperatures below about 1400⬚C
and to low addition rates. . . . Apparently diamond provides a spectac-
ular example of the theorem that extension by epitaxial growth of an
unstable phase is possible if the barriers to nucleation of all more stable
phases are higher.

Several early U.S. patents also described the beneficial effects of hy-
drogen. Hibshman [21] synthesized diamond from CO with 5% H2 in the
presence of a platinum catalyst. He speculated that the catalyst promoted
the formation of atomic hydrogen. Vickery [22] used gas mixtures containing
95% H2 and 5% hydrocarbon to suppress the formation of graphitic carbons.
Angus and Gardner [23] discussed the use of hydrogen in a 1972 patent.
The detailed molecular mechanism responsible for diamond growth has
been a subject of speculation since the earliest successful studies. Angus and
his coworkers in 1968 [24] suggested that

Thermodynamic computations indicate the partial pressure of free car-


bon atoms in the vapor phase is exceedingly low, so low, in fact, that
free carbon atoms in the vapor probably are not a major source of
carbon for diamond growth. A more likely mechanism involves reaction
22 Angus

of CH4 or some other hydrocarbon fragment with the diamond surface


resulting in the deposition of a carbon atom.
Based on growth rate data, which showed a first-order dependence on
[CH4] and half-order with [C2H4], the Angus group proposed a three-step
mechanism: formation of mobile surface species containing a single carbon
atom, surface diffusion of the species, and subsequent addition to a vacant
surface site. The surface sites were proposed to be ‘‘two-bonded’’ sites, that
is, kink sites on 具110典 steps on {111} surfaces or sites on {100} surfaces
[25].
Critical reviews published during this era accepted the conclusion that
diamond could be grown at low pressures [26,27]. There was, however,
considerable skepticism that the growth rates could ever be made high
enough to support commercially viable technologies.

B. Work at Case Western Reserve University,


Cleveland, Ohio
Angus concluded, after reviewing the earlier work and meeting with some
of the principals, in particular, Eversole, Lander, and Hibshman, that chem-
ical vapor deposition from hydrocarbons was the method most likely to
succeed. To renew the field it would be necessary to obtain absolutely con-
vincing experimental proof of low-pressure diamond growth. Support for
this effort, as a ‘‘blue sky’’ project, was obtained from Richard F. Cornelissen
of the Air Force Cambridge Research Laboratories. The first goal of repro-
ducing Eversole’s work was achieved by the mid-1960s [24]. To further
prove that new diamond was being grown, diborane was added to the gas
phase. P-type, semiconducting blue diamond was obtained during diamond
growth; no conductivity was achieved by annealing under diborane when
growth was not taking place [28,29].
The role of hydrogen in diamond growth was a major focus of the
research. This was strongly motivated by the work of Lander and Morrison,
who showed that a hydrogen-rich environment should suppress the nuclea-
tion and growth of unsaturated, graphitic structures. Initial experimental re-
sults clearly showed that hydrogen played a critical role in the diamond
growth process [25,30]. The presence of molecular hydrogen dramatically
slowed down the spontaneous nucleation and codeposition of graphite. How-
ever, molecular hydrogen by itself was not enough to suppress graphite
growth completely. Eventually, graphitic carbon nucleated on the surface
and suppressed further diamond growth. It was then necessary to remove
the graphitic deposits, using atomic hydrogen, and to repeat the sequence.
Atomic hydrogen was first used at the suggestion of Nelson C. Gard-
ner, who had studied the decomposition of H2 and desorption of H from
Short History of Diamond Synthesis 23

tungsten surfaces while a graduate student at Iowa State University. Hot


tungsten filaments were used for generating atomic hydrogen, which was
used to remove graphitic deposits from the diamond after growth. The
atomic hydrogen also rationalized and prepared the diamond surface for the
next growth cycle. However, atomic hydrogen was not used during the
growth part of the cycle. Results from the experiments done at Case Western
Reserve University were presented in Kiev in September 1971 at the ‘‘Inter-
Diamond’’ meeting. The work appeared in the scientific literature only in
Russian translation [28].

C. Work at the Physical Chemistry Institute,


Moscow, Russia
Research on low-pressure diamond synthesis started at the Physical Chem-
istry Institute in Moscow in 1956 [31]. The Soviet work prior to 1977 has
been reviewed by Badzian and DeVries [4,32]. Their earliest report was an
idea of Boris Spitsyn for deposition on diamond using CBr4 or CI4 at tem-
peratures from 800 to 1000⬚C and pressures of about 3 ⫻ 10⫺6 mm of
mercury [33]. This method was described in an unpublished author’s certif-
icate filed July 10, 1956; the patent itself did not appear until 1980. The
earliest published paper from the Soviet group appeared in 1968 and de-
scribed growth of diamond filaments by a vapor-liquid-solid technique using
molten iron as the liquid catalytic agent [34].
Subsequently, the Soviet group explored direct chemical vapor depo-
sition from hydrocarbons and in 1969 at a meeting in Novosibirsk presented
an independent confirmation of Eversole’s results [35]. Pure methane, at
pressures from 0.1 to 0.3 torr and temperatures from 950 to 1050⬚C, was
used for deposition on diamond seed crystals. Much higher rates were re-
ported than those of Eversole.
A major achievement of the Soviet group was the use of atomic hy-
drogen during growth. This gave much higher growth rates and also per-
mitted nucleation of new diamond crystallites on nondiamond substrates.
This development apparently came about as the result of a suggestion of
Valentin Varnin (A. Badzian, personal communication, 1991). He had
learned of the results from Case Western Reserve University on atomic hy-
drogen from Dmitri Fedoseev, who had attended the 1971 Kiev meeting
where the work was presented. Varnin suggested using atomic hydrogen
during the growth process; the first experiments were performed by Pol-
chanskiya. The date of these experiments is not known, but the use of atomic
hydrogen is not mentioned in a 1975 Scientific American article by Deryagin
[36]. Prior to the use of atomic hydrogen, the Russian group used atomic
oxygen to remove graphitic codeposits in a cyclic process [37].
24 Angus

Formation of diamond crystals on nondiamond substrates was first re-


vealed by Deryagin and others in 1976 [38]. The crystals ‘‘were produced
in a chemical transport reaction occurring in a closed system at a pressure
below atmospheric and a substrate temperature of the order of 1000⬚C.’’ The
use of atomic hydrogen was not mentioned. Fedoseev et al. [39] described
the effect of molecular hydrogen on diamond nucleation, also in 1976. In a
later paper Fedoseev et al. [40] described the effect expected from the use
of atomic hydrogen during the growth step.

D. Work at NIRIM, Tsukuba, Japan


Descriptions of methods for rapid growth of diamond at low pressures were
first given by a group of Japanese researchers at the National Institute for
Research in Inorganic Materials (NIRIM) in Tsukuba, Japan. The leader of
the NIRIM program was Nobuo Setaka; key researchers included Yoichiro
Sato, Seichiro Matsumoto, and Mutsukazu Kamo. A project on metastable
diamond growth was initiated at NIRIM in 1974. Successful diamond syn-
thesis was first achieved using a hot filament to activate CH4-H2 gas mixtures
and reported in December 1981 at a fall meeting of the Carbon Society of
Japan. Shortly thereafter, a remarkable series of papers was presented by the
NIRIM group in which techniques for vapor growth of diamond at rates of
several micrometers per hour were described [41–44]. The Japanese group
revealed enough to permit confirmation of their results. The hot-filament and
microwave-assisted deposition processes, still very popular, were developed
at NIRIM. The current worldwide interest in the new diamond technology
follows directly from the NIRIM effort.

V. SUMMARY

Diamond synthesis at low pressure by chemical vapor deposition has been


the result of a number of discoveries by research groups in the United States,
the Soviet Union, and Japan. Extension of existing diamond seed crystals
by chemical vapor deposition was first achieved in the early 1950s by Wil-
liam Eversole and predates diamond synthesis at high pressures. Understand-
ing the role of molecular and atomic hydrogen in the nucleation and growth
process led to higher growth rates, suppression of nucleation of graphitic
carbons, and finally the de novo nucleation of diamond crystals on nondia-
mond substrates. One striking feature of the history was the reluctance by
many to accept the possibility of diamond synthesis outside its range of
thermodynamic stability.
Short History of Diamond Synthesis 25

ACKNOWLEDGMENTS

Paul Mohr made available the report describing Eversole’s work at Union
Carbide Corporation. Robert DeVries provided the author with the early
internal General Electric report and with many delightful hours of conver-
sation about early diamond work at General Electric and elsewhere. Andrzej
Badzian, Valentin Varnin, Boris Spitsyn, and Dmitri Fedoseev provided in-
sights into the relationship between the early American and Russian work.
A modified version of this article appeared in Il Nuovo Cimento, The Pro-
ceedings of the 1996 Enrico Fermi Summer School on the Physics of Dia-
mond. Permission to reprint it from the Societa Italiana di Fisica is gratefully
acknowledged.

REFERENCES
1. G Davies. Diamond. Bristol: Adam Hilger, 1984.
2. RM Hazen. The New Alchemists: Breaking Through the Barriers of High Pres-
sure. New York: Times Books, Random House, 1993.
3. FP Bundy. Mater Res Soc Symp Proc 383:3–20, 1995.
4. RC DeVries. Annu Rev Mater Sci 17:161, 1987.
5. JC Angus. In: KE Spear, JP Dismukes, eds. Synthetic Diamond: Emerging
CVD Science and Technology. New York: John Wiley & Sons, 1994, pp 21–
39.
6. I Amato. Stuff: The Materials the World Is Made Of. New York: Basic Books,
1997.
7. G Averani, CA Targioni. G Litt Ital 8:221, 1711.
8. GN Lewis, M Randall. J Am Chem Soc 37:458, 1915.
9. F Simon. Handb Phys 10:350, 1926.
10. OI Liepunski. Usp Khim 8:1519, 1939.
11. FD Rossini, RS Jessup. J Res Natl Bur Stand 21:491, 1938.
12. H Meincke. Schweiz Arch Angew Wiss Tech 23:85, 1957.
13. H Meincke. Gemmologist 26:46, 1957.
14. AD Kiffer. Report, Tonowanda Laboratories, Linde Air Products Co, Synthesis
of Diamond from Carbon Monoxide, June 6, 1956; WG Eversole, US Patents
3,060,187 and 3,060,188, 1962.
15. FP Bundy, HT Hall, HM Strong, RH Wentorf. Nature 176:51, 1955.
16. H Liander, E Lundblad. Ark Kemi 16:139, 1960.
17. RA Oriani, WA Rocco. General Electric Research Laboratory Memo No MA
36, August 1957.
18. A Neuhas, Angew Chem 66:525, 1954.
19. PW Bridgman. Sci Am 193:42, 1955.
20. JJ Lander, J Morrison. Surf Sci 4:241, 1966.
21. HJ Hibshman. US Patent 3,371,996, March 5, 1968.
22. EC Vickery. US Patent 3,714,334, January 30, 1973.
26 Angus

23. JC Angus, NC Gardner. US Patent 3,661,526, May 9, 1972.


24. JC Angus, HA Will, WS Stanko. J Appl Phys 39:2915, 1968.
25. SP Chauhan, JC Angus, NC Gardner. J Appl Phys 47:4746, 1976.
26. JR Wedlake. In: JE Field, ed. The Properties of Diamond. London: Academic
Press, 1979, pp 501–535.
27. FP Bundy, HM Strong, RH Wentorf Jr. In: Chemistry and Physics of Carbon,
Vol 10, Methods and Mechanisms of Synthetic Diamond Growth. New York,
Marcel Dekker, 1973, pp 213–263.
28. JC Angus, NC Gardner, DJ Poferl, SP Chauhan, TJ Dyble, P Sung. Sin Almazy
3:38, 1971; presented at the International Conference on Applications of Syn-
thetic Diamonds in Industry, Kiev, 1971.
29. DJ Poferl, NC Gardner, JC Angus. J Appl Phys 44:1418, 1973.
30. SP Chauhan, JC Angus, NC Gardner. J Vac Sci Technol 11(1):423, 1974.
31. BV Deryagin, DV Fedoseev. Russ Chem Rev 39:783, 1970.
32. AR Badzian, RC DeVries. Mater Res Bull 23:385, 1988.
33. BV Spitsyn, BV Deryagin. Author’s certificate dated July 10, 1956; USSR
Patent 339,134, May 5, 1980.
34. BV Deryagin, DV Fedoseev, BV Spitsyn, DV Lukyanovich, AV Lavrentev. J
Cryst Growth 2:380, 1968.
35. BV Deryagin, VA Ryabov, DV Fedoseev, BV Spitsyn, BM Lukyanovich, KS
Uspenskaya. Second All-Union Symposium on Processes for Nucleation and
Growth of Crystals and Films of Semiconducting Compounds, Novosibirsk,
May 12–16, 1969.
36. BV Deryagin, DV Fedoseev. Sci Am 233:102, 1975.
37. BV Deryagin, DV Fedoseev. Proceedings of International Conference on Ap-
plications of Synthetic Diamonds in Industry, Kiev, September 14–18, 1971.
Kiev: Naukova Dumka, 1974.
38. BV Deryagin, BV Spitsyn, LL Builov, AA Klochkov, AE Gorodetski, AV Smo-
lyaninov. Dokl Akad Nauk SSSR 231:333, 1976.
39. DV Fedoseev, SP Vnukov, BV Deryagin. Zh Fiz Khim 50:2751, 1976.
40. DV Fedoseev, KS Uspenskaya, VP Varnin, SP Vnukov. Izv Akad Nauk SSSR
Ser Khim 6:1252, 1978.
41. S Matsumoto, Y Sato, M Kamo, N Setaka. Jpn J Appl Phys Part 2 21:L183,
1982.
42. S Matsumoto, Y Sato, M Tsutsumi, N Setaka. J Mater Sci 17:3106, 1982.
43. M Kamo, Y Sato, S Matsumoto, S Setaka. J Cryst Growth 62:642, 1983.
44. Y Matsui, S Matsumoto, N Setaka. J Mater Sci Lett 2:532, 1983.
3
Film Characterization Methods:
Structure and Composition

Ludwig Josef Balk and Ralf Heiderhoff


University of Wuppertal, Wuppertal, Germany

I. INTRODUCTION

Diamond thin films produced by chemical vapor deposition (CVD) are pro-
jected to have many future applications because of the unique properties of
diamond [1]. The applications of diamond are often considered on the basis
of the properties of natural diamond crystals. Natural diamond is known to
be exceedingly hard and wear resistant, have high thermal conductivity and
melting point, be optically transparent from the far infrared to the ultraviolet
(5.5 eV), and have unique semiconducting properties. Common applications
of diamond based on these properties are cutting tools, wear-resistant parts,
medical coatings, heat sinks, acoustic response systems, inert optical coat-
ings, and electronic and electro-optic devices. For this potential to be real-
ized, the nucleation, growth, and resulting structure of diamond films need
to be more thoroughly understood and controlled.
Numerous techniques are useful in the characterization of diamond,
too many to review in this chapter. In fact, even this review is limited to
the techniques that have been used in the recent past to analyze CVD
diamond.
Carbon film deposition or growth has been carried out using different
methods. Before the development of chemical vapor deposition methods, the
films exhibited an sp2 bonding character, whereas the formation of sp2 bond-
ing is suppressed or removed via an etching process at present. With respect
to the critical areas of carbon phase identification, a definition was published
in 1987 by Messier et al. [2] for the first time to distinguish diamond films
27
28 Balk and Heiderhoff

from other amorphous carbonaceous materials and hydrogen-rich diamond-


like structures:
Crystalline morphology can be observed by electron microscopy [scan-
ning electron microscopy (SEM), and transmission electron mi-
croscopy (TEM)].
Monocrystallinity is observable by electron or x-ray diffraction.
A small Raman peak is present at 1332 cm⫺1.
This definition tolerates small impurities, such as nitrogen, hydrogen, silicon,
or metals, that are also present in natural diamond.
The two techniques that have become the standard methods of assess-
ing the percentage of CVD diamond and the surface morphology are Raman
scattering and SEM. The application of SEM is almost qualitative, but the
Raman scattering results can be quantitative in some cases.

II. RAMAN SPECTROSCOPY

Raman spectroscopy has emerged as the most frequently used tool to char-
acterize diamond films prepared by various chemical vapor deposition meth-
ods because of the spectroscopic signature [3–13] (see Fig. 1). This tech-
nique provides well-known spectra for different carbonaceous compounds,
such as graphite, glassy carbon, or amorphous diamond-like carbon, and is
also used to determine the phase purity and crystalline perfection by ana-
lyzing the peak positions and peak width. The Raman spectra of different
forms of sp2- and sp3-bonded carbon are illustrated in Figure 2. The spectra
of diamond exhibit a sharp peak that is centered at a Raman shift from 1331
to 1335 cm⫺1 (at room temperature) with a bandwidth Du1/2 at half-intensity
less than 2 cm⫺1, whereas graphite exhibits a broad band centered from 1565
to 1585 cm⫺1 (see Fig. 3). The peak frequency is known to downshift with
temperature and to upshift when compressive internal stresses are present.

Figure 1 Schematical setup of a Raman experiment.


Film Characterization Methods 29

Figure 2 Raman spectra of different forms of sp 2- and sp 3-bonded carbon.


30 Balk and Heiderhoff

Figure 3 Raman-active normal modes in graphite.

The band broadening is related to internal stresses, thermoelastic stresses,


pure thermal effects, and the density of defects in the crystal as well as the
crystallite size. For microcrystalline graphite a strong feature appears at 1350
cm⫺1, and the 1580 cm⫺1 feature shifts to lower wave numbers with de-
creasing crystalline size. The feature at 1350 cm⫺1 has been identified as a
vibrational mode that occurs at the boundary of the Brillouin zone.

III. ELECTRON MICROSCOPY

In the early phase of the development of diamond technology, SEM micro-


graphs captured the attention of researchers throughout the world. SEM is
an excellent tool for the examination of the surface morphology of CVD
diamond and of growth features such as steps and islands on the diamond
surfaces (see Figs. 4 and 5). It has been recognized that CVD diamond films
have a wide range of surface morphologies that are highly dependent upon
the experimental conditions. These surfaces correlate with other techniques
such as Raman spectroscopy. For example, if growth parameters were not
optimized, the morphology could become sub-microcrystalline and then cau-
liflower-like. This correlated with a deterioration of the diamond peak and
an increase in the graphitic peak in the Raman spectrum. A review of several
reports on surface morphologies of diamond films that have related the mor-
phological development to various deposition parameters is given by Zhu et
al. [14].
At present, high-resolution transmission electron microscopes mainly
work with acceleration voltages of 200 to 400 kV and can be combined
with electron diffraction, x-ray microanalysis, and electron energy loss spec-
troscopy (EELS) modes (see Fig. 6). In the Bragg contrast mode, the ob-
jective diaphragm selects the primary beam for bright-field imaging and
Film Characterization Methods 31

Figure 4 SEM micrograph of a CVD diamond surface. (Courtesy of Zeiss.)

Figure 5 Unprocessed electron beam patterns from 100 nm2 areas of an uncoated
polycrystalline diamond layer. (Courtesy of Zeiss.)
32 Balk and Heiderhoff

Figure 6 Schematical setup of a transmission electron microscope.

Bragg reflected beams for dark-field imaging (see Fig. 7). When using small
electron probes, information about crystal symmetry, specimen thickness,
and lattice distortion can be obtained by the convergent-beam electron dif-
fraction methods (see Fig. 8). The possibility to use higher scattering angles
in the diffraction mode makes it possible to record higher order Laue zone
(HOLZ) diffraction patterns. Structural determination of the diamond crys-
talline morphology can be accomplished using transmission electron mi-
croscopy [15–19]. Further, TEM studies have shown that a large number of
defects, which cannot be detected by other techniques, are present in the
layers [20–24]. There are two methods of observing the thin films [25]. One
Film Characterization Methods 33

Figure 7 Bright-field image of a CVD diamond film. (From Ref. 18.)

method is the observation of a film that is thinned in the direction of a cross


section or surface plane. This method can be used with any thick sample.
But it takes a long time to prepare thin films. The other method is observing
the side surface and does not require any thinning of the film or substrate.
EELS and especially high-resolution electron energy loss spectroscopy
(HREELS) obtained in the transmission mode in a TEM can also be utilized
to examine the bonding character of a carbon film (see Fig. 9). Energy losses
due to K-shell ionization and the fine structure due to interband transitions
and plasmon losses that appears at higher energies can be used to identify
different phases of carbon because the spectrum is strongly dependent on
the type of bonding present.
The fine features of these growth defects can be clearly observed and
studied by high-resolution electron microscopy (HREM), which provides, in
addition to detailed atomic resolution images, crystallographic information
on the defects and their boundaries. Several studies obtained a view of the
nature of stacking faults, twins and twinning configurations, as well as the
interface between the substrate and the diamond film (see Fig. 10).
34 Balk and Heiderhoff

Figure 8 Diffraction pattern corresponding to Fig. 7. (From Ref. 18.)

Figure 9 EELS of bulk diamond and polycrystalline graphite.


Film Characterization Methods 35

Figure 10 HERM (high-resolution electron microscopy) of twins ending at a vir-


tually amorphous inclusion. T, twin lamellae; I, inclusion; L, lattice image confusion.
(From Ref. 19.)

IV. DIFFRACTION

Low-energy electron diffraction (LEED) is well suited to study the structure


of the outermost layers of diamond films. It is possible to combine LEED
with Auger electron spectroscopy (AES) to establish the chemical surface
situation if the depth of the scattered electrons is small and does not exceed
one or two atomic layers.
In order to carry out such studies, one must first obtain an unequivo-
cally clean film surface. But it is very difficult to bombard the surface with,
for example, argon ions that are sufficiently energetic to remove adsorbed
or combined surface layers. The outermost surface layer can become gra-
phitic [26–37] and cannot be annealed out, as a reconstruction of the dia-
mond surface can occur if the impurities are removed by heat treatments
[38–45] (see Figs. 11 and 12). LEED studies have shown that hydrogen
plays an important role in the reconstruction of diamond surfaces [40,43].
Thermal desorption studies have indicated that hydrogen and oxygen are
adsorbed on diamond surfaces [38,44]. Figure 12 illustrates the structural
model for the (100) diamond surface: (a) 2 ⫻ 1 and (b) 1 ⫻ 2 reconstruction.
Although AES provides valuable compositional information, it does
not yield any information about trace impurities because of the sensitivity
limitation of the technique. Conventional techniques such as secondary ion
mass spectrometry (SIMS) or laser microscope mass analysis spectroscopy
36 Balk and Heiderhoff

Figure 11 Carbon 1s photoelectron spectra from (111) diamond. (a) Terminated


surface polished and heated to 1100 K. (b) Clean surface heated to 1250 K. (c)
Clean surface hydrogenated by atomic hydrogen. (From Ref. 32.)

(LAMMA) are much more sensitive than AES, and when electronic devices
were realized, such chemical analysis became more important. Several al-
ternative techniques such as Rutherford backscattering have been utilized
for detecting hydrogen in diamond films. SIMS can detect hydrogen, but
quantification is difficult because of the presence of background hydrogen
in the vacuum system.
Synchrotron radiation laboratories around the world are using x-ray
diffraction (XRD) for the structural determination of diamond. These range
from the nondestructive slicing of large diamonds by synchrotron x-ray sec-
Film Characterization Methods 37

Figure 12 Surface model for (a) 2 ⫻ 1 diamond (100) and (b) 1 ⫻ 1 diamond
(100). (䡩) H atom; (●) C atom. (From Ref. 59.)

tion topography [46,47] to high-resolution studies of the spike diffuse re-


flections from platelet crystal topography (see Fig. 13).
Mai et al. [48] pointed out the intrinsic suitability of diamond for x-
ray beam conditioning, for example, due to its strong x-ray reflectivity and
low x-ray absorption. A detailed comparison of natural and synthesized di-
amond for these applications was given by Lang [49]. A typical scattering
geometry used for x-ray measurements is illustrated in Figure 14.
In recent years, several authors have reported on the growth of textured
diamond films. X-ray diffraction was used most often to determine the
growth orientation and texture of these films [50–55] (see Figs. 15 and 16).
The detailed analysis of the texture and surface morphology of poly-
crystalline diamond films utilized the fact that growth starts from randomly
oriented nuclei on Si (100) and Si (111). The texture develops with increas-
ing film thickness because of the competition of differently oriented grains
(see Fig. 17). Twinning during the nucleation stage of the film appears to
stabilize preferential growth along the (100) or (111) direction. The growth
38 Balk and Heiderhoff

Figure 13 (a) X-ray projection topography of type a natural diamond shaped as


shown in b. (b) Perspective sketch of the part of the polished parallelepiped specimen
that contains a large stacking fault. (From Ref. 49.)

parameter ␣ = V100 /(兹3V111), where V100 and V111 are the growth rates on
{100} and {111} faces, can be varied by controlling the process parameters.
In addition, other techniques such as extended x-ray absorption fine
structure (EXAFS) or extended electron energy loss fine structure (EEELFS)
are beginning to be utilized for bonding analysis. Several authors reported
the x-ray absorption spectra of diamond films (see, for example, Ref. 56),
especially to observe the Si-diamond interface [57–60].

V. LUMINESCENCE

The luminescence from diamond has been studied for more than 50 years
and is an established tool to investigate chemical and structural defects in
Film Characterization Methods 39

Figure 14 Typical scattering geometry used for x-ray measurements. (From Ref.
62.)

diamond films. The spectral range covered by the luminescence extends from
5.3 eV in the ultraviolet (‘‘edge emission’’ corresponding to the indirect
energy gap [63]) to around 1.2 eV in the near infrared (‘‘H2’’ vibronic band
[64]). Approximately 120 optical centers that have been documented for
diamond are listed by Clark et al. [65]. The major spectra associated with
edge emission, vibronic band, and ‘‘band A’’ emission are discussed in Ref.
66. Figure 18 illustrates a block diagram of an integral, spectral, and time-
resolved CL experiment [69].
Cathodoluminescence has proved to be very useful in the characteri-
zation of the film because of the high spatial resolution and with respect to
the wide energy gap of diamond (Eg = 5.5 eV) (see Fig. 19). The generation
rate of a 50-kV beam at a current density of 0.01 A/cm2 is about 2 ⫻ 1023
electron-hole pairs per cm3 per second [67] and the penetration depth is
comparable to that with GaAs. The electron beam generates simultaneously
a wide variety of intrinsic and extrinsic processes. Cathodoluminescence
spectroscopy is therefore less selective than photoluminescence spectros-
copy, where the energies of the incident photons are less than the band gap.
Diamond is an indirect semiconductor, and the edge emission may be ob-
served only from films that are relatively free from defects. For indirect
transitions a phonon must be created, with its energy បw being taken from
the stored energy in the exciton. The energy emitted in the photon is given
by hu = Eg ⫺ Ex ⫺ បw, where Ex is the exciton binding energy. Free excitons
with the emission of transverse acoustic, transverse optic, and longitudinal
optic phonons of wave vectors ⫾ k having values between 87 and 163 meV
40 Balk and Heiderhoff

Figure 15 SEM image and XRD pole figure of a highly oriented (100)-textured
diamond film [exhibiting an 8⬚ full width at half-maximum of the (200) peaks] on
a (100) Si substrate. (From Ref. 54.)
Film Characterization Methods 41

Figure 16 (a) Experimental XRD intensity map of the C 1S emission of a diamond


(111) surface. (b) Calculation for a diamond cluster of 200 C atoms in 10 layers.
(From Ref. 61.)

have been found. In contrast, the luminescence from a bound excition can
proceed without creating phonons. Qualitatively, this reflects the localization
of the hole on an acceptor center. The edge recombination radiation spectrum
from a natural p-type semiconducting diamond is illustrated in Figure 20.
Regarding donor-acceptor pair spectra, the donor captures an electron
and the acceptor captures a hole when electron-hole pairs are generated by
the electron beam. This mechanism was used for a long time to explain the
broad band A luminescence that is observable in all types of natural, syn-
thetic, and CVD diamond and extends from about 2 up to 3.5 eV. The energy
is given by

e2 ea 2
E(r) = Eg ⫺ EA ⫺ ED ⫹ ⫺
4␲␧ r 4␲␧ r 6

where EA and ED are the acceptor and donor binding energies, ␧ is the static
dielectric constant, r is the distance between the donor and acceptor, and a
is an adjustable parameter in the polarization term [68].
Today, however, one believes that it is better to use the description
band A than donor-acceptor pair recombination because at present there is
no theory that describes all the phenomena that are observable, for example,
in time-resolved and temperature-dependent measurements (see Fig. 21). In-
vestigations have suggested that band A is due to dislocations or vacancy
clusters acting as recombination centers for electron-hole pairs [71].
42 Balk and Heiderhoff

Figure 17 XRD patterns of one given diamond film for different film thicknesses.
(From Ref. 62.)

Finally, one has to note that among band A and radiation damages,
nitrogen is by far the most common impurity in diamond with many forms.
Many sharp lines are correlated with the different nitrogen impurities. The
575-nm system, for example, is most intense in cathodoluminescence spectra
in nitrogen-doped thermal CVD diamond. It turns out that the system is due
to a single nitrogen atom and a vacancy [72]. Collins and Robertson [73]
showed that the zero-phonon line responds relatively rapidly to random
stress S and proposed that S can be estimated from the line width ⌬␭ using
the expression ⌬␭ = S ⫻ 10 meV/GPA.
Film Characterization Methods 43

Figure 18 Block diagram of an integral, spectral, and time-resolved CL experi-


ment. (From Ref. 69.)

VI. THERMAL CONDUCTIVITY

It is well known that diamond crystals have the highest known thermal
conductivity. The thermal conductivity ␬ of type IIa natural diamond at room
temperature (␬ = 2200 W m⫺1 K⫺1) is a factor of 5 greater than that of pure

Figure 19 SEM and Cl micrographs of a CVD diamond film. (From Ref. 69.)
44 Balk and Heiderhoff

Figure 20 Cl spectrum of the edge recombination from natural p-type diamond.


(From Ref. 63.)

Figure 21 Time-resolved Cl spectra of a natural diamond. (From Ref. 69.)


Film Characterization Methods 45

Table 1 Thermal Properties of Diamond and Other Materials at


Room Temperature

Thermal Thermal Linear thermal


conductivity diffusivity expansion
Material (W m⫺1 K⫺1) (cm2 sec⫺1) (10⫺6 K⫺1)

Diamond (natural IIa) 2200 12 1


Copper 400 1.2 16
AlN 200 0.8 4
Si 140 0.8 3

copper and over a factor of 10 higher than that of commonly used semi-
conductors (see Table 1).
Many researchers have observed a large range of thermal conductivi-
ties in films and even in single crystals due to impurities or defects. Poly-
crystalline diamond films, because of their crystalline boundaries and gra-
phitic contaminations, are not expected to have the same high thermal
conductivity as single crystals. Today the highest values 2000 W m⫺1 K⫺1
to 2200 W m⫺1 K⫺1 were found for MWCVD films [70,74,75].
Anthony et al. [76] examined high-quality diamond crystals having
different isotopic distributions of carbon. Experimentally, they found an in-
crease of 50% in the diffusivity (thermal diffusivity ␣ related to the thermal
conductivity ␬ by ␣ = ␬/c␳, where c is the thermal capacity and ␳ is the
density of the material) of isotopically decreased diamond crystals (see Table
2), whereas they had expected only 5% for the isotopically purest specimen.
Today there is no theory that is able to give a definite prediction of the
measured enhancement in conductivity achieved by reducing the isotope
concentration [77,78].

Table 2 Thermal Conductivity of High-Conductive Diamonds Depending on the


Isotope Concentration

Thermal diffusivity Thermal conductivity


Material (cm2 sec⫺1) (W m⫺1 K⫺1)

0.07% 13C diamond 18.5 3300


0.5% 13C diamond 14.5 2600
1.0% 13C diamond 12.4 2200
(natural abundance)

Source: Ref. 76.


46 Balk and Heiderhoff

In the case of CVD diamond, the thermal conductivity is difficult to


measure accurately because of the extremely high values. The difficulty is
further increased by the anisotropic nature and the geometry of the samples.
Even when comparing measurement techniques that measure bulk, in-plane
thermal conductivity, results differ significantly. For instance, a sample that
was measured at a large number of laboratories around the world was found
to have a thermal conductivity of 1400 to 1550 W m⫺1 K⫺1 by the AC
calorimetry method and the converging wave method and found to have a
thermal conductivity of 2500 W m⫺1 K⫺1 according to a modified Angstrom
method [79].
The measuring methods vary considerably in requirements for oper-
ating skills and sample preparation, and they can be divided into contact
and noncontact, steady-state or dynamic methods. A review of the different
methods is given by Fournier and Plamann [80]. A brief description of two
standard methods is given as follows.

A. Conventional Method
In this method, the sample is shaped into a rectangular bar. Two thin-film
heaters are evaporated directly onto the sample surface, one near each end
(see Fig. 22). The heat flux through the sample is obtained by knowing the
electrical power input to the heaters after all the revenues of heat loss have
been quantified. Normally, the measurements are performed with the sample
in vacuum, surrounded by a heated shield at a known temperature, the tem-
perature of the thermal ground, to minimize unwanted heat transfer through
radiation. A row of very fine thermocouples, so that they do not have a
thermal gradient of their own, are then attached to the sample bar at a known
distance from each other. The measured gradient dT/dx can be corrected for
radiative loss and used to calculate ␬. An alternative is to use sinusoidal

Figure 22 Illustration of the two-heater heated-bar technique of measuring the


thermal conductivity.
Film Characterization Methods 47

heat flows. Then the thermal waves would be detected and the change in
either amplitude or phase of the waves used to determine the diffusivity.
Further, it is possible to apply the heat pulse to one side of the sample from
a flash lamp or laser and to monitor the temperature with an optical detector
on the opposite side of the sample. For films that are transparent, an opaque
layer must be evaporated onto the surface.

B. Mirage Effect Method


This thermo-optical method is based on the sensitive detection of thermal
gradients in the gas layer adjacent to heated sample surfaces [81]. The ex-
perimental setup is shown in Figure 23. The light of a focused modulated
laser beam is used as the source of heat and launches a thermal wave in the
sample. Detection is through the mirage effect, which utilizes a second laser
beam to traverse the heated air above the heated sample. The periodic de-
flection of the probe beam is monitored by the use of a position-sensitive
or angular detector.

VII. SCANNING PROBE TECHNIQUES

Some papers have shown the feasibility of the scanning tunneling [82,88]
and scanning force techniques [89–92] to study the morphologies of CVD
diamond films, especially nucleation and growth. Scanning tunneling mi-
croscopy (STM) and scanning force microscopy (SFM) routinely achieved
atomic resolution on many substrates and are suited to the determination of
the nanometer-scale structure of diamond films.
STM is a useful characterization technique especially because it pro-
vides a direct method for observing surfaces and local electronic structures.
STM has very high three-dimensional resolution and does not require special
sample preparation, in contrast to, for example, TEM. The graphite form of

Figure 23 Experimental setup of the mirage effect method.


48 Balk and Heiderhoff

carbon has proved the standard for demonstration of atomic resolution in air
and the technique has been used in the examination of other forms of bonded
carbon. As the surface of CVD diamond is stable, STM images can be
obtained even in air. It is generally recognized that this stability is due to
the termination of freestanding bonds by hydrogen. It is very difficult to
achieve high resolution because undoped diamond is normally an insulator
and boron doping has been shown to change the surface morphology of
diamond films.
Therefore SFM, which has no such requirement, would seem to be a
more generally applicable tool. Topography and microfriction can be deter-
mined simultaneously by SFM by measuring the normal and lateral deflec-
tions (torsion of the lever) of the cantilever by means of a laser beam.
Since scanning probe microscopy was invented, many different appli-
cations have been developed to image various specimen features with high
spatial resolution [93,94].
The efficiencies of STM-EBIC (EBIC: electron beam–induced current)
and conventional SEM-EBIC measurements on diamond films were com-
pared for the first time by Koschinski et al. [95]. EBIC investigations make
it possible to characterize the electrical properties (carrier concentration,
electrical potential) of the specimen under test on a microscopic scale [96]
(see Fig. 24). Excess carriers are generated in a small volume of the sample

Figure 24 Schematical diagram of the STM-EBIC setup. (From Ref. 95.)


Film Characterization Methods 49

by the electron beam and induce a detectable current that depends on the
structure of the sample, the position of the electron beam, and the electrical
field inside the sample. This can be used to analyze inhomogeneities and
local electrical properties of CVD diamond films (see Fig. 25).
The determination of the electrical conductivity with respect to the
localization of defects is a problem that is difficult to solve, especially when
reaching a nanometer scale. Based on SFM, so-called scanning resistance
microscopy (SRM) [97,98] and contact current measurements (CCMs) [99]
are able to obtain two-dimensional profiles. In addition, CCM allows si-
multaneous measurement of the current signal and the topography. CCM
determines the variation of the electronic properties of the sample by ana-
lyzing the current characteristics. For this purpose, a metallized SFM tip is
scanned in contact mode over the sample surface and a potential is applied
between the tip and a second fixed electrode. The current signal and the
topography are obtained simultaneously and thereby can be easily correlated.
It is useful to determine the thermal conductivity in the nanometer
region by using an SThM (scanning thermal microscope) [100]. The probe
consists of a filament that is resistively heated (see Fig. 26). Being the
thermal element of the probe, this filament is part of a Wheastone bridge.
High-thermal-conductivity areas of the sample cause the thermal feedback
loop to increase the applied voltage to keep the temperature of the tip con-
stant. The applied voltage, therefore, correlates directly with the thermal
conductivity (see Fig. 27). A comparison of the determination of the elec-
trical and thermal conductivity in the nanometer region is given by Maywald
et al. [101].

Figure 25 Illustration of defects in natural diamond by the EBIC method: (a) SE


and (b) EBIC images.
50 Balk and Heiderhoff

Figure 26 Schematical drawing of the probe of an SThM. (From Ref. 95.)

VIII. SUMMARY

No other material system has been analyzed in such a way as diamond films
around the world in recent years. Many applications of diamond related to
the large spectrum of properties make it necessary to investigate the samples
with many different characterization systems.

Figure 27 Topography and thermal images of an Si-diamond interface. (From Ref.


101.)
Film Characterization Methods 51

In this chapter we presented an overview of the analyzing systems as


well as their problems with respect to these outstanding properties. Tech-
nological advances in film growth and characterization methods are occur-
ring constantly and theories are beginning to evolve.
In some areas such as heat sinks, x-ray windows, and wear-resistant
coatings on cutting tools, diamond is already commercial available. How-
ever, CVD diamond growth technology is still far from realizing all of the
further attractive potential applications. Some of those will certainly be
available in the near future, whereas other will require continued research
or may even prove impossible.

REFERENCES
1. JE Field. The Properties of Natural and Synthetic Diamond. London: Aca-
demic Press, 1992.
2. R Messier, AR Badzian, T Badzian, KE Spear, P Bachmann, R Roy. Thin
Solid Films 153:1, 1987.
3. RO Dillon, JA Woolam, V Katkanant. Phys Rev B 29:3482, 1984.
4. SK Sharma, HK Mao, PM Bell, JA Xu. J Raman Spectrosc 16:350, 1985.
5. A Richter, HJ Scheibe, W Pompe, K-W Brzezinka, I Mühling. J Noncryst
Solids 88:131, 1986.
6. RJ Nemanich, JT Glass, G Lucovsky, RE Schroder. J Vac Sci Technol A 6:
1783, 1988.
7. DS Knight, WB White. J Mater Res 4:385, 1989.
8. LH Robins, EN Farabaugh, A Feldman. J Mater Res 5:2456, 1990.
9. RE Shroder, RJ Nemanich, JT Glass. Phys Rev B 41:3738, 1990.
10. DW Kweon, J-Y Lee. J Appl Phys 69:8329, 1991.
11. PV Huong. Mater Sci Eng B11:235, 1992.
12. JE Graebner, JA Mucha, L Seibles, GW Kammlott. J Appl Phys 71:3143,
1992.
13. PK Bachmann, DU Wiechert. Diamond Relat Mater 1:422, 1992.
14. W Zhu, BR Stoner, BE Williams, JT Glass. Proc IEEE 79:621, 1991.
15. Y Goto, K Kurihara, Y Sawamoto, T Kitakohji. Appl Phys Lett 60:172, 1992.
16. PJ Fallon, LM Brown. Diamond Relat Mater 2:1004, 1993.
17. H Sjöström, L Hultmann, JE Sundgren. Diamond Relat Mater 2:562, 1992.
18. P Wurzinger, M Joksch, P Pongratz. Inst Phys Conf Ser 134:157, 1994.
19. M Joksch, P Wurzinger, P Pongratz, R Haubner, B Lux. Diamond Relat Mater
3:681, 1994.
20. BE Williams, JT Glass. J Mater Res 4:373, 1989.
21. W Zhu, AR Badzian, R Messier. J Mater Res 4:659, 1989.
22. JL Kaae, PK Gantzel, J Chin, WP West. J Mater Res 5:1480, 1990.
23. J Narayan. J Mater Res 5:2414, 1990.
24. K Suzuki, M Ichihara, S Takeuchi, N Ohtake, M Yoshikawa, K Hirabayashi,
N Kurihara. Philos Mag 65:657, 1992.
52 Balk and Heiderhoff

25. LD Marks, DJ Smith. Nature 303:316, 1989.


26. S Evans, JM Thomas. Proc R Soc Lond A353:103, 1977.
27. PG Lurie, JM Wilson. Surf Sci 65:453, 1977.
28. TE Derry, RW Fearick, JP Sellschop. Nucl Instrum Methods 170:407, 1980.
29. G Braunstein, R Kalish. Appl Phys Lett 38:416, 1981.
30. TJ Whetton, AA Armstead, TA Grzybowski, AL Ruoff. J Vac Sci Technol A2:
477, 1984.
31. JF Prins, TE Derry, JPF Sellschop. Phys Rev B 34:8870, 1986.
32. S Evans. The Properties of Natural and Synthetic Diamond. London: Aca-
demic Press, 1992.
33. R Kalish. Diamond Relat Mater 2:621, 1993.
34. A Boudina, E Fitzer, G Wahl, H Estrom. Diamond Relat Mater 2:678, 1993.
35. PK Bachmann, D Leers, DU Wiechert. Diamond Relat Mater 2:683, 1993.
36. J Ullmann, A Weber, B Mainz, J Stiegler, T Schuhrke. Diamond Relat Mater
3:663, 1994.
37. VS Varichenko, AM Zaitzev, MS Rusetskij, VF Stelmakh, K de Weldige, T
Fries, K Wandelt, AJ Didyk, VA Laptev. Diamond Relat Mater 3:711, 1994.
38. S Matsumoto, Y Sato, N Setaka. Carbon 19:323, 1981.
39. WS Yang, J Sokolov, F Jona, PM Marcus. Solid State Commun 41:191, 1982.
40. BB Pate, Surf Sci 165:83, 1986.
41. TE Derry, L Smit, JF Van der Veen. Surf Sci 167:502, 1986.
42. E Sowa, GD Kubiak, RH Stulen, MA Van Hove. J Vac Sci Technol A6:832,
1988.
43. JJ Lander, J Morrison. Surf Sci 4:913, 1988.
44. RE Thomas, RA Rudder, RJ Markunas. J Vac Sci Technol A10:2451, 1992.
45. T Ando, T Aizawa, K Yamamoto, Y Sato, M Kamo. Diamond Relat Mater 3:
975, 1994.
46. AR Lang. Diamond Relat Mater 2:106, 1993.
47. M Moore, R Wagget, W Wierzchowski. Diamond Relat Mater 2:115, 1993.
48. ZH Mai, S Mardix, AR Lang. J Appl Crystallogr 13:180, 1980.
49. AR Lang, M Moore, JC Walmsley. The Properties of Natural and Synthetic
Diamond. London: Academic Press, 1992.
50. X Jiang, CP Klages, R Zachai, M Hartweg, PJ Ellis. J Mater Res 8:3438,
1993.
51. A Badzian, T Badzian. Diamond Relat Mater 2:147, 1993.
52. C Wild, P Koidl, W Müller-Sebert, H Walcher, R Kohl, N Herres, R Locher,
R Samlenski, R Brenn. Diamond Relat Mater 2:158, 1993.
53. M Schreck, R Hesssmer, S Geier, B Rauschenbach, B Stritzker. Diamond
Relat Mater 3:510, 1994.
54. BA Fox, BR Stoner, DM Malta, PJ Ellis. Diamond Relat Mater 3:382, 1994.
55. C Wild, R Kohl, N Herres, W Müller-Sebert, P Koidl. Diamond Relat Mater
3:373, 1994.
56. TW Capehart, TA Perry, CB Beetz, DN Belton, GB Fisher, CE Beall, BN
Yates, JW Taylor. Appl Phys Lett 55:957, 1989.
57. R Meilunas, MS Wang, KC Sheng, RPH Chang, RP Van Duyne. Appl Phys
Lett 54:2204, 1989.
Film Characterization Methods 53

58. FX Lu, GS Jiang, BX Yang, J Chen, JJ Wang, Y Yuan. Diamond Relat Mater
2:575, 1993.
59. T Ando, T Aizawa, K Yamomoto, Y Sato, M Kamo. Diamond Relat Mater
3:975, 1994.
60. MC Polo, J Cifre, J Esteve. Diamond Relat Mater 3:492, 1994.
61. OM Kuettel, J Osterwalder, L Schlapbach, R Agostino. Diamond Relat Mater
2:548, 1993.
62. C Wild, N Herres, P Koidl. J Appl Phys 68:973, 1990.
63. PJ Dean, EC Lightowlers, DR Wight. Phys Rev 140:A352, 1965.
64. SC Lawson, AT Collins, S Satoh, H Kanda. Proceedings 2nd International
Conference on New Diamond Science and Technology, 1991, p 709.
65. CD Clark, AT Collins, GS Woods. The Properties of Natural and Synthetic
Diamond. London: Academic Press, 1992.
66. R Heiderhoff, LJ Balk. Presented at the Thirteenth Pfefferkorn Conference
on Luminescence, Niagara Falls, Canada, May 13–18, 1994; submitted to
Scanning Microsc Int, 1994.
67. G Davies. The Properties of Natural and Synthetic Diamond. London: Aca-
demic Press, 1979.
68. PJ Dean. Phys Rev A 139:588, 1965.
69. R Heiderhoff, R Spitzl, M Maywald, V Raiko, J Engemann, LJ Balk. Proc
SPIE 2151:59, 1994.
70. R Heiderhoff, P Koschinski, M Maywald, LJ Balk, PK Bachmann. Diamond
Relat Mater, in press.
71. RJ Graham, F Shaapur, Y Kato, BR Stoner. Appl. Phys. Lett 65:292, 1994.
72. AT Collins, SC Lawson. J Phys Condens Matter 1:6929, 1989.
73. AT Collins, SH Robertson. J Mater Sci Lett 4:681, 1985.
74. OW Käding, M Rösler, R Zachai, HJ Füßer, E Matthias. Diamond Relat Mater
3:1178, 1994.
75. PK Bachmann, H-J Hagemann, H Lade, D Leers, DU Wiechert, H Wilson,
D Fournier, K Plamann. Diamond Relat Mater, in press.
76. TR Anthony, WF Banholzer, JF Fleischer, L Wei, PK Kuo, RL Thomas, RW
Pryor. Phys Rev B 42:1104, 1990.
77. JR Olson, RO Pohl, JW Vandersande, A Zoltan, TR Anthony, WF Banholzer.
Phys Rev B 47:14859, 1993.
78. L Wei, PK Kuo, RL Thomas, TR Anthony, WF Banholzer. Phys Rev Lett 70:
3764, 1993.
79. G Lu, A Feldmann, J Graebner, O Käding, PK Kuo, A Maesano, RP Miller,
D Pickrell, S Preston, D Slutz. Diamond Relat Mater, in press.
80. D Fournier, K Plamann. Diamond Relat Mater, in press.
81. AC Boccara, D Fournier, J Baoz. Appl Phys Lett 36:130, 1980.
82. KF Tuner, BR Stoner, L Bergmann, JT Glass, RJ Nemanich. J Appl Phys 69:
6400, 1991.
83. MP Everson, MA Tamor. J Vac Sci Technol B9:1570, 1991.
84. H-G Busmann, H Sprang, IV Hertel, W Zimmermann-Edling, H-J Günther-
rodt. Appl Phys Lett 59, 1991.
54 Balk and Heiderhoff

85. ME Welland, AW McKinnon, S O’Shea, GAJ Amaratunga. Diamond Relat


Mater 1:529, 1992.
86. H Sasaki, H Kawarada. Jpn J Appl Phys 32:L1771, 1993.
87. VS Varichenko, AM Zaitsev, MS Rusetskii, VF Stelmakh, K de Weldige, T
Fries, K Wandelt, AJ Didyk, VA Laptev. Diamond Relat Mater 3:711, 1994.
88. L Vazques, O Sanchez, F Messeguer, JM Albella. Diamond Relat Mater 3:
715, 1994.
89. LF Sutcu, MS Thompson, CJ Chu, RH Hauge, JL Margrave, MP D’Evelyn.
Appl. Phys Lett 60:1685, 1992.
90. LF Sutcu, CJ Chu, MS Thomson, RH Hauge, JL Margrave, MP D’Evelyn. J
Appl Phys 71:5930, 1992.
91. U Bögli, A Blatter, A Bächli, R Lüthi, E Meyer. Diamond Relat Mater 2:924,
1993.
92. K Schiffmann, X Jiang. Appl Phys A 59:17, 1994.
93. H-J Güntherodt, R Wiesendanger. Scanning Tunneling Microscopy 1–3. Ber-
lin: Springer-Verlag, 1992.
94. D Sarid. Scanning Force Microscopy. Oxford: Oxford University Press, 1991.
95. P Koschinski, K Kaufmann, LJ Balk. Electron Microsc 2b:1121, 1994.
96. HJ Leam. J Appl Phys 53:R51, 1982.
97. Y Huang, CC Williams. J Vac Sci Technol B12:369, 1994.
98. C Shafai, DJ Thomson, M Simard-Normandin. J Vac Sci Technol B12:378,
1994.
99. LJ Balk, M Maywald. Mater Sci Eng B24:203, 1994.
100. RB Dinwiddie, R Pylkki, PE West. Therm Conduct 22:668, 1994.
101. M Maywald, RJ Pylkki, LJ Balk. J Scan Microsc 2:181, 1994.
4
Deposition Chemistry: Deposition
Pathways, Nucleation, and Growth

David S. Dandy
Colorado State University, Fort Collins, Colorado
Michael E. Coltrin
Sandia National Laboratories, Albuquerque, New Mexico

I. INTRODUCTION

The unique properties of diamond, such as hardness and high thermal con-
ductivity, make it an important new material in a wide range of applications,
for example, protective coatings and thermal management. However, the
high cost of material production has limited the commercial use of diamond
thin films to a few, very specialized applications. In chemical vapor depo-
sition (CVD) of diamond, the factors driving cost include low reagent uti-
lization, low deposition rates, high energy consumption, large thermal man-
agement loads at the substrate, and capital equipment costs. For these
reasons, there has been much research on the CVD diamond process, in-
cluding both work on empirical process optimization and work on under-
standing the fundamental steps in the process. The latter, more basic research
is done in the expectation that knowledge of the underlying chemistry, phys-
ics, transport, and materials issues will enable optimization (and cost reduc-
tion) through model-based process design.
In this chapter a review is presented of the current understanding of
diamond CVD growth mechanisms. Because diamond deposition depends
on different chemical and transport processes occurring in the gas phase and
on the surface, discussion of these mechanisms is separated into sections
that focus on gas-phase processes, surface chemistry, and nucleation phe-

55
56 Dandy and Coltrin

nomena. Discussion has also been included on experimental and theoretical


studies of diamond deposition to illustrate the insight gained from macro-
scopic measurements and predictions. Absent from this chapter is a discus-
sion of alternative chemistries in diamond CVD. For example, the addition
of oxygen in noncombustion systems to enhance growth rate and film quality
and the use of fluorinated hydrocarbons to achieve deposition at lower sub-
strate temperatures are both important areas of study, but these do not change
the basic mechanisms controlling the growth of diamond by CVD.

II. GAS-PHASE PROCESSES IN CVD DIAMOND

All diamond CVD processes have in common a highly energetic activation


stage in the gas phase. This stage typically serves two purposes, to dissociate
the hydrocarbon precursor molecule into fragments that react more readily
at the deposition surface and to dissociate molecular hydrogen to create a
superequilibrium concentration of gas-phase hydrogen atoms. Four com-
monly used diamond CVD reactors to achieve the activation are hot-filament
reactors, microwave plasma reactors, dc arcjet reactors, and combustion-
synthesis reactors.
Although these deposition systems vary greatly in many engineering
aspects, they have many important features in common, which is why each
is able to produce high-quality diamond films. A large amount of energy, in
the form of electrical or chemical free energy, is input to achieve dissociation
of molecular hydrogen and the hydrocarbon feedstock. Moderately low pres-
sures (in the range 10 torr to 1 atm) are used to prevent three-body recom-
bination of H to form molecular hydrogen. High gas-phase temperatures,
greater than 1700⬚C, are produced in the activation zone, and passive or
active cooling is employed to maintain a substrate temperature in the neigh-
borhood of 925⬚C.
However, transport processes are quite different among the four reactor
types. Hot-filament and microwave plasma reactors are diffusion dominated;
typically, there is no thermal, velocity, or concentration boundary layer.
Thus, one often finds linear gradients in temperature, velocity, or species
concentration between the excitation region (hot filament or plasma ball)
and the deposition surface in these reactors. Growth rates and other observ-
ables are a weak function of input flow rate (or velocity). On the other hand,
arcjet and combustion CVD reactors are characterized by high velocities,
for example, greater than 105 cm/sec, and are thus convection dominated.
Thin boundary layers in temperature, velocity, and concentration are formed
near the growth surface.
Deposition Chemistry 57

A. Gas-Phase Chemical Kinetics


The gas-phase chemistry occurring in CVD diamond synthesis involves re-
actions of small hydrocarbons and their dissociation fragments. The chem-
ical kinetics of C, H, and O species has been the subject of decades of
experimental, theoretical, and modeling research in the combustion com-
munity [1]. Thus, the gas-phase chemistry aspects of diamond growth are
probably better understood than for any other CVD system, with perhaps
the exception of the CVD of Si from SiH4.
Temperature- and pressure-dependent rate constants for a great number
of the elementary gas-phase reactions have been measured experimentally
and provide the direct input to CVD diamond kinetic models. However, in
some cases rate constants for relevant reactions are not available. Sometimes
use of a measured rate constant in a calculation, for example, gives a wrong
prediction of flame speed or temperature. This may be because the measured
rate constant itself is in error or may be due to other unknown sources of
error in the simulation.
The combustion research community has developed a number of re-
action mechanism sets that have been tested and compared with experiment
[2–8]. Usually some individual rate constants in a mechanism set have been
adjusted such that the overall mechanism as a whole gives optimal predic-
tions for certain types of flames. Hence, it can be dangerous to extract in-
dividual reactions from one mechanism and incorporate them into another
without a thorough understanding of the limitations and validity of the par-
ticular numbers. Therefore, in searching for gas-phase reaction kinetics to
use in a simulation of a CVD diamond experiment, it is best to consider
published reaction sets ‘‘as a whole’’ and not mix and match information
from different mechanism sets.
The reaction mechanism of Miller and Bowman [4] was developed to
describe nonsooting, oxygen-acetylene flames. It contains about 150 reac-
tions of 51 hydrocarbons up to C2 species and is adequate for many diamond
CVD applications in which the gas is rich in H atoms, and thus formation
of higher hydrocarbon species is not very important [9]. A near-sooting
mechanism was created by Miller and Melius [6] that contains approxi-
mately 220 reactions among 49 chemical species. The mechanism includes
reactions and species leading to the formation of benzene; it is commonly
assumed that benzene is a key precursor in the formation of polyaromatic
hydrocarbons and soot. The Miller-Melius mechanism may be appropriate
for modeling a combustion-synthesis diamond CVD experiment under fuel-
rich conditions; however, extremely fuel-rich conditions usually lead to di-
amond films of poor quality and as such are of less practical importance. A
very large reaction mechanism (853 reactions among 190 chemical species)
58 Dandy and Coltrin

including hydrocarbons up to C8H18 was developed by Westbrook and Pitz


[3,7]. Recently, a consortium of researchers from several different institu-
tions has joined to produce validated combustion reaction mechanisms under
the sponsorship of the Gas Research Institute. At the time of this writing,
the latest version of the reaction set [8], GRI-Mech 2.11, contained 276
reactions of 49 species containing the elements C, H, O, and N.
Wolden et al. [10] presented a brute-force sensitivity analysis of two
different gas-phase reaction mechanisms, the 89-reaction mechanism of
Frenklach and Wang [11] and the 24-reaction mechanism of Harris et al.
[12,13], to develop a reduced gas-phase reaction mechanism. Wolden et al.
used a zero-dimensional model to integrate the kinetics at a temperature of
2000 K and 20 torr for 0.1 sec; these particular conditions were chosen to
mimic the conditions encountered by the gas mixture flowing past a hot
filament [14,15]. Both of the starting reaction mechanisms reduced to the
same set of nine (reversible) gas-phase reactions, which did a good job
matching the time evolution of the C2H2, CH3, and H concentrations pre-
dicted by the longer reaction mechanisms. These reactions fall into three
broad groups: (1) the three-body recombination of atomic H, (2) intercon-
version reactions between CH4 and CH3, and (3) methyl-methyl recombi-
nation reactions, followed by hydrogen-stripping reactions to form acetylene.
A key role of hydrogen in the gas-phase chemistry of diamond CVD
is to suppress formation of aromatic species [9]. Minimizing production of
aromatics is assumed to inhibit formation and growth of graphitic phases on
the deposition surface and thus improve diamond film quality.

B. Reactions at a Hot Filament


Langmuir [16–18] first discovered the catalytic dissociation of hydrogen on
a hot tungsten filament, and such a hot filament has proved to be an easily
constructed, efficient H-atom source. Matsumoto et al. [19,20] first proposed
use of hot filaments for diamond CVD. The majority of basic research has
been done on hot-filament reactors because they can be assembled rather
inexpensively on the laboratory scale, yet can produce good-quality diamond
films.
A thorough investigation of H2 dissociation at a hot filament was con-
ducted by Jansen et al. [21], who used the difference in power consumption
by the filament in a vacuum and in hydrogen as a measure of the hydrogen
dissociation rate. The dissociation rate was shown to depend on the geometry
of the heater element. Relatively high dissociation rates, normalized per
heater area, were obtained for small-diameter wires, and it was argued that
this indicates a nonequilibrium dissociation process. A mathematical analysis
Deposition Chemistry 59

of the H production rate was presented that depended upon parameters such
as the diffusion coefficients, wire diameter, and dissociation rate constant.
Meier et al. [22] used two-photon laser-induced fluorescence (LIF) to
determine H-atom concentrations relative to a hot filament. In this experi-
ment there was no substrate, and thus no diamond growth was occurring.
They measured [H] as a function of total pressure, distance from the fila-
ment, filament temperature, filament diameter, filament material, and CH4
mole fraction. They found little effect on [H] due to addition of up to 5%
CH4. However, in other studies, tungsten filaments have been found to car-
burize during diamond CVD upon high exposure to hydrocarbon feedstock
[23]. Such carburization degrades the catalytic activity for hydrogen disso-
ciation, and gas-phase H-atom concentrations have been found to drop by
at least an order of magnitude when the methane concentration is increased
between a factor of 3 and 10 [15,24–27]. As discussed in Sec. VI.A, Dandy
and Coltrin [28] found that inclusion of filament poisoning effects was nec-
essary to model the gas-phase chemistry in a hot-filament reactor because
the H-atom concentration controls the kinetics to such a large degree.

C. Plasma Activation of the Gas Phase


Direct-current (dc) arcjet-assisted diamond CVD can attain very high growth
rates [29–31] because of the high arcjet powers and material flow rates
possible in these systems. Within the arcjet, electrical energy is converted
to chemical free energy through dissociation of hydrogen. The degree of
hydrogen dissociation is typically high, 20% or greater, but not known pre-
cisely. Detailed physical models of arcjet operation have not been included
in diamond CVD models, although Dandy and Coltrin [32] gave a simple
thermodynamic model of an arcjet that predicts the hydrogen dissociation
fraction for a specified input power and operational temperature, as illus-
trated in Fig. 1. Temperatures at the exit of the arcjet can be in the range
1000–4000 K [33–35]. The combination of high temperature and large con-
centration of atomic H produces high reaction rates of the reactant gases in
the free stream below the arcjet.
Hydrocarbon feedstock is usually injected downstream from the exit
of the arcjet. When methane is the reactant gas, the C1 species, i.e., CHx (x
= 0–4) equilibrate very rapidly [36,37], and thus the concentration of meth-
ane is very low in the free stream. After equilibration (within a few cm of
the exit of the arcjet) the C1 manifold of species remains essentially frozen
in the convective free stream until the boundary layer is encountered near
the substrate. In contrast, acetylene is much more stable than methane, and
when acetylene is used as the reactant gas it undergoes significantly less
gas-phase decomposition. Figure 2 shows the time evolution of species con-
60 Dandy and Coltrin

Figure 1 Predicted H-atom mole fraction exiting the arcjet and power required as
a function of the arcjet operating temperature. (From Ref. 32.)

centrations from homogeneous chemical kinetics calculations [37] under


conditions typical of the free stream beneath the exit of an arcjet, that is,
5000 K, 3 torr, 33% dissociated H2. One sees significant decomposition of
methane within a few ␮sec in Fig. 2a, whereas there is much less decom-
position when acetylene is the reactant in Fig. 2b.
In a microwave plasma system, energy from the microwave electric
field ionizes the gas, which is primarily H2 with small amounts of hydro-
carbon. Energy is subsequently transferred from the electrons to vibrational
levels in H2; then this vibrational energy serves to heat the gas through
vibration-to-translation energy transfer to atomic H and vibration-to-vibra-
tion or vibration-to-translation energy transfer to other H2 molecules [38].
Ion chemistry is important in these systems as an electron-loss source. Elec-
trons can dissociate H2 and eventually lead to a concentration of H⫹ ions,
which can subsequently undergo charge exchange with hydrocarbon and

>
Figure 2 Kinetics simulation of gas-phase chemistry under dc arcjet conditions
for (a) 0.5% methane and (b) 0.5% acetylene as the hydrocarbon reactant. (From
Ref. 37.)
Deposition Chemistry 61
62 Dandy and Coltrin

oxygen-containing species. Neutral chemistry in the gas phase is also initi-


ated by electron-impact dissociation of H2, O2, and hydrocarbons [38].

III. SURFACE CHEMISTRY IN CVD DIAMOND


A. Calculation of Diamond Surface Structures
and Energetics
Modern theoretical chemistry techniques are well suited to study the bonding
and surface structures relevant to diamond CVD because the structures
mainly consist of relatively light elements and thus few electrons, i.e., carbon
and hydrogen, and because bonding is generally short range and covalent,
so relatively small ‘‘slabs’’ can be used to model the lattice. A wide array
of theoretical papers have appeared that report stabilities of proposed surface
structures, relative bond strengths among competing adsorbate species, re-
action barriers, and the like.
Mehandru and Anderson [39] used atom-superposition and electron-
delocalized molecular orbitals (ASED-MOs) to study adsorption energetics
and bonding of CH3, CH2, CH, C2H, and C2H2 on clean and hydrogenated
{111} diamond faces. On either the clean or hydrogenated surface, the ad-
sorption bond dissociation energy (BDE) varied as C2H > CH ⬵ CH2 > CH3.
The 1:1 (complete monolayer) coverage of CH3 was concluded to be very
unstable due to steric repulsions (⫺2.3 eV BDE); the 1:1 coverages of CH2,
CH, and C2H were all predicted to be stable. The favored bonding site for
C2H2 on a clean {111} surface was di-␴ bridging. Bonding to the surface
via a single ␴ bond was found to be very weak (1.2 eV) compared with the
di-␴ bond (3.5 eV).
Mehandru et al. [40] also used this technique to study hydrogen dif-
fusion within the bulk diamond lattice. They found that a bond-centered
(BC) site, that is, a C — H — C structure, was more stable than other inter-
stitial sites (but still about 1.7 eV less stable than a gas-phase H atom plus
the cluster). A minimum barrier to H migration (1.9 eV) was found for
moving from one BC site to a neighboring one, moving in the {110} plane.
They also examined the stability of from one to four H atoms bound to a
lattice vacancy. Up to four H atoms could be bound in the vacancy, with
the calculated BDE energies decreasing monotonically (5.3, 4.4, 3.6, 2.5 eV)
as the number of H atoms increases.
Alfonso et al. [41] used a combined density-functional/molecular dy-
namics simulation to calculate adsorption energies and minimum energy
configurations for various hydrocarbons on flat {100}, flat {111}, and
stepped {100} surfaces. For onefold adsorption sites, the bonding energies
were ordered as follows: C2H > CH2 > CH3 > C2H2; stable twofold adsorp-
Deposition Chemistry 63

tion sites were found for C2H2 on terrace sites and near steps on the {100}
surface. Bonding to {111} surfaces was weaker than to the other two sur-
faces studied.
Alfonso et al. [42] studied the adsorption of various hydrocarbons on
a diamond {100}-(2⫻1) surface. They used molecular dynamics and a
dynamical quenching technique [43] to calculate stable adsorption binding
configurations based on the many-body potential energy function of Brenner
[44]. Bonding was studied on a number of different types of sites: at the
end of a dimer (having removed an H from the dimer), bridging across the
two carbons in a broken dimer (bridge sites), and bridging between the two
ends of adjacent dimer pairs (troughs). For the flat {100}-(2⫻1) surface
they calculated BDEs CH2 (4.67 eV) > C2H (4.34) > CH3 (4.18). Acetylene
was bound considerably tighter at troughs (6.54 eV) than at bridge sites
(3.62 eV), but the authors concluded that trough sites are much less abundant
than bridge sites under steady-state conditions. The stability of hydrocarbon
fragments near step edges was concluded to be very near the values calcu-
lated on flat terraces because of the strong covalent (and short-range) nature
of the bonding.
Angus and coworkers [45] studied nucleation of the diamond {111}
plane upon the {0001} plane of graphite using energy minimization of a
semiclassical Tersoff potential [46,47]. They found very favorable energetics
for an interface matching three diamond {111} planes for every two graphite
{0001} planes. A mechanism was proposed that starts with graphitic or ar-
omatic structures, which are then hydrogenated to provide nucleation sites
for diamond growth.
Molecular mechanics MM2 [48] and MM3 [49] calculations were used
by Harris and Goodwin [50] to calculate the free energy change in each step
of their detailed reaction mechanism on the reconstructed {100}-(2⫻1):H
surface, which in turn was used to calculate the reaction equilibrium constant
and reverse rate constant. Yang and D’Evelyn [51] used MM3 to examine
the relative stabilities of the {100}-(2⫻1) reconstructed surface with varying
degrees of hydrogenation. The monohydride phase was found to be the most
stable and was concluded to be the dominant surface phase under CVD
conditions. MM3 was also used to examine the energetics of each step in a
proposed growth mechanism on the {100}-(2⫻1) surface [52].
Huang and Frenklach [53] used MNDO [54] to calculate reaction en-
ergetics on the {100}-(2⫻1) reconstruction. They found a barrier of 37
kcal for H2 elimination from a surface dihydride to form the monohydride
and that the dihydride is approximately 80 kcal/mol higher in energy than
the monohydride plus H2(g). An alternative mechanism involving H-atom
abstraction from the dihydride forming a dihydride surface radical, then H
elimination and C — C bond formation, had a barrier of 49 kcal/mol, i.e., 12
64 Dandy and Coltrin

kcal/mol higher than the other channel. They calculated a barrier of 80 kcal/
mol for addition of CH3 to a surface dihydride radical; carbon atoms were
found to add to a monohydride radical site with less than a 20 kcal barrier,
and acetylene addition to a radical site proceeded with a barrier of 41 kcal/
mol.
Brenner [44] developed a potential energy surface for diamond and
studied adsorption of hydrocarbon fragments on the {111} surface. The po-
tential uses many-body terms based on Tersoff bond-order expressions [55].
Alfonso and Ulloa [56] performed molecular dynamics calculations employ-
ing the Brenner [44] intermolecular potential to study the adsorption of
methyl radicals on the diamond {100} surface. The adsorption probability
was found to increase with CH3 incident kinetic energy and for normal
incidence. Methyl radical did not react with a fully hydrogenated surface.
Thus, a surface radical site was required for CH3 chemisorption. The same
intermolecular potential was used by Garrison et al. [57], who discovered a
low-energy reaction pathway for dimer-bond breaking and addition of CHx
(x < 3) on the {100}-(2⫻1):H surface from analysis of their molecular dy-
namics simulations.

B. H-Abstraction/Radical Termination Reactions


Reactions of atomic hydrogen are a dominant factor in the surface chemistry
of diamond CVD. They not only control the gas-phase chemistry, and thus
the nature of the reactive species reaching the growth surface, but also de-
termine the availability of reactive sites upon the surface. In addition, the
recombination of hydrogen on the surface is an important source of heat in
the system and must be accounted for in substrate thermal management.
During deposition the diamond surface is usually hydrogen terminated.
Growth is initiated by abstraction of an H from the surface, creating a re-
active radical site
H(g) ⫹ CH(s) ⇔ H2(g) ⫹ C*(s) (1)
This reaction is accompanied by the radical-termination reaction
H(g) ⫹ C*(s) ⇔ CH(s) (2)
The net of these two reactions is heterogeneous recombination of atomic
hydrogen to form H2. Although reactions (1) and (2) are written as reversible,
for all practical purposes the reverse reaction rates are negligible.
The abstraction reaction (1) is fast but does not occur with unit prob-
ability. The rate constants for gas-surface reactions in diamond CVD mech-
anisms are usually estimated by analogy with gas-phase reactions. This, in
essence, assumes that the diamond surface behaves like a giant hydrocarbon
Deposition Chemistry 65

[58]. The gas-phase rate constant for abstraction of a tertiary hydrogen from
an alkane is (in cm3 mol⫺1 sec⫺1)
k1 = 1.3 ⫻ 1014 exp(⫺3674/T) (3)
with the activation energy in K. The rate constant can be converted to a
reaction probability ␥ using

k=

⌫ 冑 RT
2␲W
(4)

where ⌫ is the surface site density (taken here to be 3 ⫻ 10⫺9 mol cm⫺3)
and W is the atomic weight of H; for reactions of H atoms,
k
␥ = 8.25 ⫻ 10⫺13 (5)
兹T
Thus, the rate constant in Eq. (3) corresponds to a reaction probability of
0.14 at a typical growth temperature of 1200 K. Kinetic modeling studies
of diamond CVD have used values of ␥1 spanning the range 0.22 [59] down
to 0.0037 [11]. Molecular dynamics calculations of Brenner et al. [60] yield
an abstraction reaction probability of 0.04 at 1200 K.
A reasonable analogue of reaction (2) would be the gas-phase reaction
of H with the isopropyl radical, with a rate constant in the high-pressure
limit of 2 ⫻ 1013 cm3 mol⫺1 sec⫺1 [2]. This rate constant corresponds to a
probability of 0.48 at 1200 K. Previous models have used values of ␥2
ranging from essentially unity [59] to 0.016 [11]. Brenner et al. [60] cal-
culated a value of 0.43 for ␥2 at 1200 K. Although there is quite a range in
the probabilities that modeling studies have employed for reactions (1) and
(2), there has been a good consensus among such models that the ratio ␥2/
␥1 should be in the range of 3 to 4 [11,59,61]. This ratio was predicted to
be approximately 11 via molecular dynamics [60].
A steady-state analysis [62] shows that the probabilities for reactions
(1) and (2) can be combined to yield an effective probability, ␥H, for H-H
recombination,
1
=
␥H 2
1

1
␥1

1
␥2 冊 (6)

Using the probabilities deduced from the gas-phase analogues in Eq. (6)
yields an effective recombination probability ␥H = 0.22. Krasnoperov et al.
[63] measured an effective H-atom recombination probability of 0.16; Harris
and Weiner [64] measured a slightly smaller value, ␥H = 0.12, with about a
factor of 2 uncertainty. Thus, we conclude that the rate constants (or equiv-
alently) probabilities for H-atom abstraction and termination reactions de-
66 Dandy and Coltrin

rived from their gas-phase analogues are reasonable but perhaps are as much
as a factor of 2 too large.

C. Growth Chemistry
The surface chemical reaction mechanism leading to diamond growth has
been the subject of much research, debate, and controversy. It was widely
assumed that the ‘‘correct’’ reaction mechanism could be found because
diamond is a relatively simple material system: it is dominated by short-
range covalent bonds, chemically related to well-known hydrocarbon chem-
istry, and is amenable to theoretical or first-principles calculation of ener-
getics and reaction rates. However, a universally accepted diamond growth
reaction mechanism has still not yet been found. As an example, much
controversy has reigned about the identification of the dominant precursor
species leading to growth. In a hot-filament reactor environment, the most
abundant gas-phase hydrocarbon species are C2H2 and CH3, and attention
focused on these two candidates as the dominant growth species. Many
conflicting experiments have concluded that one species or another could or
could not account for diamond growth.
It is unlikely that there is a single, simple diamond growth mechanism
that applies to all deposition conditions. For example, the gas-phase envi-
ronment present in a dc arcjet reactor is very different from that in a hot-
filament reactor; there is no a priori reason to expect that the same growth
mechanism will be operative under such different conditions.
The first proposal of C2H2 as a growth species was by Frenklach and
Spear [58]. A detailed kinetic reaction mechanism for diamond growth was
proposed by Frenklach and Wang [11]. Their model was applied primarily
to a hot-filament environment and included acetylene as the dominant
growth species. However, shortcomings of the acetylene addition mecha-
nisms were discovered [65]. Addition of acetylene go a monoradical surface
site is thermodynamically unstable: the adduct formed has too short a life-
time to allow incorporation into the diamond lattice. Addition of acetylene
to a biradical site on the {111} or {110} surface forms a thermodynamically
stable molecule. However, the admolecule quickly desorbs after reaction
with a gas-phase H atom, followed by a ␤-scission cleavage of its surface
C — C bond [66]. Alternative reaction schemes for acetylene on the dimer-
ized {110} surface have been proposed that do not suffer from the foregoing
deficiencies [65,66].
Cappelli and Loh [67] conducted experiments in an arcjet reactor with
C2H2 feed gas and reported high-quality diamond growth. Under these con-
ditions, the concentration of C1 species was too low to account for the
observed growth. They concluded that C2H2 was the dominant growth spe-
Deposition Chemistry 67

cies in this experiment. In a different growth environment, the opposite


conclusion was drawn. Chu et al. [68,69] used a mixture of 13C-labeled
methane and 12C acetylene for growth rate experiments in a hot-filament
reactor. They measured homoepitaxial growth rates on different crystal faces
of 0.4 ␮m/hr on the {100} face, 0.5 ␮m/hr on the {111} face, and 1.3 ␮m/
hr on the {110} face. The 13C fraction in the deposited film matched that of
the gas-phase methyl radical (as deduced from mass spectrometry probe
sampling) and differed significantly from the 13C fraction in the acetylene.
From this observation they concluded that CH3 was the dominant growth
species in the hot-filament experiment. A number of other experimental pa-
pers also concluded that C2H2 is not a viable growth species [69–73].
There has not been a well-accepted mechanism proposed for growth
on {111} planes [74,75]. Steric repulsion appears to rule out complete cov-
erage of the {111} plane by methyl radicals [39]. There is only moderate
repulsion between just a pair of adjacent surface CH3 groups on the {111}
plane [39,76,77], and such a configuration has been examined as a growth
route. Atomic hydrogen abstraction from a single one of the adjacent methyls
followed by bridging reactions is limited by a high activation barrier, ap-
proximately 50 kcal/mol [77]. Abstraction of an H from both adjacent meth-
yls would be followed by rapid formation a C — C bond and thus a surface
species such as — CH2 — CH2 — . However, subsequent abstraction of an H
from this species will be followed quickly by ␤-hydride elimination of H
and desorption of the — CH — —CH2 group [74]. Such a series of reactions
has made drafting of a complete growth mechanism on the {111} and {110}
planes difficult to date.
Frenklach et al. [74] have proposed an atomistic model for step-flow
growth upon a {100} facet between two {111} planes. The model is based
on bridging methylene, CH2, as the mobile species. However, instead of
migrating via surface diffusion, CH2 moves through a sequence of covalent
bond breaking and formation.
Butler and Woodin [78] propose a detailed reaction sequence for
growth of diamond from CH3 (used as a ‘‘generic’’ growth species) on the
{110} crystal face. In particular, their mechanism focuses upon reactions
among chemisorbed species. Kinetic rate constants for the individual steps
in the mechanism were not given, and thus it has not been further incor-
porated into numerical kinetic or reactor-scale models.
Harris [59] proposed a widely used mechanism for growth on the un-
reconstructed {100} plane with CH3 as the growth species. Rate constants
for the 12 reactions in the mechanism were mainly deduced from gas-phase
analogues. Thermochemistry for each reaction, i.e., ⌬G, and thus the equi-
librium constants and reverse rate constants, was estimated using the bicy-
clo[3.3.1] nonane molecule as a model of the diamond surface. Steps in this
68 Dandy and Coltrin

mechanism are illustrated in Fig. 3. Methyl radical (denoted by ‘‘M’’ in the


figure) was assumed to adsorb on a surface radical site, followed by H
abstraction from both the adsorbed methyl (‘‘M*’’) and an adjacent surface
H and rapid formation of a C — C bond (‘‘biradical pairing’’). The Harris
mechanism was used quite widely to simulate CVD diamond growth in a
broad range of growth conditions, including hot filaments, oxygen-acetylene
flame, dc arcjet, and rf torch reactors; see Ref. 50 for a summary.
Steric crowding makes it very unlikely that the methyl radical can
actually bond to the unreconstructed {100} surface [53], and so the original
mechanism as proposed by Harris is probably not correct in all of its detail.
In fact, it is unlikely that the unreconstructed {100} surface exists at all
under growth conditions, due to steric repulsion between adjacent H atoms.
The {100}-(2⫻1) reconstruction has been observed experimentally [79–
82], and the stability of this surface has been examined theoretically [51].

Figure 3 Steps in the methyl-addition growth mechanism of Harris [59], which


uses the bicyclo [3.3.1] nonane molecule as a model of the unreconstructed {100}
surface.
Deposition Chemistry 69

Harris and Goodwin [50] proposed a growth mechanism on the {100}-


(2⫻1):H (hydrogen-covered reconstruction) surface, illustrated in Fig. 4.
The mechanism consists of two main parts: insertion into dimer bonds ac-
cording to the route proposed by Garrison et al. [57], shown in Fig. 4b and
c, and bridging across the trough between the ends of two dimers, as in Fig.
4f. The latter part of this mechanism basically follows the steps in Harris’
original methyl addition mechanism [59]. Harris and Goodwin give rate
constants for each step in their mechanism and the corresponding thermo-
chemistry, calculated via the molecular mechanics code MM3 [49]. Kinetic
simulations showed that the trough portion of the mechanism was rate lim-
iting because that portion required more H abstraction steps than the dimer

Figure 4 Steps in the mechanism proposed by Harris and Goodwin [50] for
growth on the {100}-(2⫻1):H surface. In parts (a), (c), and (e), the letters A–D
represent either an H or a surface radical site at which reaction can take place.
70 Dandy and Coltrin

addition portion. This mechanism was used by Harris and Weiner [83] in
simulating the pressure and temperature dependence of diamond growth.
There is experimental evidence that surface diffusion is operative on
the diamond surface at high temperatures [84–87]. Theoretical analysis of
surface diffusion of H atoms, hydrocarbon species, and radical sites found
these processes to be very facile [88]. Surface diffusion will be enhanced at
higher temperatures, potentially leading to smoother films. However, higher
temperatures also lead to increased desorption from the surface and etching.
The surface diffusion mechanism was also found to be unstable; the system
was found to switch suddenly from a regime of smooth growth to formation
of pits.

D. Reduced Reaction Mechanisms


The fine details of diamond growth mechanisms are very complex, still
under debate, and dependent upon crystallographic plane and growth con-
dition. However, many general aspects of diamond growth surface chemistry
appear to be generic to the process and are widely agreed upon. As a result,
a number of simplified models of diamond growth have been developed
[32,62,67,78,89]. Although not intended to be mechanistically rigorous in
detail, these models attempt to explain some of the overall behavior of the
diamond CVD system, e.g., scaling of growth rate, surface coverage, defect
formation, energy consumption, in terms of generic reactions taking place.
Such models are typified by the discussion given by Butler and Woodin
[78], whose simple analysis included reactions for H-atom abstraction and
radical termination, incorporation of chemisorbed hydrocarbon species into
the lattice, diamond growth, and parasitic growth of defects. This model is
perhaps distinguished from some of the others in discussing the surface
temperature dependence of these processes. One of the quantities predicted
by the model is quality, which was defined as the ratio of the rate at which
adsorbed carbons completed their lattice bonds before being overgrown to
the total rate of carbon adsorption. Figure 5 illustrates the dependence of
quality on substrate temperature for two different reactor types. Case (a) is
representative of a dc arcjet system, for which the atomic hydrogen mole
fraction at the surface was assumed to be 0.1 and the mole fractions of
hydrocarbons were assumed to total 10⫺4. Case (b) represents conditions in
a hot-filament reactor, with a near-substrate H mole fraction of 0.01 and
CxHy mole fractions of 10⫺5.
Goodwin [62] presented a simplified surface reaction mechanism that
includes many of the important features present in more detailed kinetic
schemes, including H-atom abstraction and termination reactions, addition
of CH3 to a surface radical site, and a biradical pairing reaction to form a
Deposition Chemistry 71

Figure 5 Calculated quality (lattice incorporation/carbon addition) as a function


of substrate temperature for conditions characteristic of (a) dc arcjet and (b) hot
filament. (From the model of Butler and Woodin [78].)

surface C — C bond. The mechanism also included an alternative reaction


path for formation of a buried defect, for example, hydrogen or sp 2 species
trapped in the lattice. The simplified reaction scheme can be solved analyt-
ically, yielding expressions for deposition rate and lattice-defect fraction as
a function of CH3 and H concentrations at the surface. The deposition rate
expression containing two adjusted constants reproduces measured diamond
growth rates from a wide range of experiments spanning growth rates from
0.1 to more than 7000 ␮m/hr and is
[CH3][H]
G = 1.8 ⫻ 1011 (7)
5 ⫻ 10⫺9 ⫹ [H]
where G is the growth rate in ␮m/hr, and the concentrations are in mol/cm3.
Assumptions in the analysis lead to the conclusion that the mole fraction of
defects in the grown film, Xdef , will scale as
G
Xdef ⬀ (8)
[H]2
The form of Eq. (8) is especially important in trying to optimize diamond
growth conditions. It illustrates the fundamental trade-off between achiev-
able growth rate and film quality; i.e., defect density increases linearly with
growth rate. Equation (8) predicts that growth rates can be increased quad-
72 Dandy and Coltrin

ratically with H-atom concentration for a fixed defect density. (This is


achieved by simultaneously increasing the hydrocarbon fraction.) Goodwin
points out that the scaling of defect fraction with H-atom concentration is
uncertain and depends upon the form of the (unknown) defect formation
reaction. He gives a more general scaling relationship for defect fraction as

G
Xdef ⬀ (9)
[H]n

Dandy and Coltrin [32] discussed diamond growth in terms of a sim-


plified growth mechanism that extended the one given by Goodwin [62] to
account for growth from atomic C atoms, which were quite abundant in the
dc arcjet environment being modeled [36]. They also included a kinetic
pathway for formation of lattice point defects due to overgrowth of surface
hydrogen species and thus incorporation of hydrogen into the lattice as a
defect. As shown in Fig. 6, the scaling of defect fraction was found to follow
(very nearly) a linearly scaling with [H], that is,

Figure 6 Predicted growth rate as a function of gas-phase H mole fraction at the


surface for a fixed diamond quality (defect concentration). Solid curve is for a defect-
formation reaction that is first order in surface reactant species; dashed curve is for
a defect-formation reaction that is bimolecular in surface species. (From Ref. 32.)
Deposition Chemistry 73

G
Xdef ⬀ (10)
[H]
when the defect formation reaction was first order with respect to surface
species as reactants. When the reaction responsible for defect formation was
assumed to be bimolecular with respect to surface species, a quadratic scal-
ing as in Eq. (8) was obtained, which is also illustrated in Fig. 6. The
difference in scaling laws for defect formation has important implications
for scaling a CVD diamond reactor to higher arcjet power, for example. If
defect formation decreases approximately linearly with [H], as in Eq. (10),
higher power and thus increased H-atom production would allow a linear
increase in attainable growth rate (holding diamond quality fixed). However,
if defect formation scales as in Eq. (8), any increase in [H] is rewarded with
a quadratic increase in the attainable growth rate (again, for fixed quality).
Angus and Evans [89] present a simple reduced reaction set to de-
scribe many aspects of CVD diamond growth. Their model includes growth
of diamond from graphitic nucleation sites [45], conversion of sp 2 sites to
sp 3 hybridization, and gassification of hydrogenated surface species by
atomic hydrogen. The reaction scheme can be solved analytically at steady
state to predict growth rates of both diamond and sp 2 impurities as a function
of the concentration of a growth precursor, [CHx], and atomic hydrogen, [H].
The model predicts that the impurity concentration will increase with [CHx]
and thus with increasing growth rate, and it will decrease more than linearly
with [H].

IV. NUCLEATION PHENOMENA

It has become clear in research on diamond film growth that control of the
fundamental phenomena associated with diamond nucleation and the early
stages of growth is essential for applications in which material properties
are sensitive to, or depend directly upon, the morphology of the polycrys-
talline film. Film anisotropy, grain size, and nano-, micro-, and macroscopic
voids all strongly affect material properties such as thermal conductivity and
optical transmissivity. Indeed, if diamond is ever to be used in semiconductor
applications, it will be necessary to synthesize large-area, thick, single-crys-
tal films. An increase in surface nucleation density may reduce morpholog-
ical instabilities and surface roughness and, further, may improve the ho-
mogeneity of films and reduce formation of voids at the substrate or coating
interface, leading to better diamond-substrate adhesion.
Most early studies of the low-pressure CVD of polycrystalline diamond
have focused on studying different deposition techniques and correlating
74 Dandy and Coltrin

these techniques with the material properties of the resulting films. These
efforts have been successful in gaining a qualitative and semiquantitative
understanding of how diamond is deposited from the gas phase. Another
result of such studies has been an increasing awareness of the extreme var-
iability in film morphology and crystallinity that could be produced with
seemingly minor changes in the growth environment. Because of this ob-
servation, increasing attention has been paid to the nucleation and early
growth stages of diamond; these nucleation studies have significantly con-
tributed to understanding of diamond nucleation mechanisms in CVD. In
this section possible mechanisms for diamond nucleation will be discussed,
and the effect of surface conditions and the growth environment on epitaxy,
morphology evolution, and texture will be considered.

A. Nucleation Mechanisms
1. Homogeneous Nucleation
The emphasis of most studies on nucleation and growth of diamond has
been the heterogeneous formation of diamond particles and the crystalliza-
tion and deposition of diamond films on substrate surfaces. Only limited
work has been done to examine the possibility of achieving homogeneous
nucleation in the gas phase at subatmospheric pressures. However, there is
evidence that, at least in some cases, diamond may be nucleated homoge-
neously in the gas phase [90–93]. The primary reason that homogeneous
nucleation of diamond has not been pursued more aggressively is that using
a CVD process to produce diamond powder via homogeneous nucleation
does not appear commercially competitive with other techniques because of
the low nucleation rate; and conditions conducive to homogeneous nuclea-
tion are not favorable for film growth, so it may not even be practical to
use the homogeneous nucleation phenomenon as a seeding technique.
Classical nucleation theory indicates that homogeneous nucleation of
diamond is possible [94], and a number of suggestions have been made
regarding possible hydrocarbon cage molecules (such as adamantane) that
may serve as gas-phase precursors for the diamond nuclei [95]. The ada-
mantane molecule represents the smallest combination of carbon atoms that
possesses the diamond unit structure, that is, three six-membered rings in a
chair structure. However, chemical equilibrium calculations reveal that pro-
posed precursors such as adamantane, tetracyclododecane, and hexacyclo-
pentadecane are unstable at the temperatures and pressures typical of CVD
diamond growth. Instead, Angus et al. [96,97] suggested that fully hydro-
genated ring compounds structurally related to the boat-boat conformer of
bicyclodecane are more plausible nucleation seeds due to their greater abun-
Deposition Chemistry 75

dance in CVD systems, thermodynamic stability, and higher reactivity with


hydrocarbon radicals leading to atom addition.
While dilute concentrations of 50–200 nm diamond crystals have been
successfully produced via homogeneous nucleation using a number of re-
actant gas mixtures and reactor types [90,92,98], it has been found that
higher nucleation densities may be achieved when impurities such as halo-
gens, silane, or diborane are present in the system [99]. It has been suggested
that, because of the higher rates of thermal dissociation of these impurities,
the decomposition fragments quickly nucleate a relatively large number of
small clusters. These clusters then serve as seeds for the subsequent addition
of carbon.

2. Heterogeneous Nucleation
It is possible to grow diamond homoepitaxially on a single-crystal diamond
surface using methods such as hot-filament CVD [69,100] and microwave
plasma–assisted CVD [101,102] using a variety of hydrocarbon sources.
Diamond readily nucleates on cubic boron nitride (cBN) because the two
materials have identical crystal structure, a lattice mismatch that is only
1.4%, and similar thermal expansivity [103,104]. However, in almost all
other diamond CVD processes where diamond is grown on nondiamond
substrates, the initial nucleation stage is extremely slow and nucleation den-
sity is very low unless some form of active substrate pretreatment takes
place.
Conventional growth of polycrystalline diamond generally consists of
up to five distinguishable stages: (1) the incubation period, (2) three-dimen-
sional nucleation of individual crystallites on the substrate surface, (3) ter-
mination of surface nucleation and subsequent three-dimensional growth of
individual crystallites, (4) faceting and merging with neighboring crystallites,
and (5) growth of the continuous film. These different stages are illustrated
by the micrographs shown in Fig. 7. Before nucleation begins, the system
undergoes an incubation period that may last from several minutes up to
hours [13,105], depending upon substrate material, surface pretreatment, and
deposition conditions. The lone nanocrystals formed during the nucleation
stage often exhibit roughly spherical geometry. Nucleation density increases
with time up to values that, again, depend upon the substrate and pretreat-
ment method, after which surface nucleation ceases to occur at a measurable
rate. The isolated crystallites grow and develop faceting due to the relatively
high rate of surface carbon diffusion from the surrounding substrate surface.
Once the crystals grow large enough to impinge upon one another, they
form grain boundaries and then continue growing as a continuous film, as
indicated by the highly textured morphology shown in Fig. 7f.
76 Dandy and Coltrin

Figure 7 Diamond growth on a nondiamond substrate occurs by sequential steps:


(a) nucleation of individual crystallites; (b and c) termination of nucleation followed
by growth of individual crystallites; (d) faceting and coalescence of crystallites; (e
and f ) competition between growing crystallites and eventual overgrowth to form
continuous film. (From Ref. 203.)

Under certain growth conditions the competitive growth process of the


individual crystallites governs the subsequent growth of the continuous film.
In these cases, the grains exhibit fastest growth in the direction perpendicular
to the substrate, overshadowing any slower growing neighbors and forming
a continuous film with a very distinct columnar structure [105]. This mode
of film growth, where crystallites successfully overshadow their neighbors,
has been observed in many vapor-deposited materials and is described as
evolutionary selection [106].
Surface nucleation processes can be described with two quantities: the
surface nucleation density, Nd (cm⫺2), and surface nucleation rate, Nr (cm⫺2
hr⫺1). The nucleation density is the number of nuclei grown per unit area,
and the rate is the number grown per unit area per unit time. The density
depends upon the number of activated nucleation sites available; thus, nu-
cleation on the substrate will cease when all active sites have been occupied
or when the discrete crystallites grow together and completely cover the
surface. The nucleation density determines the ultimate thickness, average
crystal size, homogeneity, substrate adhesion, texture, and roughness of the
resulting film. Generally, the higher the nucleation density, the smoother the
surface of the continuous film [107]. On an atomic scale, the surface nucle-
ation process may include the following events:
1. Atoms from the gas collide with the deposition surface and are
adsorbed.
Deposition Chemistry 77

2. The adatoms may desorb back into the gas phase or diffuse along
the surface; they may also diffuse into the substrate or form bonds
with other surface species.
3. As time increases, the concentration of surface adatoms also in-
creases and clusters begin to form as the adatoms bond with one
another.
4. The clusters grow or decay in size, depending upon their ther-
modynamic stability, rate of atom addition from the gas phase, and
surface diffusion from the surrounding substrate.
5. Once the clusters reach a critical size, they become thermodynam-
ically stable and will continue to grow as new atoms are adsorbed.

This model for nucleation is necessarily simplistic because it presumes an


ideal substrate with no defects or existing impurities. In practical systems
where pretreatment occurs, as discussed in Sec. IV.C, nucleation may take
place on existing particles, at defects induced on the substrate surface, or at
intermediate carbide layers of varying chemical composition. However, the
general steps just outlined are adequate to describe the principal features of
diamond nucleation.
It was recognized fairly early in diamond CVD research that the sur-
face nucleation process of diamond was a controlling factor in the formation
and subsequent growth of continuous films [108,109]. Experiments were
performed to nucleate diamond on nondiamond substrates, including single-
and polycrystalline Au, Cu, Si, Mo, and W. From those studies, a number
of general trends emerged regarding diamond nucleation on nondiamond
substrates:

1. Diamond nucleation rates on nondiamond substrates range from


103 to 108 cm⫺2 hr⫺1, depending upon the surface preparation
method and deposition conditions.
2. Nucleation of the diamond crystals is observed primarily on sub-
strate defects (scratches, grain boundaries, dislocations), indicating
that diamond nucleation is, indeed, occurring via a heterogeneous
mechanism.
3. Diamond nucleation rates are lower on single-crystal substrates
than on polycrystalline substrates of the same material after iden-
tical surface pretreatment.
4. Diamond nucleation rates on carbide-forming substrates (Si, Mo,
W) are one to two orders of magnitude higher than on non–car-
bide-forming materials (Cu, Au).
5. Nucleation rates on nondiamond substrates decrease as both sub-
strate coverage and crystal size increase.
78 Dandy and Coltrin

A large number of experimental observations employing transmission elec-


tron microscopy, scanning electron microscopy, x-ray diffraction, x-ray pho-
toelectron spectroscopy, low-energy electron diffraction, Rutherford back-
scattering spectrometry, electron energy loss spectroscopy, and Auger
electron spectroscopy, to name but several techniques (for example, [110–
117]), validate the observations of Spitzyn et al. [108,109]. Together, the
experimental studies of diamond nucleation and growth reveal that, in most
cases, diamond does not actually nucleate directly on a nondiamond sub-
strate surface but instead forms on an intermediate layer that develops at the
interface of the substrate during the incubation period prior to actual dia-
mond nucleation. The intermediate layer, formed due to chemical reactions
between activated gas species and the substrate material, may consist of a
combination of diamond-like amorphous carbon, metal carbides, or graphite,
depending upon substrate pretreatment, the substrate material, and deposition
conditions. It is generally agreed that the intermediate layer provides nucle-
ation sites for diamond crystal growth and thus increases Nd on nondiamond
substrates. Knowledge of the details of formation of the intermediate layers
may provide a means of controlling the morphology and texture of the re-
sulting diamond films.
Diamond is often grown on materials that form refractory carbides,
such as silicon, molybdenum, tantalum, and tungsten, in part because of the
availability of these materials and their thermal properties (they can with-
stand the high temperatures and energy loads associated with diamond dep-
osition) and in part because it was discovered that diamond would nucleate
and grow on these materials with little or no pretreatment. It is now generally
accepted that the reason for the ease of growth on these materials is the
initial formation of a carbide layer that in turn acts as a medium for diamond
nucleation. These intermediate layers are thin, often in the range of tens of
nanometers, and do not strongly affect the material properties of the grown
film. From observation and thermodynamic calculation [118,119], it has been
suggested that diamond nucleation on Si must be preceded by the formation
of a ␤-SiC buffer layer and that diamond actually nucleates on the surface
of the carbide layer. This is supported by a large number of experiments in
which particles or films of diamond were grown on silicon substrates.
Systematic studies of diamond growth on other carbide-forming ma-
terials have also been carried out [120], and the same observation was made,
namely that diamond nucleation was preceded by formation of a thin carbide
layer. It has been postulated [121] that diamond growth on carbide-forming
substrates is initiated by the dissolution of carbon into the substrate material,
forming the stable carbide. Diamond nucleation on the carbide then occurs
when the surface becomes saturated with adsorbed carbon. In this model,
the diffusion of carbon into substrate and on the surface both play key roles
Deposition Chemistry 79

in the rate of diamond nucleation. It was found that qualitative features of


the model agreed with experimental observation: materials with high carbon
diffusivities had the longest incubation period because they required the
most time to achieve a carbide layer thick enough for the surface to become
saturated with carbon.
However, enough experimental data exist to conclude that, although
the formation of carbide interlayers is an important factor for diamond nu-
cleation on carbide-forming substrates, it is probably not the sole mechanism
by which nucleation occurs [122]. Intermediate layers of a-C:H or a-C were
found to form on Si and Mo substrates, for example. Graphite interlayers
have also been observed on carbide-forming substrates. What can be said is
that, in general, formation of interlayers is a necessary step in the sponta-
neous nucleation processes of diamond on nondiamond substrates, but this
alone is not sufficient for nucleation to occur. Two criteria must be satisfied
for nonepitaxial surface nucleation when an interlayer is present: (1) carbon
saturation of the substrate surface and (2) presence of high-energy surface
sites (unsatisfied valences). Distinctly different interlayers may form on dif-
ferent substrate materials at different rates, and different reactant gas
mixtures or different substrate temperatures may produce different inter-
mediate layers on the same substrate. Low C:H ratios and/or high substrate
temperature may favor the formation of carbides, while high C:H ratios and/
or low substrate temperature may lead to the formation of a-C or diamond-
like carbon (DLC), or even direct nucleation of diamond on the bare sub-
strate surface.

B. Diamond Epitaxy and Morphology Evolution


1. Epitaxy
Diamond films epitaxially deposited on both diamond and cBN single-crys-
tal substrates demonstrate that, under typical low-pressure processing con-
ditions, single-crystal diamond deposition is possible [109,123]. However,
deposition of single-crystal diamond films on substrates other than diamond
and cBN is desirable due to the difficulty in obtaining large-area single
crystals of either of these two materials. Methods for obtaining large-area
single crystals, or at least highly oriented films, must be developed for large-
scale electronic applications. Heteroepitaxial growth of diamond has been
and will continue to be a major research objective.
The primary difficulty associated with diamond epitaxy is the small
number of materials available with suitable crystal structures and lattice
constants. Some transition metals and ceramics, such as Ni, Cu, Fe, and
cBN, constitute the few isostructural materials with sufficiently similar lat-
80 Dandy and Coltrin

tice constants (mismatch < 5%). Further, the extremely high surface energies
of diamond, ranging from 5.3 to 9.2 J m⫺2 for the principal low-index planes,
and the presence of interfacial misfit and strain all present major obstacles
in forming oriented, two-dimensional diamond nuclei [124]. Early attempts
to grow heteroepitaxial diamond on transition metals were unsuccessful,
possibly due to the high solubility and mobility of carbon on these materials
and the formation of intermediate layers, as discussed in Sec. IV.A. More
recently, however, there have been a number of successes in obtaining het-
eroepitaxial growth on Ni, Cu, and cBN [104,124–126].

2. Oriented Growth
The crystal habit of diamond is, in general, determined by the relative
growth velocities of the {100} and {111} planes, denoted as v100 /v111, and
the appearance or disappearance of crystallographic planes in diamond films
depends upon the growth velocities of the corresponding planes. The facets
that appear on a crystal are those for which the normal growth velocity is
the slowest. Based on the Wulff criterion for crystal habit [127], it is pre-
dicted that the most stable growth planes in diamond are the octahedral
{111} planes, followed by cubic {100} planes and the {110} planes. At low
substrate temperature, when v100 /v111 > 兹3, the crystal habit of diamond is
octahedral, and at high substrate temperature, v100 /v111 ⱕ 兹3/3, it is cubic,
and in between it is cubo-octahedral. Increasing the hydrocarbon concentra-
tion in the gas phase has the same effect as increasing the substrate tem-
perature, specifically, that the morphology of polycrystalline diamond films
evolves from octahedral, to cubo-octahedral, to cubic.
Success in growing highly oriented, textured diamond on silicon rep-
resents a novel approach for obtaining near-single-crystal morphology over
large areas [128,129]. In initial experiments no texture formed, in part be-
cause of the creation of a-SiC interlayers, which led to the growth of ran-
domly oriented diamond particles. Subsequent attempts resulted in the
growth of highly oriented, textured films on single-crystal Si{100} sub-
strates. In the latter experiments, a two-step procedure was applied. First,
diamond was nucleated using a CH4 /H2 gas mixture, and it is believed that
in situ carburization occurred during this stage, converting the Si surface to
an epitaxial ␤-SiC layer. Nucleation of diamond produced partially oriented
nuclei. Then, during the growth stage CO was added to the CH4 /H2 mixture,
resulting in textured growth.
The ability to obtain highly oriented, textured films has led to the
investigation of the dependence of film texture and morphology on deposi-
tion conditions [130]. Oriented films are categorized according to whether
they are (1) strongly fiber textured, (2) epitaxially textured films grown on
Deposition Chemistry 81

{100} Si, or (3) homoepitaxial films grown on {100} diamond. The {100}
faceted surfaces were selected because CVD diamond grown on {100} is
known to contain significantly fewer structural defects than that grown on
{111}. The data for the experiments of Wild et al. [130] were correlated by
a growth parameter, ␣ = 兹3(v100 /v111), and it was concluded that the mi-
crostructure (texture and orientation) and morphology of diamond films
could be controlled through manipulation of this parameter, which in turn
can be controlled by altering the growth environment. For fiber-texture films,
low CH4 concentrations or high substrate temperatures (corresponding to ␣
< 1.5) result in films with a pronounced 具110典 texture; at intermediate CH4
concentrations and substrate temperatures (1.5 ⱕ ␣ ⱕ 3), a transition of the
fiber axis from 具110典 to 具100典 occurs; further increases in CH4 concentration
or lower substrate temperature (␣ > 3) leads to a complete deterioration of
the film morphology, such that the films lose their 具100典 texture, become
very fine grained, and do not show any distinct faceting. The evolution of
crystal shape as a function of ␣ is illustrated in Fig. 8. In that figure, the
arrows indicate the direction of fastest growth.
In the case of homoepitaxial or heteroepitaxially textured films of
{100} orientation, the microstructure and morphology of the films are
strongly affected by twin formation, which may lead to complete deterio-
ration of the epitaxial orientation. Deposition conditions corresponding to
values of the growth parameter ␣ ⬇ 2.5 tend to suppress twin formation,
and the subsequent film growth improves epitaxial alignment of the crystals.
In contrast, small values of the growth parameter (␣ ⬇ 1.5) usually indicate
that the film orientation and morphology will deteriorate due to twinning.
The fact that film texture and morphology can be correlated to this one
parameter (␣) is a strong indication that these two properties depend most
strongly on substrate temperature and the gas composition near the deposi-
tion surface. By investigating different combinations of Ts and reactant com-
position, it may therefore be possible to control film texture, surface mor-
phology, and stability with respect to twin formation and, in particular, to
grow 具100典 textured diamond films with {100} faceted surfaces.

Figure 8 Transition of crystal shape from cubic to octahedral as the growth pa-
rameter, ␣, is increased. The arrows indicate the direction of fastest growth.
82 Dandy and Coltrin

3. Morphology Evolution
Regarding the size distribution and grain evolution during film growth, a
number of experiments indicate that, for nucleation and growth on Si{100},
the crystal size and size spectrum both grow with increasing deposition time.
During the early stages of growth, crystals are small and relatively uniform
in size; as the films grow, the average size increases and, simultaneously,
the size distribution broadens.
The great disparity in crystal sizes and pronounced surface roughness
in high-growth-rate systems led to a detailed set of experiments to study
possible morphological instabilities during diamond growth [85]. Indeed, it
was observed that in low-pressure, high-growth-rate systems (plasma arcjet
and combustion), the lack of surface diffusion and reevaporation at diamond
film surfaces during growth accelerated any stochastic interface perturba-
tions, leading to fast growth of some crystals relative to others. The insta-
bilities are then magnified during sustained growth as a result of competitive
shadowing and reactant depletion by the taller crystals. This phenomenon is
usually observed only in high-growth-rate systems and only for films thicker
than 20 ␮m. The possible morphological instabilities inherent to diamond
film growth not only result in extreme variations in the sizes of the crystal-
lites but also lead to the incorporation of voids and noncrystalline phases in
the films. As growth proceeds, smaller crystals are often found to be coated
with DLC, which may be attributed to reactant starvation and lower tem-
perature experienced by these smaller grains. Several possibilities exist for
surmounting these instabilities in high-growth-rate systems. The first is to
increase the nucleation density of diamond on the substrate, so that the
inevitable onset of instability is delayed. The second is to grow the films in
a cyclical manner, so that the growth phase is interrupted regularly with a
renucleation phase.

C. Effects of Surface Conditions


It has long been recognized in film deposition that the substrate material and
surface pretreatment both strongly affect the properties of the resulting film.
As mentioned earlier, two key issues in diamond deposition are the surface
nucleation density and rate, and a number of nucleation enhancement tech-
niques have been developed to maximize both of these quantities. Nucleation
density has been increased from less than 105 cm⫺2 on untreated substrates
up to 1011 cm⫺2 on scratched or biased surfaces. The effects of surface
conditions on nucleation processes have been investigated to provide guide-
lines for selection of optimal surface pretreatment methods.
Methods of substrate surface pretreatment that have been tested include
Deposition Chemistry 83

(1) scratching with abrasives; (2) seeding with diamond grit and other ce-
ramic powders; (3) electrical bias; (4) covering the substrate with graphite
films or fibers; (5) coating the substrate with thin metal films, a-C, C70, cBN,
SiC, WC, or hydrocarbon oil; (6) ion implantation; (7) pulsed-laser irradi-
ation; (8) carburization; and (9) chemical etching. These different methods
make it possible to control diamond nucleation density over many orders of
magnitude.
The reverse problem, that is, preventing diamond from growing on
specific portions of a deposition substrate, is important for selective or pat-
terned growth, a technique of particular importance in electronics applica-
tions. A number of surface pretreatment methods have been proposed for
preventing diamond nucleation on foreign surfaces, including oxidation,
sputtering, reactive ion etching, excimer laser irradiation, and surface melt-
ing with lasers. It is generally accepted that native oxides (SiO2) are the
most effective at impeding diamond nucleation [131,132]. Chemical etching
in HNO3, HF, and HCl; plasma etching in NF3 and Cl2; and sputtering in
N2 have shown success in introducing surface roughness on selected regions
of the surface but have not resulted in increased nucleation density and have,
in fact, had the opposite effect.
Scratching is the most widely used technique for enhancing diamond
nucleation, although this pretreatment method is not easily applied to sur-
faces of complex shape and is not generally attempted when growing films
that require extremely smooth surfaces. Often in this technique, the surface
is scratched, abraded, or blasted with diamond particles or paste, but other
abrasives are also used; these include borides, carbides, nitrides, silicides,
oxides, and graphite. Diamond nucleation densities after scratching pretreat-
ment typically range from 106 to 1010 cm⫺2. Scratching may also be accom-
plished using ultrasonic vibration with an abrasive paste suspended in meth-
anol or acetone. It has been observed that an ultrasonically damaged surface
has more uniformly distributed defects, and this leads to slightly higher
nucleation densities (107 –1011 cm⫺2) and more reproducible effects [99,122].
A number of explanations have been put forth for the enhancement of
nucleation density and rate caused by scratching. The first of these is the
seeding effect: diamond, DLC, or other carbonaceous residues from the
scratching process are left behind, either adhering to or imbedded in the
substrate, and these nano- and microparticles may act as nucleation sites
[133]. Because other abrasive materials yield a similar yet less pronounced
effect, it is likely that this is not the only operative mechanism in diamond
nucleation on scratched surfaces. A second possible mechanism for nuclea-
tion is that the highly disordered surface materials or microscopic crater
edge sites on the polished surface create high-energy sites. Diamond nucle-
84 Dandy and Coltrin

ates preferentially at these sites because (1) there is a resultant minimization


of interfacial energy from the formation of diamond nuclei on the sharp
convex surfaces, (2) the microscopic craters and trenches formed by scratch-
ing may leave dangling bonds, and (3) carbon saturation will occur most
rapidly at sharp edges. Topography patterning analyses lend credence to the
second postulate [134]. It was observed that, although residual abrasive pow-
der may enhance nucleation, the nucleation event is promoted by topological
features alone, and the presence of residual abrasive is not a necessary con-
dition for nucleation. A third possible mechanism for nucleation is that the
scratching process produces nonvolatile graphitic particles through local py-
rolysis; the graphitic particles are subsequently hydrogenated in the growth
environment.
Scratching and seeding cause substrate surface damage and contami-
nation, as mentioned earlier. Therefore, these pretreatment methods are in-
compatible with many applications requiring extremely smooth, clean sur-
faces, such as diamond films for electronic devices, optical window
materials, and smooth, wear-resistant coatings. Alternative pretreatment
methods that yield high nucleation densities without substrate damage are
of particular importance. Biasing pretreatment of substrates has been in-
creasingly employed to enhance surface nucleation of diamond [129,135,
136]. Using a potential bias to obtain large nucleation densities on un-
scratched substrates provides an opportunity to control nucleation densities
through variation of the applied voltage and current, while reducing surface
damage.
Most investigations of bias-enhanced nucleation have focused on mir-
ror-polished Si substrates, and possible explanations for the nucleation en-
hancement have emerged. For negative biasing of an Si substrate, the role
of biasing is to (1) increase the flux of carbon-containing cations (C⫹,
CH⫹ ⫹
x , CxHy ) to the surface, expediting the local carbon saturation on the
surface and leading to a thin layer of amorphous carbon on the SiC interlayer
to form small clusters for diamond nucleation; (2) transfer more energy to
the surface through ion bombardment, resulting in increased surface mobility
of adsorbed species; (3) reduce and suppress surface oxide formation and
remove native oxides; and (4) accelerate gas-phase reactions because of the
increased ion-neutral collisions and higher energy of the sheath region, lead-
ing to higher concentrations of reactive hydrocarbon radical species. It is
believed that nucleation enhancement on positively biased substrates is due
to a high electron density, which in turn results in a high electron kinetic
energy. Such a high-speed electron impingement process may increase the
rate of decomposition of adsorbed hydrocarbons through hydrogen de-
sorption.
Deposition Chemistry 85

D. Effects of Deposition Conditions


Deposition conditions, such as substrate temperature, reactor pressure, and
reactant composition, critically influence diamond nucleation rate and den-
sity, but conditions optimal for growth may not be ideal for nucleation.
Experiments on bias-enhanced nucleation revealed that negative biasing
greatly increases diamond nucleation, but poor-quality films are produced
when biasing continues during growth [137]. Similarly, optimal values of
temperature and pressure for growth may not be the same as those for
nucleation.

1. Substrate Temperature
Experiments carried out in different reactor systems present a consistent
physical picture of the dependence of nucleation density on substrate tem-
perature [138–140]. In these studies it was found that, for growth on silicon
substrates, nucleation density falls off sharply for substrate temperatures be-
low 820–850⬚C; for temperatures greater than 850⬚C, the nucleation density
gradually decreases but remains on the order of 1010 cm⫺2 up to the highest
temperatures studied (950⬚C). It is speculated that this overall dependence
of nucleation density on substrate temperature is due to the change in ad-
sorption state and surface diffusion length of growth precursors. At temper-
atures below approximately 900⬚C, the nucleation precursors are adsorbed
primarily by physical adsorption (physisorption), whereas chemical adsorp-
tion (chemisorption) is dominant at higher temperatures. This change in the
adsorption state causes an abrupt change in the diffusion length of the pre-
cursors as the temperature is increased above 900⬚C. As a result, the capture
efficiency of precursors on the substrate surface and thus the nucleation rate
and density dramatically increase when the temperature approaches 900⬚C.

2. Reactor Pressure
Investigations indicate that there is an inverse relationship between pressure
and nucleation density and rate, at least for diamond growth on silicon
[111,141]. However, the effect does not appear to be nearly as strong as
substrate temperature, resulting in a factor of 3–4 decrease when the pres-
sure is increased from 2 to 50 torr. For silicon substrates, it is possible that
the inverse dependence on pressure is due to a competition effect between
␤-SiC formation (which increases diamond nucleation density) and atomic
hydrogen etching of material, which decreases the number of nucleation
sites. Because of these observations it has been suggested that the nucleation
phase be carried out at lower pressure (2–5 torr) and subsequent growth be
carried out at higher pressure (20–40 torr).
86 Dandy and Coltrin

The effect of pressure has also been examined for nucleation on Mo


substrates [142]. It was found that good nucleation density was achieved for
high gas-phase hydrocarbon fractions at low pressure due to the promotion
of carburization of the substrate surface and increased surface C concentra-
tion. Growth was then carried out at lower hydrocarbon concentrations and
higher pressure to preserve diamond film quality. Films grown in this manner
have been shown to be dense, homogeneous, and well crystallized.

3. Reactant Composition
In nucleation on carbide-forming substrates, it has been observed that dia-
mond nucleation density increases as the inlet CH4 mole fraction is in-
creased. The gas composition influences not only the nucleation density but
also the nucleation behavior and the resultant crystal morphology. At lower
CH4 mole fractions (<0.5%), diamond nucleation may actually cease while
a significant area of the substrate remains unnucleated, and the subsequent
growth leads to good-quality, well-faceted, isolated diamond crystals. At
higher CH4 concentrations (1–2%), isolated crystals may grow large enough
to occlude one another before nucleation terminates [143]. A side effect of
higher hydrocarbon concentrations is formation of appreciable nondiamond
components.
The addition of small amounts of oxygen has been generally found to
reduce the incubation period and to increase nucleation density. For growth
on silicon, it has been postulated that the role of oxygen is to participate in
reactions leading to preferential formation of SiOx on the surface rather than
SiC; the SiOx layer may impede Si diffusion from the bulk to the substrate
surface, allowing the adsorbing carbon species to saturate the surface more
quickly and then nucleate [144]. Further, the presence of oxygen allows
lower substrate temperatures during nucleation due to the lower binding
energy of OH to C (3.68 eV) compared with that of CH to C (4.63 eV);
OH is more readily abstracted by atomic hydrogen, creating reactive sites
for hydrocarbon adsorption.
However, for growth on Ni and Pt substrates, oxygen may have an
adverse effect. In experiments using these substrate materials it was observed
that, although the addition of oxygen did not suppress growth of existing
diamond and resulted in sharply faceted crystals, it degraded diamond nu-
cleation by eliminating nucleation sites [114]. For high oxygen concentra-
tions (O:H = 1), Ni and Pt surfaces are etched clean; oxygen must be de-
creased by a factor of 2 or more (O:H ⱕ 0.5) before nucleation sites last
long enough for growth to occur. Once growth does occur, oxygen does not
act as an inhibitor, and, in fact, the reason that large, well-faceted crystals
form is the preferential etching of potential nucleation sites on the crystals,
thereby suppressing secondary nucleation.
Deposition Chemistry 87

V. IN SITU DIAGNOSTICS

The rate of growth of a diamond film and its uniformity, morphology, tex-
ture, and thermal and mechanical properties all critically depend upon the
state of the gas phase adjacent to the deposition surface, that is, the com-
position, temperature, and flow field. The gas properties at the surface, in
turn, depend upon the upstream conditions in the reactor. As discussed in
Sec. VI, one- and two-dimensional physical models have been used, with
varying degrees of success, to predict the flow field and temperature and
species profiles within different types of diamond CVD reactors. Such mod-
els are a powerful tool for exploring issues related to diamond growth and
may help provide links between operating conditions and film growth rate,
morphology, and quality. However, the models cannot stand alone: to gain
a true understanding of the mechanisms underlying diamond growth it is
necessary to couple predictions with experimental measurement. In situ mea-
surements of the gas phase and the deposition surface during diamond
growth provide data that may be used both as input to the detailed models
and as a means of validating such models. Diagnostic technique may be
used, for example, to measure concentrations of postulated growth precur-
sors such as CH3 or the species crucial for diamond growth, atomic hydro-
gen. Because the mechanisms describing the gas-phase chemistry are rela-
tively well understood, it may only be necessary to measure several key
species in order to have quantitative knowledge of the overall species
distribution.
A strong need also exists for on-line process monitoring in diamond
CVD because this material is extremely expensive to synthesize, and many
reliability issues remain to be addressed. Diagnostics well suited for fun-
damental studies are not necessarily appropriate as process monitors. Cur-
rently, most process measurement and control techniques in diamond reac-
tors focus on average substrate temperature, reactor pressure, reactant flow
rate, and power. These are important parameters, but they do not give enough
explicit information to determine the state of the gas phase uniquely. For
example, if laboratory measurements of gas-phase composition and temper-
ature reveal a strong correlation between specific measurable quantities and
film growth rate and/or properties, there may be an opportunity to exploit
this information through the development of an on-line process sensor. Such
a sensor could be tightly coupled to an overall process control strategy.
Discussion of the diagnostic methods in this section is segregated ac-
cording to the reactor type in which the measurement was made: hot-fila-
ment, plasma-assisted, and combustion. This section will not constitute a
thorough review of diagnostic techniques employed in diamond CVD but is
instead intended to indicate how measurements made by extraction, physical
88 Dandy and Coltrin

probes, and optical probes advance understanding of the growth process.


A complete review of gas-phase diagnostic techniques in diamond CVD has
been presented by Thorsheim and Butler [145].

A. Hot-Filament Deposition
The hot-filament reactor is the most studied of the various diamond reactor
types. Diagnostics in hot-filament systems have addressed three specific is-
sues: (1) identification of diamond growth precursors, (2) determination of
the role of other species in the growth process, and (3) quantification of the
effect of operating conditions on gas-phase composition and spatial distri-
bution. One of the first measurements of the gas-phase environment in di-
amond CVD was made by Kawato and Kondo [146] using gas chromatog-
raphy (GC) to sample residual gas from a reactor. They observed detectable
quantities of CH4, C2H4, C2H2, H2, and CO from a feed gas mixture of CH4,
H2, O2, and Ar and found that most of the inlet CH4 was converted to C2H2.
As more oxygen was added to the system, the amount of CO increased at
the expense of C2H2. Because this was a sampling of the downstream stable
gas species, the relationship between the measured concentrations and the
conditions at the growth surface is not clear.
Celii et al. [24] used infrared diode laser absorption spectroscopy for
the in situ measurement of gas species in the region between the filament
and the substrate. Using this method, C2H2, CH3, C2H4, and CH4 were de-
tected. In contrast to the measurements of Kawato and Kondo, CH4 was
found to be the predominant species (⬇8 ⫻ 1014 cm⫺3), with a concentration
approximately four times greater than that of C2H2 and a factor of 10 greater
than CH3. The fact that the measured CH4 concentration was over 40% of
its inlet value indicates that a significant fraction of the inlet gas may com-
pletely bypass the filament, never undergoing thermal or heterogeneous
dissociation.
Using a combination of ex situ mass spectroscopy and x-ray photo-
electron spectroscopy (XPS), Harris et al. [147] investigated diamond growth
on platinum. By sampling at discrete points between the filament and the
substrate, they observed that only CH4 showed a significant change with
position, with its concentration growing by a factor of 5 at 30 mm from the
filament. The concentrations of C2H2 and C2H4 remained flat in this region.
Wu et al. [148] also used gas chromatography and quartz microprobe sam-
pling through a temperature-controlled probe to measure gas-phase concen-
trations. They found that, while the CH4 concentration also increases with
distance from the filament, the increase was a factor of 3 rather than the
factor of 5 reported by Harris et al. [147]; the C2H2 mole fraction was seen
Deposition Chemistry 89

to decrease by a factor of 2. The discrepancies between the results may be


explained by differences in deposition conditions and reactor geometry.
Atomic hydrogen has also been measured in hot-filament reactors us-
ing laser-induced fluorescence (LIF) [22,149], and its concentration was in-
ferred from crossed beam coherent anti-Stokes Raman spectroscopy
(BOXCARS) measurements of H2 concentrations [150]. The LIF measure-
ments indicated that, with no substrate present, H concentrations decreased
by only a factor of 3 (relative to the value at the filament) at a distance of
30 mm from the filament. This result is expected because the low pressures
used in the experiments (20 torr) greatly reduce the rate at which H recom-
bines to form H2. The known high reactivity between H and heated surfaces
(such as a substrate) would undoubtedly cause a more significant drop in H
concentration with distance from the filament if a surface were present. The
atomic hydrogen concentrations derived from the BOXCARS measurements
[150] were an order or magnitude greater than those obtained from the LIF
measurements. The differences between the two measurements were ex-
plained by filament temperature variation and other experimental factors.
Molecular beam mass spectrometry (MBMS) measurements of predominant
gas phase species were made within 0.1 mm of the substrate [15], and it
was found that the absolute H concentrations were uniformly lower than
those measured by either LIF or BOXCARS. As shown in Fig. 9, the MBMS
measurements revealed that, as the inlet CH4 concentration was varied be-
tween 0.4 and 7%, a transition occurred in the predominant hydrocarbon
species present near the substrate: C2H2 was dominant for CH4 feed fractions
less than ⬇1%, while CH4 was dominant for feed fractions greater than this
value. It was postulated that CH4 became the dominant species near the
substrate because the filament became coated with carbonaceous material
for high inlet carbon concentrations, and this poisoning resulted in lower
atomic hydrogen concentrations and hence lower conversion of CH4. This
was corroborated by a subsequent theoretical study using a stagnation flow
model in which a primitive filament poisoning model was included [28], as
described in Sec. VI.A. It was also observed in the MBMS study that, at
the surface, CH3 closely tracked CH4, and its concentration was approxi-
mately 10% that of CH4, a result consistent with the infrared diode laser
measurements of Celii et al. [24].
A possible diamond growth precursor, CH3, has a much stronger con-
centration dependence on distance from the substrate than do other measured
stable hydrocarbon species such as CH4, C2H2, or C2H4, and it is expected
that this larger spatial gradient is due to the higher reactivity of CH3. Res-
onance-enhanced multiphoton ionization (REMPI) was used to measure CH3
concentrations 4 mm above the substrate, in a system where the filament-
to-substrate distance was varied from 7 to 16 mm [151]. The methyl radical
90 Dandy and Coltrin

Figure 9 Species mole fractions measured by MBMS sampling at the surface in


a hot-filament reactor as a function of methane fraction in the feed gas. (From Ref.
15.)

concentration was a factor of 3 lower when the separation distance was 7


mm than when it was 16 mm. REMPI was used in a similar reactor system
to measure concentrations of CH3, CH4, and C2H2 at different substrate tem-
peratures [152]. By incorporating the concentration data with measured film
growth rates, an apparent activation energy of 0.17 eV in CH3 concentration
was determined, and no measurable substrate temperature dependences were
observed for CH4 and C2H2. Absolute concentration profiles for CH3 as a
function of distance from the filament were measured [153] using cavity
ring-down spectroscopy (CRDS) [154]. A peak in CH3 concentration was
detected several millimeters from the filament, indicating that this species is
formed by gas-phase reactions rather than heterogeneous filament chemistry,
as shown in Fig. 10. The CH3 concentration was also observed to increase
linearly with susceptor temperature.
Measurements of CH4 concentrations using CARS [155] and atomic
hydrogen using REMPI [25] have shown that, near the filament, the up-
Deposition Chemistry 91

Figure 10 Spatial profiles of CH3 density and absorbance in a hot-filament reactor


at two different susceptor temperatures. (From Ref. 153.)

stream and downstream spatial profiles are the same. In other words, the
concentration profiles of CH4 and H are independent of whether the mea-
surements are made upstream and downstream of the filament. The conclu-
sion to be drawn from this result is that, indeed, mass transport in hot-
filament reactors is completely dominated by diffusion, and convection plays
a secondary role at best. Models of diamond growth in hot-filament reactors
have confirmed that growth rate is independent of filament-substrate orien-
tation [28,156].
Spatial temperature distributions have been measured using thermo-
couples [14], BOXCARS [150], and LIF [157]. These different experimental
techniques consistently show a very sharp temperature drop from the fila-
ment to the surrounding gas. For example, LIF temperature measurements
showed a drop from 2600 K on the filament to 1400 K 1 mm from the
filament, in a reactor operating at 30 torr. The lower the operating pressure,
the more pronounced is the apparent temperature discontinuity between the
filament and adjacent gas. As shown in Fig. 11, BOXCARS measurements
found that, for a 2870 K filament and 20 torr operating pressure, the tem-
92 Dandy and Coltrin

Figure 11 Gas temperature in a hot-filament reactor with 1% CH4 in H2, for fil-
ament power of 2.7, 2.0, and 1.5 kW. The open squares indicate the filament and
substrate temperatures for 2.0 kW filament power. (From Ref. 150.)

perature dropped to 2600 K within 0.1 mm of the filament. This effect is


well known as was first discussed by Langmuir [18], and it is observed in
many thermal systems operating at low pressure; the discontinuity is ob-
served within one or two mean free paths (75–125 ␮m at 20 torr) where
continuum heat transfer theory is not applicable.

B. Plasma-Assisted Deposition
A popular technique for determining the composition of plasmas is optical
emission spectroscopy (OES). High-temperature plasma systems such as dc
arcjets show elevated levels of CH and C2 when compared with systems
such as microwave, and these emissions are useful in determining the pres-
ence of specific species and for use as a process monitor [158]. The use of
OES as a sensor for process control in low-pressure dc arcjet [159] and
microwave reactors [160] has been investigated. For the microwave system
it was found that there was a linear relationship between C2, CH, and H(␤)
emissions throughout the plasma.
Molecular beam mass spectrometry has also been used to determine
absolute species concentrations near the substrate in microwave [161] and
Deposition Chemistry 93

arcjet [162] plasma reactors. In the 20-torr microwave system, the effects of
hydrocarbon source (CH4 and C2H2), hydrocarbon concentration, and sub-
strate temperature on the gas-phase species concentration distribution near
the substrate were studied. As illustrated by the data in Fig. 12, over the
range of inlet carbon mole fractions considered, the composition near the
substrate was independent of the specific source gas, indicating that the
plasma was very efficient at scrambling the species during transport to the
substrate. The composition was also found to be insensitive to substrate
temperature, so that, although the film growth rate was temperature depen-
dent, the gaseous species were governed by upstream processes that did not
depend on the details of the heterogeneous kinetics. Regardless of the hy-
drocarbon reactant gas, when the mole fraction of carbon in the feed was
less than 1%, CH4 was the dominant species detected near the substrate,
whereas C2H2 was dominant for inlet mole fractions greater than 1%. Atomic
hydrogen was found to be relatively insensitive to inlet carbon mole fraction,
making up approximately 0.07% of the gas mixture. In the arcjet study [162],
a 1% mixture of CH4 and H2 was passed through a 1 kW arc into a 200 torr
reactor. It was found that a significant fraction of the inlet CH4 was converted
to C2H2 and C2H4 and that the C2H2 concentration near the substrate grew
approximately linearly with CH4 flow as the amount of CH4 in H2 was raised
from 0.3 to 1.5%. Attempts were made to measure CH3 in that work, but it
proved impossible to distinguish between actual CH3 and that produced as
a fragmentation product.
Actinometry has been used by a number of investigators to measure
the relative ground state concentrations of species, most notably atomic hy-
drogen, using Ar as the actinometer [163–165]. It was observed by Mucha
et al. [163] that, in CH4-H2-He-Ar mixtures, an increase in CH4 gave rise to
a decrease in the H concentration, and the addition of oxygen resulted in an
increase in H, possibly due to passivation of the reactor walls. Spatially
resolved relative concentrations of atomic hydrogen were measured by
Reeve et al. [165] in a dc arcjet reactor using a CH4-H2-Ar reactant mixture
with no substrate present. It was observed that the H concentration dropped
rapidly with distance from the plasma torch exit, reaching a relative value
30% of its initial maximum within 6 cm. The actinometry data, integrated
along the line of slight, were found to be in excellent agreement with pre-
dictions of a one-dimensional model containing detailed pyrolysis chemistry.
One drawback of actinometry, particularly in systems with appreciable elec-
tron densities, is the effect of the noble gas on plasma chemistry. Zhu et al.
[166] carried out experiments in a microwave system with a CH4 /H2 plasma,
and found that, upon addition of a noble gas, the emission intensity of
various species changed, and the magnitude of the effect depended on the
specific noble gas species introduced. It was determined that if a noble gas
94 Dandy and Coltrin
Deposition Chemistry 95

is to be used as an actinometer, its concentration should be kept below 1%.


Ground state concentrations of atomic hydrogen have also been measured
using third-harmonic generation [167,168]. The amount of atomic hydrogen
present was seen to increase with plasma power, while it decreased as CH4
was added. Another nonlinear technique, resonant degenerate four-wave
mixing, was used to measure CH and C2 concentrations and gas temperature
within the boundary layer in a convection-dominated plasma reactor [169].
Measured CH profiles compared well with predictions obtained from a one-
dimensional stagnation-point flow model in which the experimentally deter-
mined temperature profile was used.
Other work in plasma-assisted systems has been directed at determin-
ing concentrations of stable species such as C2H2 and CH4 using Fourier
transform infrared spectroscopy (FTIR) in a microwave plasma reactor [170]
and CARS in a diffuse rf plasma [155]. The quantitative differences in spe-
cies’ concentrations measured in these two reactor types illustrate important
distinctions in the gas-phase chemistry induced by the different energetic
sources. In the microwave system, only C2H2 and CH4 were detected when
the hydrocarbon constituted less than 1% of the inlet gas stream, whereas
in the rf plasma no acetylene could be detected for comparable inlet con-
ditions. Based on known detection limits it was deduced that, in the rf
system, less than 20% of the inlet CH4 was converted to C2H2. As rf power
was increased, the measured concentration of CH4 decreased, indicating that
more gas-phase conversion was occurring.
Efforts at measuring temperature in plasma systems have met with
partial success. Early measurements using Langmuir probes in an arcjet sys-
tem operating between 100 and 400 torr greatly overestimated the local
temperature, and this was attributed to the disturbance of the plasma by the
probe [171]; OES measurements in the same system gave temperatures that
were 35–45% of the values measured by the probe. No substrate was present
in that experiment, so it is difficult to extrapolate from the observed results
to an actual deposition system. Measurements in a dc arcjet system at 60
torr using a floating double probe found that electron temperatures ranged
from 2.3 eV at the exit of the plasma torch to 0.4 eV in the downstream
(near the substrate) and peripheral regions of the plasma [172]. Electron
densities in that system ranged from 6 ⫻ 1010 cm⫺3 near the plasma torch

<
Figure 12 Mole fractions of species measured near the substrate in a microwave
reactor as a function of the carbon mole fraction in (a) acetylene feed and (b)
methane feed. Reactor pressure (20 torr), microwave power (850 W), and substrate
temperature (825⬚C) were held constant. (From Ref. 161.)
96 Dandy and Coltrin

to 1 ⫻ 109 cm⫺3 in the downstream region, indicating that charged species


concentrations were small compared with the 3 ⫻ 1017 cm⫺3 mixture number
density.
Determination of the gas kinetic temperature using OES of H2 and CN
was carried out by Chu et al. [173]. LIF of CN was used to compare the
rotational temperature obtained with OES with the gas kinetic temperature.
It was found that the rotational temperature was an accurate measure of the
gas kinetic temperature, even at pressures greater than 10 torr, and it was
concluded from this that the rotational distribution is a better choice for
temperature measurements than vibrational or electronic populations because
of the more rapid thermal equilibration of the rotational population. One of
the first detailed analyses of C2 and CH optical emission in a dc arcjet
operating under diamond growth conditions with a CH4 /H2 mixture was
carried out by Raiche and Jeffries [174]. Through examination of emission
spectra, they concluded that the emission was likely due to chemilumines-
cent reactions; discrepancies in temperatures derived from emission spectra
(5000 K) and those obtained from LIF measurements (2100 K) [175] were
attributed to lack of thermodynamic equilibration of excited state popula-
tions. Rotational and vibrational excitation temperatures were determined in
a dc arcjet reactor with a CH4 /H2 /Ar mixture, and a substrate located 1 in.
below the exit of the plasma torch [176]. Although it was found that tem-
peratures converged at the substrate and that rotational temperatures for C2
and vibrational temperatures for CH closely tracked the gas temperature, the
vibrational temperatures for C2 and rotational temperatures for CH were
anomalously high. Analysis of those results indicated that, in the plasma
bulk, emission by CH was produced primarily by chemiluminescent reac-
tions, while C2 was produced by electron-impact excitation. The general
conclusion from that work was that OES of C2 provided an accurate means
of obtaining spatially resolved gas kinetic temperatures.

C. Combustion Flame Deposition


Optical emission has been used in combustion synthesis to detect species
such as CH, C2, H, and O in one system [177] and OH, CH, and C2 in
another [178]. Yalamanchi and Harshavardhan observed that the primary
combustion zone displayed the strongest emission from the carbon-bearing
species, and the emission intensities of CH, H, and O dropped sharply down-
stream of this zone, while C2 emission remained fairly constant. Diamond
growth was reported when CH emission was significantly stronger than C2
emission in the primary combustion zone. Hirose et al. [178] also examined
emission from the center of the primary combustion zone and found that
conditions optimal for optically transparent diamond correlated with an in-
Deposition Chemistry 97

crease in OH emission and a decrease in C2 emission. In subsequent work,


emission from C2 at 510 nm was correlated with diamond growth in a C2H2/
O2 flame [179]; as can be seen in Fig. 13, C2 emission increased with the
fuel-to-oxygen ratio, reaching a maximum when the ratio of the fuel flow
rate to the oxygen flow rate was 1.1. This maximum in C2 emission corre-
sponded to a maximum in the deposition rate. Subsequently, diamond films
were grown using a MAPP/O2 mixture, and OES spectra from OH, CH, and
C2 were measured [158]. MAPP gas in a mixture of methyl acetylene, pro-
padiene, and liquefied petroleum gas. By examining the relative intensities
of the CH and C2 emissions, it was found that when the MAPP and oxygen
flow rates were manipulated such that the ratio of the C2 ⌬␯ = 0 transition
to the CH A-X transition was between 1.2 and 1.6, well-faceted diamond
films could be grown.
Ground state species have also been measured using LIF and mass
spectrometry [180] and GC [181]. The mass spectrometer probe sampled
gas from the center of the primary combustion zone; the main gaseous spe-

Figure 13 Emission intensities of C2 and CH, and deposition rate as a function


of stoichiometry in a C2H2 /O2 combustion flame reactor. (From Ref. 179.)
98 Dandy and Coltrin

cies detected in this region were CO and H2. Samples taken near the burner
showed C2H2 and the carbon-bearing radicals to be in near equilibrium. As
oxygen flow rate was increased, C2H2 concentration decreased near the com-
bustion zone, while H2 remained nearly constant. The LIF experiments were
performed with no substrate present and it was found that maximum OH
fluorescence intensities were nearly independent of distance from the com-
bustion zone.
Flame temperature and the concentrations of CO, CO2, H2O, and OH
have been measured during atmospheric-pressure diamond growth using
FTIR [182]. Distinct differences in temperature and composition were ob-
served depending on whether or not a substrate was present; the deposition
flame was found to be wider and cooler than the free flame, with the gas
temperature lowered by as much as 700 K with the substrate present. In
both flames, CO was located primarily in the main combustion zone, while
H2O, CO2, and OH were found mostly in the outer flame region. A large
radial temperature gradient, 700 K/mm, was measured across the diamond
growth zone. The steep temperature and composition gradients in many com-
bustion torch systems, such as this one, point to the difficulties inherent in
growing large-area, uniform diamond films using combustion synthesis. Ob-
taining the appropriate gas-phase composition with little or no radial vari-
ations points to the need for flat-flame burners and burner-stabilized flames
[183–185].

VI. REACTOR SCALE MODELING

Numerical and analytical solutions of the governing conservation equations


for momentum (fluid flow), mass, and energy (temperature) have led to many
insights concerning the mechanisms and conditions under which diamond
may be grown by chemical vapor deposition. Modeling many types of di-
amond reactors has proved successful primarily because the principal hy-
drocarbon sources used are CH4 and C2H2, and as discussed in Sec. II, the
pyrolysis and combustion mechanisms for these fuels are well understood
for the stoichiometries used, and thermodynamic and thermophysical data
for the various gaseous species are known. Also, as discussed in the follow-
ing, the reactor configurations and operating conditions used in dc arcjet, rf
plasma, combustion, and hot-filament systems lend themselves to geometric
simplifications, which in turn make the numerical models tractable, even
with very detailed homogeneous and heterogeneous chemistry. The one-
dimensional models of these reactors have proved successful in describing
qualitative (species and temperature profile shapes, likely growth precursors)
and quantitative (diamond growth rate, species concentrations) features in
Deposition Chemistry 99

systems where charged species (plasma) chemistry does not play a signifi-
cant role. There is a need, however, for more detailed modeling whereby
multidimensional effects are captured and ion-neutral chemistry is consid-
ered so that systems containing nonthermal plasmas, such as microwave
reactors, may be adequately described.
A significant factor complicating reactor models in the presence of the
energy source. Whether it is the arcjet issuing from a dc plasma torch, the
hot filament(s), or the microwave or rf plasmas, assumptions must invariably
be made in constructing a physical model of the source. And, not surpris-
ingly, the particular assumptions strongly affect the predicted temperature
and species distributions within the reactor. Because each source type is
handled differently, the discussion here is broken up according to reactor
type: hot filament, plasma assisted, and combustion. Hot filament is the most
studied diamond reactor type, both experimentally and theoretically, and it
will be discussed first.

A. Hot-Filament Reactors
Most of the modeling efforts have focused on determining the temperature
profile and, in particular, the species distribution in the region between the
filament and substrate to identify likely diamond growth precursors and to
develop and validate deposition mechanisms. The models have also been
used to examine the role played by the filament in initiating gas-phase chem-
istry. Theoretical studies of hot-filament reactors may be roughly divided
according to whether one- or two-dimensional models were used. The one-
dimensional models typically include detailed gas-phase and gas-surface
chemistry and have been used to gain insight into the chemical kinetics
governing diamond deposition and the relationship between mass transfer
and chemistry. Two-dimensional models generally contain limited gas-phase
chemistry and often do not contain deposition mechanisms at all. These
models yield information on the details of mass, momentum, and energy
transport in reactors.
One of the first detailed studies of the chemistry in a hot-filament
system was carried out by Goodwin and Gavillet [186]. It was assumed that
the flow between the filament and substrate behaved as an ideal stagnation
flow; the gas composition at the filament was determined using homoge-
neous kinetics and an experimental observation indicating that CH4 and C2H2
were present in roughly equal concentrations [14]. Figure 14 shows an ex-
ample calculation of species concentration as a function of height above the
substrate from this work. The availability of film growth rate data and the
lack of an accepted deposition mechanism led Goodwin and Gavillet to
examine the fluxes of individual hydrocarbon species to determine their
100 Dandy and Coltrin

Figure 14 Calculated mole fractions as a function of height above the surface in


a hot-filament reactor (for all species greater than 0.1 ppm). (From Ref. 186.)

feasibility as growth precursors. An upper bound, mass transfer–limited


growth rate of each hydrocarbon species was calculated, and it was calcu-
lated that only CH3, CH4, and C2H2 were present in sufficiently high con-
centrations at the surface to account for measured growth rates; the conclu-
sion was that one of these three species must be responsible for deposition
in the hot-filament environment. This result is consistent with the postulated
deposition mechanisms that were being developed, specifically that one or
both of CH3 and C2H2 were the species leading to film growth.
Molecular beam mass spectroscopy data obtained by Hsu in a hot-
filament reactor was the first quantitative measure of major species concen-
trations in a diamond deposition system [15,187]. By sampling through a
pinhole in the center of the substrate, Hsu measured near-surface concentra-
tions of CH4, C2H2, CH3, and H as a function of inlet CH4 concentration.
(See Sec. V.A for more discussion of Hsu’s experiments.) A modeling study
was subsequently carried out to examine Hsu’s system [28]. In that work,
calculations were performed for H2-only and CH4 /H2 feed gases, using a
one-dimensional stagnation flow model to describe the region between the
Deposition Chemistry 101

filaments and the substrate. For the hydrogen system it was found that, as
filament temperature was varied between 1800 and 2600 K, good agreement
with Hsu’s data [187] was obtained when (1) the H and H2 near the filament
equilibrate around the filament temperature and (2) the heterogeneous ab-
straction and termination reactions (1) and (2) were included. A comparison
between the experimental and numerical results is shown in Fig. 15. When
the heterogeneous reactions at the surface were not accounted for, atomic
hydrogen mole fractions were overpredicted by two orders of magnitude.
This result demonstrates the importance of the gas-surface chemistry in de-
termining the gas-phase composition near the surface, and it also demon-
strates the significant mass transfer limitation in hot-filament reactors. That
is, the overall kinetic rate of destruction of H by surface reactions is much
faster than the rate at which H can be transported to the surface by diffusion.
The ratio of these two time scales, diffusion to kinetic, is defined as the
Damköhler number (Da); for the hot-filament system the effective Damköh-
ler number for atomic hydrogen is on the order of 10–20, depending on
conditions, confirming that the system is, indeed, mass transfer limited.
Numerical results were also calculated for inlet CH4 concentrations
between 0.4 and 7.2% [28]. To obtain good agreement with the experimental
data [15] it was necessary to implement a simple filament poisoning model,

Figure 15 Experimental [187] and theoretical [28] results for near-substrate


atomic hydrogen mole fraction in a hot-filament reactor containing only hydrogen.
102 Dandy and Coltrin

whereby it was assumed that heterogeneous H2 dissociation on the filament


varied linearly from a maximum when no hydrocarbon was present to a
minimum at 7.2% CH4. The calculated results from that study, together with
the measured compositions, are shown in Fig. 16. As can be seen in Fig.
16a and b, it was determined that the predicted gas-phase composition did
not depend strongly on whether or not a temperature discontinuity between
the filament and surrounding gas [150] was used. However, when no fila-
ment poisoning was assumed, regardless of the temperature discontinuity,
the calculated results for gas composition were in complete disagreement
with Hsu’s data [15]. The conclusion from the results was that the hydro-
carbon blocks reactive sites on the filament surface, and the extent to which
sites are blocked depends on the amount of the hydrocarbon present. Hsu’s
observation that, to maintain a constant filament temperature, current must
decrease as inlet CH4 increases, confirms this supposition.
Additional experiments and analyses were carried out to examine the
effects of hydrocarbon source (CH4 versus C2H2) and substrate temperature
(255 ⱕ Ts ⱕ 825⬚C) on gas composition near the substrate [27]. As with
the previous study [28], the numerical model exhibited good qualitative and
quantitative agreement with experiment for a variable CH4-H2 feed compo-
sition and a fixed substrate temperature. For low inlet methane fractions it
was predicted that most of this species was converted to C2H2, but at higher
inlet CH4 fractions significant conversion did not occur. Agreement between
theory and experiment was not as good when the CH4 feed was replaced by
C2H2. When the inlet carbon fraction was less than 1%, there was good
quantitative agreement, suggesting that the model developed earlier [28] is
applicable for C2H2 feed when there is little filament poisoning. However,
the calculations significantly underpredicted (by as much as 60 times) near-
surface CH3 and CH4 concentrations at higher inlet C2H2 concentrations. The
large amounts of CH4 and CH3 observed experimentally by McMaster et al.
[27] suggest that facile decomposition of C2H2 occurred even when atomic
hydrogen concentration was reduced by filament poisoning. The predictions
of the model were relatively insensitive to the extent of the poisoning (and
hence, the degree of H2 dissociation), and it is likely that heterogeneous
decomposition of C2H2 on the filament is the explanation for the discrepancy
between the model predictions and the observed data.

>
Figure 16 Experimental [15] and theoretical [28] results for gas-phase species
distribution at the substrate for a filament temperature of 2620 K and a temperature
discontinuity at the filament of (a) 900 K and (b) 0 K.
Deposition Chemistry 103
104 Dandy and Coltrin

A discrepancy was also found regarding the dependence of near-sur-


face H concentration on substrate temperature. At low inlet CH4 fraction
(0.4%) and relatively high substrate temperature (825⬚C), there was good
agreement between theory and experiment. But the one-dimensional calcu-
lations indicated that near-substrate H concentration should monotonically
increase by almost a factor of 10 as Ts was lowered to 255⬚C, whereas the
experimental data indicated only a modest rise in H. It was found that by
including a simple radial diffusional loss term for H in the model to account
for multidimensional effects, the predicted values for H at the substrate were
brought into close quantitative agreement with experiment. The effect of
substrate temperature on species distribution was also examined [188] for a
different set of experimental data discussed in Sec. V.A [150]. As with the
earlier study [27], it was found that the atomic hydrogen concentration was
predicted to decrease drastically with increasing substrate temperature. In
these calculations, for 600 ⱕ Ts ⱕ 1000 K, the mole fraction of CH3 at the
surface rose by a factor of 5, whereas for substrate temperatures above 1000
K the CH3 mole fraction was only weakly dependent on Ts. By examining
the predicted CH3 mole fractions at the surface with and without heteroge-
neous deposition chemistry, it was computed that for Ts ⱕ 950 K, there was
a 14.2 kJ/mol activation energy for CH3 formation with surface chemistry
and a 12.2 kJ/mol activation energy without surface chemistry. It was con-
cluded that the exponential increase in CH3 mole fraction at the surface with
increasing temperature was purely a gas-phase effect and could not be cor-
related with heterogeneous chemistry.
Multidimensional, that is, two-dimensional and axisymmetric, calcu-
lations have been performed by a number of investigators to determine the
role of heat and momentum transport in diamond growth and to relate pre-
dicted spatial nonuniformities to film growth rate and thickness variation.
One of the first theoretical studies of heat transfer in a hot-filament diamond
reactor was carried out by DebRoy et al. [156]. A vertical reactor was set
up in that work and the filament was designed so that axisymmetric behavior
could be assumed. It was found that, whether the filament was located above
or below the substrate and whether the gases were introduced above or
below the substrate, there was little variation in film growth rate. The model
predicted the diffusion velocities of important species such as CH3 and H
to be greater than any forced or natural convection velocities, demonstrating
that concentration and thermal gradients are primarily responsible for species
transport in hot-filament systems. This result has been confirmed by other
investigators using one-dimensional models in which the inlet bulk velocity
was varied by over an order of magnitude with no measurable effect on
near-substrate composition or growth rate [28,188]. A subsequent study was
carried out using the same axisymmetric reactor geometry to examine the
Deposition Chemistry 105

effect of atomic hydrogen on heat transfer [189]. It was determined that, for
a given filament temperature, the substrate temperature in He was signifi-
cantly lower than that in either pure H2 or a 1% CH4-H2 mixture and that
small amounts of CH4 had no appreciable effect on the atomic hydrogen
profile. In that work the predicted temperature profiles were in good agree-
ment with measured values. Also, heterogeneous recombination of H was
predicted to result in significant substrate heating due to its relatively high
flux and the 4.5 eV exothermicity of the net recombination reaction to
form H2.
Because the sole energy source for the gas (and sometimes the sub-
strate) typically consists of, at most, several discrete filaments, work has
been done to study the effect of the filaments on substrate temperature uni-
formity. Wolden et al. [190] calculated temperature profiles in a system con-
sisting of up to three parallel, cylindrical filaments oriented parallel to a
silicon substrate in order to determine the relative contributions of radiation,
conduction, and convection to substrate heating. It was found that predicted
substrate temperature profiles were in reasonable agreement with measured
values, and, in agreement with Tankala and DebRoy [189], it was determined
that surface H recombination significantly affects absolute substrate temper-
ature. Substrate temperatures were primarily determined by radiation flux,
indicating that conduction and convection play secondary roles in the surface
heat transfer process. Substrate temperature was predicted to be highest di-
rectly under the filaments, with appreciable gradients in the direction per-
pendicular to the filament axes. Through numerical experimentation it was
found that a two-filament configuration could be designed that would yield
relatively uniform substrate temperatures.
A two-dimensional model containing a reduced gas-phase kinetic
mechanism consisting of 10 species and 12 reactions has been developed
[191]. Closed-form expressions for heterogeneous H production on the fil-
ament and for film growth rate were included in the model. Atomic hydrogen
production on the filament was assumed to occur via reactions analogous to
(1) and (2), and the relevant kinetic coefficients were adjusted to yield agree-
ment with measured gas-phase H concentrations. At low pressure it was
predicted that the H production rate on the filament was proportional to H2
concentration, and this result, coupled with tungsten filament data [192],
yielded an H2 dissociation activation energy of 1.6–1.9 eV for filament
temperatures between 2000 and 2500⬚C. At higher pressures, H concentra-
tions appear to reach saturation. The two-dimensional reactor model was
applied to three different experimental systems [27,149,150]. It was found
that the temperature discontinuity at the filament had little effect on the
results, which is consistent with earlier one-dimensional studies [28,186].
Also, total carbon near the filament was reduced relative to other regions of
106 Dandy and Coltrin

the reactor due to thermal diffusion effects. In general, it was concluded that
the model adequately predicted the species’ concentrations and film growth
rates in the different experimental systems.

B. Plasma-Assisted Reactors
Lack of quantitative data for temperature and composition in dc arcjet re-
actors has led investigators to use models to predict macroscopic observables
such as film growth rate and to examine near-substrate gas composition for
potential growth precursors. Using a modified mechanism with CH3 as the
only growth precursor [59], Goodwin [193] modeled the growth environ-
ment of Raiche et al. [34] as a one-dimensional stagnation flow. It was
determined that, although there were appreciable concentrations of atomic
carbon near the substrate, the growth rate data of Raiche et al. could be
adequately reproduced by the modified Harris mechanism. The uncertainty
regarding the most probable growth precursor (CH3 versus C2H2) and the
predicted high fraction of atomic carbon in dc arcjet systems (because of H
supersaturation) led other investigators to propose a mechanism for the dep-
osition of diamond and graphitic carbon in which all three species—CH3,
C, and C2H2 —could participate [36]. The mechanism was incorporated into
a stagnation flow model for a subatmospheric dc arcjet and used to inves-
tigate the dependence of growth rate and graphitic content on the degree of
H2 dissociation and the CH4 concentration in the feed. It was predicted that
CH3 was the predominant growth species when little of the H2 in the plasma
torch underwent dissociation and that C became the dominant species at
higher dissociation levels. The third growth species, C2H2, did not play a
role in diamond growth under the conditions considered with less than 1%
CH4 in the feed, but at higher input CH4 levels, the C and CH3 incorporation
rates were only slightly higher than that of C2H2. In a subsequent study
[194], the dependence of diamond growth rate on hydrocarbon injector lo-
cation was modeled. As shown in Fig. 17, it was predicted that, for CH4
feed, growth rate could be increased by almost a factor of 2 by relocating
the injector from a point near the plasma torch exit to just outside the sub-
strate boundary layer. The growth rate increased as the injector was moved
toward the substrate because this increased the near-substrate concentrations
of C and CH3. An experimental study of the effect of injector location was
carried out [165], and higher growth rate and improved film quality were
observed when the hydrocarbon injector was located near the substrate.
A two-dimensional model of the reacting gas flow, heat transfer, and
electrodynamic phenomena in a subatmospheric dc arcjet has been devel-
oped [195,196]. Reaction rate coefficients for two irreversible reactions de-
scribing electron-assisted dissociation of H2 and CH4 were obtained in that
Deposition Chemistry 107

Figure 17 Predicted diamond growth rate as a function of hydrocarbon injector


height above the substrate (Xinj) in a dc arcjet reactor for two different mole fractions
of atomic hydrogen exiting the plasma torch. (From Ref. 194.)

work by solving the Boltzmann equation for the electron energy distribution
function; the coefficients were then used in the gas-phase kinetic mechanism,
which consisted of 15 species and 76 reactions. Instead of a detailed dep-
osition mechanism, flux boundary conditions for H and CH3 were derived
in closed form. The model predicted the temperature to peak along the dis-
charge axis (the symmetry axis) and to decay smoothly in the radial direction
to the electrodes. The model also predicted the deposition rate, as measured
by CH3 flux, to vary significantly over the substrate surface. Interestingly,
the flux nonuniformity was pressure dependent: at 150 torr the growth rate
was minimum at the center and increased monotonically by over a factor of
2 at a radius of 1 cm. At 75 torr, however, the growth rate was maximum
at the center, and it decreased by a factor of almost 3 at a radius of 0.8 cm
108 Dandy and Coltrin

before increasing again at distances greater than this. These results were
attributed to the pressure dependence of the CH3 distribution in the reactor.
Diamond deposition in an atmospheric-pressure rf plasma reactor has
also been modeled [197,198]. A nonreacting (except for hydrogen chemis-
try), axisymmetric flow model coupled to two-dimensional electromagnetic
field equations was used to calculate the velocity and temperature fields in
the reactor. The temperature field was then used in a one-dimensional stag-
nation model to describe the processes occurring within the concentration
boundary layer. The boundary layer thickness was chosen to lie at the 4000
K isotherm because chemical equilibrium was believed to exist at temper-
atures above this, that is, in the free stream. In the first study [197] a detailed
surface mechanism was not used. Instead, it was assumed that H recombined
at the surface to form H2, and C and C2 were assigned sticking probabilities
of unity. In the second study [198] a detailed deposition mechanism was
developed in which all CHx species (x = 0–3) were possible growth con-
tributors. The predicted growth rate increased strongly as the boundary layer
thickness decreased. For relatively thick boundary layers resulting from
moderate jet velocities, growth was dominated by CH3, and for thin bound-
ary layers growth was dominated by C.
Because of the difficulties involved in modeling nonthermal plasma
systems, fewer theoretical studies have been performed on microwave re-
actors than on dc or rf reactors. As a preliminary step in modeling the two-
dimensional (axisymmetric) behavior of microwave reactors, Hyman et al.
[38] carried out detailed zero-dimensional calculations by solving the Boltz-
mann equation to determine the electron energy distribution. This equation
was coupled to transient equations describing ion and neutral species chem-
istry. It was presumed that the simulation modeled the time-dependent re-
actor behavior at the center of the plasma ball; mass and energy transport
effects were accounted for in this zero-dimensional model by including es-
timates for diffusion and conduction driving forces in the governing species
and energy equations. For a reactor pressure of 40 torr and power deposition
of 30 W/cm3, the model predicted a very rapid electron density buildup for
the first several microseconds: it rose from 2 ⫻ 109 cm⫺3 at 0.01 ␮sec to 5
⫻ 1011 cm⫺3 at 3 ␮sec, but increased by only another factor of 2 when the
time increased to 2 msec. Once the gas reached its equilibrium temperature
of ⬇3000 K after 2 msec, electron processes became unimportant relative
to thermal processes in determining the chemical evolution of the gas.
Atomic hydrogen concentration also reached equilibrium within 2 msec,
constituting over 20% of the neutral gas mixture in a system that initially
contained 98.75% H2, 1% CH4, and 0.25% O2. Most of the C1 species
reached equilibrium values after approximately 4 msec: CO was the domi-
nant C1 species, at approximately 0.3%, followed by C at 0.2%. Methyl
Deposition Chemistry 109

radical was present (at 0.01%) but at lower concentrations than both CH and
CH2. Acetylene was predicted to be present in concentrations comparable to
atomic carbon. The appreciable atomic hydrogen concentrations predicted
to exist within the plasma ball are considerably higher than those found in
laboratory microwave reactors [161] but may be characteristic of the con-
ditions in the commercial ASTeX system being modeled.

C. Combustion Reactors
Analysis of combustion flame deposition has focused on the feasibility of
using different hydrocarbon fuels, e.g., CH4, C2H2, C2H4, MAPP, and deter-
mination of the relationship between gas composition and film growth rate.
Atmospheric deposition of diamond in premixed C2H2-O2-H2 and CH4-O2
strained flames has been modeled using a stagnation flow approximation
[199]. Using a lightly sooting combustion mechanism [6] and a 35-reaction
deposition mechanism, it was predicted that optimal growth conditions oc-
curred when the burner-to-substrate distance was small, and the flame was
lifted from the burner surface and stabilized on the deposition surface. The
model was found to be in good agreement with experimental data available
for the C2H2-O2-H2 flame [200]. It was also predicted that, because of the
high gas velocities, temperatures in the C2H2 flame were higher than the
theoretical adiabatic flame temperature; it was postulated that the reason for
this behavior was the relatively long time required for C2H2 to dissociate to
its equilibrium concentration. Concentrations of CH3 near the substrate were
high enough in the C2H2 flame to account for observed growth rates. In
contrast, temperatures in the CH4 /O2 flame were always lower than the ad-
iabatic flame temperature, and while the gas velocity affected the flame
location, it had little effect on peak temperature. In the CH4 flame, the frac-
tions of CH3 and H near the substrate were approximately five times lower
than those produced by the C2H2 flame. The bulk of the CH3 produced in
the methane flame never reached the surface; instead, it was rapidly con-
verted to C2H2 through bimolecular reactions. It was concluded that, even
under optimal conditions, diamond growth rate was considerably lower in
atmospheric methane flames than in acetylene flames.
To increase film uniformity, combustion systems are often operated at
relatively low pressure [183,184]. In a combined experimental and theoret-
ical study of diamond growth, films were deposited at 35 torr to explore
conditions that would simultaneously yield moderate growth rates, high film
quality, uniformity, and large area coverage [201]. The gas was assumed to
behave as an ideal stagnation flow, and the governing equations were cou-
pled to a lightly sooting combustion mechanism [6] and a reduced deposition
mechanism in which CH3 was the growth species and O and OH acted to
110 Dandy and Coltrin

abstract surface-bound hydrogen. The temperature profile predicted by the


model was in close agreement with the profile obtained from OH rotational
temperature data, and this was attributed in part to the large postflame re-
action zone. Relative OH concentrations were obtained using LIF, and when
these were normalized to the theoretical value at a single point (3 mm from
the burner), the measured and predicted profiles were in good agreement. It
was also found that, when normalizing the experimental OH concentrations,
the presence or absence of heterogeneous chemistry in the model had no
apparent effect. Because of this, the measured OH concentrations could not
be used to evaluate the accuracy of the surface kinetic mechanism.

VII. SUMMARY

This chapter has reviewed the state of understanding of the processes con-
trolling the CVD of diamond. Gas-phase chemistry in this system is under-
stood rather well, owing to much prior work in the combustion community.
Much more contentious has been development of a mechanistic view of the
elementary surface reactions leading to diamond growth. Indeed, our view
is that a number of growth mechanisms and important growth precursor
species can be operative depending upon details of the growth environment.
Although there is still wide disagreement about the detailed, elementary
steps in the deposition process, it appears that CVD diamond growth, and
even defect formation, can be described quite well by reduced models. Such
models, although not rigorous in detail, are useful in engineering reactor-
scaling studies and process optimization.
Outstanding issues in our understanding of CVD diamond include el-
ementary models for the differing growth rates observed on the different
crystal faces of diamond. These different growth rates are key in controlling
morphology and crystallite grain structure in polycrystalline diamond [202].
Another area still in need of better understanding is the initiation and control
of diamond nucleation on nondiamond substrates. Although the nucleation
of diamond has been widely characterized, fundamental and predictive mod-
els are still lacking.

ACKNOWLEDGMENTS

It is a pleasure to acknowledge financial support of our work on CVD di-


amond by the Materials Science Program at DARPA, contract N00014-93-
2002, and partial support has also been provided by Texas Instruments. The
work performed at Sandia National Laboratories was also supported by the
Deposition Chemistry 111

United States Department of Energy under contract number DE-AC04-


94AL85000. We have benefited greatly from collaboration and discussions
concerning this work with Drs. Richard Woodin, Robert Kee, Ellen Meeks,
Wayne Weimer, Wen Hsu, Huimin Liu, James Butler, David Goodwin, and
John Angus.

REFERENCES
1. J Warnatz, U Maas, RW Dibble. Combustion: Physical and Chemical Fun-
damentals, Modelling and Simulation, Experiments, Pollutant Formation. Ber-
lin: Springer, 1996.
2. J Warnatz. In: JWC Gardiner, ed. Combustion Chemistry. New York: Springer,
1984, p 197.
3. CK Westbrook, WJ Pitz. Combust Sci Technol 37:117, 1984.
4. JA Miller, CT Bowman. Prog Energy Combust Sci 15:287, 1989.
5. DL Baulch, CJ Lobos, RA Cox, C Esser, P Frank, T Just, JA Kerr, MJ Rilling,
J Troe, RW Walker, J Warnatz. J Phys Chem Ref Data 21:411, 1992.
6. JA Miller, CF Melius. Combust Flame 91:21, 1992.
7. A Burcat, WJ Pitz, CK Westbrook. Shock Tube Ignition of Octanes. UCRL-
102001, preprint, September 27, 1989.
8. CT Bowman, RK Hanson, DF Davidson, WC Gardiner, V Lissianski, GP
Smith, DM Golden, M Frenklach, M Goldenberg. GRI-Mech 2.11. http://
www.me.berkeley.edu/gri – mech/
9. M Frenklach. J Appl Phys 65:5142, 1989.
10. C Wolden, KK Gleason, JB Howard. Combust Flame 96:75, 1994.
11. M Frenklach, H Wang. Phys Rev B 43:1520, 1991.
12. SJ Harris, AM Weiner. J Appl Phys 67:6520, 1990.
13. SJ Harris, AM Weiner, TA Perry. J Appl Phys 70:1385, 1991.
14. SJ Harris, AM Weiner, TA Perry. Appl Phys Lett 53:1605, 1988.
15. WL Hsu. Appl Phys Lett 59:1427, 1991.
16. I Langmuir. J Am Chem Soc 34:860, 1912.
17. I Langmuir. J Am Chem Soc 34:1310, 1912.
18. I Langmuir. J Am Chem Soc 37:417, 1915.
19. S Matsumoto, Y Sato, M Tsatsumi, N Setaka. Jpn J Appl Phys 21:L183, 1982.
20. S Matsumoto, Y Sato, M Tsatsumi, N Setaka. J Mater Sci 17:3106, 1982.
21. F Jansen, I Chen, MA Machonkin. J Appl Phys 66:5749, 1989.
22. U Meier, K Kohse-Hoinghaus, L Schafer, C Klages. Appl Opt 29:4993, 1990.
23. TD Moustakas, JP Dismukes, L Ye, KR Walton, JT Tiedje. Polycrystalline
diamond deposition from methane-hydrogen mixtures. Proceedings of Tenth
International Conference on Chemical Vapor Deposition, Honolulu, 1987, p
1164.
24. FG Celii, PE Pehrsson, H-T Wang, JE Butler. Appl Phys Lett 52:2043, 1988.
25. FG Celii, JE Butler. Appl Phys Lett 54:1031, 1989.
26. M Sommer, FW Smith. J Mater Res 5:2433, 1990.
112 Dandy and Coltrin

27. MC McMaster, WL Hsu, DS Dandy, ME Coltrin. J Appl Phys 76:7567, 1994.


28. DS Dandy, ME Coltrin. J Appl Phys 76:3102, 1994.
29. FM Cerio, WA Weimer. Rev Sci Instrum 63:2065, 1992.
30. RL Woodin, LK Bigelow, GL Cann. In: Y Tzeng, M Yoshikawa, M Mura-
kawa, A Feldman, eds. Applications of Diamond Films and Related Materials.
Amsterdam: Elsevier Science Publishers, 1991, p 439.
31. L Rongzhi, S Hailiang, Y Zhen, T Sen, Z Hesun. Transparent diamond film
deposited by optimized DC arc plasma jet. Proceedings of Applications of
Diamond Films and Related Materials, Auburn, AL, 1991, p 207.
32. DS Dandy, ME Coltrin. J Mater Res 10:1993, 1995.
33. N Koshino, K Kurihara, M Kawarada, K Sasaki. In: GH Johnson, AR Bad-
zian, MW Geis, eds. Diamond and Diamond-Like Materials Synthesis. Pitts-
burgh: Materials Research Society, 1988, p 95.
34. GA Raiche, GP Smith, JB Jeffries. Diagnostics of a diamond-depositing DC-
arc-jet plasma. Proceedings of New Diamond Science and Technology, Wash-
ington, DC, 1990, p 251.
35. MH Loh, JG Liebeskind, MA Cappelli. Characterization of a supersonic hy-
drogen arcjet plasma thruster employed in diamond film synthesis. Proceed-
ings of 29th Joint Propulsion Conference, Monterey, CA, 1993, p 2227.
36. ME Coltrin, DS Dandy. J Appl Phys 74:5803, 1993.
37. MH Loh, MA Cappelli. Study of precursor transport during diamond synthesis
in a supersonic flow. Proceedings of Third International Symposium on Dia-
mond Materials, Honolulu, 1993, p 17.
38. E Hyman, K Tsang, A Drobot, B Lane, J Casey, R Post. J Vac Sci Technol
A 12:1474, 1994.
39. SP Mehandru, AB Anderson. J Mater Res 5:2286, 1990.
40. SP Mehandru, AB Anderson, JC Angus. J Mater Res 7:689, 1992.
41. DR Alfonso, SH Yang, DA Drabold. Phys Rev B 50:15369, 1994.
42. DR Alfonso, SE Ulloa, DW Brenner. Phys Rev B 49:4948, 1994.
43. OF Sankey, DJ Niklewsky, DA Drabold, JD Dow. Phys Rev B 41:12750,
1990.
44. D Brenner. Phys Rev B 42:9458, 1990.
45. JC Angus, Z Li, M Sunkara, C Lee, W Lambrecht, RL Li, B Segall. Diamond
nucleation. Proceedings of Materials Research Society Symposium, Boston,
1993, p 128.
46. J Tersoff. Phys Rev B 39:5566, 1989.
47. WRL Lambrecht, CH Lee, B Segall, JC Angus, Z Li, M Sunkara. Nature
364:607, 1993.
48. NL Allinger. J Am Chem Soc 99:8127, 1977.
49. NL Allinger, YH Yuh, J Lii. J Am Chem Soc 111:8551, 1989.
50. SJ Harris, DG Goodwin. J Phys Chem 97:23, 1993.
51. YL Yang, MP D’Evelyn. J Vac Sci Technol A 10:978, 1992.
52. M Zhu, RH Hauge, JL Margrave, MP D’Evelyn. Mechanism for diamond
growth on flat and stepped diamond (100) surfaces. Proceedings of Third
International Symposium on Diamond Materials, Honolulu, 1993, p 138.
53. D Huang, M Frenklach. J Phys Chem 96:1868, 1992.
Deposition Chemistry 113

54. MJS Dewar, WJ Theil. J Am Chem Soc 99:4899, 1977.


55. J Tersoff. Phys Rev B 37:6991, 1988.
56. DR Alfonso, SE Ulloa. Phys Rev B 48:12235, 1993.
57. BJ Garrison, EJ Dawnkaski, D Srivastava, DW Brenner. Science 255:835,
1992.
58. M Frenklach, KE Spear. J Mater Res 3:133, 1988.
59. SJ Harris. Appl Phys Lett 56:2298, 1990.
60. DW Brenner, DH Robertson, RJ Carty, D Srivastava, BJ Garrison. In: JE
Mark, ME Glicksman, SP Marsh, eds. Computational Methods in Materials
Science. Pittsburgh: Materials Research Society, 1992, p 255.
61. SJ Harris, DN Belton. Thin Solid Films 212:193, 1992.
62. DG Goodwin. J Appl Phys 74:6888, 1993.
63. LN Krasnoperov, IJ Kalinovski, H Chu, D Gutman. J Phys Chem 97:11787,
1993.
64. SJ Harris, AM Weiner. J Appl Phys 74:1022, 1993.
65. S Skokov, B Weiner, M Frenklach. J Phys Chem 98:8, 1994.
66. S Skokov, B Weiner, M Frenklach. J Phys Chem 99:5616, 1995.
67. MA Cappelli, MH Loh. Diamond Relat Mater 3:417, 1994.
68. CJ Chu, MP D’Evelyn, RH Hauge, JL Margrave. J Appl Phys 70:1695, 1991.
69. MP D’Evelyn, CJ Chu, RH Hauge, JL Margrave. J Appl Phys 71:1528, 1992.
70. SJ Harris, AM Weiner. Thin Solid Films 212:201, 1992.
71. LR Martin, MW Hill. J Mater Sci Lett 9:621, 1990.
72. CE Johnson, WA Weimer, FM Cerio. J Mater Res 7:1427, 1992.
73. WA Yarbrough, K Tankala, T DebRoy. J Mater Sci 7:379, 1992.
74. S Skokov, B Weiner, M Frenklach. J Phys Chem 98:7073, 1994.
75. M Frenklach, S Skokov, B Weiner. Nature 372:535, 1994.
76. MR Pederson, KA Jackson, WE Pickett. Phys Rev B 44:3891, 1991.
77. D Huang, M Frenklach. J Phys Chem 95:3692, 1991.
78. JE Butler, RL Woodin. Philos Trans R Soc 342:209, 1993.
79. T Tsuno, T Imai, Y Nishibayashi, K Hamada, N Fujimori. Jpn J Appl Phys
30:1063, 1991.
80. H-G Busmann, W Zimmermann-Edling, H Sprang, H-J Guntherodt, IV Her-
tel. Diamond Relat Mater 1:979, 1992.
81. LF Sutcu, CJ Chu, MS Thompson, RH Hauge, JL Margrave, MP D’Evelyn.
J Appl Phys 71:5930, 1992.
82. RE Thomas, RA Rudder, RJ Markunas. Thermal desorption from hydrogen-
ated diamond (100) surfaces. Proceedings of Second International Symposium
on Diamond Materials, Washington, DC, 1991, p 186.
83. SJ Harris, AM Weiner. J Appl Phys 75:5026, 1994.
84. C Wild, N Herres, P Koidl. J Appl Phys 68:973, 1990.
85. KV Ravi. J Mater Res 7:384, 1992.
86. WJP van Enckevort, G Janssen, W Vollenberg, JJ Schermer, LJ Giling, M
Seal. Diamond Relat Mater 2:997, 1993.
87. A Badzian, T Badzian. High temperature epitaxy of diamond. Proceedings of
Third International Symposium on Diamond Materials, Honolulu, 1993, p
1060.
114 Dandy and Coltrin

88. M Frenklach, S Skokov, B Weiner. On the role of surface diffusion in diamond


growth. Proceedings of Fourth International Symposium on Diamond Mate-
rials, Reno, NV, 1995, p 1.
89. JC Angus, EA Evans. Necleation and growth processes during the chemical
vapor deposition of diamond. Proceedings of Materials Research Society
Symposium, Boston, 1994, p 385.
90. M Frenklach, R Kematick, D Huang, W Howard, KE Spear, AW Phelps, R
Koba. J Appl Phys 66:395, 1989.
91. M Frenklach, W Howard, D Huang, J Yuan, KE Spear, R Koba. Appl Phys
Lett 59:546, 1991.
92. W Howard, D Huang, J Yuan, M Frenklach, KE Spear, R Koba, AW Phelps.
J Appl Phys 68:1247, 1990.
93. S Mitura. J Cryst Growth 80:417, 1987.
94. BV Derjaguin, DV Fedoseev. Sci Am 233:102, 1975.
95. S Matsumoto, Y Matsui. J Mater Sci 18:1785, 1983.
96. JC Angus, JA Mann, Y Wang, M Sunkara, PA Washlock, M Kuczmarski, Z
Li. Progress Report, DARPA University Research Initiation Grant. Contract
N00014-89J-3167, January 31, 1991.
97. JC Angus, RW Hoffman, PH Schmidt. In: S Saito, O Fukunaga, M Yoshikawa,
eds. Science and Technology of New Diamond. Tokyo: KTK Terra Scientific,
1990, p 9.
98. PR Buerki, S Leutwyler. J Appl Phys 69:3739, 1991.
99. G Popovici, MA Prelas. Phys Status Solidi A 132:233, 1992.
100. E Kondoh, K Tanaka, T Ohta. J Appl Phys 74:2030, 1993.
101. S Katsumata. Jpn J Appl Phys 31:3594, 1992.
102. ZM Zhang, HM Cheng, SH Li, QY Cai, DL Ling, SJ Wang, ZW Hu, SS
Jiang, CZ Ge, NB Ming. J Cryst Growth 132:200, 1993.
103. WP Chai, YS Gu, M Li, H Mai, QZ Li, L Yuan, SJ Pang. J Cryst Growth
135:639, 1994.
104. WA Yarbrough. J Vac Sci Technol A 9:1145, 1991.
105. RA Bauer, NM Sbrockey, WE Brower Jr. J Mater Res 8:2858, 1993.
106. A van der Drift. Philips Res Rep 22:267, 1967.
107. BR Stoner, BE Williams, SD Wolter, K Nishimura, JT Glass. J Mater Res 7:
257, 1992.
108. BV Spitzyn, BV Derjaguin. In: LL Bouilov, ed. Problems of Physics and
Technology of Wide-Gap Semiconductors. Leningrad: Akad Nauk SSSR,
1979, p 22.
109. BV Spitzyn, LL Bouilov, BV Derjaguin. J Cryst Growth 52:219, 1981.
110. C Trevor, D Cherns, P Southworth. TEM studies of the nucleation of CVD
diamond on silicon. Proceedings of Institute of Physics Electron Microscopy
and Analysis Group Conference, Bristol, UK, 1991, p 275.
111. D Kim, H Lee, J Lee. J Mater Res 28:6704, 1993.
112. SM Kanetkar, AA Kulkarni, A Vaidya, RD Vispute, SB Ogale, ST Kshirsagar,
SC Purandare. Appl Phys Lett 63:740, 1993.
113. MT McClure, JA von Windheim, JT Glass, JT Prater. Diamond Relat Mater
3:239, 1994.
Deposition Chemistry 115

114. DN Belton, SJ Schmieg. Thin Solid Films 212:68, 1992.


115. K Kobayashi, M Kumagai, S Karasawa, T Watanabe, F Togashi. J Cryst
Growth 128:408, 1993.
116. GA Hirata, L Cota-Araiza, M Avalos-Borja, MH Farias, O Contreras, Y Mat-
sumoto. J Phys 5:305, 1993.
117. BY Lin, CP Beetz, DW Brown, BA Lincoln. The influence of diamond surface
perfection on the preferential nucleation of sp 2 carbon during methane pyrol-
ysis. Proceedings of Evolution of Surface and Thin Film Microstructure Sym-
posium, Pittsburgh, 1993, p 705.
118. AR Badzian, T Badzian. Surf Coat Technol 36:283, 1988.
119. JA Baglio, BC Farnsworth, S Hankin, C Sung, J Hefter, M Tabasky. In: RE
Clausing, LL Horton, JC Angus, P Koidl, eds. Diamond and Diamond-Like
Films and Coatings. New York: Plenum Press, 1991, p 635.
120. PO Joffreau, R Haubner, B Lux. Int J Ref Hard Mater 7:186, 1988.
121. B Lux, R Haubner. In: RE Clausing, LL Horton, JC Angus, P Koidl, eds.
Diamond and Diamond-Like Films and Coatings. New York: Plenum Press,
1991, p 579.
122. WA Yarbrough, R Messier. Science 247:688, 1990.
123. M Komori, T Maki, T Kim, G Hou, Y Sakaguchi, K Sakuta, T Kobayashi.
Appl Phys Lett 62:582, 1993.
124. PC Yang, W Zhu, JT Glass. J Mater Res 8:1773, 1993.
125. AR Badzian, T Badzian. Proceedings of Materials Research Society Sympo-
sium Proceedings, Boston, 1991, p 339.
126. J Narayan, VP Godbole, CW White. Science 252:416, 1991.
127. M Moore. Ind Diamond Rev 2:67, 1985.
128. SD Wolter, BR Stoner, JT Glass, PJ Ellis, DS Buhaenko, CE Jenkins, P South-
worth. Appl Phys Lett 62:1215, 1993.
129. BR Stoner, SR Sahaida, JP Bade, P Southworth, PJ Ellis. J Mater Res 8:1334,
1993.
130. C Wild, R Kohl, N Herres, W Müller-Sebert, P Koidl. Diamond Relat Mater
3:373, 1994.
131. JS Ma, H Kawarada, T Yonehara, JI Suzuki, J Wei, Y Yokota, A Hiraki. J
Cryst Growth 99:1206, 1990.
132. JS Ma, H Kawarada, T Yonehara, JI Suzuki, J Wei, Y Yokota, A Hiaraki.
Appl Phys Lett 55:1071, 1989.
133. WA Yarbrough. In: Y Tzeng, M Yoshikawa, M Murakawa, A Feldman, eds.
Applications of Diamond Films and Related Materials. Amsterdam: Elsevier
Science Publishers, 1991, p 25.
134. PA Dennig, H Shiomi, DA Stevenson, NM Johnson. Thin Solid Films 212:
63, 1992.
135. A Sawabe, T Inuzuka. Thin Solid Films 137:189, 1986.
136. M Katoh, M Aoki, H Kawarada. Jpn J Appl Phys 33:L194, 1994.
137. BR Stoner, GHM Ma, SD Wolter, JT Glass. Phys Rev B 45:11067, 1992.
138. Y Hayashi, W Drawl, R Messier. Jpn J Appl Phys 31:L193, 1992.
139. S Haq, JA Savage, DL Tunnicliffe. In Y Tzeng, M Yoshikawa, M Murakawa,
116 Dandy and Coltrin

A Feldman, eds. Applications of Diamond Films and Related Materials. Am-


sterdam: Elsevier Science Publishers, 1991, p 405.
140. JW Kim, YJ Baik, KY Eun. In: Y Tzeng, M Yoshikawa, M Murakawa, A
Feldman, eds. Applications of Diamond Films and Related Materials. Am-
sterdam: Elsevier Science Publishers, 1991, p 399.
141. JJ Chang, D Mantei, R Vuppuladhadium, HE Jackson. Appl Phys Lett 59:
1170, 1991.
142. P Bou, L Vandenbulcke, R Herbin, F Hillion. J Mater Res 7:2151, 1992.
143. RC Hyer, M Green, KK Mishra, SC Sharma. J Mater Sci Lett 10:515, 1991.
144. SI Shah, MM Waite. Appl Phys Lett 61:3113, 1992.
145. HR Thorsheim, JE Butler. In: KE Spear, JP Dismukes, eds. Synthetic Dia-
mond: Emerging CVD Science and Technology. New York: John Wiley &
Sons, 1994, p 193.
146. T Kawato, K Kondo. Jpn J Appl Phys 26:1429, 1987.
147. SJ Harris, DN Belton, AM Weiner. J Appl Phys 66:5353, 1989.
148. CH Wu, MA Tamor, TJ Potter, EW Kaiser. J Appl Phys 68:4825, 1990.
149. L Schafer, C-P Klages, U Meier, K Kohse-Hoinghaus. Appl Phys Lett 58:
571, 1991.
150. K-H Chen, M-C Chuang, CM Penney, WF Banholzer. J Appl Phys 71:1485,
1992.
151. FG Celii, JE Butler. In: R Messier, JE Butler, JT Glass, eds. New Diamond
Science and Technology. Pittsburgh: Materials Research Society, 1991, p 201.
152. EJ Corat, DG Goodwin. J Appl Phys 74:2021, 1993.
153. EH Wahl, TG Owano, CH Kruger, P Zalicki, Y Ma, RN Zare. Diamond Relat
Mater 5:373, 1996.
154. P Zalicki, RN Zare. J Chem Phys 102:2708, 1995.
155. SO Hay, WC Roman, MB Colket III. J Mater Res 5:2387, 1990.
156. T DebRoy, K Tankala, WA Yarbrough, R Messier. J Appl Phys 68:2424, 1990.
157. UE Meier, LE Hunziker, DR Crosley, JB Jeffries. Observation of OH radicals
in a filament-assisted diamond growth environment. Proceedings of Second
International Symposium on Diamond Materials, Washington, DC, 1991, p
202.
158. K Yarina. Low pressure combustion CVD of diamond. MS thesis, Colorado
State University, 1996.
159. LS Plano, DA Stevenson, JR Carruthers. Characterization of DC plasmas for
the control of diamond deposition. Proceedings of Second International Sym-
posium on Diamond Materials, Washington, DC, 1991, p 290.
160. A Inspektor, Y Liou, T McKenna, R Messier. Surf Coat Technol 39/40:211,
1989.
161. MC McMaster, WL Hsu, ME Coltrin, DS Dandy, C Fox. Diamond Relat
Mater 4:1000, 1995.
162. KR Stalder, W Homsi. Appl Phys Lett 68:3710, 1996.
163. JA Mucha, DL Flamm, DE Ibbotson. J Appl Phys 65:3448, 1989.
164. Y Muranaka, H Yamashita, K Sato, H Miyadera. J Appl Phys 67:6247, 1990.
165. SW Reeve, WA Weimer, DS Dandy. J Mater Res 11:694, 1996.
Deposition Chemistry 117

166. W Zhu, A Inspektor, AR Badzian, T McKenna, R Messier. J Appl Phys 68:


1489, 1990.
167. FG Celii, HR Thornsheim, JE Butler, LS Plano, JM Pinneo. J Appl Phys 68:
3814, 1990.
168. HR Thorsheim, FG Celii, JE Butler, LS Plano, JM Pinneo. In: R Roy, R
Messier, JE Butler, JT Glass, eds. New Diamond Science and Technology.
Pittsburgh: Materials Research Society, 1991, p 207.
169. DS Green, TG Owanao, S Williams, DG Goodwin, RN Zare, CH Kruger.
Science 259:1726, 1993.
170. T Mitomo, T Ohta, E Kondoh, K Ohtsuka, Y Habu. J Appl Phys 70:4532,
1991.
171. KR Stalder, RL Sharpless. J Appl Phys 68:6187, 1990.
172. SW Reeve, WA Weimer. J Vac Sci Technol A 12:3131, 1994.
173. HN Chu, EA Den Hartog, AR Lefkow, J Jacobs, LW Anderson, MG Lagally,
JE Lawler. Phys Rev A 44:3796, 1991.
174. GA Raiche, JB Jeffries. Appl Opt 32:4629, 1993.
175. GP Smith, JB Jeffries. Electrochem Soc Proc 91-8:194, 1991.
176. SW Reeve, WA Weimer. J Vac Sci Technol A 13:359, 1995.
177. RS Yalamanchi, KS Harshavardhan. J Appl Phys 68:5941, 1990.
178. Y Hirose, S Amanuma, K Komaki. J Appl Phys 68:6401, 1990.
179. K Komaki, Y Masaaki, I Yamamoto, Y Hirose. Jpn J Appl Phys 32:1814,
1993.
180. Y Matsui, A Yuuki, M Sahara, Y Hirose. Jpn J Appl Phys 28:1718, 1989.
181. Y Matsui, H Yabe, Y Hirose. Jpn J Appl Phys 29:1552, 1990.
182. PW Morrison, JE Cosgrove, JE Markham, PR Solomon. In: R Roy, R Messier,
JE Butler, JT Glass, eds. New Diamond Science and Technology. Pittsburgh:
Materials Research Society, 1991, p 219.
183. NG Glumac, DG Goodwin. Mater Lett 18:119, 1993.
184. JS Kim, MA Cappelli. Appl Phys Lett 65:2786, 1994.
185. KF McCarty, E Meeks, RJ Kee, AE Lutz. Appl Phys Lett 63:1498, 1993.
186. DG Goodwin, GG Gavillet. J Appl Phys 68:6393, 1990.
187. WL Hsu. Quantitative analysis of the gaseous composition during filament-
assisted diamond growth. Proceedings of Second International Symposium on
Diamond Materials, Washington, DC, 1991, p 217.
188. B Ruf, F Behrendt, O Deutschmann, J Warnatz. J Appl Phys 79:7256, 1996.
189. K Tankala, T DebRoy. J Appl Phys 72:712, 1992.
190. C Wolden, S Mitra, KK Gleason. J Appl Phys 72:3750, 1992.
191. YA Mankelevich, AT Rakhimov, NV Suetin. Two-dimensional model of a
HFCVD reactor. Proceedings of Fourth International Symposium on Diamond
Materials, Reno, NV, 1995, p 687.
192. T Otsuka, M Ihara, H Komiyama. J Appl Phys 77:893, 1995.
193. DG Goodwin. Appl Phys Lett 59:277, 1991.
194. DS Dandy, ME Coltrin. Appl Phys Lett 66:391, 1995.
195. YA Mankelevich, AT Rakhimov, NV Suetin. Diamond Relat Mater 4:1065,
1995.
196. YA Mankelevich, AT Rakhimov, NV Suetin. Plasma Phys Rep 21:872, 1995.
118 Dandy and Coltrin

197. SL Girshick, BW Yu, C Li, HH Han. Diamond Relat Mater 2:1090, 1993.
198. BW Yu, SL Girshick. J Appl Phys 75:3914, 1994.
199. E Meeks, RJ Kee, DS Dandy, ME Coltrin. Combust Flame 92:144, 1993.
200. M Murayama, S Kojima, K Uchida. J Appl Phys 69:7924, 1991.
201. NG Glumac, DG Goodwin. Combust Flame 105:321, 1996.
202. C Wild, P Koidl, W Müller-Sebert, H Walcher, R Kohl, N Herres, R Locher,
R Samlenski, R Brenn. Diamond Relat Mater 2:158, 1993.
203. M Yoshikawa. Diamond Films Technol 1:1, 1991.
5
Thermally Assisted (Hot-Filament)
Deposition of Diamond

Joseph E. Yehoda
Diamonex, Inc., Allentown, Pennsylvania

I. INTRODUCTION

The earliest reports of CVD diamond growth by hot-filament chemical vapor


deposition (HFCVD) were published in the early 1980s by Matsumoto et
al. [1,2]. A simple W filament was used in a flow tube, the substrate being
heated both by the filament and by an external furnace. Since that time, the
number of papers dealing with HFCVD has increased manyfold.
Figure 1 shows the number of yearly publications dealing with hot-
filament, thermal filament, or HFCVD as compiled in the DIAmond Data-
base [3]. It shows an incubation period of approximately 5 years followed
by a very rapid increase as research groups within and outside Japan dis-
covered HFCVD.
In this chapter, I will give a brief description of HFCVD followed by
a more detailed look at the practical aspects of this deposition technique,
focusing on filament processes, substrate heating effects, filament contami-
nation, and distribution of species. Other hybrid methods of HFCVD will
be discussed along with issues of reactor scale-up. Other reviews dealing
with HFCVD have already been published [4,5].
The growth chemistry will not be specifically addressed here. The
reader is referred to Angus et al. [6], Butler and Woodin [7], and Goodwin
and Butler [8] for a more detailed treatment.

II. DESCRIPTION

Hot-filament CVD can be described as a light bulb. In very much the same
way a light bulb uses a refractory filament in a low-pressure environment,
119
120 Yehoda

Figure 1 Graph showing the number of publications dealing with hot-filament or


thermal filament or HFCVD versus the year in which they were published. The data
for 1999 are only through the first 6 months.

HFCVD also uses a filament, activated with either a dc or ac current, to


cause the filament to glow (Fig. 2). At temperatures above approximately
1700–1800⬚C, the hydrogen in this low-pressure atmosphere undergoes a
thermal dissociation reaction. It is this dissociation of molecular hydrogen
into its atomic species that makes diamond growth possible. The atomic
hydrogen (H 0) can then interact with the small percentage of hydrocarbon
gas, frequently CH 4 , to form methyl radicals (CH3) via the reaction

CH 4 ⫹ H 0 → CH3 ⫹ H2 (1)

This provides the most important active species for diamond growth [9–11].
The H 0 also has two other primary functions: (1) to etch nondiamond carbon
from the growing film and (2) to surface terminate in H to prevent its col-
lapse into a reconstructed graphitic surface, essentially shutting down the
diamond growth completely.
Thermally Assisted Deposition of Diamond 121

Figure 2 Schematic diagram of the HFCVD process showing the basic elements.

III. FILAMENTS

The primary role of the filaments in HFCVD is to provide a means of


dissociating diatomic hydrogen (H2) into atomic hydrogen via the reaction
H2 ⇔ H 0 ⫹ H 0 ⫺ 4.5 eV (2)
From Eq. (2), it can be seen that the forward dissociation reaction is en-
dothermic, absorbing energy as it occurs. Unlike conventional CVD pro-
cesses that rely solely on thermal energy to initiate a reaction, for CVD
diamond growth H 0 is a key ingredient. The filament also participates in
decomposition of the hydrocarbon species but more indirectly through the
production of H 0.
The filaments also provide a secondary role, heating the substrate to
the deposition temperature for diamond growth. Alternative means of heating
or cooling can also be implemented to decouple the thermal effects of the
filament on the substrate, but this cannot be completely decoupled because
of the role of H 0 recombination [reverse of Eq. (2)]. The advantage of in-
122 Yehoda

dependently controlling the substrate temperature is to maintain optimum


growth conditions.
As will be seen in Sect. III.C, the heating of the substrate is primarily
through H 0 recombination on the surface and secondarily through radiation
from the incandescent filaments. Because Eq. (2) is endothermic, the energy
requirements for dissociation should be visible during the CVD process,
specifically on the energy supplied to the filaments. Jansen et al. [12] showed
the effects of an unreactive gas such as He, compared with H2 and D2 , on
the power dissipation of Re filaments. Compared with vacuum, there was
only a small increase in power dissipated by the filaments, via convection
and radiation, when He was used. When a gas such as H2 or D2 was intro-
duced, the power dissipated in the filaments to maintain a constant filament
temperature increased threefold. The result was the generation of H 0 and the
energy expended, in dissociation of the diatomic hydrogen, was reflected in
the increased power dissipation of the filaments; see Eq. (2). Thus the onset
of power loss was associated with H 0 atom production.
Sommer and Smith [13] investigated the effects of filament emissivity,
filament resistance, and power consumption for W and Re filaments in CH
4-H2 and C2H2-H2 as gas chemistry systems. The filaments were observed to
become ‘‘active’’ at a temperature ⱖ 1800⬚C. Evidence for this activity was
the production of H 0 through thermal dissociation [Eq. (2)]. In other works
[14,15], a similar effect of thermal dissociation of hydrogen on the power
supplied to the filaments was observed. Some energy loss can happen at
lower temperatures but is believed to be associated with increased vibra-
tional and translational energy pathways for H2 rather than dissociation pro-
cesses [14]. Again, comparison of power loss of Re filaments in vacuum,
He, D2 , and H2 showed the onset of power loss associated with the endo-
thermic hydrogen dissociation reaction [14], similar to that which was ob-
served for W filaments [13].

A. Filament Material
For a filament material to be a good candidate for HFCVD, it should have
several important properties: (1) a high melting temperature of the metal or
carbide, (2) resistance to attack by H 0, and (3) mechanical stability at the
operating temperatures required for diamond growth. To date, the most suc-
cessfully used filament materials have been W [13,16–18], Ta [17–19], and
Re [12,14,18]. Other materials such as Pt [20] and C [21,22], have also been
attempted but with only limited or no success.
W and Ta are good carbide formers and also high-temperature mate-
rials. Carbon has low solubility in Re, so Re does not carburize, but its
melting temperature is very high (3180⬚C). Table 1 gives the melting tem-
Thermally Assisted Deposition of Diamond 123

Table 1 Melting Point of Various


Filament Materials and Their Carbides

Filament material Tmelting (⬚C)

W 3410
W2C 2860
WC ⬇2600
Ta 2996
Ta2C ⬇3400
TaC 3880
Re 3180

peratures of different filament materials and their carbides. Ta can be op-


erated at higher temperatures than W by virtue of its higher temperature
carbide, and it is also mechanically less prone to deformation than W [17].
Re has been shown to retain its shape better than other filament materials
[18], giving a longer lifetime; however, its cost may be prohibitive.
To a large extent, the choice of filament material has been shown to
be independent of most deposition parameters [18]. Where it has been shown
to make a difference, though, is in what methane concentration, [CH 4 ], and
filament temperature result in a maximum growth rate. This has beens shown
to depend on filament material [18]. Before the peak in growth rate is ob-
served, the growth rates between similar filament materials appear similar
[18,20].

B. Filament Processes
Filaments such as Ta and W require a carburization step before they can be
effectively used for diamond deposition. Because they are good carbide for-
mers, and carbon incorporation is unavoidable, a carburization step helps
stabilize the filaments before growth is started. This carburization step is
normally performed for two reasons. The first is that because the lattice
constants of the carbides are typically larger than that of the pure metal, the
filaments will distort dimensionally, lengthening for straight filaments or
twisting for spiral filaments. This can change the filament geometry, sub-
sequently affecting the growth. The second reason for carburization is to
stabilize the filament electrically. The subcarbides and carbides have differ-
ent electrical resistivities than the pure metals. A consequence of this is a
change in the power required to maintain the filaments at a constant tem-
perature, Tfil . As an example, the resistivities of Ta (12.5 ␮ ⍀ cm), Ta2C (80
124 Yehoda

␮ ⍀ cm), and TaC (25 ␮ ⍀ cm) are seen to vary significantly from one
another. Until the filament has reached equilibrium, because of the material
changes caused by carburization along with the effects of the temperature
coefficient of resistance, the power required for maintaining a constant fil-
ament temperature will change.
Carburization is performed by heating the filaments in an atmosphere
of hydrogen and a small percentage of carbon-containing gas, typically CH4.
During this process the filament ‘‘removes’’ C from the ambient atmosphere
of the reactor, forming a carbide from the surface inward. In the early stages
of carburization, the filament can be composed of three distinct materials: a
metal core surrounded by a subcarbide annulus that in turn is surrounded
by a carbide ring. Figure 3 shows this schematically. The process can be
tracked by analysis of the residual gases within the chamber, where the CH4
can be observed to decrease as the filament is heated.

Figure 3 Representation of a filament at successive stages of the carburization pro-


cess. (a) The pure metal (M) filament; (b) partially carburized filament showing a
metal core surrounded by a subcarbide annulus (M2C) followed by the metal carbide
outer layer (MC); (c) a fully carburized filament composed of only the metal carbide.
Thermally Assisted Deposition of Diamond 125

A negative effect of this carbide formation is an increase in the brit-


tleness of the filaments. This is particularly problematic with W and Ta and
less so with Re due to its lack of carbide formation. This can shorten the
lifetime of the filaments, especially if the filaments are cycled over large
temperature ranges, e.g., room temperature to several thousand degrees
Celsius.

C. Filament Effects on Substrate Heating


Just as the forward reaction in Eq. (2) requires energy, its reverse reaction
will deposit energy during the recombination of H 0 into H2 . The effects of
substrate heating during HFCVD have been studied, experimentally and
through modeling [15,23–25].
Homogeneous chemical interactions and diffusional mixing govern the
[H 0 ] in the gas phase [15]. Simple probes, constructed using thermocouples,
have been utilized to detect H 0 recombination (heating) [15,22,24]. Mea-
surements of the [H 0 ] and Tprobe were made as a function of filament-sub-
strate distance. Studies of the Peclet numbers for mass transport and heat
transfer showed that (1) diffusive rather than convective mass transport was
dominant and (2) conductive heat transport dominates over convective trans-
port [23]. Using mass transport as an example, the Peclet number is defined
as the ratio of the convective mass transport to the diffusive transport:
convective uL
Pe = = (3)
diffusive D
Values of Pe ⬇ 0.08 << 1 were determined, implying that diffusion transport
dominates.
The [H 0 ] values that were measured and modeled in pure H2 and 1%
CH 4 in H2 agreed well with each other. In the case of CH 4 addition, the
amount of [H 0 ] present was observed to be less than that in pure H2 . Ho-
mogeneous gas-phase reactions were ruled out while changes in the filament
were suspected. Further verification of homogeneous gas-phase reactions not
being significant was provided by the [H 0 ] profile shape not changing from
pure H2 to additions of 1% CH 4 [24]. Power inputs into the filaments were
observed to decrease with the methane additions as well as an indication of
less [H 0 ] being generated [12].
In a comprehensive study of substrate heating effects, H2 , He, N2 , and
Ar were used as ambients (without hydrocarbons) to characterize the sub-
strate heating effects [25]. Temperature increases as high as ⬇250⬚C were
observed in H2 over He or the other gases, H 0 recombination being the cause.
Similar temperature increases have been measured and also ascribed to H2
recombination [22]. Pressure effects were also studied and showed an op-
126 Yehoda

timum range of 25–30 torr to operate within. At pressures higher than this,
gas-phase recombination started to dominate over substrate surface recom-
bination. For lower pressures, it was suggested that mixed flow regimes of
viscous and molecular flow contributed to less efficient transport of H 0 to
the substrate. For the geometry of the filament and substrate in this work,
less importance was given to heat transport through radiation, but for more
conventional geometry, radiation was believed to be more important.
Heat flux in the reactor toward the substrate can be classified in order
of importance: H 0 recombination > thermal radiation >> conduction > con-
vection. Modeling of a three-filament system showed a 14% increase in Tsub
when comparing the effects of H 0 recombination over radiation [26]. The
same effect of substrate heating due to H 0 recombination has been observed
in Diamonex’s 12-in. reactors. In this case, the substrate temperature in
vacuum is approximately 500⬚C lower than that when H2 is introduced into
the process chamber at typical filament temperatures for CVD diamond
growth.

D. Filament Contamination
The main source of impurities in HFCVD films is incorporated hydrogen
and metal from the filaments. Hydrogen can be incorporated from a fraction
of a percent to several percent as an impurity [27–30]. This is not totally
unexpected given that the typical growth ambient can be anywhere from 90
to 99% H2 . Metal contamination is normally lower, several tens of parts per
million atomic (ppm-a) to hundreds of ppm-a.
Using elastic recoil spectroscopy, differences in the [H] between the
growth and substrate sides of thick (500 ␮m) diamond films were measured
[30]. Both as-grown and polished samples were investigated. It was found
that for the unpolished case, the substrate side had approximately 3.4 times
more H incorporated (6.5% to 1.9%). When both the growth and substrate
sides were polished, the substrate side was larger by only about 1.6 times,
4.2% for the substrate side and 2.6% for the growth side. The larger con-
centration on the substrate side was attributed to more nondiamond carbon
that was believed to be where the H was bonding. One should remember
that the substrate side, by virtue of nucleation and columnar growth, has a
higher number of grain boundaries and associated defects. This can provide
a greater number of sites where incorporated H can reside. The effect of the
surface roughness on [H] was believed to be partially a geometrical effect
of H being trapped by the roughness as it was ejected from the surface
region toward the detector. Although this work used microwave CVD for
the diamond deposition, the salient features of [H] in the diamond are be-
lieved to be appropriate to HFCVD as well.
Thermally Assisted Deposition of Diamond 127

The question of how much incorporated H comes from the H2 gas and
how much is from the hydrocarbon gas was investigated using isotopic la-
beling studies utilizing H2 , CH 4 , deuteruim (D2), and deuterated methane
(CD4) during growth [27]. Both microwave CVD and HFCVD were used,
with little difference found in the level of incorporated H. Experiments sug-
gested that the incorporated hydrogen did not originate from H attached to
the hydrocarbon gas. However, the results pointed to another, as yet unde-
fined, source of H contributing to incorporation.
One of the consequences of the filaments being operated at high tem-
perature is the evaporation of filament material during the diamond depo-
sition process. With the exception of Re filaments, which do not form car-
bides, the other filament materials such as W and Ta evaporate less material
as a carbide than as a pure metal; this is a consequence of higher melting
temperatures and lower vapor pressures for the carbides.
Impurities have been characterized by a number of methods; SIMS,
RBS, EDX, and NAA. SIMS is one of the most popular and sensitive tech-
niques. Matrix effects and the lack of reliable ‘‘standards,’’ however, usually
complicate its quantitative interpretation. RBS and EDX lack sensitivity for
anything but the most contaminated films. NAA is quantifiable, with the
only stipulation that access to a source of neutrons is necessary.
There is not an extensive literature on filament contamination. It was
recognized and characterized early for Re filaments [12], where large
amounts of Re (up to 2000 ppm-a) were detected via SIMS. The level of
filament contamination was observed to be a strong function of filament
temperature. Ta and W impurities have been detected in HFCVD films de-
posited on Si [31]. RBS showed W contamination from 10 to 80 ppm-a at
2000⬚C, whereas for an equivalent filament temperature for Ta, the contam-
ination was over 1000 ppm-a. It was also found that the Ta contamination
was significantly reduced if a precarburized filament was used. The lower
evaporation rate of TaC compared with Ta was believed to be the cause.
The distribution of metal contamination in the HFCVD diamond films
has been observed to decrease as the film grows thicker [32]. The main
explanation for this phenomenon is the early nucleation, coalescence, and
growth of diamond. Because the first stages of growth involve a nucleation
stage, the growth of diamond is slow compared with the evaporation rate of
metal from the filaments. As the film coalesces to form a continuous film,
the growth rate stabilizes and reaches equilibrium with the evaporation rate
of filament material. The net result is a continuous contamination level
throughout the rest of the film.
More recent studies have used NAA to quantify the level of contam-
ination in HFCVD diamond films [33]. It was found that the highest levels
of metal contamination occurred with Re filaments, followed by Ta and then
128 Yehoda

W. This is shown in Table 2 for diamond deposited using these three dif-
ferent filament materials. Of the three filament materials, Re exhibits the
highest level of contamination, by three to four orders of magnitude. Others
[12] have observed the higher levels of Re contamination as well. As one
would expect, higher filament temperatures resulted in higher levels of con-
tamination via an increased evaporation rate: 0.279 ␮g cm⫺2 sec⫺1 for TaC
at 2400⬚C compared with 0.00248 ␮g cm⫺2 sec⫺1 for TaC at 1950⬚C [33].
It is interesting to note that the calculated evaporation rate of WC, at 1950⬚C,
was approximately a factor of 2 higher than that of TaC at the same filament
temperature. However, its contamination level was substantially lower, 4.6
ppm-m (0.3 ppm-a) for W compared with 37 ppm-m (2.5 ppm-a) for Ta.
The explanation for this difference, which has been observed by others, was
the difference in how WC evaporates compared with TaC [33]. In WC, the
C primarily evaporates, whereas for TaC, the Ta and C evaporate more or
less equally. Gas chemistry effects on metal contamination have also been
investigated to a limited extent. The obvious consequence for the addition
of O2 , or other gases that allow operation at lower Tfil , is the lower evapo-
ration rate that is present. Care needs to be exercised in using O2 in an
HFCVD reactor, however. It has been shown that W and Ta filaments are
stable against oxidation with added oxygen as long as a gas composition of
C/(C ⫹ O) > 0.5 is maintained [34].

E. Reactions with the Filaments


As long as the filament surface remains free of deposited C, which is excess
carbon not involved in the carburization of the filaments, the dissociation of

Table 2 Metal Contamination, in Parts Per Million


Atomic (ppm-a), in CVD Diamond Deposited Using
the Three Different Filament Materials: Re, W, and Ta

Contamination
(ppm-a)
Filament Re W Ta

Re 348 NA <MDA
W 0.039 0.30 0.026
Ta 0.11 0.17 2.5

MDA for Re is 0.01 ppm-a.


Source: Data courtesy of Dr. Robert Shaw, Oak Ridge National
Laboratory.
Thermally Assisted Deposition of Diamond 129

H2 into atomic hydrogen proceeds without interruption. When the level of


carbon in the gas phase becomes greater than a critical value, dependent on
Tfil , deposition of carbon onto the filament surface occurs [13,19,35,36]. This
deposition is commonly referred to as ‘‘poisoning’’ or ‘‘sooting.’’ Filament
poisoning results in a reduced ability to transform H2 to H 0. The effects are
reduced growth rate, morphology change, and reduced crystal size in the
deposited film [36].
Sommer and Smith [37] thermodynamically modeled the filament as
a substrate and defined a phase field where graphitic deposition occurred.
This was done for the CH 4 /H2 and C2H2 /H2 chemistries using W and Re
filaments [13]. Addition of reactive gases, such as oxygen, has been found
to lower the Tfil where C deposition occurs. The same chemistries with the
addition of O2 were also modeled [38]. It was observed that at sufficiently
high filament temperatures, no deposited C phase occurred on the filaments.
However, when the filament temperature was low and/or at higher [CH 4 ],
the filament would become covered with a graphitic deposit. This graphitic
deposit, commonly called ‘‘soot,’’ is really a microcrystalline C. This type
of surface is no longer conducive to the dissociation of diatomic hydrogen,
effectively shutting off the source. This has been found in attempts to use
carbon filaments [21,22].
When the filament poisoning is not too advanced, cleaning at high Tfil
and low or no added CH 4 can in most cases clean the filaments to such a
degree that they can still be used. If the C layer is too thick, however, no
amount of H 0 cleaning will lead to recovery. A hysterisis in the filament
poisoning has been observed and characterized in terms of filament temper-
ature, gas flow, and [CH 4 ] [36]. Figure 4 shows this for three different
methane flows, where the filament temperature is observed to increase as
the filament surface becomes covered with soot. Others have also seen this
change in filament behavior as the [CH 4 ] was changed [13,19,38]. It has
been observed that growth with poisoned filaments will result in a smaller
grain size as well as lower growth rates. In most cases, the onset of changes
in the filaments will be detected by a sudden apparent increase in the Tfil
(Fig. 4), followed by a decrease in the substrate temperature. These two
seemingly contradictory behaviors can easily be explained as follows. As
the filaments start to build up a layer of carbon on their surface, the emis-
sivity of the filaments is increased. This increase is perceived by an optical
pyrometer, typically used to measure Tfil , as an increase in temperature. The
subsequent decrease in Tsub is the result of the lower level of H 0 production,
and as shown earlier in Sec. III.C, the H 0 recombination on the substrate is
the primary mode of heating. Through careful monitoring of the decompo-
sition conditions, the region of filament poisoning can be avoided.
130 Yehoda

Figure 4 Data showing the hysteresis in filament temperature as the methane frac-
tion is varied for different total flows. This behavior is related to coverage of the
filament by nondiamond carbon. (From Ref. 36.)

IV. DETECTION AND DISTRIBUTION OF SPECIES

The detection of species during the HFCVD growth process has long been
of interest. By probing the environment around the filaments and substrate,
researchers have hoped to gain more insight into the growth process.
A variety of probes have been used for detection of species at the
filament and substrate. Infrared (IR) laser absorption has been used to detect
species during HFCVD using 0.5% CH 4 in H2 [39]. Acetylene (C2H2) was
found to be the most abundant species compared with CH 4 : 1.6 ⫻ 1015 cm⫺3
versus 2 ⫻ 1014 cm⫺3. The presence of CH3 was also detected with an
estimated concentration of 5 ⫻ 1013 cm⫺3. Other species such as ethylene
(C2H4) have measured concentrations of 6 ⫻ 1012 cm⫺3. The detection of
C2H2 and CH3 could support either as a precursor to growth of CVD dia-
Thermally Assisted Deposition of Diamond 131

mond. Other hydrocarbon species were not detected by this method because
of its sensitivity level.
Methods that rely on sampling of the growth gases have been used.
Mass spectrometry was used to sample the surface/near–surface region of
a Si wafer during growth [40]. A chemical kinetics model was then used to
assist in interpreting the measurements. Calculations were done as a function
of distance for CH 4 in H2 . CH 4 and C2H2 were monitored. Because of the
short lifetime of some species, they could not be detected directly by mass
spectrometry. Indirect monitoring could be used, assuming that the presence
of certain peaks was mainly due to them. For example,

2H = H2
2CH3 = C 2H 6
C 2H = C 2H2

This is one of the shortcomings of this type of technique.


The gas temperature was also measured as a function of distance from
the filaments. Using conventional thermocouples, the gas temperature was
found to drop rapidly, to ⬇600 K, at a distance of 1 mm from the filaments.
From their measurements and modeling, it was suggested that CH3 or
C2H2 is primarily responsible for growth.
Another optical method using lasers was used to detect the distribution
of H 0 in HFCVD [41]. Resonance-enhanced multiphoton ionization, better
known as REMPI, uses a focused laser to excite different levels in a species
that are then detected.
Profiles of H 0 versus Tfil showed that increasing filament temperatures
resulted in higher levels of atomic hydrogen. Addition of CH 4 showed that
the [H 0 ] decreased as the [CH 4 ] was increased. For the highest levels of
[CH 4 ] this behavior was found to be reversed, the result of poisoned
filaments.
Although no proof of the growth species could be obtained, measure-
ments of the [H 0 ] opposite the substrate showed behavior indicative of dif-
fusional transport. Flow rate variations also supported this type of transport.
Molecular beam mass spectrometry has also been used to measure
growth species at the substrate surface [42]. Unlike conventional mass spec-
troscopy, this method was able to detect free radical as well as stable species.
Using three parallel filaments, concentrations of H, H2 , CH3 , CH 4 , and C2H2
were measured. Measurements of H 0 at the substrate confirmed a ‘‘super-
equilibrium’’ state over what the normal H 0 equilibrium mole fraction would
be for the substrate temperature. At [CH 4 ] ⱕ 1%, the [H 0 ] was stable,
whereas [H 0 ] was found to decrease dramatically for [CH 4 ] > 1%, confirm-
132 Yehoda

ing what has been observed before [41]. This drop in [H 0 ] was more than
an order of magnitude for an increase from 0.4 to 7.2% CH 4 .
At the lower concentrations of methane, C2H2 was observed to be the
dominant species. This was found to change as [CH 4 ] was increased; [C2H2]
decreased with [CH 4 ] dominating and [CH3 ] steadily increasing, but at a
slower rate as [CH 4 ] increased further.
It was determined that there was a difference in the concentration of
species if a single filament was used as opposed to an array. The reasoning
was that a single filament allows more CH 4 to flow past without interacting
with the filament. This is most obvious in the lower [C2H2 ] and [CH3 ] being
detected. This also reconciled differences in species concentrations measured
by others [41].
Coherent anti-Stokes Raman spectroscopy (CARS) has been used to
measure both concentrations of species and temperature in rf-PACVD and
HFCVD [43]. Upstream and downstream measurements were made of [CH4]
from the filaments as a function of filament temperature. The lower concen-
tration of CH 4 observed downstream was attributed to rarefaction of the gas
by the filaments.
Lifetimes of the H 0, before recombination, were found to be signifi-
cantly longer (⬇0.5 sec) than those of other species that had lifetimes on
the order of 100 ␮sec. This shows the dominance of H 0 as a species at the
substrate.
Two-photon laser-induced fluorescence (LIF) has been used to detect
[H 0 ] profiles as a function of filament-to-substrate distance in HFCVD [44].
Measurements over a total pressure range of 1.5 to 100 mbar (1.1 to 75 torr)
were made. Pressure effects were observed and found to give a saturation
in [H 0 ] versus distance for pressures >10 mbar (75 torr). At a lower pressure,
1.5 mbar, the [H 0 ] was significantly lower, nearly 50% at the filament.
Higher filament temperatures resulted in higher concentrations of H 0,
falling off monotonically as a function of distance from the filament.
The effects of filament diameter were explored for Ta filaments of 0.3,
1.0, and 2.0 mm diameter. At constant filament temperature and % CH 4 , the
larger diameter filament resulted in a higher level of H 0 generation. This is
believed to be an effect of available surface area; the larger surface area can
support a higher number of dissociation events per unit area.
As in previous studies [22,41], addition of CH 4 resulted in a reduction
of [H 0 ]. The effects of excessive methane concentration were also observed
here. The filament temperature was observed to increase by 80⬚C while the
[H 0 ] decreased rapidly. The Tfil effect is not a true temperature change but
a change in emissivity brought about by sooting of the filaments as explained
before. The change in [H 0 ] was thought to be due to consumption in the
gas phase. This supports later observations of the same effect [36].
Thermally Assisted Deposition of Diamond 133

Other materials besides Ta were investigated—W and Ir—but carbu-


rized Ta was reported to generate higher [H 0 ] than Ir.
CARS has been used to determine [H2 ] and temperature distributions
in HFCVD as a function of distance from the filaments [45]. Temperature
distribution measurements of the H2 were made as a function of distance
from the filaments for three different powers. It was observed that there was
a substantial drop in temperature as one moved away from the filaments.
Similarly, the gas temperature above the substrate was found to be over 500
K hotter than at the substrate surface.
[H 0 ] values were calculated from the temperature distributions and the
[H2 ] from CARS. Deficits in expected [H2 ] were attributed to [H 0 ]. [H 0 ]
fractions as a function of distance from the filaments and filament power
were shown. Mole fractions of 50 to 20% were calculated for distance at
the filament to ⬇7 mm away (1% CH4 ).
It was shown that the [H 0 ] is in superequilibrium except very near the
filaments when compared with thermal equilibrium values, verifying earlier
work [42]. There was also a substantial drop between the gas temperature
and the filaments and substrate.
Others [42] have used two-dimensional finite element modeling [46]
to interpret HFCVD experiments. Gas-phase chemistry, filament catalysis,
surface interaction for growth, and how these affect [H 0 ] generation-recom-
bination were considered. Based on the model, gas-phase chemistry was
determined not to be primarily responsible for the [H 0 ]. Only a 30% drop
in [H 0 ] at the substrate, compared with the [H 0 ] at the filament, implied
that gas-phase recombination is not dominant.
From others [41], two activation energies of ⬇150 kJ/mole for Tfil >
2250 K and 330 kJ/mole for Tfil < 2100 K were found. The activation energy
at the higher temperature was believed to be due to a chemical reaction.
An expression for the H 0 production was developed. The saturation
effect observed by others was predicted by this expression. In addition, the
decrease in [H 0 ] when CH 4 was added was predicted.
Fourier transform infrared (FTIR) analysis of the gas during growth
has also been used in an attempt to study the gas species in an HF reactor
with a rapidly rotating substrate [47]. Rapid substrate rotation was studied
at various deposition pressures and found to affect only the Raman signal.
At 200 torr the quality improved, but no effect was observed at 20 and 400
torr. At 20 torr the pumping action of rotation on the gas is marginal at best,
but at higher pressures this improves. A competing effect of H 0 recombi-
nation opposed the uniformity of H 0 species over the substrate at the highest
pressures.
Absolute [CH3 ] values have been measured using cavity ring-down
spectroscopy (CRDS) [48,49]. In CRDS a laser pulse is reflected back and
forth between two mirrors. These form a cavity where the laser pulse is
134 Yehoda

circulated between the mirrors. Depending on the absorption of the medium


within the cavity, the decay will be governed by this, and the decay time
(ring-down time) can be determined. From this absorption the concentration
can then be calculated by knowing the cross section for interaction.
The [CH3 ] shows a maximum at ⬇2 mm from the filaments and is
dependent on substrate temperatures. This maximum, seen in Fig. 5, is re-
lated to thermal diffusion effects, Sorét diffusion. The higher Tsub results in
higher [CH3 ] for the same Tfil . Detailed measurements near the substrate
surface showed an increase in [CH3 ] by a factor of 2.8 for an increase in
Tsub of ⬇2: 600 to 1200⬚C, a linear increase. An activation energy of 4.2 ⫾
0.2 kcal mole⫺1 was found that agrees with other measurements [50].
Measurements of [CH3 ] at constant Tsub with Tfil from 2000 to 2550 K
showed an increase followed by a saturation in [CH3 ] at ⬇2500 K. Others
have also observed this [50,51].

Figure 5 Profiles of CH3 radical concentration, as a function of distance from a


W filament, for two different substrate temperatures using CRDS. The gas flow is
from left to right. Note the strong dependence of [CH3 ] on substrate temperature.
The lines through the data represent modeled results. (From Ref. 49.)
Thermally Assisted Deposition of Diamond 135

This study used a single W filament. This could be the difference in


some of the measurements; more CH 4 is able to move around the filament
and not be affected by its presence. This behavior was observed in other
work [42].

V. HYBRID METHODS OF HFCVD

In addition to the conventional form of HFCVD, other hybrid methods have


been developed. These have typically involved applying a bias to the sub-
strate, either positive or negative, and generally dc. Radio frequency biasing
has been reported [52], but there has not been much follow-on work in this
area.
Some of the earliest work on bias-assisted HFCVD was done using a
positively biased substrate [53–61] and is referred to as electron-assisted
CVD (EACVD). As the name implies, electrons from the hot filaments are
drawn to the substrate when a positive bias is applied. The filaments are
strong sources of thermonic electrons at the normal operating temperature
for HFCVD. High growth rates, 3 to 7 ␮m/hr, and enhanced nucleation
densities have been reported when using EACVD [53,54,58].
In the earliest work on EACVD, growth rates of 3–5 ␮m/hr were
reported for bias voltages of 150 V [53,54]. Ten-fold increases in nucleation
were also observed. Attempts to apply negative bias were not successful. It
was speculated that the growth enhancement took place on the substrate
surface and not in the gas phase because of the small probability of inter-
action between electrons and gas molecules. The increase in nucleation den-
sity was also cited as related more to surface processes than to gas-phase
processes.
The biased HFCVD process was further characterized electrically as a
diode; the filaments are strong emitters of electrons, with electrons flowing
easily toward the substrate, whereas the substrate is not an electron source
[56]. Positive and negative biases were applied and the results studied. It
was common, regardless of polarity, that nothing occurred until a plasma
was formed and that higher levels of bias were necessary to achieve this.
Different morphologies were observed depending on the bias conditions, and
the reverse bias case resulted in nonuniform deposits.
Using EACVD, thick diamond films of 500 ␮m have been grown at
rates of 7 ␮m/hr [58]. Again, the presence of a plasma was found. It was
suggested that greater H 0 generation occurred, and it was not e⫺ bombard-
ment that was responsible for the growth rate. Raman and IR measurements
showed characteristics of diamond films, with properties improving as the
film thickness increased.
136 Yehoda

To further understand the mechanisms of EACVD, optical emission


spectroscopy (OES) and IR absorption measurements were carried out dur-
ing HFCVD with positive applied bias to evaluate the nucleation density
[60]. OES measurements showed no increase in hydrocarbon species with
applied bias and a very minor increase in H 0. This increase was not enough
to account for the observed increase in nucleation density. The IR absorption
intensity also showed no increase for growth species. Thus, it was concluded
that the gas phase was not affected even though an increase in nucleation
density was observed. Surface processes were postulated to be responsible.
Negative biasing has also been applied to the substrate [62]. Nucleation
enhancement was observed; however, it was nonuniform across the sub-
strate, being higher around the edges. This effect was attributed to the sub-
strate holder providing a more conductive path for charged species compared
with the Si-wafer substrate. Subsequent modifications resulted in uniform
nucleation. Nucleation densities were observed to increase from 104 to 109
cm⫺2. It was believed that the negative biasing results in positive ion bom-
bardment of the substrate by C ⫹, CH⫹ ⫹
3 , H , etc. species. Scanning electron
microscopy (SEM) cross sections showed a disordered layer below the di-
amond film. Building upon this observation, selective area nucleation was
achieved by using a grid above the substrate. The mesh grid acted as a
‘‘funnel,’’ directing the species responsible for the nucleation in a pattern.
The grid mesh size was found to have a direct effect on the selective area
nucleation density.
In the case of EACVD, the bias is normally applied during the entire
deposition. For a negative applied substrate bias, such as what is used for
biased-enhanced nucleation (BEN), the bias is applied only during the initial
stages of growth until sufficient nuclei are formed. At this point it is turned
off, and normal HFCVD growth is continued.
Spectroscopic ellipsometric study of the initial stages of biased-en-
hanced CVD identified four stages of the process [63]. These were carbu-
rization, etching, incubation, and nucleation. Observation revealed that dur-
ing these four distinct processes, the plasma changed in a systematic way
as well.
Another unique hybrid method employing a hot filament has been used
to deposit diamond. Good-quality CVD diamond was obtained, using a sub-
strate that rotates sequentially between a hot filament and a graphite sput-
tering target [64]. The best diamond with this method was achieved under
conditions of high [H 0 ]. Aside from the unique character of this method,
combining a CVD and physical vapor deposition (PVD) technique, it attests
to the importance of surface reactions of CVD diamond deposition and the
importance of H 0.
Thermally Assisted Deposition of Diamond 137

VI. SCALE-UP AND COMMERCIALIZATION


OF HFCVD REACTORS

Hot-filament reactors lend themselves to scale-up. Unlike microwave reac-


tors, hot-filament reactors have no fundamental restriction of wavelength to
limit the usable area over which to deposit [12]. The important considera-
tions are filament diameter and shape, filament array size, and power re-
quirements. Although the scale-up is conceptually straightforward, dealing
with problems of the stability of large filament arrays and the movement
that occurs is a challenge.
The issues of filament spacing and filament-to-substrate distance have
been addressed [12,65]. Ideally, it is desirable to maintain the spacing be-
tween the filaments to approximately the filament-to-substrate distance [65].
This allows a uniform distribution of H 0 to impinge onto the substrate,
resulting in more uniform deposits of diamond.
In addition to Diamonex’s 12-in. HFCVD reactors [66], others have
developed HFCVD reactors for deposition over large areas for application
in thermal management [29,66] (Fig. 6) and tool coatings for planar as well
as round tools [67–69].

Figure 6 CVD diamond used as a heat spreader in a multichip module substrate


for a supercomputer thermal management application. Note the 20-mm-square unpol-
ished diamond pieces in the foreground and the polished pieces on the board. (Cour-
tesy of Dr. Paul J. Boudreaux, Laboratory for Physical Sciences, College Park, MD.)
138 Yehoda

VII. CONCLUSION

Considerable knowledge has been gained since the early attempts at


HFCVD. Large multizoned reactors have been developed for deposition over
areas as large as 12 inches in diameter for such commercial applications as
thermal management, tools, and protective coatings.
Growth rates have also increased. With the application of active cool-
ing during deposition, to carry away the heat of recombination and radiation,
filaments operating near 2800⬚C are possible. Rates approaching ⬇19 ␮m/
hr have been reported [19] for single-filament systems and ⬇5 ␮m/hr for
multifilament ones [70]. Unique configurations have allowed depositions
onto spherical surfaces [71] as well.
With continued scale-up to larger areas and increases in deposition
uniformity and rates, the focus now shifts to improvements in other areas
of diamond processing. For CVD diamond to become a commodity material
in the thermal management arena and other areas, the whole processing
stream requires simultaneous development to open commercial markets and
carry us forward.

ACKNOWLEDGMENTS

I would like to thank Dr. Bob Shaw for providing data on the ppm-a con-
tamination, Dr. Daniel Morel for providing data to replot Fig. 4, Mr. Edward
Wahl for Fig. 5 plus additional literature, and Dr. Paul Boudreaux for sup-
plying Fig. 6. In addition, I would like to thank Diamonex, Incorporated for
providing me with the time and encouragement to write this chapter and Dr.
Fred Kimock for his suggestions while proofreading the manuscript.

REFERENCES
1. S Matsumoto, Y Sato, M Kamo, N Setaka. Jpn J Appl Phys 21:L183, 1982.
2. S Matsumoto, Y Sato, M Tsutsumi, N Setaka. J Mater Sci 17:3106, 1982.
3. Data from DIAmond Database, Danish Technical Institute. The search criterion
of hot filament or thermal filament or HFCVD was used.
4. R Haubner, B Lux. Diamond Relat Mater 2:1277, 1993.
5. C-P Klages, L Schäfer. In: B Dischler, C Wild, eds. Low Pressure Synthetic
Diamond. Berlin: Springer-Verlag, 1998, p 85.
6. JC Angus, A Argoitia, R Gat, Z Li, M Sunkara, L Wang, Y Wang. Philos Trans
R Soc Lond A 342:195, 1993.
7. JE Butler, RC Woodin. Philos Trans R Soc Lond A 342:209, 1993.
Thermally Assisted Deposition of Diamond 139

8. DG Goodwin, JE Butler. In: MA Prelas, G Popovici, LK Bigelow, eds. Hand-


book of Industrial Diamonds and Diamond Films. New York: Marcel Dekker,
1997, p 527.
9. CJ Chu, MP D’Evelyn, RH Hauge, JL Margrave. J Mater Res 5:2405, 1990.
10. E Kondoh, T Ohta, T Mitomo, K Ohtsuka. J Appl Phys 72:705, 1992.
11. EJ Corat, DG Goodwin. J Appl Phys 74:2021, 1993.
12. F Jansen, MA Machonkin, DE Kuhman. J Vac Sci Technol A8:3785, 1990.
13. M Sommer, FW Smith. J Mater Res 5:2433, 1990.
14. F Jansen, I Chen, MA Machonkin. J Appl Phys 66:5749, 1989.
15. K Tankala, T DebRoy. J Appl Phys 72:712, 1992.
16. TD Moustakas. Solid State Ionics 32/33:861, 1989.
17. H Matsubara, T Sakuma. J Mater Sci 25:4472, 1990.
18. S Okoli, R Haubner, B Lux. J Phys II 1:923, 1991.
19. J Brückner, T Mäntylä. Diamond Relat Mater 2:373, 1993.
20. B Singh, Y Arie, AW Levine, OR Mesker. Appl Phys Lett 52:451, 1988.
21. MC Mecray. Master’s thesis, The Pennsylvania State University, 1991.
22. WA Yarbrough, K Tankala, M Mecray, T DebRoy. Appl Phys Lett 60:2068,
1992.
23. T Debroy, K Tankala, WA Yarbrough, R Messier. J Appl Phys 68:2424, 1990.
24. K Tankala, T DebRoy. Surf Coat Technol 62:349, 1993.
25. R Gat, JC Angus. J Appl Phys 74:5981, 1993.
26. K Tankala, T DebRoy, WA Yarbrough, CJ Robinson. Diamond Relat Mater 1:
1177, 1992.
27. DC Ingram, JC Keay, C Tang, ML Lake, J-M Ting. Diamond Relat Mater 2:
1414, 1993.
28. KM Rutledge, KK Gleason. Chem Vap Deposition 2:37, 1996.
29. CP Schaffer, IC Chen, RL Sturdivant, AT Hunter, RG Wilson. Diamond Relat
Mater 7:585, 1998.
30. A Kimura, Y Nakatani, K Yamada, T Suzuki. Diamond Relat Mater 8:37, 1999.
31. H-J Hinneberg, M Eck, K Schmidt. Diamond Relat Mater 1:810, 1992.
32. M Griesser, G Stingeder, M Grasserbauer, H Baumann, F Link, P Wurzinger,
H Lux, R Haubner, B Lux. Diamond Relat Mater 3:638, 1994.
33. P Mehta Menon, A Edwards, CS Feigrle, RW Shaw, DW Coffey, L Heatherly,
RE Clausing, L Robinson, DC Glasgow. Diamond Relat Mater 8:101, 1999.
34. WD Cassidy III. Master’s thesis, Case Western Reserve University, 1995.
35. DM Li, R Hernberg, T Mäntylä. Diamond and Relat Mater 7:188, 1998.
36. D Morel, W Hänni. Diamond Relat Mater 7:826, 1998.
37. M Sommer, FW Smith. Solid State Commun 69:775, 1989.
38. M Sommer, FW Smith. J Vac Sci Technol A9:1134, 1991.
39. FG Celii, PE Pehrsson, H-T Wang, JE Butler. Appl Phys Lett 52:2043, 1998.
40. SJ Harris, AM Weiner. Appl Phys Lett 53:1605, 1998.
41. FG Celii, JE Butler. Appl Phys Lett 54:1031, 1989.
42. WL Hsu. Appl Phys Lett 59:1427, 1991.
43. SO Hay, WC Roman, MB Colket. J Mater Res 5:2387, 1990.
44. L Shäfer, C-P Klages, U Meier, KK Höinghaus. Appl Phys Lett 58:571, 1991.
140 Yehoda

45. KH Chen, MC Chuang, CM Penney, WF Banholzer. J Appl Phys 71:1485,


1992.
46. C Wolden, KK Gleason. Appl Phys Lett 62:2329, 1993.
47. PW Morrison, O Taweechokesupsin, CS Kovach, B Roozbehani, JC Angus.
Diamond Relat Mater 5:242, 1996.
48. EH Wahl, TG Owano, CH Kruger, P Zalicki, Y Ma, RN Zare. Diamond Relat
Mater 5:373, 1996.
49. EH Wahl, TG Owano, CH Kruger, Y Ma, P Zalicki, RN Zare. Diamond Relat
Mater 6:476, 1997.
50. EJ Corant, EG Goodwin. J Appl Phys 74:2021, 1993.
51. E Kondoh, T Ohta, T Mitomo, K Ohtsuka. J Appl Phys 72:705, 1992.
52. T Komatsu, H Yamashita, Y Tamon, N Kikuchi. ISPC-8, Tokyo, 1987, 2487.
53. A Swabe, T Innzuka. Appl Phys Lett 46:146, 1985.
54. A Swabe, T Innzuka. Thin Solid Films 137:89, 1986.
55. H Kaneko, M Kamada, R Kuwae, A Sawabe, T Inuzunka. Appl Surf Sci 33/
34:546, 1988.
56. K Kobayashi, S Karasawa, T Watanabe, F Togashi. J Cryst Growth 99:1211,
1990.
57. YH Lee, PD Richaard, KJ Bachmann, JT Glass. Appl Phys Lett 56:620, 1990.
58. H Li, M Mecray, W Yarbrough, XH Wang. In: R Messier et al., eds. New
Diamond Science and Technologh (ICNDST-2). Proceedings of 2nd Interna-
tional Conference September 23–27, 1990, Washington, DC, MRS Conf Proc,
1991, p 461.
59. G Popovici, CH Chao, MA Prelas, EJ Charlson, JM Meese. J Mater Res 10:
2011, 1995.
60. J Cui, R Fang. Appl Phys Lett 69:3507, 1996.
61. GS Fu, XH Wang, W Yu, L Han, LF Dong, XW Li. Appl Phys Lett 70:1965,
1997.
62. W Zhu, FR Sivazlian, BR Stoner, JT Glass. J Mater Res 10:425, 1995.
63. X Li, Y Hayashi, S Nishino. Diamond Relat Mater 6:126, 1998.
64. MA Kelly, DS Olson, S Kapoor, SB Hagstrom. Appl Phys Lett 60:2502, 1992.
65. L Schäfer, C-P Klages. In: Y Tzeng, M Yoshikawa, M Murakawa, A Feldman,
eds. Diamond Films and Related Materials. Amsterdam: Elsevier Science
Publications, 1991, p 453.
66. Diamonex, Incorporated, 7331 William Ave., Allentown, PA 18106.
67. Sp3, 505 East Evelyn Ave., Mountain View, CA 94041.
68. CEME CON GmbH, Talbotstraße 21, D-52068, Aachen, Germany.
69. T Leyendecker, O Lemmer, S Esser, M Frank. In: A Feldman, Y Tzeng, WA
Yarbrough, M Yoshikawa, M Murakawa, eds. Applications of Diamond Films
and Related Materials: 3rd International Conference, 1995, p 183.
70. DM Li, T Mäntylä, R Hernberg, J Levoska. Diamond Relat Mater 5:350, 1996.
71. B Lunn, DA Wright, LY Zhang. Diamond Relat Mater 7:129, 1998.
6
Plasma Torch Diamond Deposition

Joachim V. R. Heberlein
University of Minnesota, Minneapolis, Minnesota

Naoto Ohtake
Tokyo Institute of Technology, Tokyo, Japan

I. INTRODUCTION

Deposition of diamond films using a thermal plasma has some process char-
acteristics that are fundamentally different from those of all the other dep-
osition methods. The thermal plasma generated either by an electric arc or
by a radio frequency induction discharge (rfi), has extremely high energy
densities (up to 108 J/m3) and temperatures (typically above 10,000 K), and
this feature allows rapid dissociation of any substance or gas and high-rate
generation of vapor-phase deposition precursors. As a consequence, high
deposition rates are achieved and deposition at atmospheric pressure is pos-
sible. The advantages of thermal plasma chemical vapor deposition
(TPCVD) have been recognized for the deposition of silicon carbide, silicon,
and high-Tc superconductors [1], but only the promise of attaining high rate
deposition of diamond using this process has led to strong further devel-
opment efforts. Both thermal plasma generation methods, rfi and dc arc,
were used to deposit diamond early on [2,3], but, at present, rf discharge
reactors are more prominently used for process characterization, while the
efforts in scaling the process to commercial size concentrate on dc arc re-
actors. For many applications of diamond coatings, economics is an over-
riding issue. Examples are diamond-coated tools or diamond heat spreaders
in microelectronic applications. Economic studies of the scaling of the dia-
mond deposition process have shown that the total deposition rate (in g/hr)
is the most important factor in determining the cost of diamond-coated tools
141
142 Heberlein and Ohtake

[4], and for these applications, arcjet deposition is the most favorable coating
method. Linear growth rates of up to 1 mm/hr and total deposition rates of
more than 0.2 g/hr have been reported in small 10-kW reactors [5]. In ad-
dition, the carbon utilization efficiency (carbon in the diamond film over
carbon introduced into the system) with more than 8% and the specific
energy requirement with less than 43 kWhr/g [6] are more favorable than
with any other method [7], leaving the cost of the higher gas flow rates the
one operational variable that is higher than with other deposition methods.
Because of the issue of economics, most research in arcjet diamond
deposition has focused on developing an understanding of the factors influ-
encing the deposition rate. However, following the directions of the inves-
tigations with the other diamond deposition methods, there is now a strong
research effort addressing items such as control of film texture, control of
the film-substrate interface properties to improve adhesion on substrates of
interest, and deposition at lower temperatures. In this chapter, we first de-
scribe the concept of arcjet diamond deposition in detail and then review
the operational characteristics of arcjet plasma generators. A review of the
principles of the arcjet diamond deposition process follows using represen-
tative results of models and of diagnostic experiments, including descriptions
of the influence that various operating parameters have on the film growth.
This is followed by a review of the most significant developments of dep-
osition reactors. Concluding, we present a brief analysis of some of the
present research directions.

II. DESCRIPTION OF CONCEPT

In plasma torch diamond deposition, the torch generates a thermal plasma


consisting of a mixture of argon and hydrogen in varying proportions pro-
viding a source of atomic hydrogen and of heat for dissociating the depo-
sition precursors. There exist numerous different configurations through
which this task is achieved. Figure 1 shows a schematic of a typical system
consisting of a dc arc between a rod type cathode and a cylindrical nozzle-
type anode. The plasma gas mixture enters the arcing region from the base
of the cathode, is heated and expanded by the arc discharge, and leaves the
anode nozzle at a high temperature and low density and with a high velocity.
In the plasma jet, heat exchange with the surroundings lead to a cooling of
the plasma, a reduction of the jet velocity, and a recombination of the ionized
and dissociated species. Figure 2 shows some typical contours of tempera-
tures and velocities in an argon-hydrogen plasma jet obtained with a plasma
torch with a 6-mm-diameter nozzle and operated at a power level of 40 kW
[8]. The temperature and velocity distributions can vary widely depending
Plasma Torch Diamond Deposition 143

Figure 1 Schematic of thermal plasma CVD process.

on the torch power, plasma gas composition, and chamber pressure. Arc
powers can range from 100 W to more than 100 kW, and 40 to 90% of the
electric power is transferred to the plasma, depending on the specific design
and the operating conditions. Argon-hydrogen ratios can also vary widely
from 10% hydrogen to 100%. Increasing the amounts of hydrogen usually
leads to increased electrode erosion with possible film contamination and to
lower density jets with larger boundary layer thicknesses. Deposition pre-
cursors are usually added downstream of the nozzle because most hydro-
carbons can form volatile compounds with thermionic cathode materials,
leading to strong erosion.
Deposition of diamond requires the presence of atomic hydrogen and
either atomic carbon or hydrocarbon radicals. Consequently, the substrate
must be placed at a position where the hydrogen in the plasma and the
deposition precursors are largely dissociated, i.e., where the temperature in
the jet is above about 4000 K if equilibrium conditions exist in the jet. The
deposition process depends on the rates of the recombination processes in
the boundary layer. Ideally, one would like to have frozen chemistry in the
boundary layer to preserve high concentrations of atomic hydrogen and of
atomic carbon, and the thinner the boundary layer the more closely will this
condition be approximated.
144 Heberlein and Ohtake

Figure 2 Temperature and velocity fields in an argon-hydrogen plasma jet at 1


atm. The torch nozzle exit is at z = 0. (From Ref. 8.)

The fluid dynamic boundary layer thickness ⭸ is a function of the free


stream velocity u, and the gas density ␳ 0 and viscosity ␮ 0:
⭸ ⬀ (␮ 0 /␳ 0u)0.5 (1)
Besides the fluid dynamic boundary layer, one needs to consider the thermal
boundary layer, and the concentration boundary layer. For the conditions of
a high-temperature, high-velocity free jet impinging on a cooled substrate,
the velocity and thermal boundary layers are often considered to coincide.
However, for a mixture of gases with quite different densities and diffusion
coefficients, such as argon and hydrogen, the concentration profile in the
boundary layer may differ from the velocity profile. This fact is expressed
by a value for the Schmidt number, Sc = ␮ 0 /( ␳ 0 D) (where D is the diffusion
coefficient) that is not equal to one.
Figure 3 illustrates the importance of the boundary layer thickness
control. The distributions of the important chemical species in the boundary
Plasma Torch Diamond Deposition 145

Figure 3 Temperature (a) and mole fraction (b) profiles for selected species in
the substrate boundary layer for two different free stream velocities of an argon-
hydrogen-methane jet resulting in two different boundary layer thicknesses. (From
Ref. 9.)
146 Heberlein and Ohtake

layer of an argon-hydrogen-methane jet for two different free stream veloc-


ities and corresponding boundary layer thicknesses are shown [9]. The
boundary layer edge has been assumed to coincide with the 4000 K iso-
therm, and equilibrium conditions are assumed at that location. It is apparent
that the atomic hydrogen concentration at the substrate surface increases by
an order of magnitude if the boundary layer thickness is decreased from 2
to 0.4 mm. Furthermore, the deposition mechanism can change during this
decrease in boundary layer thickness from CH3 dominated to C dominated.
This fact is illustrated in Fig. 4, where mole fractions of the important spe-
cies for diamond growth at the substrate location are shown (Fig. 4a), as
well as the growth rates for the different precursor species, both as a function
of boundary layer thickness [9].
Besides controlling the boundary layer thickness by increasing the
plasma velocity (i.e., increasing torch power or plasma gas flow rate or
decreasing the chamber pressure), increasing the jet density can have similar
effects (i.e., increasing the chamber pressure or changing the type of gas for
the same velocity). One can have the paradoxical situation that reducing the
amount of hydrogen in the jet and replacing it with the same volumetric
flow rate of argon can actually lead to higher atomic hydrogen densities at
the substrate surface due to stronger boundary layer compression [10].
Typical boundary layer thicknesses encountered in atmospheric pres-
sure plasma jet diamond deposition range from approximately 0.5 to 2 mm.
Lower pressures in the deposition chamber will increase the boundary layer
thickness for the same jet velocity but also reduce the chemical reactions in
the boundary layer. It is obvious that control of the boundary layer condi-
tions is crucial for the deposition of diamond using thermal plasma chemical
vapor disposition (TPCVD). However, a thin boundary layer leads to strong
heating of the substrate by the hot plasma jet requiring effective substrate
cooling to ensure the necessary control over the substrate surface tem-
perature.
While the high energy density of the thermal plasma allows very high
deposition rates, it also brings some challenges for the process designer:
there are usually strong radial nonuniformities associated with thermal
plasma jets. These nonuniformities have the consequence that the high di-
amond growth rates exist over a small area, and strong radial variations of
the film growth rate and the film morphology are observed. Also, the heat
flux to the substrate is nonuniform, requiring special care in the substrate
holder design to ensure a uniform substrate temperature. Various approaches
have been pursued to increase the area of uniform deposition, such as re-
ducing the pressure in the deposition chamber, which leads to a widening
of the jet, spreading the arc jet magnetically, or moving the substrate. Most
of these approaches require some sacrifice of the deposition rate. Also, re-
Plasma Torch Diamond Deposition 147

Figure 4 Mole fraction distributions in the boundary layer (a) and diamond growth
rate (b) for different boundary layer thicknesses. (From Ref. 9.)
148 Heberlein and Ohtake

ducing the pressure carries the penalty of increased pump power with it. As
can be seen in the discussion of the different plasma jet deposition reactors
(Secs. IV. and V.), the principal design challenge is to preserve the advan-
tages of this deposition method by transforming the high linear growth rates
over a small area into uniform deposition over a large area while maintaining
high overall deposition rates.
The process characteristics of arcjet diamond deposition have several
additional consequences: (1) A larger variety of precursors can be used; e.g.,
besides the commonly used hydrocarbons, various organic liquids can be
used because the high temperatures will lead to rapid dissociation. (2) A
variety of substrate materials can be used because the growth rate is fre-
quently higher than the diffusion rate into the substrate material. However,
this feature has somewhat limited benefits as the adhesion on many sub-
strates is inadequate, and deposition on different substrates without good
adhesion offers little advantage. (3) The high growth rates and high depo-
sition precursor densities can result in highly stressed and sometimes very
rough films and high secondary nucleation rates. Figures 5 and 6 illustrate
these features, Fig. 5 showing diamond films obtained with several organic
liquids used as deposition precursors and deposited at growth rates between
100 and 500 ␮m/hr [5]. Figure 6 shows some typical Raman spectra ob-
tained from films deposited at high growth rates, indicating the relatively
high purity of sp3 bonds [11]. Figure 7 shows a homoepitaxial film grown
with methane at a growth rate of up to 220 ␮m/hr, depending on the crystal
face [12]. The upper photograph shows the original diamond, and the lower
one shows the crystal after 30 min of deposition. Although this homoepi-
taxial film is of excellent quality, the thickness that can be deposited remains
limited due to the appearance of defects and secondary growth [13]. Al-
though it is clear that the film characteristics can vary widely, the common
features of these films are the high growth rates and the high purity of
diamond as shown by the Raman spectra.

III. PRINCIPLES OF PLASMA TORCH DESIGN

Plasma torch designs are adapted to the specific application, and they vary
widely according to power, arc current, and energy density desired. For
example, a cutting torch provides a transferred arc, highly constricted with
a very high peak temperature (in excess of 15,000 K) for effective melting
of the material, whereas a torch dissociating a gas and heating it to an
average temperature of 4000 K for a chemical process will have a highly
turbulent jet with a very wide temperature profile with a relatively low peak
temperature. In the diamond deposition application, the torch has to disso-
Plasma Torch Diamond Deposition 149

Figure 5 SEM photographs of diamond films obtained with various liquid pre-
cursors: (a) acetone, (b) dichloromethane, (c) benzene, (d) carbon tetrachloride, (e)
lacquer thinner, and (f) PCB compound. (From Ref. 5.)

ciate the hydrogen and provide sufficient energy for dissociation of the hy-
drocarbons. This review is limited to torches that are suitable for this purpose
and operate in the power range between 1 and 100 kW.
The arc discharge requires a cathode, an anode, and some kind of
stabilization mechanism for the arc column. Furthermore, the arc discharge
requires sufficiently high gas temperatures in the arc column to ensure ade-
quate electrical conductivity (at least 10,000 K when no metal vapors are
present). Under these conditions the electrons are heated by the applied
electric field, rapidly achieve a Maxwellian distribution, and a sufficient
number of high-energy electrons exist for ionizing collisions with atoms to
Plasma Torch Diamond Deposition 151

Figure 7 SEM photographs of a laser-cut diamond crystal (top) and the same
crystal after 30 minutes in an arcjet reactor showing homoepitaxial growth. (From
Ref. 13.)

<
Figure 6 Typical Raman spectra obtained with diamond films from ethanol (a)
and from acetone (b). (From Ref. 5; spectra courtesy of Dr. J. Butler.)
152 Heberlein and Ohtake

supply additional electrons and make up for those that have been lost
through recombination or diffusion. Compared with other discharges, the arc
discharge operates with the highest current values (1 A to more than 100
kA), the highest current densities (in the order of 105 A/cm2), and the lowest
discharge voltage gradients (typically 5 to 40 V/cm).

A. Torch Cathode
The arc cathode has to provide the electrons to the arc column for transfer-
ring the current, and two dominant cathode types are used in plasma torches:
the hot cathode, usually in the form of a rod or a button consisting of a
refractory metal, and the cold cathode, usually consisting of a copper surface
that is intensely cooled. The hot cathode provides electrons through therm-
ionic emission; i.e., the temperature at the tip of the cathode is high enough
that a sufficient number of electrons is emitted from the metal crystal lattice.
The most frequently used cathode material is tungsten with the addition of
a material such as thorium oxide that has a very low work function (around
2.6 eV compared with 4.5 eV for tungsten), thus increasing the number of
electrons emitted at a given temperature. There is little movement of the arc
attachment spot because the preferred attachment is the site of the highest
electron emission, i.e., for a uniform material the site of the highest tem-
perature. Typical spot temperatures are close to the melting point of tungsten
(3680 K), and the dominant cooling mechanism of the spot is the emission
of the electrons [14]. Because a substantial amount of heat is going into the
cathode (in the order of 5% of the torch power), additional cooling of the
cathode is usually provided (e.g., water cooling through internal cooling
channels); however, if the cooling reduces the attachment spot size or tem-
perature, increased erosion will result [15].
For operation with an inert gas such as argon, the thermionic cathode
experiences little erosion at current levels up to 1000 A. Operation with
hydrogen or an argon-hydrogen mixture will increase the erosion rate be-
cause of the higher heat fluxes associated with the more constricted hydrogen
arc. Oxidizing, halogenated gases and hydrocarbons lead to strong cathode
erosion because of the formation of volatile tungsten compounds. Therm-
ionic cathodes are found in torches operating at power levels from 100 W
to 100 kW.
Cold cathodes are used in torches of intermediate power levels from
50 kW to 10 MW and for currents of up to 3 kA. The emission mechanism
typically involves some evaporation and ionization of the cathode material,
and the attachment spot is usually very unstable. Strong cooling of the cath-
ode surface by a high-velocity water flow on the back surface results in a
highly constricted arc attachment spot, and a forced motion of this spot will
Plasma Torch Diamond Deposition 153

distribute the erosion by evaporation over a larger area. The motion of the
arc attachment is accomplished by controlling the gas flow over the cathode
surface (e.g., swirl flow) and/or by applying magnetic fields to force a ro-
tation of the arc attachment. The cathode material is usually copper or a
copper alloy because of its high thermal conductivity. Evaporation of the
cathode material leads necessarily to erosion and possibly contamination of
a film, but these effects can be minimized for arc currents below 2000 A.

B. Arc Column
The arc column is inheritantly unstable because any disturbance of the cur-
rent path through the plasma results in an increase in arc voltage and gen-
eration of magnetohydrodynamic (MHD) instabilities that can lead to arc
extinction. Stable operation therefore requires a power supply with an open-
circuit voltage significantly higher than the arc voltage and some design for
a stabilization mechanism. Most torches use either convective stabilization,
where a cold gas flow surrounding the arc forces the arc to remain in a
preferred position of minimal heat loss, or wall stabilization, where prox-
imity of a water-cooled wall to the arc forces it to the preferred position, or
a combination of both. Convective stabilization has the consequence that an
increase in arc current results in a widening of the discharge path or an
increase in the plasma electrical conductivity or both, leading to a decrease
in arc voltage (falling V-I characteristic) and requiring a current control in
the power supply. The voltage gradient in the arc column is strongly depen-
dent on the arc gas and the arc stabilizing design. An inert gas with a low
thermal conductivity such as argon offers arcing with the largest arc diameter
and the lowest voltage gradient, and the addition of hydrogen decreases the
arc diameter and increases the voltage gradient dramatically due to the high
thermal conductivity and specific heat of hydrogen. The consequence is that
for the same torch diameter and the same arc current a thicker cold gas
boundary layer exists if hydrogen is added to the plasma gas, leading to a
strong temperature drop in the jet when the cold boundary layer mixes with
the arc heated plasma. Higher arc currents and smaller nozzle diameters can
avoid this temperature drop.

C. Anode
The function of the arc anode is to collect electrons from the arc plasma to
allow current transfer to the power supply. However, the high current den-
sities of the arc require intensive cooling of the anode. Heat fluxes between
5 and 20 kW/cm2 are encountered, and the total heat transfer can range from
5 to more than 50% of the torch power, depending on torch design. Ac-
154 Heberlein and Ohtake

cordingly, high cooling water flow rates are required, and the water velocities
and water pressures at the back surface of the anode must be high enough
to avoid film boiling. Usually, motion of the arc attachment is used to dis-
tribute the anode heating over a larger portion of the anode surface. The
anode heat flux and the erosion are dependent on the constriction of the arc
attachment, and a gas with high thermal conductivity and high specific heat
such as hydrogen results in increased erosion. Also, a thick cold boundary
layer between the arc and the cold anode surface forces the arc to constrict
more, resulting in increased erosion.

D. Torch Design
Most torches with a thermionic rod cathode have the cathode tip placed at
the converging entrance section of a cylindrical channel. The channel wall
may be the anode surface, or it may be a section separating the anode from
the cathode to assure longer arc lengths. Figure 8 shows the schematics of
two different torch designs with thermionic cathodes. A torch designed for
plasma spraying is shown in Fig. 8a; the torch is operated at atmospheric
pressures at power levels up to 40 kW, and mixtures of argon and hydrogen
can be used as plasma gas. The torch shown in Fig. 8b has been designed
for space propulsion; hydrogen or hydrazine is heated by a low power (1 to
1.5 kW) arc, and the plasma is accelerated to high Mach numbers in the
diverging section of the nozzle into a low pressure or vacuum ambient. Both
types of torch design have been used for diamond deposition. The plasma
gas is introduced at the base of the cathode with or without a swirl com-
ponent, flowing toward the cathode tip, where part of it is heated by the
electric current in the arc while the remainder forms the cold boundary layer
along the channel wall. The heating of the plasma gas by the arc decreases
its density by possibly two orders of magnitude, resulting in strong accel-
eration of the plasma flow. From a fluid dynamic point of view, the arc acts
as a type of converging nozzle. The anode attachment on the inside wall of
the channel is usually unstable resulting in fluctuations of the arc length with
a frequency of a few kilohertz to a few tens of kilohertz, depending on torch
design. For the application of diamond deposition, these fluctuations are of
no major consequence because the characteristic processing times are on a
larger time scale. The plasma exits the channel in a jet that has, in the case
of an atmospheric pressure environment, velocity and temperature distribu-
tions similar to those shown in Fig. 2 [8]. A converging-diverging or a
diverging nozzle is added at the exit of the plasma torch when supersonic
flows are desired or when the deposition reactor is at a low pressure. In the
case of a torch such as the one shown schematically in Fig. 8b, with a jet
issuing into an environment at pressures less than 1 Torr, nozzle exit veloc-
ities of 13 km/sec at temperatures of around 5000 K can be achieved [16].
Plasma Torch Diamond Deposition 155

Figure 8 (a) Schematic of a plasma torch designed for atmospheric pressure


plasma spraying: rod cathode at the left, anode nozzle with powder injection holes
at right. (b) Schematic of plasma torch designed for space propulsion applications.
(Part (a) courtesy of Praxair Surface Technologies; part (b) courtesy of NASA Lewis
Research Center.)

Cold cathode torches typically position the cathode and anode cylin-
ders coaxially side by side with the plasma gas entering through the gap
between the electrodes with a swirl component (see Fig. 9). Usually, a so-
lenoidal magnetic field is employed to act on the arc electrode attachments
generating arc motion and reducing electrode erosion. The cathode is fre-
quently used as the downstream electrode. The jets leaving such a torch
usually have lower peak temperatures and velocities, and strong turbulence
will make the profile more uniform. A nozzle is added when higher flow
velocities are desired. However, for operation with high percentages of hy-
drogen as the plasma gas, use of thermionic cathodes is generally preferred
because of easier control of electrode erosion [17].
156 Heberlein and Ohtake

Figure 9 Schematic of a swirl-stabilized cold cathode dc plasma torch.

Another design consideration besides power and desired velocity dis-


tribution is the arc heating efficiency of the torch, defined as the fraction of
the electrical energy input, the product of current and arc voltage, I ⫻ V,
which ends up as enthalpy flow in the plasma jet. This efficiency is deter-
mined by measuring the energy loss QL to the cooling water:
␩ = 1 ⫺ QL /(I ⫻ V) (2)
Typical arc heating efficiencies range from 40 to 70% for torches with rod-
type electrodes and from 70 to 90% for torches with cold electrodes. The
efficiency increases with increasing gas flow rate and decreases with increas-
ing arc current. Torch designs offering higher voltage operation have higher
arc heating efficiencies as long as the length of the arcing channel does not
exceed the length for establishing fully developed flow.

IV. CHARACTERIZATION OF THE PLASMA JET AND THE


SUBSTRATE BOUNDARY LAYER
A. Description of Atmospheric Pressure Plasma Jets
The plasma jet has been characterized for a number of configurations by
using diagnostics and modeling. Also, because the plasma torches used for
Plasma Torch Diamond Deposition 157

diamond deposition have in general been developed for different applications


such as plasma spraying or other materials processing tasks and for plasma
propulsion, the characterization performed for these applications can be
transferred to some extent to the diamond deposition process. However, one
has to keep in mind that for different torch designs and operating conditions,
the jet characteristics differ considerably and one has to be very careful when
generalizations are attempted. Because of the strong nonlinearity of all
plasma properties, the way the plasma gases and deposition precursors are
heated and mixed can have a strong influence on the jet behavior. For ex-
ample, mixing cold hydrogen with arc-heated argon will result in significant
temperature drops in the jet and low degrees of hydrogen dissociation, and
diamond deposition results obtained under these conditions cannot be com-
pared with those obtained with arc-heated hydrogen. Some indication of the
jet behavior can be found by calculating the jet power Pjet or the enthalpy
flux after mixing of the reactants

Pjet = ␩ (I ⫻ V) = (ṁ ⫻ have) (3)

where ṁ is the total mass flow rate and have the average enthalpy of the
mixture. Because in the temperature range between 2000 and 4000 K the
equilibrium degree of dissociation changes drastically, a small change in the
ratio of input power over mass flow rate can have a strong effect on the
diamond deposition conditions. A value for have can be used to determine an
equilibrium value for the degree of dissociation, and for arc-heated hydrogen
this value will give a lower bound for the actual value, whereas for argon-
heated hydrogen, this value will present an upper bound.
For the characterization of atmospheric pressure plasma jets, local ther-
mal equilibrium (LTE) has been assumed in most cases. Also, time-averaged
values are usually determined, although the fluctuations and instabilities may
lead to somewhat distorted values [18]. Figure 2 gives such time-averaged
LTE values of the temperature and velocity distributions in a plasma spray
jet. Subsonic flow exists at the nozzle exit, and the plasma composition can
be assumed to be close to chemical equilibrium at this location. Jets of this
type have been well characterized concerning their time-averaged charac-
teristics both experimentally and through models. For example, considering
an argon jet issuing into an atmospheric pressure argon environment, it has
been found that temperature and velocity distributions derived from spec-
troscopic and enthalpy probe measurements are reproduced by a standard
low-Reynolds-number turbulence model for the jet and that they exhibit self-
similarity with a Gaussian profile for radial distributions of temperature and
axial velocity [19]. However, even for time-averaged conditions, chemical
nonequilibrium exists.
158 Heberlein and Ohtake

There are usually three effects that lead to nonequilibrium conditions


in the jet: (1) jet inhomogeneities caused by fluctuations and turbulence, (2)
diffusion due to strong radial gradients, and (3) different relaxation times
for the temperature equilibrium and chemical concentration equilibrium. Jet
fluctuations are caused by arc anode attachment instabilities; i.e., a move-
ment of the anode attachment downstream followed by a restrike of the arc
upstream close to the cathode will result in variations of temperature, ve-
locity, and composition at the nozzle exit. Figure 10 illustrates these fluc-
tuations with a sequence of 100 nsec exposure time images of an atmo-
spheric pressure arcjet. These variations will enhance the effect of large-scale
turbulence and breakup of the laminar core of the jet because of the strong
shear layers at the jet boundaries and the strong density differences between
the plasma and the cold surrounding gas. The entrainment of the cold gas
results in a decrease of the average temperature and velocity, but the rate of
mixing of the cold gas with the hot plasma and the establishment of average
conditions are relatively slow. This effect has been demonstrated experi-
mentally by Pfender et al. [20], and a modeling description has been pre-
sented by Huang et al. [19]. A consequence is that one can find degrees of
ionization and of dissociation that are far above the equilibrium values ac-
cording to the average temperature. Figure 11 illustrates how the non-equi-
librium conditions can affect temperature measurements in an argon-helium

Figure 10 High speed images (100 nsec exposure time) of an atmospheric pres-
sure arcjet indicating jet instabilities.
Plasma Torch Diamond Deposition 159

Figure 11 Comparison of radial temperature profiles in an atmospheric pressure


plasma jet obtained spectrometrically and using an enthalpy probe, indicating dis-
crepancies of the results. (From Ref. 21.)

arcjet [21]. Temperatures derived from enthalpy probe measurements reflect


the average energy content in the jet, and the spectral emission can be en-
hanced through nonequilibrium electron density distributions. Furthermore,
the strong nonlinearity of the increase of the emission of radiation with
temperature results in radiation measurements averaged over the line of sight
that are higher than the associated average temperature.
The effects of radial diffusion have also been well demonstrated ex-
perimentally and through modeling. Very high radial temperature and con-
centration gradients lead to radial diffusion of electrons, ions, and dissociated
species out of the jet and molecular species into the jet, resulting in a higher
concentration of molecular species in the central high-temperature portions
of the jet and a widening of the distribution of electrons and dissociated
species. Models for plasma jets with rigorous treatment of diffusion effects
have been formulated [22,23] and combined with a kinetics formulation to
determine the jet chemical composition [24].
The effect of lagging of the chemical reactions (recombination) com-
pared with the drop in the gas temperatures results in conditions of a ‘‘super-
160 Heberlein and Ohtake

equilibrium’’ or higher densities of ionized and dissociated species than equi-


librium relations would predict for the measured or calculated gas
temperatures [25,26]. This includes significantly higher electron densities
and atomic hydrogen densities compared with equilibrium conditions. The
reason for this effect is that the temperature is determined by elastic colli-
sions among all the plasma components, resulting in relatively short relax-
ation times once mixing has been achieved, while the electron-ion recom-
bination reactions are largely determined by three-body collisions, which are
considerably less frequent. This effect is stronger for supersonic jets where
strong deviations from chemical equilibrium already exist at the nozzle exit,
or for jets issuing into low-pressure environments [25,26]. Under these con-
ditions, the nozzle design can have a significant influence on the transport
of atomic hydrogen in the jet, and ideally, the nozzle design, torch power
and plasma gas flow rate have to be optimized together [26]. On the other
hand, the lower velocities in the jets of radio frequency torches (in the order
of 10 to 30 m/sec) result in conditions much closer to chemical equilibrium.

B. Low Pressure Plasma Jets for Diamond Deposition


These arcjets have been to a large extent characterized with respect to dia-
mond deposition, and the plasma temperatures and excited species distri-
butions have been the primary focus of the investigations. Several measure-
ments have been made in low-power (around 1 kW), laminar flow jets
exhausted into a low-pressure chamber (around 5 to 200 torr) [27,28]. The
methane has been introduced upstream of the arc and the low-current arc
has been operated in a hydrogen-methane atmosphere. The small dimensions
of the torch have resulted in very short residence times of the gas molecules
in the plasma region (10 ␮sec), and the low arc power limited the enthalpy
increase. Both emission spectroscopic and laser spectroscopic (LIF) mea-
surements have been reported. Gas temperature values of approximately
5000 K at the axis approximately 5 to 10 mm from the nozzle exit have
been derived from emission from C2 bands [27,28], and LIF measurements
on CH bands resulted in axial temperature values of 2100 to 2500 K [28,29].
The authors explain that the temperatures derived from the CH band mea-
surements are the true heavy species temperatures because of the relatively
long lifetimes of the CH excited species and that the C2 band radiation is
influenced by chemiluminescence effects. No atomic hydrogen lines and no
atomic carbon lines are seen, and a chemical kinetics model shows that no
dissociation equilibrium is obtained under these conditions.
In a similar arcjet reactor with methane and hydrogen flow through
the arc, but operating at somewhat higher power levels (1.6 kW), strong
emission of atomic hydrogen and atomic carbon lines has been observed up
Plasma Torch Diamond Deposition 161

to 18 mm from the nozzle exit [30,31]. Farther downstream, emission from


C2 and OH bands becomes dominant. A comparison with optical spectra
from a microwave plasma shows the contrasting dominance of H2 and CH
emission with the microwave plasma. A similarly strong emission of atomic
hydrogen lines has been observed in a reactor operated with hydrogen at
power levels up to 1.43 kW, with the hydrogen jet exhausted into a chamber
having a background pressure of 0.35 torr [16,32]. Temperatures derived
from LIF measurements on an atomic hydrogen line show values of ap-
proximately 4800 K at the axis near the nozzle exit dropping to 1800 K at
an axial position 20 mm downstream, with some temperature recovery far-
ther downstream. These temperatures are obtained with somewhat lower
hydrogen flow rates compared with the measurements reported in Ref. 28,
explaining the discrepancy. Velocity values derived from the Doppler shift
of the LIF signals show peaks of about 13 km/sec near the nozzle exit,
dropping to about 11.5 km/sec at 20 mm from the nozzle. A strong depen-
dence of temperature and velocity on arc power is found: nozzle exit tem-
perature values increase from about 1800 K at 0.8 kW to 4800 K at 1.43
kW.
Laser-induced fluorescence measurements on CH radicals in an arcjet
reactor operating with an argon-hydrogen mixture at a power level of 1.6
kW, with methane injected into the plasma flow inside the nozzle down-
stream of the arc, show temperature values ranging from 1800 K at the
nozzle exit to about 2200 K at a position 20 mm downstream for the rota-
tional temperatures and from 2700 K to about 2300 K for the vibrational
temperatures [33]. It is not clear why the rotational temperatures should
increase in the jet with increasing distance from the nozzle upstream of the
recovery region in the boundary layer.
These values for low-power arcjets are in strong contrast to measure-
ments in medium-power plasma jets (larger than 5 kW), although less char-
acterization has been performed in such reactors. The low-power arcjet mea-
surements have been made some distance from the arc, and mixing between
the hot plasma and the cold gas in the boundary layer has occurred to some
extent. As pointed out in Sec. III., the low-current hydrogen arcs have small
diameters and most of the gas is not heated by the arc. Mixing of the cold
gas with the arc reduces the plasma temperatures in the jet. The atomic
hydrogen mole fraction in these reactors can vary widely, depending on the
fraction of the total hydrogen flow that is heated in the arc. In the medium-
and high-power arc torches, the lower velocities and larger plasma volumes
lead to a more uniform heating of the plasma gas and in general to higher
temperatures at the nozzle exit (see Fig. 2). Ohtake et al. [34] present tem-
perature measurements and temperature and flow field calculations for a 9-
kW reactor in which a supersonic jet exits a nozzle in front of the cathode,
162 Heberlein and Ohtake

and the anode attachment occurs to another jet from a torch located at a 90⬚
angle (see Sec. V. for details). In this reactor, peak temperatures of 9847 K
have been measured at the axial location where the anode jet attachment
occurs, i.e., right at the end of the arc, using line intensity ratios. The pres-
sure has been 200 torr, and local thermodynamic equilibrium (LTE) has been
assumed. This temperature drops to about 3550 K close to the substrate.
The methane is introduced from the background gas in the reactor by en-
trainment. Obviously, there is much dissociated hydrogen at the boundary
layer edge, verified by a strong atomic hydrogen spectrum. The C2 spectrum
is very strong above the substrate, and a chemical kinetics model indicates
C2H2 and CH2 as the major precursor species.
There are few measurements characterizing the boundary layer con-
ditions in front of the substrate because of the small spatial extent of this
boundary layer (typically in the order of 1 mm or less). Most boundary layer
characterization has therefore relied on modeling. Measurements in low-
power supersonic arcjets show the effect of a shock in front of the substrate
leading to an initial temperature increase from approximately 2300 K to a
peak value of approximately 3100 K at a position about 2 mm in front of
the substrate [33].

C. Diamond Deposition Reactor Models


Several models exist describing different arcjet reactor configurations and
relating the diamond growth rates to the arcjet and boundary layer charac-
teristics. The principal difficulties encountered are the formulation of an
upstream boundary condition and the mixing of the reactants and the en-
trainment of the surrounding atmosphere. Because the entrainment is usually
neglected, and the mixing is usually assumed to be instantaneous, the prin-
cipal difference between the models is the upstream boundary condition, i.e.,
the temperature, velocity, and concentration profiles at the torch nozzle exit.
A model for the arc in the anode nozzle would provide an upstream bound-
ary; however, such models have so far had to rely on significant simplifi-
cations because the arc attachment to the anode wall with superimposed
parallel flow is a three-dimensional time-varying phenomenon, and only
two-dimensional steady-state descriptions are available at present for a lim-
ited set of conditions [35,36].
Results of a model by Ohtake et al. [34] give a good impression of
the conditions in an arcjet deposition reactor. A 9-kW reactor for which
experimental data have been obtained is modeled with a uniform jet inlet
temperature of 9847 K (according to measurements), a uniform inlet velocity
of 2366 m/sec, and a background pressure of 200 torr. The results are shown
in Fig. 12, the streamlines (Fig. 12a), the axial velocity distribution (Fig.
Plasma Torch Diamond Deposition 163

Figure 12 Results of simulation of a hydrogen arcjet in a diamond deposition


reactor: (a) streamlines, (b) axial velocity distribution, and (c) temperature fields.
(From Ref. 34.)
164 Heberlein and Ohtake

12b), and the temperature distribution (Fig. 12c). The axial temperature
drops to about 3400 K and the axial velocity to about 350 m/sec at a position
10 mm above the substrate (120 mm from the inlet). Chemical equilibrium
is assumed in the jet up to a position 10 mm above the substrate. Results
of a chemical kinetics calculation across the 10 mm show that C2H2 is the
most likely diamond deposition precursor species. However, it is likely that
superequilibrium exists in the jet with respect to hydrogen dissociation, and
higher concentrations of atomic hydrogen would lead to an increase in C
and CH3 in the boundary layer.
Coltrin and Dandy [37] present an extensive investigation of the chem-
istry in an arcjet similar to the low-power arcjets just described. Again, a
uniform reactor inlet temperature (i.e., temperature at the torch exit) of either
2500 or 3300 K and a uniform inlet velocity of 2000 m/sec are assumed,
but the nonequilibrium dissociation is taken into account by considering
various degrees of dissociation from 2.6 to 95%. The background pressure
is 30 torr, and the CH4 is assumed perfectly mixed with the hydrogen jet
shortly downstream of the inlet. The results show that the CH4 equilibrates
rapidly with the hydrogen and that the mole fractions of the atomic hydrogen
and the principal deposition precursor species are essentially constant up to
the boundary layer edge. An increasing degree of hydrogen dissociation at
the reactor inlet results in an increasing mole fraction of atomic carbon
because of the fast hydrogen abstraction reactions from hydrocarbon mole-
cules and in a decreasing mole fraction of CH3. Increasing the amount of
methane injection results in an increase in C2H2. The effect of increasing
the inlet gas temperature from 2500 to 3300 K while the degree of hydrogen
dissociation is kept constant is a reduction of atomic carbon and an increase
of CH3.
These results demonstrate that virtually all kinds of deposition mech-
anisms can be encountered in arcjet diamond deposition, dependent on jet
temperature, the degree of hydrogen dissociation, and the hydrogen-to-meth-
ane ratio, all of which can be controlled over a wide range by the reactor
design and operation. The same authors demonstrate this by calculating the
growth rate for different methane injection locations in the same reactor
configuration [38]. Location of the methane injector just outside the bound-
ary layer (at approximately 1 cm from the substrate) is found to result in
the highest diamond growth rates because at this location the mixing of CH4
with atomic hydrogen results in rapid formation of CHx radicals serving as
the main growth species, while insufficient residence times keep the for-
mation of acetylene low. These calculations are for methane injection on the
axis and instantaneous mixing with the hydrogen flow. In reality, one has to
allow some time or distance for the mixing of the CH4 with the hydrogen.
Plasma Torch Diamond Deposition 165

Modeling results for a high-power reactor (98 kW) are presented by


Kolman et al. [39]. An argon-hydrogen jet is considered with the temperature
and mass fraction distribution at the reactor entrance taken from a plasma
nozzle flow model for the same plasma torch operating conditions [26] (see
Fig. 13). The hydrocarbon distribution at the reactor entrance has been as-
sumed to be in equilibrium according to the temperature at the inlet. Two
cases are considered, subsonic flow (Mach number = 1 at the inlet) and
supersonic flow (Mach number = 2.92). The pressure at the reactor chamber
exit is 35 torr. Figure 14 compares the results obtained for the subsonic jet
(graphs on the left-hand side) with those of the supersonic jet. The axial
distributions of temperature, velocity, pressure, and density from the nozzle
exit (at the left side of each graph) to the substrate are displayed in the top
graphs, and the mass fraction distributions of atomic hydrogen and various
carbon-containing species are shown in the other two graphs. A gradual
decrease of the atomic hydrogen concentration is observed, largely due to
diffusion. The higher temperatures in the subsonic case lead to a dominance
of atomic carbon in most of the jet expansion region. A strong temperature
increase in the recovery zone in front of the substrate, particularly in the
case of the supersonic jet, is obvious. Figure 15 shows the boundary layer
profiles for the same quantities from a point 2 mm in front of the substrate.
The drop in atomic hydrogen due to heterogeneous recombination on the
substrate leads to an increase in acetylene concentration compared with
atomic carbon as had been shown by Coltrin and Dandy [37]. It is interesting
to notice that this drop is less pronounced in the case of the supersonic jet
because of the strong temperature increase in the bowshock and because of
the very thin boundary layer of 0.4 mm. Figure 16 shows the radial profiles
of the gas-phase species at the substrate location and of the temperature,
total heat flux, heat flux by conduction, and diamond growth rate on the
substrate surface. The substrate heat flux is calculated considering the two
major contributions to the energy transfer, heat conduction and surface
recombination:

冉冊 冘
imax
␭ dh
qsub =⫺ ⫹ fs (sihi)
cp eff dz i

冉冊

cp eff
=2
␭/cpsub ␭/cpgas
␭/cpsub ⫹ ␭/cpgas
(4)

with ␭ = thermal conductivity, cp specific heat at constant pressure


i = number of gas phase species
si = destruction rate of species i on the substrate [kg/s m2]
166 Heberlein and Ohtake

Figure 13 Radial profiles of temperature, pressure, axial velocity, and atomic and
molecular hydrogen mass fraction of an argon-hydrogen plasma jet at the nozzle
exit for subsonic (top) and supersonic flow conditions. Profiles are calculated in Ref.
26 and used for jet calculation as presented here in Ref. 39.
Plasma Torch Diamond Deposition 167

Figure 14 Axial profiles of temperature, axial velocity, pressure, and density (top
graphs), and of mass fractions of hydrogen, carbon, and several hydrocarbon species
(middle and bottom graphs) for a subsonic (left) and a supersonic argon-hydrogen
jet as calculated in Ref. 39.
168
Heberlein and Ohtake
Figure 15 Axial profiles across the substrate boundary layer of temperature, pressure and density (top graphs)
and of mass fractions of hydrogen, carbon and selected hydrocarbons (bottom graphs) for a subsonic (left) and
a supersonic argon-hydrogen jet as calculated in Ref. 39.
Plasma Torch Diamond Deposition
Figure 16 Radial profiles at the substrate surface of mass fractions of hydrogen, carbon and selected hydro-

169
carbons (top graphs) and of temperature, total heat flux and heat flux by conduction, and total diamond growth
rate g (bottom graphs) for a subsonic (left) and supersonic argon-hydrogen plasma jet as calculated in Ref. 39.
170 Heberlein and Ohtake

hi = specific enthalpy of gas species i


fs = fraction of reaction heat transferred to substrate, assumed to be
0.6 in these calculations
Clearly, the major contribution to the total heat flux is the hydrogen
recombination energy released to the substrate. The model for the surface
kinetics does not include formation of graphite. In this model laminar flow
has been assumed, and turbulence will widen the jet and reduce the tem-
perature and density gradients in the jet fringes.

D. Scaling Relations and the Effect of Pressure


An approach for deriving scaling relations for arcjet diamond deposition
reactors is presented by Goodwin [40,41]. Based on a simple boundary layer
analysis and the film growth model by Harris [42], rules are derived for
optimizing free stream velocity and operating pressure. This analysis shows
that in most arcjet reactors the atomic hydrogen concentration is sufficiently
high that it no longer strongly influences the growth rate, a result confirmed
by detailed computations by Kolman et al. [43]. But the atomic hydrogen
concentration does play an important role in reducing defect densities. De-
fects are assumed to result predominantly from sp2 bond formation. The
atomic hydrogen concentration at the surface is in a first-order approxima-
tion proportional to [(up)ds]0.5, where u is the free stream velocity, p the
pressure, and ds the substrate diameter. However, the free stream velocity is
limited by the plasma torch design and operation, and the pressure has an
optimum value because too high pressures strongly increase homogeneous
recombination of atomic hydrogen.
In a similar type of one-dimensional analysis using the heat and mass
transfer analogy, Young [17] finds that the total mass deposition rate is pro-
portional to the torch power. Increasing the substrate diameter requires an
increase of the torch power proportional to ds1.5 to keep the same linear
growth rate. This result is illustrated in Fig. 17, where deposition area and
linear growth rate are shown as a function of torch power. Increased torch
power allows an increase of the deposition area (see left figure), and this
increase more than compensates for the decrease in linear growth rate of the
film (right figure) if one considers the total deposited mass. Based on this
analysis, Partlow et al. [4] find that strong cost benefits can be gained by
scaling to a 100-kW reactor, with further scaling providing smaller gains.
The predicted production costs of diamond films as a function of the output
power are calculated, and it is concluded that it is necessary to use a plasma
torch larger than 100 kW in a gas recycle mode to decrease the cost of tool-
grade diamond to the $5/carat level.
Plasma Torch Diamond Deposition 171

Figure 17 Increase in deposition area with increasing power (left) and decrease
in linear growth rate for the increase in deposition area. (From Ref. 4.)

A figure of merit for arcjet diamond deposition is presented by Bigelow


et al. [7]. This figure is defined as the hydrogen concentration at the substrate
divided by the torch power. An optimum pressure of 200 torr is found to
maximize the hydrogen concentration at the substrate. At higher pressures
homogeneous recombination losses will start to dominate. Such an optimum
pressure has been found experimentally by Lu et al. [44] in a reactor where
three arcjets are combined to cover a larger area (see Sec. V.). However, in
this experiment the total mass flow rate of the plasma gases has been con-
stant and not the velocity. The optimum pressure has been 270 torr for this
reactor (see Fig. 18a). A similar optimum pressure for maximizing deposition
rates has been reported (see Fig. 18b) by Hirata and Yoshikawa [45], al-
though for their reactor the optimal pressure has been significantly lower
(around 50 torr).
However, these type of analyses do not represent the low-power arcjet
deposition reactors at very low pressures with very high flow velocities.
High deposition rates have been obtained under these conditions as well
[32]. One may conclude that no one analysis is applicable for all arcjet
reactors and that even for one reactor, the analysis applicable for the center
of the substrate may not be correct for the outer parts of the substrate. Also,
pressure variations affect not only the boundary layer. The arcjet becomes
172 Heberlein and Ohtake

Figure 18 (a) Linear growth rate dependence on deposition chamber pressure [44].
(b) Total mass deposition rate dependence on deposition chamber pressure. (From
Ref. 45.)
Plasma Torch Diamond Deposition 173

less constricted and longer when the pressure is reduced from 1 atmosphere,
and the heat as well as the mass is transferred to a larger portion of the
substrate. Large area deposition will probably require reduced pressures.

V. SPECIFIC PLASMA TORCH DEPOSITION REACTORS

There have been numerous efforts devoted to developing dc arcjet deposition


reactors because of the promise of obtaining high deposition rates. In this
section, several of these developments are described with the objective to
present an overview of the different approaches to solve the problems as-
sociated with this method. Table 1 presents a summary of the specific de-
velopments described in this section. This table cannot be a complete rep-
resentation of all arcjet diamond deposition developments, but it lists a
representative sample.

A. Common Features of Arc Jet Deposition


Diamond deposition using a dc arcjet was first reported by Kurihara et al.
[2]. The plasma torch used simply consists of a tungsten cathode rod, a
cylindrical anode made of pure copper and a dc power supply. They initiated
a plasma jet consisting of Ar, H2 , and CH4 at 100–400 torr. The diameter
of the anode nozzle was 2 mm and the distance between the cathode rod
and the anode wall 1 mm. The substrate temperature was kept at 1000–
1500 K. They reported a growth rate of the diamond film of 180 ␮m/hr.
Kurihara et al. [46] succeeded in forming functionally gradient films
consisting of diamond/WC, diamond/Mo, etc. The WC or the Mo particles
are melted by the plasma jet and sprayed onto a substrate, just as in a plasma
spraying process. This plasma spraying process is combined with the dia-
mond deposition process as shown in Fig. 19. The composition of the film
changes from WC or Mo to diamond over a film thickness of 40 ␮m. The
adhesive strength of the gradient composite film is better than 150 kgf/cm2.
Loh and Cappelli [32] reported a supersonic plasma jet synthesis
method that focused on reducing the thermal boundary layer effects in di-
amond deposition. They use two 35,000 L/min vacuum pumps in order to
produce a process pressure as low as 10–100 Pa (0.07–0.7 torr). Figure 20
shows a schematic of the apparatus, a supersonic arc jet operating with
hydrogen expanded to the low-pressure atmosphere. CH4 is introduced at
the end of the expansion nozzle. The characteristics of this experiment are
described in Sec. IV. The diamond film grown in this process on a molyb-
denum substrate at 820 ⫹ 30⬚C with a CH4 concentration in the jet of 0.3%
174
Table 1 List of Major Arcjet and rf Plasma Diamond Deposition Results

Growth Deposition
Output Gas Pressure rate area
Institution Type (kW) (ᐍ/min at 20⬚C) (torr) (␮m/h) (cm2) Remarks Ref.

Fujitsu dc 1.8 CH4(0.01–0.2) ⫹ H2(5–20) 100–400 80 0.26 2


Tokyo Inst. Tech. dc 9.0 CH4(0.08) ⫹ H2(1.5) ⫹ 200 930 5 Separate torch 6
Ar(6.5)
Univ. of Minn dc 14.0 CH4(3.5–10) ⫹ H2(10–15) 250 60 10 Triple torch 52,53
Tokyo Inst. Tech. dc 10.7 CH4(0.06) ⫹ H2(1.5) ⫹ 50 200 28.4 1 Cathode–3 anode 54
Ar(6.3)
Univ. of Minn. dc 19.3 (CH3)2CO(2 ml/min) ⫹ 100–760 1000 4 Liquid source 5
H2(18) ⫹ H2(1.7) ⫹
Ar(24)
Stanford dc 1–3 CH4(0.03–0.05) ⫹ H2(10) 0.1 3 34 Supersonic plasma jet 32
Chemnitz Univ. Tech. dc 1 CH4(0.005) ⫹ H2(0.5) 15 2 4 Hollow cathode 47
NIRIM rf 60 CH4(0.1–1.2) ⫹ H2(12) ⫹ 760 60 3.2 Use induction coil 3
(4 MHz) Ar(60)
Toyota Central Res. rf 40 CH4(0.8) ⫹ H2(20) ⫹ Ar(80) 150 30 77 Use induction coil 48
Ctr. (3.4 MHz)
Norton dc NA CH4 ⫹ H2(⫹Ar?) NA NA >80 Magnetic field enhanced 59

Heberlein and Ohtake


Texas Instruments and dc NA NA NA NA 320 Supersonic plasma jet 60
Olin Aerospace
Kitachi Koki dc 3.75 CH4(0.08) ⫹ H2(2) ⫹ Ar(20) 44 NA 3.2 On rotating substrate 55
Univ. of Tokyo dc ⫹ rf 50 CH4(0.3) ⫹ H2(15) 250 1 168 On rotating substrate 56
Westinghouse dc 100 NA NA 4 730 Recycle mode 4
Univ. Sci. & Tech. dc 100 CH4(0.04–1.2) ⫹ H2(8–12) NA 40 97 Magnetic field, recycle 50
Beijing ⫹ Ar(6–10) mode

NA: not announced.


Plasma Torch Diamond Deposition 175

Figure 19 Schematic diagram of functionally gradient film deposition. (From Ref.


46.)

Figure 20 Schematic drawing of supersonic plasma jet CVD apparatus for dia-
mond. (From Ref. 32.)
176 Heberlein and Ohtake

displays mostly {111} facets. The growth rate of the film has been 1–10
␮m/hr, a very high value considering the low operating pressure.
Stiegler et al. [47] report diamond synthesis with a hollow cathode arc
jet CVD process. A schematic diagram of the apparatus is shown in Fig. 21.
A hot refractory hollow cathode is made of tungsten, and the arc discharge
occurs between the hollow cathode and a ring anode with possible discharge
transfer to the substrate. The substrate temperature is kept at 973–1123 K
by controlling the current to the substrate. They report that this apparatus
produces high charge carrier densities (e.g., electron densities of 0.5–2.0 ⫻
1011/cm3). The growth rate of the film has been 2 ␮m/hr over a deposition
area of about 4 cm2.
Matsumoto et al. [3] first reported rf induction thermal plasma diamond
deposition. The arrangement of the deposition experiments is comparable to
that shown in Fig. 22. They use a mixture of Ar and H2 (35 L/min Ar ⫹
12 L/min H2), Ar (17 L/min), and Ar and CH4 (8 L/min Ar, 0.1–1.2 L/min

Figure 21 Schematic diagram of hollow cathode arcjet setup for diamond synthe-
sis. (From Ref. 47.)
Plasma Torch Diamond Deposition 177

Figure 22 Schematic diagram of rf plasma CVD apparatus for diamond deposi-


tion. (From Ref. 48.)

CH4) as sheath, plasma, and carrier gases, respectively. The rf frequency is


4 MHz and the output power 60 kW. The growth rate of the diamond film
has been approximately 60 ␮m/hr over a deposition area of 3 cm2.
Kohzaki et al. [48] report large-area deposition of diamond films using
an rf thermal plasma torch with an inner quartz sleeve of 65 mm diameter.
The rf frequency is 3.4 MHz and the output power 40 kW. The process
pressure is 150 torr. The growth rate of the film has been 30 ␮m/hr over a
deposition area of 77 cm2 (12 in.2). They have obtained free-standing dia-
mond films and have used them for tribological applications by brazing the
free-standing films on steel materials.
178 Heberlein and Ohtake

B. High-Rate Diamond Deposition


High-rate synthesis has always been one of the central objectives of arcjet
diamond deposition process developments. A schematic of the arc jet ap-
paratus featuring high-rate deposition reported by Ohtake and Yoshikawa is
shown in Fig. 23 [6,49]. The apparatus mainly consists of a dc arc discharge
torch, its associated power supply, and a reaction chamber. The plasma jet
consisting of Ar and H2 is generated by a dc arc discharge. Typical operating
conditions are 100 V and 90 A. CH4 is mixed into the plasma jet by en-

Figure 23 Schematic of separate-anode-type torch plasma jet diamond depositon


reactor. (From Ref. 6.)
Plasma Torch Diamond Deposition 179

trainment enhanced by the vortex flow of the plasma gas. The plasma torch
consists of separate cathode and anode assemblies that are placed at right
angles to each other, and current flow is from a plasma jet exiting the anode
assembly to the perpendicular plasma jet exiting the cathode assembly. The
diameter of the cathode is 2 mm. To protect the cathode rod and the anode
from erosion, only Ar is fed into the flow channel surrounding the electrodes.
The cylindrical anode is made of oxygen-free copper, and the diameter of
the anode nozzle is 3.5 mm. The distance between the cathode nozzle and
the substrate has been varied from 100 to 150 mm.
Figure 24 shows the appearance of the plasma jet during diamond
deposition. The plasma jet impinges on the substrate where the diamond is
deposited. Figure 25 shows a scanning electron microscope (SEM) picture
of diamond deposited for a deposition time from 1 to 10 min. Figure 26
shows the variations of the nucleation density and average size of diamond
crystallization with deposition time. The substrate has been lapped with 15-
␮m diamond paste. The substrate is then exposed for 1 min to an Ar ⫹ H2
plasma jet in order to remove the diamond dust particles remaining on the
substrate surface. It can be observed that diamond has already deposited
after 1 min; however the nucleation density increases with increasing dep-
osition time for approximately 8 min. The deposited diamond forms a con-
tinuous film after 10 min.
Figure 27 shows SEM photographs of the surfaces and the laser cut
cross-sectional views of films that were deposited at different CH4 concen-
trations. The deposition time has been 1 hr for all samples. For a CH4

Figure 24 Appearance of plasma jet during diamond deposition.


180 Heberlein and Ohtake

Figure 25 (a–d) SEM photographs showing deposit at different deposition times.


(From Ref. 49.)

concentration of 3%, a diamond film of 150 ␮m thickness was deposited


within 1 hr, and the surface of the film was covered with triangular or
rectangular automorphic diamond facets. When the CH4 concentration was
increased to 5%, the maximum thickness of the film was about 700 ␮m and
the diamond film had a large surface roughness. When the CH4 concentration
was as high as 15%, cauliflower-like particles were observed on the film
surface. The growth rate of the film decreases to about 100 ␮m/hr if we
neglect the huge graphite particles grown on the film. Raman spectra of the
films obtained at CH4 concentrations of (a) 3%, (b) 4%, (c) 8%, and (d)
Plasma Torch Diamond Deposition 181

Figure 26 Variations of nucleation density and average particle size with depo-
sition time.

15% are shown in Fig. 28. The Raman spectra from the films deposited at
CH4 concentrations of 3% and 4% have only one sharp peak at 1333 cm⫺1.
When the CH4 concentration is increased to 15%, diamond, graphite, and
amorphous carbon are detected in the Raman spectrum from the deposit.
The most suitable deposition conditions for high-rate synthesis of di-
amond are reported to be a CH4 flow rate of 0.08 SLM, an H2 flow rate of
1.5 SLM, giving a CH4 concentration of 5.3%, and a substrate temperature
of 950⬚C. Figure 29 shows the surface and the cross-sectional view of the
diamond film obtained under these deposition conditions. The diamond film
has large surface roughness, however, and many different diamond auto-
morphic facets can be seen on the surface. The maximum thickness of the
diamond film is 930 ␮m, indicating the very high growth rate of 930 ␮m/
hr obtained by this method.
182 Heberlein and Ohtake

Figure 27 (a–d) SEM photographs of surfaces and laser-cut cross sections of films
deposited at different methane concentrations.
Plasma Torch Diamond Deposition 183

Figure 28 Raman spectra of diamond films deposited at CH4 /H2 concentrations


of (a) 3%, (b) 4%, (c) 8%, and (d) 15%.
184 Heberlein and Ohtake

Figure 29 (a, b) SEM photographs of surface and cross-sectional view of high-


rate synthesized diamond film. (From Ref. 6.)

The relation between linear growth rate and CH4 concentration using
this apparatus is shown in Fig. 30. Conversion efficiency (i.e., percentage
of the carbon atoms in CH4 that formed diamond) is also plotted as a func-
tion of CH4 concentration. The linear growth rate increases with the CH4
concentration; however, the conversion efficiency has a maximum at at CH4
concentration of 4%. The decrease at higher CH4 concentrations may be
caused by the deposition of nondiamond carbon. The gas flow rate also has
an effect on the linear growth rate and the conversion efficiency (see Fig.
31). The CH4 concentration has been kept constant in these experiments.
The growth rate saturates at H2 flows of 1.5 L/min, then decreases with
further increasing H2 flow rate, mainly due to the decrease of the gas tem-
perature. Figure 32 shows relations between the linear growth rate and the
Plasma Torch Diamond Deposition 185

Figure 30 Relation between linear growth rate and conversion coefficient and CH4
concentration (H2 flow rate, 1.5 lpm; Ar flow rate, 6.5 lpm; power, 9 kW; chamber
pressure, 25 kPa; substrate temperature, 1000⬚C; deposition time, 1 hr).
186 Heberlein and Ohtake

Figure 31 Relation between linear growth rate and conversion coefficient and gas
flow rate (Ar flow rate, 6.5 lpm; methane concentration, 4%; power, 9 kW; chamber
pressure, 25 kPa; substrate temperature, 1000⬚C; deposition time, 1 hr).

conversion efficiency and the applied discharge power. Growth rate and con-
version efficiency appear to be increasing more than linearly with torch
power.
Scale-up of deposition reactors to high power levels is generally ac-
cepted to reduce production costs. Following their scaling analysis, Young
[17] and Partlow et al. [4] reported on the operation of a 100-kW system
with a Westinghouse Marc 3 hot cathode swirl stabilized plasma torch. The
plasma is expanded through a nozzle into the deposition chamber, which is
Plasma Torch Diamond Deposition 187

Figure 32 Relation between linear growth rate and conversion coefficient and
output power (H2 flow rate, 1.5 lpm; Ar flow rate, 6.5 lpm; pressure, 25 kPa; sub-
strate temperature, 1000⬚C; deposition time, 1 hr).

kept at a pressure between 10 and 50 torr. The gas is recycled after going
through a heat exchanger. Lu et al. [50] also reported on a 100-kW dc arcjet
installation for deposition of diamond films at costs as low as $4/carat level.
They used a magnetic field–enhanced ‘‘W’’ type of rotating arc (see Fig.
33) that provides large area uniformity for not only composition but also
temperature distribution in the jet in front of the substrate. The deposition
area is reported to be 97 cm2. Gas recycling and coolant recycling are em-
ployed in this facility.
188 Heberlein and Ohtake

Figure 33 Schematic diagrams of (a) magnetic field–enhanced rotating plasma


torch and (b) rotating arc. (From Ref. 50.)

C. Large-Area and High-Quality Diamond Deposition


Enlargement of the deposition area has been mainly carried out by scale-up
of the plasma torch itself as described in Sec. V.B. However, other ideas to
enlarge the deposition area have also been reported.
Plasma Torch Diamond Deposition 189

Lu et al. [44,51,52] described a triple torch plasma reactor, which is


shown in Fig. 34. The plasma generator consists of three identical dc plasma
torches operating with an argon-hydrogen mixture at a total power level of
about 36 kW. The three coalescing plasma jets impinge on a water-cooled
substrate. A water-cooled gas feeding probe is located above the region
where the three jets merge. This arrangement results in efficient mixing of
the H2/CH4 reactant mixture introduced through this probe with the plasma.
The three coalesced jets offer a larger plasma volume than most dc reactors
and higher plasma flow velocities than the rf reactors. To improve temper-
ature uniformity, the substrate holder has been shaped to allow nonuniform
cooling of the backside of the substrate [53]. Although a uniform growth
rate has been achieved in this way, the film morphology has varied with

Figure 34 Schematic of triple torch plasma reactor. (From Ref. 52.)


190 Heberlein and Ohtake

varying radius. However, providing additional methane to the outer portion


of the coalesced jets through entrainment resulted in uniformity of film thick-
ness and morphology over an area of approximately 35 mm diameter. Av-
erage linear growth rates of 100 ␮m/hr have been obtained [53].
Hirata and Yoshikawa [45,54] describe a unique plasma torch that uses
three symmetrical anodes with one cathode as shown in Fig. 35. Each elec-
trode can be moved along its axial direction by rotating a thread mounted
at its end. The diameter of the plasma jet increases with increasing displace-
ment y of the anodes from the center (see Fig. 36). According to Raman
spectra taken from each radial portion of the film (Fig. 37), it can be seen
that the quality of the diamond film is good all over the 60-mm-diameter
substrate. Effects of process pressure on deposition rate (g/hr) and the sur-
face profile are shown in Figs. 18b and 38, respectively. The maximum
deposition rate (about 1 ct/hr) has been obtained at a process pressure of
6.7 kPa. The conversion efficiency of the carbon atoms in the source gas to
diamond has been as high as 15% in this case. Although the surface uni-
formity has not been improved by this three-anode technique, the deposition
area has increased by a factor of 5 compared with the deposition with the
single-cathode single-anode torch.
Noto and Mori [55] proposed enlarging the deposition area in terms
of rotating the substrate during arc jet diamond deposition as shown in Fig.
39. The center of the substrate is shifted from the center axis of the plasma
jet. The rotation speed of the substrate is kept at 15 rpm. The deposition
area increases by a factor of 4 when the center of the plasma jet is shifted
a quarter diameter from the center of the substrate. This idea demonstrates
a convenient way to enlarge the deposition area, but Raman spectra from
the diamond film (Fig. 40) indicate that the film contains small amounts of
amorphous carbon, resulting from the deposit that grows in the peripheral
part of the deposition region where the atomic hydrogen concentration and
the substrate temperature are lower. Eguchi et al. [56] described diamond
synthesis using a hybrid torch on a rotating substrate as shown in Fig. 41.
The induction coupled plasma is coupled with a dc arcjet (‘‘hybrid plasma
torch’’ [57]). The maximum substrate size has been 300 mm in diameter.
The rotation speed has been 40 to 400 rpm. The temperature variation has
been as small as 8 K per cycle, when the rotating speed of the substrate is
40 rpm. The diamond has grown over almost the entire surface of the ro-
tating substrate. Yin et al. [58] reported diamond deposition on tungsten
wires using the same rotating deposition system. Uniform diamond films
approximately 1 ␮m thick are obtained on the wire with a diameter of 0.2
mm at a rotation speed of 450 rpm.
Lu et al. [59] have applied a magnetic field to the arcjet in order to
enlarge the plasma jet. A schematic illustration of the apparatus is shown in
Plasma Torch Diamond Deposition 191

Figure 35 (a, b) Schematic illustration of one-cathode, three-anode plasma torch


apparatus for diamond deposition. (From Ref. 45.)

Fig. 42. The magnetic field is applied to the dc arc to force a rotation, and
the rotating arc produces a plasma jet uniform over a larger area. An addi-
tional magnetic coil with an auxiliary anode is mounted above the substrate.
This arrangement increases the atomic hydrogen concentration near the sub-
strate and also contributes to improving the uniformity of the diamond film.
192 Heberlein and Ohtake

Figure 35 Continued

The deposition area has been larger than 78 cm2 (i.e., 10 cm in diameter),
film thickness has been 500 ␮m, and the surface roughness has been ap-
proximately 5 ␮m after surface polishing. The grain size of the diamond
has been as small as 20–50 ␮m for a film 500 ␮m thick. The diamond film
prepared by this apparatus has a high optical transmission (t > 70%) and
also provides high thermal conductivity (>2000 W/m/K). Diamond films
produced with this apparatus have already been commercialized as heat
sinks.
McKenna et al. [60] present optical-quality high-growth-rate diamond
deposition by supersonic arcjet CVD, focusing on generation of thick free-
standing diamond films for optical components and infrared windows. The
schematic of the deposition setup is shown in Fig. 43. They reported that
they deposited an optical-quality diamond film 700 ␮m thick over the entire
surface of an 8-in. (20-cm) substrate. A free-standing diamond dome was
also produced by this method. The diameter of the dome was 2.5 in. and
Plasma Torch Diamond Deposition 193

Figure 36 Diameter of plasma jet versus displacement of anodes for different axial
distances x between the cathode nozzle exit and the anode jet. (From Ref. 45.)

the thickness of the diamond film 700 ␮m. Process conditions were not
given, but Raman spectroscopy and x-ray diffraction results for film indi-
cated that the film had strong 具110典 orientation with very high film quality.

D. Liquid Source Diamond Deposition and Oxygen


Addition Effect
Ohtake and Yoshikawa [61] reported the effect of oxygen addition in dc
arcjet diamond deposition. They reported that the etch rate of graphite by
the O2-Ar plasma jet was about 130 times larger than that by an H2-Ar
plasma jet, and the rate of diamond etching by the O2-Ar plasma jet was
about 55 times larger than that by the H2-Ar plasma jet. Consequently, the
ratio of the etch rates of graphite and diamond obtained using the O2-Ar
plasma jet was as high as 56, which is more selective etching of graphite
in comparison with that by the H2-Ar plasma jet. The relationship between
the oxygen concentration and the growth rate of diamond films is shown in
Fig. 44. It can be seen that the growth rate decreases with increasing oxygen
concentration. Figure 45 shows SEM pictures of diamond films deposited
with oxygen concentrations of 0 and 33%. It can be seen that for a concen-
tration of 33%, the crystals form films without pores, and the surface rough-
ness is reduced. Figure 46 shows micro-Raman spectra of the diamond films
that are deposited with oxygen concentrations of 0 and 33%. Both spectra
have small peaks from amorphous carbon at 1500–1600 cm⫺1. The full
194 Heberlein and Ohtake

Figure 37 Raman spectra taken from different radial positions of the diamond
film (Mo substrate 60 mm in diameter). (From Ref. 45.)

width at half-maximum (FWHM) of the peak from diamond deposited with


oxygen is 7.5 cm⫺1. The value is about 0.67 times as large as that from the
diamond film deposited without oxygen. Figure 47 shows the thickness pro-
file of the diamond film. It can be seen that the thickness of the film is about
200 ␮m. The film appears white due to the light scattering from the surfaces
and the grain boundaries in the film. A diamond film with 1.2 mm thickness
has been prepared in a deposition time of 20 hr. The surface roughness of
this film was about 150 ␮m.
Diamond deposition using arcjets with liquid precursors was reported
by Han et al. [5]. The schematic of the apparatus is shown in Fig. 48. The
best results are obtained with acetone and ethanol as liquid precursors in-
jected into the arcjet plasma. The liquids are injected using an atomizing
probe located at the center of the substrate, opposing the plasma jet in a
Plasma Torch Diamond Deposition 195

Figure 38 Effect of process pressure on surface profile of diamond film. (From


Ref. 53.)

counterflow arrangement, or from the side with the atomizing probe 2 mm


above the substrate [62]. High linear growth rates of more than 1 mm/hr
have been achieved when the organic fluids are injected in the counterflow
arrangement into an argon-hydrogen plasma jet. It was observed that using
acetone resulted in smaller diamond particles than ethanol [62]. When the
liquid is injected from the side of the plasma jet, high diamond growth rates
and carbon conversion efficiencies are obtained only when the liquid is at-
omized close to the substrate and liquid droplets are actually entering the
boundary layer. This situation has been described in a three-dimensional
model that includes the fluid dynamics of the plasma jet; the atomization,
transport, and evaporation of the liquid; the gas-phase chemical kinetics, and
the surface chemical kinetics [43]. The very high growth rates observed
experimentally can be explained by the model as a result of the high mass
196 Heberlein and Ohtake

Figure 39 Large area diamond deposition with a rotating substrate. (From Ref.
55.)

transport of deposition precursors in liquid form into the boundary layer,


and the availability of oxygen and OH radicals to ensure film quality.

E. Effects of Substrate Bias on Arc Jet


Diamond Deposition
Substrate biasing has been shown to be one effective way to accelerate the
growth rate and to enlarge the deposition area of the diamond film. Substrate
Plasma Torch Diamond Deposition 197

Figure 40 Raman spectra of a diamond film with a rotating substrate at different


radial positions (in mm). (From Ref. 55.)

bias effects in dc arcjet diamond deposition have been investigated by Mat-


sumoto et al. [63] by using the setup shown in Fig. 49. The positive bias
voltage is applied to the substrate or to the ring electrode near the substrate.
The bias voltage has been ⫹100 to ⫹400 V, with a current flow of 0.5 to
5 A. The change in the appearance of the plasma jet with the bias is shown
in Fig. 50. It is clear from Fig. 50b that a glowlike discharge extends from
the tail of the plasma jet to the substrate, and the optical emission from the
plasma jet is significantly enhanced especially near the substrate. The dis-
charge changes to a transferred arc mode when bias is further increased, and
because the transferred arc causes significant electron heating of the sub-
strate, the substrate becomes overheated. Figure 51 shows the thickness pro-
files of the diamond films deposited with three different bias voltages. The
growth rate of the diamond film increases by more than a factor of 2 when
the bias current to the substrate reaches 2 A. In case of bias current of 3 A,
the center region of the film is no longer diamond but graphite due to the
large heat flux caused by the transferred arc initiated between the cathode
and the substrate. These results show that the substrate biasing technique
198 Heberlein and Ohtake

Figure 41 Schematic of hybrid plasma torch. (From Ref. 56.)

can have an important effect on increasing the growth rate of diamond but
that it will remain difficult to improve the uniformity of the diamond film.
Baldwin et al. [64] also reported the effect of substrate biasing on the
growth rate of diamond films. From emission spectroscopic measurements,
it was determined that the bias leads to an increase in the concentration of
atomic hydrogen near the substrate. The growth rate increased by a factor
of 7 when the substrate was biased at 170 V and a current density of 4.9
A/cm2 was obtained. They measured the two-dimensional distribution of
atomic hydrogen emission and concluded that the increased growth rate can
Plasma Torch Diamond Deposition 199

Figure 42 Schematic drawing of magnetic field-enhanced arcjet diamond depo-


sition apparatus. (From Ref. 59.)
200 Heberlein and Ohtake

Figure 43 Schematic model of supersonic arcjet CVD setup. (From Ref. 60.)

be attributed to an increased flux of atomic hydrogen to the growth surface


resulting from an elevated electron temperature that increases the dissocia-
tion near the surface.

VI. CONCLUSIONS AND CURRENT RESEARCH ISSUES

Arcjet diamond deposition encompasses a large diversity of approaches,


probably more than any other diamond deposition method. The different
approaches vary in how the atomic hydrogen is generated, how the depo-
sition precursors are generated, and how the atomic hydrogen and the dep-
Plasma Torch Diamond Deposition 201

Figure 44 Relationships between growth rate of diamond films and the ratio of
oxygen flow rate to methane flow rate. (From Ref. 61.)

Figure 45 SEM photographs of diamond films deposited (a) without and (b) with
oxygen addition (33% of methane flow). (From Ref. 61.)
202 Heberlein and Ohtake

Figure 46 Micro-Raman spectra of diamond films deposited at oxygen concentra-


tions of (a) 0% and (b) 33%. (From Ref. 61.)

osition precursors are transported to the substrate. Because of this variety of


approaches, it is difficult to arrive at general conclusions. The one common
feature of all arcjet deposition methods is the relatively high growth rate of
the films, and it appears that deposition reactors can be designed in which
neither mass transport nor the gas-phase chemistry but rather the surface
reactions are the limiting factors for the deposition rate. Furthermore, scaling
Plasma Torch Diamond Deposition
Figure 47 Thickness profile of diamond film deposited with oxygen.

203
204 Heberlein and Ohtake

Figure 48 Schematic of counterflow liquid injection plasma reactor. (From


Ref. 5.)

to large deposition areas and high overall deposition rates can be accom-
plished. Approaches have been demonstrated to solve the inherent weak-
nesses of the arcjet deposition method—the nonuniformity of the plasma
jet leading to nonuniform distributions of film thickness, and the high heat
fluxes to the substrate requiring substrate cooling—but more work on re-
Plasma Torch Diamond Deposition 205

Figure 49 Schematic drawing of substrate bias enhanced arcjet diamond deposi-


tion setup. (From Ref. 63.)

actor design for large-area high-rate deposition is anticipated. The major


research issues associated with arcjet diamond deposition are essentially the
same as those for all deposition methods; however, the special conditions
of an impinging plasma jet may require different approaches for a solution.
We see the areas that need to be further investigated: (1) the control
of film morphology under high growth rate conditions, (2) the adhesion of
206 Heberlein and Ohtake

Figure 50 Change of appearance of plasma jet with substrate biasing (a) no bias
and (b) 280V, 4 A. (From Ref. 63.)

Figure 51 Thickness profile of diamond film deposited with three different bias
voltage. (From Ref. 63.)
Plasma Torch Diamond Deposition 207

the films on a variety of substrates, and (3) the deposition at low tempera-
tures while maintaining a high growth rate.
The control of film morphology requires mapping in the four-parameter
space of carbon precursor concentration–atomic hydrogen concentration–
total mass transport rate–substrate surface temperature, those regions asso-
ciated with a certain texture of the growing film. It also requires control
over secondary nucleation. Extensions of the maps of the ␣-parameter, which
indicates the preferred direction of growth, to higher growth rate conditions
and gas chromatography measurements giving information on the associated
boundary layer chemistry can form the basis for this information [65]. Fur-
ther experiments along these lines are necessary for arcjet deposition and
for experiments with additional reactants such as oxygen and halogens. Such
investigations will enhance the ability to tailor characteristics of high-
growth-rate diamond films for specific applications.
Well-adhering diamond films have been obtained for some substrate
materials, e.g., silicon or molybdenum; however, for many substrate mate-
rials of interest, adhesion is relatively poor. Being able to obtain well-ad-
hering films will reduce the cost of diamond coatings for some applications
and therefore allow wider utilization of the advantageous diamond charac-
teristics. Arcjet diamond deposition makes the adhesion more difficult be-
cause the high growth rate is usually associated with an increased number
of defects and with higher stresses. An understanding is needed of the re-
lationship between defect and stress formation and the deposition conditions.
Approaches such as the three-step deposition process in which an interme-
diate diamond-metal composite film is deposited between the substrate and
the diamond film [66] may be a solution for some applications; however,
the cost constraints will favor single-step processes for adhesion enhance-
ment, possibly involving special substrate pretreatment such as deposition
of a plasma sprayed intermediate layer of molybdenum [67]. Increasing the
number of chemical reactants to include oxygen and halogens may be of
interest for adhesion studies as well.
A whole new world of applications for diamond films would open up
if films could be deposited at high rates and at low temperatures. Film
growth at low temperatures has involved use of oxygen or halogens, and
rates have been very low. Arcjet deposition at temperatures of 550⬚C with
deposition rates of 40 ␮m/hr [67] and even at 400⬚C with about 10 ␮m/hr
growth rate have been achieved, but adhesion of these films has been rather
poor. Approaches involving different surface chemistries may be necessary.
Because arcjet deposition necessarily involves rather high heat fluxes, it may
be possible to deposit diamond with this technology only on substrates that
have a high thermal conductivity, but too few experimental data exist so far
to reach any conclusion on this matter.
208 Heberlein and Ohtake

REFERENCES
1. J Heberlein, E Pfender. Thermal plasma chemical vapor deposition. Material
Science Forum, pp 477–496, 1993.
2. K Kurihara, K Sasaki, M Kawarada, N Koshino. Appl Phys Lett 52:6, 1988.
3. S Matsumoto, M Hino, T Kobayashi. Appl Phys Lett 51:737, 1987.
4. WD Partlow, J Schreurs, RM Young, I Martorell, SV Dighe, G Swartbeck, J
Bunton. Low cost diamond production with large plasma torches. Proceedings
of 3rd International Conference on Applications of Diamond Films and Related
Materials, Gaithersburg, MD, 1995, pp 519–524.
5. QY Han, J Heberlein, E Pfender. J Mater Synthesis Process 1:25–32, 1993.
6. N Ohtake, M Yoshikawa. J Electrochem Soc 137:717, 1990.
7. JCM Bigelow, NM Henderson, RL Woodin. In: R Messier, JJ Glass, eds. New
Diamond Science and Technology. Pittsburgh: Materials Research Society,
1991, p 529.
8. M Vardelle, A Vardelle, P Fauchais, MI Boulos. AIChE J 29:236–243, 1983.
9. BW Yu, SL Girshick. J Appl Phys 75:3914–3923, 1994.
10. SL Girshick, C Li, BW Yu, H Han. Plasma Chem Plasma Process 13:169–
188, 1993.
11. QY Han, TW Or, ZP Lu, J Heberlein, E Pfender. High rate deposition of
diamond using liquid organic precursors in atmospheric plasmas. Proceedings
of the 2nd International Symposium on Diamond Materials. Vol. 91-98. Pen-
nington, NJ: The Electrochemical Society, 1991, pp 115–122.
12. KA Snail, CM Marks, ZP Lu, J Heberlein, E Pfender. Mater Lett 12:301–305,
1991.
13. ZP Lu, K Snail, C Marks, J Heberlein, E Pfender. High rate homoepitaxial
growth of diamond in thermal plasma. Proceedings of the 2nd International
Symposium on Diamond Materials. Vol. 91-98. Washington, DC: The Electro-
chemical Society, 1991, pp 99–106.
14. X Zhou, J Heberlein. Plasma Sources Sci Tech 3:564–574, 1994.
15. X Zhou, J Heberlein. Plasma Chem Plasma Proc 16:229S–244S, 1996.
16. JG Liebeskind, RK Hanson, MA Cappelli. Applied Optics 32:6117–6127,
1993.
17. RM Young. Deposition area, growth rate, and arc power scaling laws for di-
amond film deposited by arc-heated gas flows. Proceedings of the 12th Inter-
national Symposium on Plasma Chemistry. Vol. 4. Minneapolis, 1995, pp
2029–2033.
18. JF Coudert, P Fauchais. High Temp Chem Process 3:443–453, 1992.
19. PC Huang, J Heberlein, E Pfender. Plasma Chem Plasma Process 15:25–46,
1995.
20. E Pfender, WLT Chen, R Spores. A new look at the thermal and gas dynamic
characteristics of a plasma jet. Proceedings of the 3rd National Thermal Spray
Conference. Long Beach, CA, 1990, pp 1–9.
21. WLT Chen, J Heberlein, E Pfender. Plasma Chem Plasma Process 14:317–
332, 1994.
22. JD Ramshaw, CH Chang. Plasma Chem Plasma Process 13:489, 1993.
Plasma Torch Diamond Deposition 209

23. JD Ramshaw. J Non-Equilib Thermodyn 18:121, 1993.


24. JD Ramshaw, CH Chang. J Comput Phys 116:359, 1995.
25. MA Cappelli, TG Owano, OH Kruger. J Mater Res 5:2326, 1990.
26. C George, G Candler, R Young, E Pfender, J Heberlein. Plasma Chem Plasma
Process 16:43S–56S, 1996.
27. KR Stalder, RL Sharpless. J Appl Phys 68:6187, 1990.
28. GA Raiche, GP Smith, JB Jeffries. In: R Messier, JJ Glass, eds. New Diamond
Science and Technology. Pittsburgh: Materials Research Society, 1990, p 251.
29. GA Raiche, JB Jeffries. Applied Optics 32:4629–4635, 1993.
30. K Kurihara, K Sasaki, M Kawarada. J Fujitsu Sci Tech 25:44, 1989.
31. K Kurihara, K-I Sasaki, M Kawarada, N Koshino. Morphology of diamond
films grown by DC plasma jet CVD. Materials Research Society Symposium
Proceedings. Vol. 162. 1990, pp 115–118.
32. MH Loh, MA Cappelli. Supersonic DC-arcjet synthesis of diamond. Proceed-
ings of Diamond Films ’92, Heidelberg, Germany, 1993, pp 454–461.
33. J Luque, W Juchmann, JB Jeffries. Absolute concentration measurements of
CH radicals in a diamond depositing DC-arcjet reactor. Applied Optics 36:
3261–3270, 1997.
34. N Ohtake, M Ikegami, M Yoshikawa. Diamond Films and Technology 2:1–
15, 1992.
35. S Paik, PC Huang, J Heberlein, E Pfender. Plasma Chem Plasma Process 13:
379–397, 1993.
36. C George, Ph.D. thesis, University of Minnesota, 1998.
37. ME Coltrin, DS Dandy. J Appl Phys 74:5803–5820, 1993.
38. DS Dandy, ME Coltrin. Appl Phys Lett 66:391–393, 1995.
39. D Kolman, J Heberlein, E Pfender, R Young. Plasma Chem Plasma Process
16:57S–70S, 1996.
40. DG Goodwin. J Appl Phys 74:6888–6894, 1993.
41. DG Goodwin. J Appl Phys 74:6894–6906, 1993.
42. SJ Harris. Appl Phys Lett 56:2298–2300, 1990.
43. D Kolman, J Heberlein, E Pfender. Plasma Chem Plasma Process 18:73–90,
1998.
44. ZP Lu, J Heberlein, E Pfender. Plasma Chem Plasma Process 12:55–69, 1992.
45. A Hirata, M Yoshikawa. Dia Rel Mater 2:1402, 1993.
46. K Kurihara, K Sasaki, M Kawarada, Y Goto. Formation of functionally gra-
dient diamond films. Proceedings of the 1st International Conference Appl.
Diamond Films and Related Materials, Auburn, Alabama. 1995, pp 461–466.
47. J Stiegler, S Roth, O Stenzel, B Mainz, W Scharff. Plasma-assisted CVD of
diamond films by hollow cathode arc discharge. Proceedings of Diamond Films
’92, Heidelberg, Germany. 1993, pp 413–416.
48. M Kohzaki, H Higuchi, S Noda, K Uchida. Large-area diamond deposition
and brazing of the diamond films on steel substrates for tribological applica-
tions. Proceedings of Diamond Films, ’92, Heidelberg, Germany. 1993, pp
612–616.
49. N Ohtake, M Yoshikawa. Thin Solid Films 212:112, 1992.
210 Heberlein and Ohtake

50. FX Lu, GF Zhong, YL Fu, JJ Wang, WZ Tang, GH Li, TL Lo, YG Zhang, JM


Zhang, CH Pan, CX Tang, YP Lu. 100 kW DC arc plasma jet CVD system
for low cost large area diamond film deposition. Proceedings of the 1st Inter-
national Diamond Symposium, Seoul, Korea. 1996, pp 115–120.
51. ZP Lu, L Stachowicz, P Kong, J Heberlein, E Pfender. Plasma Chem Plasma
Process 11:387–394, 1991.
52. ZP Lu, J Heberlein, E Pfender. Plasma Chem Plasma Process 12:35–52, 1992.
53. QD Zhuang, H Guo, J Heberlein, E Pfender. Dia Rel Mater 3:319–324, 1994.
54. A Hirata, M Yoshikawa. Dia Rel Mater 4:1363, 1995.
55. N Noto, K Mori. J Jpn Soc Precision Engineering 58:1672, 1992.
56. K Eguchi, S Yata, T Yoshida. Appl Phys Lett 64:58, 1994.
57. T Yoshida, T Tani, H Nishimara, K Akashi. J Apply Phys 54:640, 1983.
58. H Yin, K Eguchi, T Yoshida. J Appl Phys 78:3540, 1995.
59. G Lu, KJ Gray, EF Borchelt, LK Bigelow. Free-standing white diamond for
thermal and optical applications. Proceedings of Diamond Films ’92, Heidel-
berg, Germany. 1993, pp 1064–1068.
60. T McKenna, JT Hoggins, JM Trombetta, P Klocek, C Vaughan. Optical-quality,
high-growth-rate arcjet CVD diamond. Proceedings of SPIE. Vol. 2286. 1994,
pp 160–173.
61. N Ohtake, M Yoshikawa. Jpn J Appl Phys 32:2067, 1993.
62. QD Zhuang, P Schwendinger, C Hammerstand, J Heberlein, E Pfender, R
Young. Process characterization of CVD diamond from liquid precursors. Pro-
ceedings of the 12th International Symposium on Plasma Chemistry. Vol. 4.
Minneapolis. 1995, pp 2303–2308.
63. S Matsumoto, I Hosoya, T Chounan. Jpn J Appl Phys 29:2082, 1990.
64. SK Baldwin, TG Owano, CH Kruger. Secondary discharge induced nonequi-
librium chemistry in a CVD diamond DC arcjet reactor. Proceedings of the
12th International Symposium on Plasma Chemistry. Vol. 4. Minneapolis. 1995,
pp 2011–2016.
65. JW Lindsay, JM Larson, SL Girshick. Dia Rel Mater 6:481–485, 1997.
66. C Tsai, JC Nelson, WW Gerberich, J Heberlein, E Pfender. Dia Rel Mater 2:
617–620, 1993.
67. M Asmann, CFM Borges, J Heberlein, E Pfender. Thermal plasma chemical
vapor deposition of diamond on steel. Proceedings of the 13 International Sym-
posium on Plasma Chemistry. C.K. Wu, ed. Beijing: Peking University Press.
1997, pp 1206–1211.
7
Microwave Plasma-Assisted
Diamond Film Deposition

Timothy A. Grotjohn and Jes Asmussen


Michigan State University, East Lansing, Michigan

I. MICROWAVE PLASMA DISCHARGES

Microwave plasma-assisted diamond synthesis has been demonstrated over


a wide operating pressure regime from a few millitorr to 1 atmosphere. The
maximum film deposition rates vary from less than a tenth of a micrometer
per hour at the low pressures of 10 mtorr to well over 10 ␮m/hr at operating
pressures of 200 torr or greater. As the operating pressure is changed the
behavior and properties of a typical microwave discharge vary significantly.
At the low pressure of 10 mtorr to a few tens of torr the discharge usually
fills the entire discharge chamber and is a relatively cold (gas temperature)
nonequilibrium discharge where the electron temperatures are over 10,000–
20,000 K and the gas temperatures are less than 500–1500 K. As pressure
is increased to 50 torr and above, the electron temperatures decrease and the
gas temperatures increase. The discharge contracts and separates from the
chamber walls as pressure is increased and takes on a ball-like or spherical
shape that depends on the excitation electromagnetic fields and the geometry
of the chamber. At higher pressures the gas temperatures usually are in
excess of 1500 to 2000 K and the microwave discharge becomes a more
spatially inhomogeneous discharge.
More specifically, at higher pressures, the microwave discharge, similar
to low-frequency and DC arcs, becomes a thermally inhomogeneous dis-
charge. It has a hot central core with sharp thermal gradients existing be-
tween the discharge center and surrounding walls. Microwave energy is
readily coupled into the electron gas in the hot discharge center because of
211
212 Grotjohn and Asmussen

its reduced neutral gas density, and neutral gas species are also easily ionized
and excited in the hot discharge region.
Major energy losses from the higher pressure discharges occur by heat
conduction, convection, and radiation. The large temperature gradient causes
heat conduction losses to become an important loss process. This loss mech-
anism includes the contribution to the heat conductivity by molecules, atoms,
electrons, and ions as they diffuse to the walls. And also includes chemical
reactions such as the transport of dissociation energy and ionization energy
to the discharge/arc fringes by the free radicals and ions. Owing to the high-
pressure environment, electrons, ions, and free radicals recombine quickly
outside the hot central core and thus convert their dissociation, ionization,
and excitation energy into thermal energy. The result is a discharge with a
radially varying gas temperature, ionization rate, and volume recombination
rate. Gas temperature, ionization, dissociation, etc. are highest in the center
of the discharge, while volume recombination and deexcitation of the dif-
ferent species increase radially away from the discharge center as the cooler,
denser gas regions near the walls are approached. The central discharge core
gas temperatures vary with gas type and pressure but typically are in excess
of 2000 K, while temperatures external to the discharge are controlled by
wall temperatures and the gas temperatures of the gas flowing around the
discharge.
This chapter reviews the studies that have been done on microwave
plasma-assisted chemical vapor deposition (CVD) of diamond. As described
earlier, this deposition occurs across a range of plasma discharge types ex-
tending from low-pressure nonequilibrium discharges to higher pressure,
thermal-like discharges. This chapter is organized so that the general dia-
mond deposition environment is first described; then a generic description
of a typical plasma-assisted diamond deposition reactor is developed. Next,
the details of several specific deposition systems are reviewed. Finally, de-
tails of the various microwave plasma-assisted diamond deposition processes
and chemistries are examined. The approach used to organize the many
diamond deposition results for microwave CVD systems is a control model
approach where the deposition process is viewed in terms of input, internal,
and output variables. The specifics of this approach are given in Sec. III.B.
First though, Sec. II describes the general plasma chemistry environment
that must be created to deposit diamond.

II. MICROWAVE PLASMA-ASSISTED CVD OF DIAMOND


DEPOSITION ENVIRONMENT

The role of the microwave plasma-assisted machine in the deposition of


diamond is to create the chemical/thermal environment needed for diamond
Microwave Plasma-Assisted Diamond Film Deposition 213

deposition. This environment for the standard hydrogen-methane deposition


process has the following attributes.

A. Substrate Temperature
For diamond deposition the temperature of the deposition surface is gener-
ally in the range from 400 to 1100⬚C with the more typical values being
700–1000⬚C [1–3]. This range of substrate temperatures allows surface phe-
nomena including various adsorption, desorption, and abstraction reactions
to occur that lead to diamond growth. Too high a substrate temperature
converts the diamond to graphite. Obtaining the correct substrate tempera-
ture often requires the design of substrate holders that incorporate either
heating or cooling.

B. Atomic Hydrogen
The appropriate chemically reactive species need to be supplied to the
growth surface. Two types of radicals are needed. First, atomic hydrogen is
needed so that the surface is almost entirely covered with hydrogen to permit
growth in the diamond phase of carbon and not the graphite phase. Second,
appropriate carbon-containing growth species must be supplied to the growth
surface. The ratio of the atomic hydrogen flux to the carbon growth species
flux is typically 1000–10,000 for the deposition of high-quality diamond
[4]. This need for the large flux of atomic hydrogen prevents the use of
standard CVD where the substrate temperature provides the energy to dis-
sociate the molecular species. At the typical substrate temperatures of 700–
1100⬚C the dissociation rate of hydrogen is very low. Hence, the hydrogen
must be dissociated by a hotter thermal source located away from the sub-
strate (e.g., a hot filament) or by a plasma discharge that dissociates molec-
ular hydrogen by either electron impact dissociation or thermal dissociation
due to a high gas temperature in the discharge. In the case of microwave
CVD reactors the plasma discharge serves to dissociate the hydrogen via
either electron impact dissociation or a combined electron impact dissocia-
tion and gas heating–produced thermal dissociation. Typically, the lower
pressure discharges (<few tens of torr) have lower gas temperatures and the
electron impact dissociation process dominates. The higher pressure dis-
charges (>few tens of torr) have higher gas temperatures (>2000 K) and thus
thermal dissociation of hydrogen is the dominant dissociation process.

C. Carbon-Containing Radicals
The appropriate flux of carbon-containing growth species to the deposition
surface is needed. The conditions of diamond deposition using microwave
214 Grotjohn and Asmussen

discharges are typically in the pressure range from 1 to 200 torr and gas
discharge temperatures ranging from slightly above the substrate temperature
(⬃1100–1500⬚C) to above 3000⬚C. Under these conditions in microwave
discharges, the dominant carbon-containing radicals important to diamond
growth are CH3 and C2H2 [3,4]. The methyl radical 1CH3 is generally ac-
knowledged to be the most important species for diamond growth and is
most often obtained from the methane (CH4) input feed gas. The CH4 chem-
ical reactions in the typical diamond deposition environment (high gas tem-
perature and significant atomic hydrogen concentrations) occur primarily as
neutral-neutral chemistry rather than via electron collision processes, which
are more important for the hydrogen dissociation. Almost always, the carbon
chemistry reactions occur on a time scale much shorter than the residence
time of the deposition gas in the discharge chamber for microwave CVD
reactor systems. Hence, the carbon chemistry is typically assumed to have
reached an equilibrium condition for the discharge gas temperature and
atomic hydrogen concentration found in the discharge.

D. Deposition Uniformity
Once a vapor-phase environment containing a substantial amount of atomic
hydrogen and an appropriate number of carbon growth radicals is created
adjacent to a temperature-controlled substrate, diamond growth can proceed.
One of the major issues for the design of microwave plasma-assisted CVD
diamond deposition machines is creating the appropriate and uniform vapor-
phase environment and temperature over the entire deposition surface. Sev-
eral factors influence the uniformity of the deposition including the unifor-
mity of the substrate temperature profile and the uniformity of the discharge
located adjacent to the substrate. Substrate temperature profiles can be con-
trolled or influenced through the appropriate design of the substrate holder
cooling or heating. The uniformity of the discharge is an even more complex
matter. The size, shape, and uniformity of the discharge are controlled by a
number of factors including the discharge chamber shape and size, the dis-
charge kinetics including recombination of charged species in the volume
(via volume recombination) and/or on the surfaces (via surface recombina-
tion), the generation of radicals in the discharge, and the loss of these rad-
icals in the discharge and on the surfaces surrounding the discharge. Many
of the differences in the performance between different microwave diamond
deposition CVD systems are concerned with the area or size of the depo-
sition surface across which good uniformity is achieved.
Microwave Plasma-Assisted Diamond Film Deposition 215

III. MICROWAVE PLASMA MACHINE DESCRIPTION,


PROCESS VARIABLES, AND PERFORMANCE
A. Microwave Plasma-Assisted Diamond CVD
Machine Subsystems
A generic microwave plasma-assisted diamond CVD system is shown in
Fig. 1. The subsystems include the gas flow rate control system, the vacuum
pumping/pressure control system, the discharge chamber and substrate
holder, the microwave power supply system, and the microwave energy
coupling system, i.e., the microwave applicator subsystem. These subsys-
tems work in unison, generally under computer control, to provide the dep-
osition environment outlined in the previous section. A description of the
basic operating purpose and design of each of these subsystems is provided
in the following paragraphs.

1. Gas Flow Rate Control Subsystem


The gas flow rate control system consists of first the gas cylinders containing
the feed gases. Because of the sensitivity of the deposition process to im-
purities in the discharge plasma and the desire to minimize impurities in the
deposited diamond, the feed gases should be of high and ultrahigh purity.
The flow rate of each gas is controlled with a mass flow controller. The total
flow rate ranges in the various systems and processes from tens to thousands
of sccm. These controlled flow rate feed gases are then mixed together and
delivered to the discharge chamber.

2. Vacuum Pumping/Pressure Control Subsystem


The vacuum pumping/pressure control system as shown in Fig. 1 is used to
pump the reacted gas from the deposition chamber in a continuous manner
and to maintain the desired pressure in the deposition chamber. Most dia-
mond deposition systems operate during deposition in the pressure range
from a few torr to 200 torr, although values outside this range have certainly
been reported. These common pressures of a few torr to 200 torr can be
maintained at the flow rates used by using a mechanical roughing pump.
The pressure in the deposition chamber is maintained by using an adjustable
(throttle) valve located between the pump and the deposition chamber. The
pressure is measured with a pressure gauge, most often a capacitance ma-
nometer. A control system automatically adjusts the throttle valve to achieve
the desired pressure in the deposition chamber. Safety precautions included
in the design of the pumping system are first a dilution of the hydrogen-
dominated exhaust gas at the exit of the mechanical pump using a nitrogen
216 Grotjohn and Asmussen

Figure 1 Microwave plasma-assisted diamond CVD system showing gas flow


control, vacuum pumping/pressure control, discharge chamber/substrate holder, mi-
crowave power supply, and applicator subsystems.
Microwave Plasma-Assisted Diamond Film Deposition 217

purge. The nitrogen dilution is used to reduce the hydrogen concentration


to a nonflammable level at the exit of the mechanical pump. A second safety
feature often included is a safety interlock system that prevents the pressure
in the processing system from exceeding a preset level when hydrogen is
present in the system.

3. Discharge Chamber/Substrate Holder Subsystem


The next subsystem is the discharge chamber/substrate holder unit. The pur-
pose of this system is to confine the plasma discharge and to position the
substrate surface for optimum deposition. In the simplest case this consists
of a microwave transparent tube that confines the discharge and controls the
spatial variation of the processing gas flow. A platform within the tube holds
the substrate. In realistic systems this subsystem generally requires some of
the most careful detailed design in order to optimize the diamond deposition
process. Several design variables of this subsystem work in an interacting
manner to establish a plasma discharge shape and size that produces uniform
plasma-assisted deposition across the substrate surface.
The first set of design variables to be considered is the substrate holder
that is designed to have a controlled, uniform, and repeatable substrate tem-
perature. Such controlled temperature is acquired in some systems through
active heating or cooling of the substrate holder. Typically, active heating
of the holder is required when deposition is done at low pressures (less than
60 torr) or with lower microwave power levels. Conversely, systems that
operate at higher pressures (greater than 80 torr) and high input microwave
powers often require active cooling of the substrate holder. It is also found
in some designs that substrate heating relies solely on heating from the
plasma discharge itself with no additional active heating or cooling utilized.
This type of substrate holder system is referred to here as a thermally floating
system. It is important to note here that when operating in a thermally float-
ing configuration the substrate temperature is determined by the pressure
and microwave power.
The second set of design variables in the discharge chamber/substrate
holder subsystem comprises the size and shape of the discharge chamber
and the location of the substrate holder. The discharge chamber is typically
constructed of a fused silica bell jar or window and additional stainless steel
walls. The fused silica portion of the discharge chamber provides the trans-
parent material for microwave power coupling while providing a gastight
chamber. The shape and size of the fused silica/stainless steel confinement
region, along with the size and location of the substrate holder, strongly
influence the discharge location and shape.
Also important to the discharge size and location is the magnitude of
the input microwave power and its heating profile. A typical example of how
218 Grotjohn and Asmussen

the experimental substrate temperature, Ts , and plasma volume, Vd , vary


with the discharge pressure and input absorbed microwave power, Pt , for a
microwave plasma-assisted CVD system is shown in Fig. 2 [5]. The solid
lines shown in Fig. 2 have been experimentally measured for a system op-
erating with a 7.5-cm-diameter substrate holder. In this figure the lower limit
on the plasma volume, Vd(min), is determined by the discharge volume re-
quired to just cover the substrate holder. The upper limit of plasma volume,
Vd(max), occurs when the plasma discharge begins to touch the discharge
chamber wall, i.e., it just fills the discharge volume. Note that when the hot
or bright part of the discharge comes in contact with the walls it can cause
substantial wall heating. Thus this condition when Pt is greater than the
Vd(max) boundary in Fig. 2 should be avoided. The discharge chamber size
for this plot is 12.5 cm in diameter. The general trend in this plot is that as
the pressure, p, increases the microwave power absorbed into the discharge

Figure 2 Diamond deposition reactor operating field map showing the substrate
temperature in a thermally floating substrate system (no active cooling or heating of
the substrate). This experimental plot is for a system with a 12.5-cm-diameter quartz
dome and 7.5-cm-diameter silicon substrate described in Refs. 5 and 8.
Microwave Plasma-Assisted Diamond Film Deposition 219

must also increase to maintain a constant plasma volume. The other trend
is that the temperature of the thermally floating substrate holder/substrate is
determined primarily by the pressure and to a less extent by the input mi-
crowave power and flow rate. Plots such as the one given here in Fig. 2 that
experimentally quantify the power-pressure region of operation can be de-
veloped for any microwave diamond CVD system. Different systems when
measured this way can be expected to show similar trends but quantifiably
different experimental operating curves. More specifically, these experi-
mental Pt , p, Ts operating curves can be expected to be different for each
of the microwave diamond CVD deposition systems described in Sec. IV.
The last set of design variables of this subsystem is the location of the
feed gas injection port(s), discharge gas flow directors, and exhaust gas
pumping ports of the discharge chamber system. Some considerations in-
clude having radially uniform feed gas injection and radially uniform pump-
ing of the discharge gas. Further, in some systems, especially those operated
at higher pressures and flow rates, the injection ports and gas flow direction
surfaces are designed to help improve the uniformity and shape of the dis-
charge and ultimately the deposited film uniformity. At low pressures the
discharges are more diffuse and detailed flow design considerations are not
as crucial.
An overall design issue for the discharge chamber/substrate holder sub-
system is the selection of materials that are compatible with the high tem-
peratures and reactive chemistry of the discharge. Common materials used
for the substrate holder include molybdenum, stainless steel, and graphite.
These materials to various degrees can withstand the substrate deposition
temperatures of up to 1100⬚C. The walls of the discharge chamber are often
constructed of fused silica and stainless steel. Where possible, water cooling
of the walls is also included in the deposition discharge chamber design,
especially for the stainless steel walls.

4. Microwave Power Supply Subsystem


A typical microwave power supply subsystem is shown in Fig. 3. The mi-
crowave power is generated by a magnetron power supply most commonly
operating at the frequency of 2.45 GHz. Another frequency used for the
larger diameter and higher power systems is 915 MHz. The microwave cir-
cuit shown in Fig. 3 has the output of the magnetron power supply connected
to a circulator that serves to isolate and protect the power supply from any
power that may be reflected from the microwave cavity applicator. The re-
flected power is sent to an impedance-matched dummy load that is typically
water cooled. The net power going to the plasma reactor is measured as the
incident power, Pinc , minus the reflected power, Pref . The absorbed input
220
Grotjohn and Asmussen
Figure 3 Typical microwave power supply and waveguide circuit.
Microwave Plasma-Assisted Diamond Film Deposition 221

power is then Pt = Pinc ⫺ Pref . Microwave power measurements are made


using directional couplers inserted in the transmission line or waveguide
between the circulator and the plasma reactor. The directional couplers sam-
ple a known fraction of the power flow in a given direction in the waveguide/
transmission line. This sampled power is then measured using power meters.
Typical power supplies used in microwave plasma-assisted diamond CVD
machines range from 100 W to 8 kW for the 2.45-GHz frequency regime
and 6–60 kW for 915-MHz excitation.

5. Microwave Applicator Subsystem


The last major subsystem is the microwave coupling system, i.e., the external
microwave circuit and applicator coupling system. The components of this
subsystem are a waveguide, cavity resonator, antenna, or plasma surface
wave launcher that focuses or guides the microwave energy to create and
maintain the plasma discharge. The variation of this coupling system is the
fundamental difference between the many microwave plasma-assisted dia-
mond deposition machine designs. Section IV of this chapter describes sev-
eral such design variations. Also included in this subsystem are the tuning
elements that match the impedance of the transmission line/waveguide to
the reactor load. These tuning elements can include stub tuning adjustments,
antenna/probe adjustments, resonant cavity size adjustments, and substrate
position adjustments, to name a few. These adjustments not only control the
impedance matching, which determines the amount of reflected power for a
given input power, but also can influence the plasma discharge shape and
size, which has a direct impact on the uniformity of the diamond deposition
across the deposition surface.

B. Microwave Plasma Reactor Process Variables and


Performance Variables
1. The Multivariable Plasma Reactor
The performance of a microwave plasma diamond deposition reactor is a
complex function of many experimental variables. Figure 4 displays a ge-
neric block diagram of a typical reactor where the nonlinear relationships
between three groups of variables are schematically represented. The three
basic groups are (1) input variables, U; (2) internal variables, X; and (3)
output variables, Y. The input variables are defined as the variables that can
be independently controlled by an experimental operator or reactor designer.
As shown in Fig. 4 the input variables can be further subdivided into con-
trollable input variables, U1 , such as operating pressure, methane concentra-
tion, gas flow rate, and deposition pressure; the reactor geometry variables,
222

Figure 4 Multivariate parameter space for microwave plasma-assisted diamond deposition.


Microwave Plasma-Assisted Diamond Film Deposition 223

U2 , i.e., the variables concerned with the reactor size and geometry, substrate
holder configuration, etc.; and deposition process variables, U3 , i.e., substrate
material, deposition time, seeding procedure, etc. The internal variables are
defined as the internal plasma reactor states such as gas temperature, elec-
tromagnetic field strength, and plasma density. The output variables, Y, can
be divided into two groups: those concerned with the reactor performance,
Y1 , such as film growth rate and carbon conversion efficiency, and those
concerned with the film properties, Y2 , such as film morphology, texture,
and film quality. Figure 4, displays a number of example input, internal, and
output variables that are important in the diamond deposition process.
In order to begin to understand the microwave plasma-assisted dia-
mond deposition process, not only must the relationships between the input
variables and the output variables be understood but also the relationships
between the reactor internal variables and both the input and output variables
must be established. The output variables are a complex function of both
the internal variable vector, i.e., Y = h(X), and input variables vector, Y =
g(U ). A comprehensive theory that describes the relations between these
variables does not exist and is only beginning to be developed. However,
knowledge of these complex relations is essential for improved reactor de-
sign and ultimately for precise reactor control.
In this chapter the important input and internal reactor variables are
identified. These variables are then related to the reactor experimental out-
puts such as reactor performance, Y1 , and film properties, Y2 . This systematic
approach enables improved understanding of the plasma reactor system
through the identification of the cause-and-effect relationships between input
and internal variables and then of the output reactor performance. Changes
in reactor inputs such as operating pressure and gas chemistry, and in the
reactor size and configuration are then related to reactor performance.

2. Input Variables
Table 1 identifies a number of typical reactor variables. The independent,
controllable input variables, U1 , are substrate temperature Ts , pressure p,
incident microwave power Pinc , and feed gas composition and total gas flow
rate ft . Reactor geometry variables, U2 , are associated with the applicator
and discharge size. Here we assume that the applicator, the substrate holder,
and the discharge chamber are all cylindrical. Thus the pertinent dimensions
are the applicator radius RA and height LA and the quartz/fused silica dis-
charge chamber radius R c and height L c . The chamber volume is then Vc =
␲R 2c L c . The substrate holder and the substrate itself have radii of Rh and Rs,
respectively. These are some of the critical dimensions associated with the
design optimization of the reactor and the reactor geometry variables asso-
224 Grotjohn and Asmussen

Table 1 Experimental Variables for Microwave Plasma-Assisted Diamond


Deposition Reactors

Input variables U Controllable input Deposition pressure


variables, U1 Incident microwave power
Feed gas composition
Substrate temperature
Total flow rate
Reactor geometry Applicator size and configuration
variables, U2 Substrate holder location and
size
Electromagnetic mode and cavity
tuning
Quartz dome geometry
Deposition process Substrate material and size
variables, U3 Substrate seeding and nucleation
procedure
Deposition time
Internal variables X Absorbed microwave power
Plasma volume
Absorbed power density
Output variables Y Reactor performance, Linear growth rate
Y1 Total growth rate
Carbon conversion efficiency
Film characteristics, Film uniformity
Y2 Film structural quality
Film morphology
Film texture

ciated with the U2 variable set. Lastly, the deposition process variables need
to be considered including substrate material and size, seeding or nucleation
procedure, and deposition time.

3. Internal Variables
Examples of experimentally measured internal variables, X, are (1) the
power absorbed by the reactor, Pt ; (2) the plasma discharge volume, Vd ; and
(3) the absorbed power density, <P> = Pt /Vd . The discharge volume Vd is
given by ␲R 2dL d where the active discharge radius is R d and the height is
L d . The power absorbed by the reactor, Pt , is defined as the difference
between the incident microwave power, Pinc , and the reflected power, Pref ,
i.e., Pt = Pinc ⫺ Pref . The discharge is usually separated from the discharge
chamber walls and thus Vd < Vc . The discharge volume Vd is often adjusted
(see Fig. 2) by varying the power absorbed so that deposition uniformity is
Microwave Plasma-Assisted Diamond Film Deposition 225

achieved over the substrate surface. Other internal variables include neutral
gas temperature, electron density and temperature, radical species concen-
trations, and gas residence time.

4. Output Variables: Reactor Performance and Deposited


Film Characteristics
A number of reactor process/performance figures of merit have been adopted
to enable the evaluation of deposition process performance. These figures
of merit, Y1 , are defined as follows:
1. Linear growth rate (␮m/hr) is defined as the thickness increase of
the diamond film (␮m) divided by deposition time (hr).
2. Total growth rate (mg/hr) is defined as the weight increase of the
substrate (mg) divided by deposition time (hr).
3. Carbon conversion efficiency (CCE) is defined as the percentage
of carbon atoms in the input gases that are converted into diamond.
The equation for carbon conversion efficiency is CCE = Nfilm /Ninput
where Nfilm is the number of carbon atoms in the deposited film
and Ninput is the number of carbon atoms that entered the discharge
chamber in the input gas flow.
The deposited film characteristics, Y2 , can include a wide range of
properties including film uniformity, texture, morphology, thermal conduc-
tivity, electrical properties, and optical properties as well as others. The film
characteristics that will be most closely tied to the microwave CVD ma-
chines in this chapter include film uniformity, film texture, and film mor-
phology. The other film characteristics are examined in more depth in other
chapters of this book.

5. Quantifying the Input, Internal, and Output


Variable Relationships
The sections that follow in this chapter quantify the relationships between
the input variables and internal variables, X = f (U ), the input variables and
output variables, Y = g(U ), and the internal variables and output variables,
Y = h(X). In particular, Sec. IV establishes the range of reactor geometry
variables, U2 , and some of the reactor performance relationships, Y1 = g(U2).
Section 5 presents the output variables (both reactor performance and de-
posited film characteristics) as functions of the adjustable input parameters
and the internal variables, i.e., Y = g(U1) and Y = h(X). Section VI describes
the internal variables and their relationship to input variables based on ex-
perimental measurements X = f(U ), and lastly Sec. VII examines in more
detail the internal variables based on modeling studies, X = f(U ).
226 Grotjohn and Asmussen

IV. MICROWAVE PLASMA SOURCES FOR DIAMOND


THIN-FILM DEPOSITION
A. Basis for Comparison
Many different microwave plasma-assisted diamond deposition machines
have been designed including tubular reactors, bell jar reactors, plasma jet
reactors, ellipsoid reactors, plasma disk reactors, surface wave sustained re-
actors, and magneto microwave reactors. This section describes some rep-
resentative reactors for the various types and gives a limited number of
specifications for comparison of the reactors. The set of parameters selected
for comparison includes microwave coupling structure, microwave fre-
quency, discharge chamber size, substrate size, input microwave power
range, and operating pressure range. The diamond deposition outputs (e.g.,
deposition rate) from each of the different reactor systems are only briefly
described in this section. A detailed comparison of the diamond deposited
by each system is not attempted because the different systems described
here were designed on the basis of different objectives. Some of these design
objectives have included designs focused on creating small inexpensive re-
search systems, high-volume production systems, or low-substrate-temper-
ature deposition systems. More detailed discussions of the actual diamond
deposition outputs (e.g., deposition rate, film texture) will be undertaken in
later sections of this chapter where the outputs will be studied as a function
of input variables such as pressure, input chemistry, and flow rate.

B. Selected Reactor Structures


1. Tubular Reactor (NIRIM Reactor)
A schematic diagram of a typical tubular microwave reactor is shown in
Fig. 5. The tubular microwave reactor was developed in the early 1980s by
Kamo et al. [6] and has become the most common diamond film deposition
reactor technology used for basic deposition research studies. As shown, the
input gas, which is a mixture of hydrocarbon and hydrogen gas, is disso-
ciated by the 2.45-GHz microwave energy coupled into the quartz tube in-
serted through a waveguide. A plunger is attached to the end of the wave-
guide to minimize the reflected power. The substrate is placed on a substrate
holder located at the intersection of the tube and waveguide. Typical micro-
wave power levels are 100 W to 1.5 kW and the pressure range is less than
80–100 torr. Typical quartz tube diameters are 4.5 cm or less and the sub-
strate sizes are limited to 2–8 cm2. Tubular reactors are simple reactors to
design and low in cost to construct, and as a result they have been used in
many research investigations.
Microwave Plasma-Assisted Diamond Film Deposition 227

Figure 5 Tubular microwave plasma-assisted CVD reactor. (From Ref. 6.)

2. Microwave Cavity Plasma Reactor


The microwave cavity plasma reactor (MCPR) [7,8] developed at Michigan
State University and Wavemat/Norton in 1986–1995 is shown in Fig. 6.
Two design variations are shown including an early version (1987) in Fig.
6a and a later version (1989) in Fig. 6b. The microwave discharge is pro-
duced inside a quartz dome which is located at one end of a microwave
cavity. The cavity is formed by a cylindrical wall and a movable top short
defining the top end of the cavity. The microwave energy is transmitted from
a 2.45-GHz microwave generator through a rectangular waveguide to a tran-
sition into a coaxial waveguide that ends as a length adjustable excitation
probe in the cylindrical cavity. A hemispherical plasma discharge is created
and is placed in direct contact of the substrate by adjusting the length of
the probe and the location of the sliding short, i.e., L p and L s . The diameter
of the microwave cavity is 17.8 cm and the diameter of the discharge region
is 9–12 cm. Various substrate holders and substrate sizes can be used rang-
ing from 5 to 10 cm in diameter. The sliding short height L s is most com-
monly adjusted to a length of approximately 21 cm when a 2.45-GHz
microwave excitation is used. This cavity height produces a resonant
228 Grotjohn and Asmussen

Figure 6 Microwave cavity plasma reactor: (a) early version and (b) later version.
(From Refs. 7, 8.)

microwave mode that has been identified as the TM013 mode. Typical power
levels are 500 to 5000 W and pressures from 5 to 140 torr.
Care is taken in the design of the gas inlet structure and substrate
holder structure so that the input gas is well mixed with the discharge and
to get uniform gas injection and radially uniform discharge chamber exhaust
gas pumping. The substrate holder can be designed with various heights. In
addition, various substrate holders have been designed that are thermally
floating, cooled, and heated. Figure 6a shows an early version of the reactor,
which had a discharge diameter of 9 cm and a smaller substrate diameter.
Figure 6b shows an improved reactor version designed for use with up to
10-cm-diameter substrates. Also, this more recent unit operates at higher
pressures up to 180 torr and powers up to 6 kW. A larger 915-MHz version
of the MCPR with a microwave applicator/cavity diameter of 45 cm was
also designed, constructed, and operated (1994 at Norton Diamond Film).
This larger design has a discharge diameter of 33 cm and substrate diameter
Microwave Plasma-Assisted Diamond Film Deposition 229

Figure 6 Continued

of up to 20 cm. It operates using 8–18 kW of microwave power generated


by a 915-MHz power supply.

3. ASTeX Bell Jar and High-Pressure Microwave Sources


The bell jar microwave plasma-assisted CVD reactor initially developed in
1987 [9,10] is shown in Fig. 7. The basic features of this reactor include a
230 Grotjohn and Asmussen

Figure 7 ASTeX bell jar microwave plasma-assisted CVD reactor. (From Ref. 9.)

silica bell jar that confines the plasma discharge. The initial designs used a
10-cm inner diameter bell jar and 7.5-cm diameter substrate holder. The
microwave power generated by a magnetron supply is transmitted to the
reactor using a rectangular waveguide. An antenna couples the energy from
the waveguide into the reactor, which is cylindrical in shape. The position
of the substrate could be moved and the substrate either was thermal floating
or could be heated. The pressure range utilized was 40–70 torr and the
power range for this unit was typically 1 kW.
By 1992, ASTeX had improved the bell jar design and developed the
ASTeX High Pressure Microwave Source (HPMS) as shown in Fig. 8 [10].
The former bell jar that confined the discharge and permitted the transmis-
sion of microwave energy was replaced by a silica microwave window. A
plasma discharge was formed in the region between the silica window and
the substrate holder. The position of the substrate could be adjusted to op-
timize the discharge-substrate interaction and the subsequent film uniformity
Microwave Plasma-Assisted Diamond Film Deposition 231

Figure 8 ASTeX high-pressure microwave source. (From Ref. 10.)

on the substrate. The substrate could be either heated or cooled as needed.


The pressure range in which this system operated was from 10 torr to above
120 torr. The power levels used ranged from 1 to 5 kW with later systems
able to operate at powers up to 8 kW. The lack of magnetron power supplies
above 8 kW at 2.45 GHz prevented the extension of this 2.45-GHz design
to even larger areas at the higher pressures. ASTeX designed a 915-MHz
system that permitted the use of the higher power 30–60 kW magnetron
power supplies [10]. The 915-MHz system had substrate sizes as large as
30 cm. This unit was reported as depositing diamond at a rate of 10 ␮m/hr
over a 20-cm-diameter substrate. The mass deposition of diamond ap-
proached 1 g/hr.

4. UC Berkeley Bell Jar Reactor


A schematic diagram of the UC Berkeley bell jar microwave plasma-assisted
CVD system [11–14] is shown in Fig. 9. The bell jar is 10.2 cm in diameter
232 Grotjohn and Asmussen

Figure 9 Bell jar plasma-assisted CVD system. (From Refs. 11–14.)

with an annular gas feed system and gas exhaust system. The substrate
utilized had a diameter of 2.5 cm and was supported by a quartz sample
holder at the position near the plasma ball. This reactor was used extensively
to study diamond deposition from solid-phase carbon sources.

5. France-LIMHP Bell Jar Reactor


A schematic diagram of the LIMHP reactor studied by Gicquel and co-
workers [15] is shown in Fig. 10. The reactor is composed of a quartz bell
jar 10 cm in diameter that is surrounded by a 25-cm-diameter Faraday cage
that acts as a resonant cavity for the microwave energy. The microwave
power is coupled into the Faraday cage via a waveguide and an antenna.
The substrate holder, which is 5 cm in diameter, can be moved up and down
to obtain an optimum interaction between the plasma discharge and the
Microwave Plasma-Assisted Diamond Film Deposition 233

Figure 10 LIMHP-France bell jar plasma-assisted diamond CVD system. (From


Ref. 15.)

substrate leading to good deposition uniformity. The pressure range of


this system is 20–120 torr and the input microwave power range is 600–
6000 W.

6. Ellipsoidal Reactor
A schematic diagram of the ellipsoidal reactor developed by Funer et al.
[16] is shown in Fig. 11. The microwave cavity is ellipsoid in shape with
the microwave power input being located at the top. The power input from
the waveguide to the cavity is done using a probe antenna. The design goal
in this structure was to maximize the microwave electric field strength at
the location where the plasma is desired, i.e just above the substrate. Using
the ellipsoidal shape maximized the fields in this region by locating the end
of the antenna at one focus of the ellipsoid and the desired plasma location
at the other focus. This reactor configuration was constructed at both 2.45
GHz and 915 MHz. The 2.45-GHz system used 3–6 kW of power for op-
eration in the pressure range from 35 to 150 torr. The substrate size for this
system was 5–7.5 cm. The 915-MHz system was used to deposit diamond
on 5- to 15-cm diameter substrates. The power for the 915-MHz system was
20–60 kW for the pressure range 35–150 torr.
234 Grotjohn and Asmussen

Figure 11 Ellipsoid microwave plasma reactor. (From Ref. 16.)

7. Surface-Wave Microwave PACVD Reactor


A diagram of a surface-wave microwave plasma-assisted reactor [17,18] is
shown in Fig. 12. The microwave energy is transmitted to the reactor through
a waveguide to the waveguide surfatron. The discharge is confined in a fused
silica vessel. The plasma is created and stabilized by adjusting the coaxial
tuning stub and the waveguide tuning stub. The reactor operates at pressures
of 1–60 torr with input power on the order of 1 kW. The substrate holder
is heated and made with a molybdenum susceptor. The vertical position of
the substrate holder is adjustable. The reactor size is a 2.5 cm inside diameter
quartz tube and the substrate size is 2 cm in diameter. The surface-wave
microwave plasma-assisted reactor was also scaled to 915 MHz [19]. The
substrate holder diameter used in this configuration was 8.0 cm.

8. Microwave Plasma Jet Torch System


Figure 13 shows a microwave jet torch reactor developed by Mitsuda and
coworkers [20,21] starting in the late 1980s. The unit consists of a rectan-
gular waveguide, a microwave transition unit, and a coaxial waveguide. The
coaxial waveguide consisted of a 5.72-cm-diameter outer conductor and 2.0-
cm-diameter center conductor. Microwave energy was generated by a 2.45-
GHz generator with an output of 3.8–4.2 kW. The input gas mixture used
consisted of hydrocarbon, hydrogen, and argon that flowed radially into the
coaxial waveguide section and then passed through a nozzle (2.2 cm in
diameter) and out of the reactor. A plasma jet was generated near the nozzle
Microwave Plasma-Assisted Diamond Film Deposition 235

Figure 12 Surface-wave microwave plasma-assisted CVD reactor. (From Refs. 17,


18.)

at atmospheric pressure and the resulting dissociated species arrive at the


substrate. The substrate/deposition area was 2.5–5 cm2. This design, because
of the high pressure, yielded linear diamond growth rates of 30 ␮m/hr. This
is one of the highest diamond deposition growth rates reported using micro-
wave plasma excitation.

9. Magnetomicrowave and ECR Plasma CVD Reactor


A primary motivation for the deposition of diamond at low pressures is to
achieve deposition at low substrate temperatures and across large areas. By
lowering the pressure, gas heating is reduced and hence the substrate heating
is reduced. In addition, at lower pressures the plasma discharge size is larger
for a given input power and the plasma also diffuses more freely without
volume recombination. At lower pressures microwave plasma sources are
often operated with the addition of magnetic fields to improve microwave
power coupling to the discharge. The addition of magnetic fields of sufficient
strength allows collisionless heating of the electrons by the microwave fields
to occur via the electron cyclotron resonance (ECR) mechanism. The use of
magnetic fields in reactors with respect to diamond deposition was sum-
marized by Bachmann [10]. He indicated that at pressures exceeding 7 torr
the electron collision rate in the plasma is too high to permit substantial
236 Grotjohn and Asmussen

Figure 13 Microwave plasma torch CVD reactor. (From Refs. 20, 21.)

ECR heating. It was also noted that the magnetic fields hardly affect the
deposition results at these higher pressures. Further, it was noted that at
pressures of 75 mtorr and below, where ECR starts to become important,
the diamond growth is significantly affected and it becomes difficult to grow
well-defined polycrystalline diamond without getting a mixture of other gra-
phitic–amorphous carbon phases.
Well-defined polycrystalline diamond films were deposited by Mantei
and coworkers [22–24] using a magnetomicrowave plasma CVD reactor
operating at 1–3 torr as shown in Fig. 14. This reactor was built and in-
vestigated for the low-temperature deposition of diamond films. As shown,
a magnetic field was established using a 15-cm-diameter, 9 cm high NdFeB
permanent magnet with a magnetic flux density of 0.42 tesla at the magnet
pole face. The discharge was operated with either CW microwave energy
or pulsed microwave energy. The substrates used were 2.5 cm in diameter.
Microwave Plasma-Assisted Diamond Film Deposition 237

Figure 14 Magneto-microwave plasma CVD reactor. (From Refs. 22–24.)

V. DIAMOND DEPOSITION PROCESSES/CHEMISTRIES


IN MICROWAVE PLASMA-ASSISTED CVD REACTORS

This section describes the operation of microwave plasma-assisted diamond


CVD reactors with respect to discharge chemistry. The focus in this section
is on nongeometric input parameter variations. Whereas the previous section
described reactors of various sizes, shapes, and designs, this section focuses
on the general operation of microwave CVD reactors with respect to a va-
riety of nongeometric input parameters including input gas composition,
flow rate, and effect of impurities.
The growth of diamond films can be divided into a two-step process
beginning with substrate surface treatment or nucleation and followed by
the growth process. Nucleation can be initiated through one of a number of
processes including substrate surface scratching and bias-enhanced nuclea-
tion (BEN). The BEN process is usually performed in the same diamond
238 Grotjohn and Asmussen

deposition reactor as the diamond growth process. The BEN process consists
of applying a bias voltage to the substrate to nucleate or start the diamond
growth. This process is typically performed with a specific recipe that in-
cludes the bias voltage, bias time, and carbon percentage in the feed gas.
The diamond deposition reactor operating conditions are then changed once
the nucleation step is completed to facilitate diamond growth on the sub-
strate. This section, as well as the rest of this chapter, focuses on the diamond
growth process on a prenucleated substrate surface. Specifically, reactor de-
sign and operating conditions for bias-enhanced nucleation are not consid-
ered further in this chapter.
The diamond growth process and results are discussed in this section
with an emphasis on deposition done in microwave diamond CVD systems.
In particular, the influence of gas chemistry, gas impurities, deposition pres-
sure, and substrate temperature on the growth rate, quality, and texture of
diamond deposited in microwave CVD systems is covered. Using the control
formulation described in Sec. III.B, this section quantifies when possible the
relationships between the controllable input variables, U1 , and the diamond
deposition outputs, Y, for microwave diamond CVD systems.

A. Simplified Surface Reaction/Growth Description for


Microwave Plasma-Assisted CVD
The primary function of the microwave discharge is to create the radical
species that participate in the growth of diamond. For example, diamond
growth by using a hydrogen-methane mixture requires both atomic hydrogen
and carbon-containing radicals. The microwave discharge serves to disso-
ciate some of the molecular hydrogen into atomic hydrogen and to dissociate
the methane molecules into CH3 and possible other radicals such as CH2
and CH. A general view of the deposition process [25] is one where atomic
hydrogen is bonded to almost all of the surface. The primary mechanism
that opens sites on the surface is abstraction of surface-terminating hydrogen
by atomic hydrogen. The reaction and associated rate, Rabs⫺H , is given by

CdH ⫹ H → C *
d ⫹ H2

Rabs⫺H = k 1[H]

where k 1 is the reaction rate constant and [H] is the atomic hydrogen con-
centration (flux) at the surface. The abstraction results in an open site on
the diamond surface, which can be filled by either the adsorption of another
atomic hydrogen or a carbon radical species (e.g., CH3). The reaction and
associated rate, RadH , of hydrogen adsorption onto an open site, C d*, is
Microwave Plasma-Assisted Diamond Film Deposition 239

C d* ⫹ H → CdH
RadH = k 2 [H]
A growth species can also be adsorbed on to the surface with the reaction
and associated rate for an open surface site given by

d ⫹ CH3 → CdCH3
C*
RadC = k 3 [CHx ]
Once the growth species is on the surface, it can proceed along one of two
primary paths. The first path is thermal desorption from the surface leading
to an open site on the surface again. The reaction and associated rate for a
given adsorbed site are

CdCH3 → C d* ⫹ CH3
R des = k 4
The other pathway is the incorporation of the adsorbed carbon species into
the diamond structure. The general event that begins this mechanism in
growth models is an abstraction of a hydrogen from the adsorbed carbon
species.
CdCH3 ⫹ H → CdCH2 ⫹ H2
Rabs⫺CHx = k 5 [H]
When the species adsorbed on the surface is CH2 , the carbon is believed to
change to having two carbon-carbon bonds. Subsequent hydrogen abstrac-
tion and carbon incorporations complete the incorporation of the original
carbon atom into the diamond crystal. The conditions that determine the rate
of the five mechanisms listed above are surface temperature, gas-phase
atomic hydrogen concentration at the surface, and gas-phase carbon radical
concentration at the surface. In steady-state conditions, this set of reactions
can be combined to give a growth rate G given by [25,26]

G = k3
ns
nd
冉 k1
k1 ⫹ k2
冊 [CH3][H]
k4
⫹ [H]
(1)

k5
where ns is the surface site density (2.61 ⫻ 10⫺9 mol/cm2 on (100) surfaces)
and nd is the molar density of diamond (0.2939 mol/cm3).
During the deposition process, the surface is understood to be covered
nearly 100% with atomic hydrogen as carbon radicals are being deposited
in the diamond (sp3) phase. Low hydrogen surface coverage results in sp2-
like terminations on the diamond surface, which lead to defects and if the
240 Grotjohn and Asmussen

hydrogen coverage is low enough graphitic/amorphous film deposition. An


empirical model [25] for the defects, Xdef , incorporated during diamond
growth is
G
Xdef ⬀
[H]n
where n is an empirical fit that is often selected at n = 2. For n = 2 the
simplest defect concentration model is given by
[CH4 ]
Xdef ⬀
[H2 ]
Hence, the higher quality (lowest defect density) diamond is deposited when
the carbon species concentration in the input gas flow (e.g., methane con-
centration/hydrogen ratio) is low. Other work [4,27] has established the con-
dition for achieving diamond growth. One necessary condition is to produce
a flux of atomic hydrogen on to the surface that exceeds the carbon incor-
poration rate into the film by a factor of approximately 7000–11,000. Hence
a key function of the plasma discharge in microwave CVD reactors is to
provide a flux of atomic hydrogen that exceeds the carbon incorporation rate
by a factor in the range of 104.
To summarize, the many processes occurring on the diamond surface
include adsorption of atomic hydrogen and carbon-containing species, hy-
drogen abstraction from the surface, and desorption of carbon radicals from
the surface. The important variables for the diamond deposition process,
including the microwave plasma-assisted CVD systems being considered in
this chapter, are (1) substrate temperature, (2) atomic hydrogen flux, (3)
carbon radical flux and composition, and (4) other ‘‘impurity’’ species fluxes.
The rest of this chapter focuses on the discharge chemistry and diamond
deposition results for microwave plasma-assisted CVD systems.
Microwave plasma-assisted diamond CVD reactor performance as de-
scribed earlier in Sec. III.B can be looked at either as the input variable
settings determining the film properties (the approach used in this section,
Sec. V, of this chapter) or as the input variable settings determining the
reactor internal variables (e.g., [H], [CH3 ]) and then the internal variables
determining the film properties (the approach used in Secs. VI and VII of
this chapter). A somewhat reduced process variable model as shown in Fig.
15 is used as the basis for the discussion in the rest of this section. The
input variables are input reactant gas composition, input gas flow rate, sub-
strate temperature, input microwave power, and pressure. The output vari-
ables to be assessed include growth rate, diamond film quality, and film
texture. The approach in this section is to give a general discussion of the
trends for diamond growth in microwave CVD systems for a pressure range
Microwave Plasma-Assisted Diamond Film Deposition 241

Figure 15 Reduced variable model of the microwave plasma-assisted CVD


process.

from 1 to 200 torr for a variety of input gas chemistries. The key reactor
input parameters and process quantities to achieve diamond deposition uni-
formly across a substrate surface are as follows:
1. Establish the desired input gas flow composition and rate.
2. Establish and maintain a uniform and selected temperature across
the deposition surface of the substrate.
3. Establish the exit gas pumping rate to get the desired pressure.
4. At higher pressures construct the input gas flow, discharge cham-
ber, and exit gas flow to optimize the flow dynamics for uniform
diamond deposition.
5. Construct the reactor to prevent or minimize contamination of the
plasma discharge from leaks and reactor materials via wall erosion.
6. Input the microwave power to establish the plasma discharge. Op-
timize the input power magnitude, discharge chamber size and
cooling, microwave power absorption profile, and substrate posi-
tion to get uniform deposition across the substrate area.
The first generality in understanding the relationship of the deposition
chemistry and output variables is the region of diamond growth with respect
to input gas composition. The most commonly used input gas mixtures con-
sist of hydrogen-, oxygen-, and carbon-containing molecules. Because the
temperatures and activation of the gases in the plasma discharge are exten-
sive, a primary determining factor for the film composition is the C, H, and
O atomic molar composition of the input gas, regardless of the exact input
242 Grotjohn and Asmussen

species molecular composition. A useful summary of the input gas compo-


sition appropriate to grow diamond was developed by Bachman et al. in
1990 [1] and further refined in 1994 [28]. The plot in Fig. 16 shows such a
ternary C-H-O diagram. The carbon deposition region exists very near and
just above the H-CO line connecting 100% H with C-50% and O-50%, i.e.,
the crosshatched region shown in Fig. 16. The diamond growth region is
very narrow to nonexistent on the right end of the H-CO line where [H]
approaches zero and becomes wider on the left side of the H-CO line when
[H] is larger. Once a specific input gas composition is selected in Fig. 16,
the diamond deposition is still a function of a number of other variables.
Several other variables are important including pressure, flow rate, and sub-
strate temperature, as described in more detail in the following subsections.

B. Methane-Hydrogen Deposition Discharge


It is useful first to summarize the general trends for diamond deposition
using the simplest discharge chemistry consisting of methane and hydrogen.
To do this, some background needs to be developed first. The diamond
growth proceeds in a methane-hydrogen discharge as described earlier in
this section primarily to supply the [H] flux to the substrate surface and
carbon radical flux to the surface. The most important carbon flux in the
microwave plasma considered here is the CH3 methyl radical. To deposit
diamond, the [H] flux to the surface is quantified as being 7000 to 10,000
times larger than the carbon flux that is incorporated in the growing film
[4,27]. When the [H] is larger than this factor, diamond is deposited on a
diamond surface. Further, the larger the [H]/[CH3 ] ratio is at the growth
surface, the less sp2-bonded carbon and defects are incorporated into the film
and the higher is the diamond quality. The other general observation is that
the growth rate is proportional to the [CH3 ] flux to the surface as indicated
in Eq. (1).
The general trends for the growth rate versus temperature, pressure,
and methane percentage in microwave plasma-assisted diamond CVD sys-
tems are shown in Figs. 17, 18, and 19 respectively. The general trends are
1. An increase in the growth rate as the pressure is increased [29] as
shown in Fig. 17.
2. An increase in the growth rate as the temperature increases up to
a maximum, then a drop in the growth rate at higher temperatures
[5,30] as shown in Fig. 18.
3. An increase in the growth rate that is linear versus methane per-
centage at low methane percentages, that is sublinear versus meth-
ane concentration for moderate methane percentages, and that
Microwave Plasma-Assisted Diamond Film Deposition 243

Figure 16 C/H/O diagram of diamond CVD developed by Bachmann et al. (From


Refs. 1, 28.)

saturates versus methane concentration at higher methane concen-


trations. These trends can be seen in the experimental data shown
in Fig. 19. After the saturation value is reached, the growth rate
may drop slightly as the methane concentration is increased fur-
ther. Typically, in this high methane concentration region, nondia-
mond carbon or diamond with extensive secondary nucleation is
predominantly deposited [5,26].

1. Growth Rate versus Pressure and Methane Percentage


One objective of this chapter is to quantify some of the experimental data
obtained for microwave CVD systems across a wide parameter space. An
empirical model for the growth rate G is G ⬃ R␥ where R is the methane
fraction. In work by Harris and Weiner [31] the value of ␥ was pressure
244 Grotjohn and Asmussen

Figure 17 The total weight gain and absorbed microwave power density versus
pressure. Note that the data in the 30–80 torr range include 7.5-cm and 10-cm-
diameter substrates. The data above 80 torr are for 5-cm-diameter substrates. (From
Ref. 8.)

dependent, varying from ␥ = 1 at 20 torr to ␥ = 0.5 at 200 torr. This growth


rate model was developed in a hot-filament deposition system. A similar
model can be developed for the microwave deposition system. To develop
such a model for the microwave system we will consider data across a wide
range of pressure and methane concentrations. Some data showing deposi-
tion rate versus methane percentage and pressure are shown in Fig. 20 for
pressures of 18, 25, 53, and 135 torr [5,26,30,32]. The temperature used for
these growth rates is at or near the measured or generally expected temper-
ature range where the growth rate peaks. The methane concentration (#/unit
volume) in the plasma discharge is a function of the discharge pressure, the
discharge temperature, and the methane percentage in the input gas flow. In
a microwave plasma source the discharge gas temperature increases as the
pressure increases (see Sec. VI.B), assuming the discharge volume stays
approximately constant. The neutral density in the discharge gas is propor-
tional to (pressure)/(gas temperature). An approximate fit to the neutral gas
Microwave Plasma-Assisted Diamond Film Deposition 245

Figure 18 Diamond growth rate as a function of deposition temperature and meth-


ane concentration. (From Ref. 30.)

density versus pressure is given by density ⬃ (pressure)0.75 (see data in Sec.


VI.B). Hence the density does not increase linearly with the pressure because
of the gas heating that occurs as the pressure is increased. This is an em-
pirical fit that models the discharge neutral density versus pressure. The full
model for the growth rate is GTsmax = Cp0.75 R where C is a proportionality
constant, p is the pressure, and R is the methane percentage. Figure 20 shows
a plot of this model and experimental data for growth rates in microwave
CVD system for deposition pressures from 18 to 135 torr. This model is for
the region of methane concentrations where the growth rate varies linearly
with R.
The growth rate increases linearly versus the methane concentration
for low methane concentrations, but increases beyond this linear region are
characterized by the growth rate changing sublinearly versus methane in-
creases as shown in Fig. 19. This can be understood by looking deeper at
the carbon chemistry in the plasma discharge. The linear region at low meth-
ane concentrations is characterized by the growth species in the plasma
246 Grotjohn and Asmussen

Figure 19 Linear growth rate of diamond versus methane concentration. (From


Refs. 5 (a) and 26 (b).)
Microwave Plasma-Assisted Diamond Film Deposition 247

Figure 20 Comparison of growth rate model with experimental data from Refs.
5, 26, 30, and 32. The growth rate model equation is (growth rate = Cp0.75R). This
model is valid for low methane concentrations and for a range of substrate temper-
atures near the substrate temperature that gives a maximum deposition rate.

(CH3) increasing linearly with the methane concentration. Once the methane
concentration becomes large enough, the CH3 concentration no longer in-
creases linearly with the methane concentration as shown in Fig. 21 [26].
The gas-phase chemistry present in the discharge converts much of the CH4
to C2H2. This boundary where the CH3 percentage changes from a linear
relationship versus methane concentration to a sublinear relationship varies
as a function of pressure. A plot of the region of linearity versus pressure is
shown in Fig. 22 based on experimental data [5,26,30,32]. The region below

Figure 21 CH3 and atomic hydrogen concentration versus input methane per-
centage as modeled by Farhat et al. [26] for a pressure of 7000 Pa and a plasma
gas temperature of 2850 K.
248 Grotjohn and Asmussen

Figure 22 Regions of growth rate versus methane percentage as a function of


methane percentage and pressure based on the experimental data of Refs. 5, 26, 30,
and 32.

the dashed line exhibits a linear growth versus methane concentration. This
plot uses data for substrate temperatures in the range where the maximum
deposition rate occurs versus substrate temperature.

2. Quality versus Pressure and Methane Percentage


The quality of diamond films can be defined in a number of ways including
the number of incorporated defects and the Raman signal. Quality can also
be defined in terms of the intended application for the diamond. Some mea-
sures of quality include the number of defects incorporated in the diamond
crystals, the number or density/rate of secondary nucleation sites, the size
of individual crystals in the polycrystalline film, and the nature of the grain
boundaries. The general trend is that the larger the hydrogen flux is to the
surface and the slower the growth rate (carbon incorporation into the grow-
ing film), the higher is the quality. In terms of the Bachmann diagram, the
closer the plasma composition moves toward the C-O line, i.e., toward the
no-growth region, the higher the quality of the deposited film.
Because the definition of diamond film quality often depends on the
intended application of the film, a simple definition of quality will be
adopted here. This definition of quality has two regimes: the higher quality
deposition regime, which has well-defined crystals, and the lower quality
regime, which does not have well-defined crystals; i.e., it is extensively
renucleated and often referred to as cauliflower-like films. Alternatively, a
quality transition can be defined as the transition from a low secondary
nucleation value, where well-defined crystals are formed, to a high second-
ary nucleation value, where cauliflower-like growth occurs. This region of
Microwave Plasma-Assisted Diamond Film Deposition 249

high-quality growth in a methane percentage and pressure parameter space


is shown in Fig. 22 based on experimental data [5,26,30,32]. It is the region
below the solid line in the figure. The cauliflower diamond growth region
is above the solid line in Fig. 22.

3. Growth Rate versus Substrate Temperature


The deposition rate of diamond from methane-hydrogen gas mixtures gen-
erally shows a maximum rate versus substrate temperature as seen earlier in
Fig. 18. The temperature range of this peak is in the range 850–1150⬚C
with the location of the maximum deposition rate being dependent on the
pressure. Experimental results [5,26,30,32] have shown that the maximum
growth rate temperature, Tmax , increases gradually with pressure as shown
in Fig. 23. Some specific data for the growth rate versus substrate temper-
ature that yields the maximum growth rate include work by Gicquel et al.
[30] at 18 torr that gave peak values for substrate temperatures of 850–
950⬚C, Kondoh et al. [33] at 30 torr that gave peak values for substrate
temperatures of 900–1000⬚C and Kuo [5,29] at 135 torr that gave peak
values for substrate temperatures of 1050–1150⬚C. In even higher pressure,
nonmicrowave CVD processes, the substrate temperature of maximum dep-
osition rate at atmospheric pressure is 1200⬚C in work by Snail and Marks
[34].
At temperatures less than Tmax , the growth rate dependence on substrate
temperature is generally modeled with an Arrhenius expression using an
associated activation energy Ea . The general growth rate expression is

G ⬀ exp 冉 冊
⫺Ea
RT

Figure 23 Substrate temperature for maximum growth rate, Tmax , i.e., the shaded
region, versus pressure from Refs. 5, 26, 30, and 32.
250 Grotjohn and Asmussen

where G is the growth rate, R is the gas constant, and T is the temperature
in kelvin. In the temperature range 440–510⬚C, Ulczynski et al. [35] re-
ported an activation energy of 14.6 kcal/mol in the pressure range 5–15 torr.
Kondoh et al. [33] using a hot-filament system reported an activation energy
of 23 kcal/mol. The data of Gicquel et al. [30] in the temperature range
700–850⬚C showed an activation energy in the range 11–16 kcal/mol. In
fact, many results from growth rate measurements of polycrystalline dia-
mond deposition show activation energies of 15–30 kcal/mol [4].

4. Growth Rate versus Flow Rate


A key parameter determining the importance of the flow rate is the residence
time of the feed gas in the deposition chamber. The residence time, t, is
given by t = V/F where V is the discharge chamber volume and F is the
flow rate scaled to the pressure in the chamber. Example residence times in
a typical discharge chamber range from 1 sec to a few minutes. For example,
a 200 sccm (STP) flow is 7600 cc/min at 20 torr and 1000 cc/min at 150
torr. So if the discharge chamber volume is 1 L (1000 cm3) the gas residence
time is about 8 sec at 20 torr and 60 sec at 150 torr. While the feed gas is
within the plasma discharge region, it is undergoing chemical reactions and
some of the carbon is being deposited as diamond on the substrate. A mea-
sure of how much of the carbon in the input feed gas is deposited on the
diamond substrate is the carbon conversion efficiency. The carbon conver-
sion efficiency increases as the flow rate is reduced as shown for some
experimental data in Fig. 24 [29,36,37].
In terms of the effect of this carbon consumption (or sink) on the gas-
phase chemistry, lower flow rates lead to a gas-phase composition of the
discharge that has a lower carbon content than present at higher flow rates.
For example, if the input flow has a carbon input of 0.01 mole fraction and
the carbon conversion efficiency is 25%, then the exit gas has a carbon
concentration of 0.0075 mole fraction. Because of the long gas residence
time in typical discharge chambers for diamond growth, the exit gas com-
position can be considered a close approximation of the carbon mole fraction
in the chamber. Hence, to first order a lower flow rate is equivalent to a
lower carbon concentration. This is particularly true when the carbon con-
version efficiency is high. However, the possible anticipated higher quality
diamond expected from lower carbon concentrations (i.e., lower flow rates/
higher carbon conversions) is often not observed because another effect of

>
Figure 24 Carbon conversion efficiency versus flow rate. (From Refs. 36, 37.)
Microwave Plasma-Assisted Diamond Film Deposition 251
252 Grotjohn and Asmussen

lower flow rates and the associated longer gas residence times is that any
leaks or chamber wall erosion will lead to larger impurity concentrations in
the gas discharge. Such impurities can alter and sometimes degrade the
deposited film process and properties.
An example of the influence of flow rate is the work by Ralchenko et
al. [37]. A microwave system using methane and hydrogen at 100 torr, 2.45
GHz and a substrate temperature of 720⬚C was utilized to grow diamond at
flow rates ranging from 60 to 1000 sccm. They found the highest quality
diamond films intended for millimeter wave windows were deposited at the
higher flow rates. This result is suggestive of some impurity in the deposition
process being reduced by the higher flow rate.

5. Growth Rate versus Deposition Time


The growth rate as a function of the time is not constant for the entire
duration of the film growth process. Initially the growth rate is slow as the
film first nucleates and grows to cover the entire surface of the substrate.
Next the growth rate increases as the film texture is formed and the crystals
oriented with their fastest growth direction perpendicular to the substrate
surface outgrow the other less favorable crystal orientations. Finally, once
the film has become well textured, the growth rate becomes constant versus
time. This phenomenon is indicated in Fig. 25a for growth at a pressure of
135 torr for 120 h [5]. The same effect was demonstrated in the work of
Ralchenko et al. [37] at a pressure of 100 torr as shown in Fig. 25b.

6. Dependence of Film Texture and Growth Parameter ␣ on


Discharge Chemistry
As diamond grows, the shape of the crystals depends on the growth rate of
the various surfaces of the crystals. A useful measure for understanding the
relationship of growth on various surfaces is to study the growth along some
of the primary directions in the diamond crystal structure. One finds that the
growth rate along the three directions [100], [110], and [111] changes as a
function of gas chemistry and substrate temperature [38]. The growth rate
along the [110] direction is generally the fastest. As a result the {110} sur-
faces are rarely seen in the polycrystalline films grown in CVD reactors,
including microwave reactors. This occurs because any (110) surfaces will
grow out quickly, leaving other surfaces with slower growth rates defining
the crystal.
The growth rate in either the [100] direction or the [111] direction is
next fastest depending on the growth conditions. Maeda et al. [39] did a
study of the growth rate along these two directions in a microwave CVD
Microwave Plasma-Assisted Diamond Film Deposition 253

Figure 25 (a) Linear growth rate of as a function of deposition time [5]. (b)
Growth rate versus film thickness. (From Ref. 37.)
254 Grotjohn and Asmussen

reactor. The study (Fig. 26) was done so that the growth rates along the
[100] and [111] directions were measured as a function of methane concen-
tration and substrate temperature. A useful parameter for understanding how
the growth rates along the various directions determine the crystal shape is
the growth parameter ␣ defined as

V100
␣ = 兹3
V111

where the growth rates along the [100] and [111] directions are given by
V100 and V111 , respectively. When ␣ = 1 or less, the [111] direction grows
quickly and the individual isolated crystals formed are cubes with (100)
surfaces as shown in Fig. 27. Fig. 27 shows the diamond crystals that grow
for values of ␣ ranging from ␣ = 1 to ␣ = 3 [40]. Also shown in Fig. 27 is
the direction of fastest crystal growth for the various ␣ parameter values.
The direction of fastest growth is indicated by the arrow by each cubo-
octahedral shape. For ␣ = 3 or larger, the [100] direction grows most quickly
and the crystal shape formed has (111) surfaces. Conversely, for ␣ = 1 or
less, the [111] direction grows most quickly and the crystal shape is formed
by the more slowly growing (100) faces. In the case of ␣ = 1 or less, the
longest direction in the crystal is the [111] direction. For ␣ = 3 or larger,
the longest direction in the crystal is the [100] direction. At an intermediate
value of ␣ = 1.5 the longest direction in the crystal is [110]. A plot of the
␣ parameter versus methane concentration and substrate temperature from
the work of Maeda et al. [39] is shown in Fig. 28.
The picture becomes more complicated when a polycrystalline film is
grown because many crystals intersect and overgrowth occurs. The growth
rates along the [100] and [111] directions determine the texture of the film
and the surface morphology of the film. The texture of the film is the crystal
direction that is longest in the individual crystals of the polycrystalline film.
The texture in polycrystalline diamond films develops because of the crystals
which have an orientation that has a direction of fastest growth perpendicular
to the substrate surface. These crystals overgrow the crystals with other
orientations. This is shown in Fig. 29, where a random orientation of small
crystals is shown at the bottom of the film. As the film grows, the crystals
that grow with their direction of fastest growth perpendicular to the surface
become taller than their competitor crystals. Ultimately, these crystals with
their fast growth direction normal to the substrate in Fig. 29 overgrow the
competition, ending the possibility of further growth of the other crystals
with less preferred orientations. A reliable measure of the ␣ parameter can
be obtained using a texture analysis of the polycrystalline films from x-ray
diffraction measurements.
Microwave Plasma-Assisted Diamond Film Deposition 255

Figure 26 Growth rate along the [100] direction (a) and along the [111] direction
(b) versus substrate temperature for various methane concentrations. (From Ref. 39.)
256 Grotjohn and Asmussen

Figure 27 The cubo-octahedral shapes that result as the ␣ parameter is varied


from 1.04 to 2.85. These are the shapes for isolated crystallites. (From Ref. 40.)

Measurements of the velocity of growth of diamond along various


crystalline directions in microwave CVD systems have been made in work
by Wild, Koidl, and their colleagues [41,42] as shown in Fig. 30 and by
Maeda et al. [39] as shown in Figs. 26 and 28. The trend shown in these
studies is that as the temperature increases the ␣ factor decreases. Also, these
studies in general showed that as the methane percentage is increased, the
␣ factor increases. These figures display the general trends for ␣ only. The
exact value of ␣ at a specific substrate temperature and methane percentage
may change from reactor system to reactor system because different reactor
geometries result in a different relationship between the input, internal, and
output variables; i.e., the different reactor geometries discussed in Sec. IV
have unique and different Pt , p, and Ts operating curves as discussed earlier
in Sec. III.A.3. As will be noted in the following sections on the influence
of various impurities, the addition of impurities also affects the growth along
various crystalline directions and consequently the ␣ parameter value.
The other property of polycrystalline diamond films strongly dependent
on the growth rates in various directions is the surface morphology. The
surface morphology can be defined as the crystal shapes, orientations, and
Microwave Plasma-Assisted Diamond Film Deposition 257

Figure 28 Growth rate ratio ([100]/[111] growth rate ratio) versus substrate tem-
perature for various methane concentrations. (From Ref. 39.)

sizes as observed on the top surface of the growing polycrystalline film.


Relating the direction of maximum growth rate and ␣ with the surface mor-
phology of polycrystalline films must be done with great care. To appreciate
this relationship, consider some randomly oriented seed crystals grown with
␣ = 1. As the individual crystals grow, they will have a cubic shape similar
to the shape shown for ␣ = 1 in Fig. 27. Once the cubes start growing
together, the surface will initially have the appearance of many cubes all of
random orientation with respect to each other and the substrate surface. As
the film grows to a larger thickness, the 具111典 texture forms because for ␣
= 1 the [111] direction grows fastest (see the arrow direction for the ␣ = 1
shape in Fig. 27). So as the film grows perpendicular to the substrate surface,
the [111] direction will dominate and clear cube shapes will no longer be
clearly seen. Rather, the corners of the cubes formed by overlapping and
overgrowing crystals will be seen on the top surface. As a general statement,
what the surface of films thick enough to have developed texture looks like
can be roughly estimated as that seen by looking back down along the
direction of the arrow for the crystals in Fig. 27.
Commonly, films with square {100} facets are desired, and these can
be formed in two basic ways. The first way is to operate with ␣ near 1. This
will produce the square shapes during the initial stages of growth when the
film thickness is only on the order of the initial spacing between the seed
258 Grotjohn and Asmussen

Figure 29 Sketch of polycrystalline diamond film growth from isolated, randomly


oriented crystals shown at the bottom of the figure. The dashed lines indicate the
grain boundaries. The solid lines show the surface of the film at selected times.
(From Ref. 40.)

crystals or nucleation sites. Specifically, randomly oriented seed crystals will


grow into small cube shapes with ␣ = 1. Continued growth under low sec-
ondary nucleation conditions will form a 具111典 texture because this direction
grows the longest dimension (fastest). So observing square (100) facets when
the films are thin may indicate values near ␣ = 1. The square facets in this
case have orientations that are not well aligned with the substrate surface,
i.e., faces parallel to the growth surface. Another way to form square facets
is to grow a thick film with a 具100典 texture. This is done by using ␣ value
slightly less than 3. As the film grows thick, the texture forms and the [100]
directions are perpendicular to the substrate surface. The value of ␣ slightly
less than 3 leads to the square tops on the crystals in the film. See, for
example, the square tops on the crystal shapes for ␣ = 2.0 and ␣ = 2.25 in
Fig. 27. These square facets are well aligned parallel to the surface of the
growing film because of the strong texture of the film. This is the growth
condition that leads to smooth coplanar (100) faceted films. So care must
be used in interpreting the ␣ parameter value from just the examination of
the surface morphology of polycrystalline films.
Microwave Plasma-Assisted Diamond Film Deposition 259

Figure 30 Growth parameter (␣) versus methane concentration for three substrate
temperatures. An ␣ parameter of 1.5 gives a 具110典 texture and an ␣ parameter of
3.0 gives a 具100典 texture. (From Refs. 41, 42.)

Once the ␣ parameter has been mapped, two-step processes [43] have
been developed that use an ␣ = 3 or near 3 to grow highly 具100典 textured
films during the initial growth phase. This gives the film structure shown in
Figs. 31a and 32b. Then for the second growth phase the growth conditions
are changed so that the ␣ parameter is reduced in value. This increases the
relative growth rate of the [111] direction relative to the [100] direction and
the film growth fills in the valleys located between the (100) faces present
in the initial growth phase. The resulting film has a top surface covered with
(100) facets all reasonably parallel with the substrate surface as shown in
Figs. 31b and 32b.
Another factor that influences the surface morphology is the extent and
influence of twinning that can occur. Wild and coworkers [42] indicated that
the ␣ parameter also influences the formation and effect of twinning. They
found that for ␣ > 2 the {100} facets are stable with respect to twinning.
260 Grotjohn and Asmussen

Figure 31 Schematic drawing of a two-step diamond deposition process. Step one


grows the film so that is has a 具100典 texture, then step two grows the film so that
smooth (100) faces dominate the top surface of the film. (From Ref. 43.)

Stable means that if a small twin occurs on a (100) face it will disappear as
the film continues to grow. Conversely, an unstable condition is one where
a small twin grows larger as the growth continues. The other stability con-
dition noted in their work was that for ␣ < 1.5 the {111} facets are stable
with respect to twins with an inclined twin plane.

C. Influence of Oxygen (Hydrogen-Methane-Oxygen


Discharges)
The addition of oxygen to the input gas flow, either as molecular oxygen,
O2 , or as another gas such as CO or CO2 , can be useful for diamond dep-
osition. The use of some oxygen in the input gas (either O2 , CO, or CO2)
generally yields films with larger grain sizes and less sp2 material. Also, the
inclusion of oxygen has been shown to increase the deposition rate in some
cases and the addition of oxygen allows deposition to occur at lower sub-
strate temperatures.
Microwave Plasma-Assisted Diamond Film Deposition 261

Figure 32 SEM pictures of a polycrystalline diamond film after step one (a) and
after step two (b and c) as described in the caption of Fig. 31. (From Ref. 43.)
262 Grotjohn and Asmussen

A basic requirement to achieve diamond deposition is that the C/O


ratio must be near one (more specifically, equal to or slightly greater than
one as shown earlier in the crosshatched region of Fig. 16). For oxygen-rich
discharges no diamond growth occurs and for carbon-rich discharges (except
those with large atomic hydrogen concentrations) nondiamond carbon is de-
posited. Any excess oxygen will etch the carbon from the surface, preventing
growth, and any excessive carbon in large amounts is deposited as nondia-
mond carbon films. In general, a trade-off exists between higher growth rates
(and the associated lower quality) that occur on having slightly more carbon
versus lower growth rates (and the associated higher quality) that occur at
slightly higher oxygen concentrations.
Some additional understanding of diamond deposition with oxygen-
containing discharges can be achieved by considering the gas-phase chem-
istry. The highly activated, high-temperature discharge environment of dia-
mond deposition drives the mixture of carbon and oxygen species in the
reactor toward primarily a CO molecular composition. If the feed gas mix-
ture has substantial percentages of oxygen and carbon present, most of the
oxygen and carbon are converted into CO.
Four statements can be made regarding the influence of oxygen on the
diamond deposition process:
1. In general, with the addition of oxygen, the substrate temperature
can be dropped 100–150⬚C without a loss of growth rate [10].
2. The use of oxygen changes the growth rate, under some conditions
to a higher rate. Figure 33 shows the growth rate versus percent
oxygen (O2) added in the feed gas flow [44]. The deposition con-
ditions used in this study by Weimer et al. [44] consisted of a
tubular reactor operating at a 21 torr pressure, 170 W microwave
power, and a 97 sccm H2 flow rate. The top portion of the figure
shows the growth rate with a 2 sccm methane flow. It can be seen
that as the oxygen flow is increased from 0 to 0.06% in the feed
gas, the growth rate increases by a factor of 1.6. A similar phe-
nomenon occurs for an acetylene feed gas as shown in the lower
portion of Fig. 33. Also observed is that as the oxygen percentage
increases further the growth rate drops. This presumably occurs
because as the oxygen content in the discharge is increased more
CO is generated, leaving less active carbon species available for
deposition. Further, as the feed oxygen percentage increases, more
oxygen radicals are available to etch the surface. In another study
[10] of the influence of oxygen on the growth rate, the gas-phase
chemistries near C/O/H = 1:1:1 gave a maximum deposition rate.
This can be seen in Fig. 34, where the deposition rate peaks at
the C/O/H = 1:1:1 condition [10].
Microwave Plasma-Assisted Diamond Film Deposition 263

Figure 33 Diamond growth rate versus oxygen percentage in the feed gas flow.
The hydrogen gas flow rate was 97 sccm. (From Ref. 44.)

3. The oxygen reduces sp2 incorporation in the film and also reduces
secondary nucleation, which leads to larger crystals and altered
surface morphology. This is believed to occur because of the in-
creased etching effect of oxygen radicals on graphitic structure in
the film [45]. It has also been noted by Harker and DeNatale [46]
that the crystallite size increases as increasing oxygen content is
264 Grotjohn and Asmussen

Figure 34 Diamond growth rate versus C/H/O feed gas composition for two pres-
sures of 70 and 140 torr. The feed gas composition of C/H/O = 1:1:1 gave the
highest growth rate. (From Ref. 10.)

added to the gas feed due to increased reactive etching rates during
deposition that result in decreased crystal defect density.
4. The introduction of oxygen into the feed gas influences the ␣
parameter (growth rates along the [100] and [111] directions), film
texture, and film morphology. Figure 35 shows a plot of the pa-
rameter ␣ versus methane concentration at a fixed substrate tem-
perature of 800⬚C [42]. The first of two observations to be made
is that when oxygen is present in the discharge, variations in the
methane concentration produce changes in the ␣ parameter in a
manner similar to the no-oxygen case (i.e., ␣ increases as methane
concentration increases). The second observation is that oxygen
introduction with other conditions held constant produces shifts in
the ␣ parameter and hence the texture and surface morphology.
The change of ␣ (as indicated by film texture) with changes in the
oxygen input flow was also demonstrated by Gu et al. [47]. In
their study CO (0–10%) was added to a 100 sccm H2 and 1 sccm
CH4 feed gas flow. The deposited films showed a large increase
in the (100) XRD peak (more 具100典 texture) as the CO flow in-
creased from 0 to 1%. Further, as the CO flow percentage in-
Microwave Plasma-Assisted Diamond Film Deposition 265

Figure 35 Growth parameter ␣ versus methane concentration for two different


carbon monoxide concentrations of 17 and 67%. The substrate temperature was
constant at 800⬚C. (From Ref. 42.)

creased to 4% and 10% the (100) XRD peak decreased, indicating


a reduction in the 具100典 texture. A full mapping of the ␣ parameter
dependence on oxygen content, hydrogen content, carbon content,
and substrate temperature has not been done [40].

D. Influence of Nitrogen Gas on Diamond Synthesis


In the mid-1990s it was demonstrated experimentally that the addition of
small amounts of nitrogen (N2) gas to the conventional CH4-H2 diamond
film synthesis gas has an important impact on the CVD synthesis of diamond
films [48–53]. For example [49], high N concentrations result in nanocrys-
talline and black films containing graphite or amorphous carbon, and very
high N concentrations, i.e. 20% N concentration can completely inhibit di-
amond growth. In contrast, the addition of only 20–100 ppm of nitrogen
can have a beneficial effect. At a deposition pressure of 20–60 torr, an N
266 Grotjohn and Asmussen

concentration of only a few tens of ppm stabilizes the formation of smooth,


具100典 textured diamond films.
In particular, Locher et al. [48] using a tubular reactor demonstrated
that at intermediate methane concentrations (1–2%) at 37 torr, the addition
of several tens of ppm of N2 stabilized the formation of smooth 具100典 tex-
tured diamond films. When no nitrogen was added with the CH4 percentage
held equal to 1.5%, the film deposited had fine grains indicative of a high
secondary nucleation rate or high twinning rate. When the nitrogen input
level has set to 60 ppm, well-defined (100) faceted crystals grew with 具100典
texture. The introduction of nitrogen also increases the growth rate along
the [100] direction which shifts the ␣ parameter to a higher value. This was
also shown in the tubular reactor experiments of Jin and Moustakas [49].
The texture of the films shifts from 具110典 to 具100典 when tens of ppm to a
few hundred ppm of nitrogen is added. This is further demonstrated in a
homoepitaxial study by Wild et al. [50], who showed that the addition of
10–50 ppm of nitrogen increased the [100] growth rate much more than the
[111] growth rate. Hence, the addition of small amounts of N2 to the dep-
osition process can be used to stabilize the 具100典 growth surface.
At higher deposition pressures and higher input power densities, the
deposition rate was observed to increase by a factor of 3–5. Muller-Sebert
et al. [51], employing a tubular reactor that operated at a pressure of 167
torr, a deposition temperature 830⬚C, and a methane concentration of 3%,
demonstrated that the addition of only 25 ppm of nitrogen changed the
growth rate from 2.6 to 13 ␮m/hr.
Asmussen et al. and Ayres et al. [52], using a microwave cavity plasma
reactor as shown in Fig. 6b, also studied the influence of nitrogen concen-
tration from 5 to 1000 ppm at pressures of 38 and 50 torr. They noted a
variation in growth rate of less than 10% over the range 5–1000 ppm. The
substrate temperatures used in this study were T = 850⬚C at 38 torr and T
= 910⬚C at 50 torr. Examples of how the nitrogen addition influenced the
surface morphology are shown in Fig. 36. These experiments indicate that,
as in the tubular reactor experiments, the addition of small amounts (200–
400 ppm) of nitrogen stabilizes the growth of high-quality 具100典 faceted
films. However, the actual threshold nitrogen concentrations and the varia-
tion in these threshold concentrations versus the other independent experi-
mental variables differ considerably from the tubular reactor performance,
suggesting that reactor geometry has an important impact on the deposition
process in the presence of nitrogen impurities.
It is also interesting to note that even though nitrogen has a strong
effect on the growth process, only a very small amount of the nitrogen is
actually incorporated into the film [49,53]. Typical values for the incorpo-
Microwave Plasma-Assisted Diamond Film Deposition 267

Figure 36 SEM images of nine samples deposited with 200 sccm flow rate, 38
torr pressure, and 1% methane. Nitrogen gas-phase concentration and diamond Ra-
man signal peak width are given at the top of each micrograph. (From Ref. 52.)

ration probability are 2 ⫻ 10⫺4 for the {100} faces and 7 ⫻ 10⫺4 for the
{111} faces [53].

E. Chlorine- and Fluorine-Based Deposition Chemistries


Diamond has been deposited with halogen gases and halogen-containing
gases included in the feed gas flow. Halogen-containing gas mixtures in-
cluding CF4 , Cl2 , CH3F, CH3Cl, C2H2Cl2 , C2H5Cl, C3F8, and others have
been used to grow diamond [10,54]. Some of the advantages of using hal-
268 Grotjohn and Asmussen

ogens as noted in the literature include enhancement of growth rate, im-


provement of diamond quality, and reduction of growth temperature. The
role of chlorine or fluorine in the deposition process is believed to be due
to hydrogen abstraction from the surface. A model [55] for fluorine and
chlorine is that the abstraction of the hydrogen from the surface is done
more quickly by atomic fluorine and atomic chlorine at lower temperatures.
This opens up sites more often for the attachment of a carbon species (such
as CH3).
The region of diamond growth for the C/H/Cl system in a microwave
CVD system [10,56] occurs for a mixture of predominantly hydrogen with
carbon composition percentages of 2–3% or less and chlorine composition
percentages of 40% or less to get diamond. The region of diamond growth
for the C/H/F gas composition was again for a mixture of predominantly
hydrogen with C percentages of 2% or less and a fluorine composition per-
centage of 12% or less.
The advantage of using halogen to lower the deposition temperature
in hot-filament systems is well documented. Such an advantage is less clear
in microwave CVD systems. Bachmann et al. [10,56] reported studies of
C/H/F and C/H/Cl systems in which no distinct advantage in terms of
growth rate or lower deposition temperature was found as compared with
the C/H/O system.

F. Inert Gas/Methane/Hydrogen Deposition Chemistries


Two basic influences of adding a noble or inert gas to the input gas flow
for diamond deposition have been identified. The first is an enhancement of
the atomic hydrogen and the growth species CHx concentration in the plasma
discharge by the addition of Xe. This work was done by Hosomi and co-
workers [57]. They observed an increase in the deposition rate of diamond
on adding 1% Xe to the feed gas composition of 1 part methane and 99
parts hydrogen. They used a microwave CVD system operating at 800 W
2.45 GHz, and 40 torr. The low excitation energy level of Xe resulted in a
plasma discharge with a higher electron density. This then produced more
atomic hydrogen and CH3 and a 50% increase in the deposition rate with
the Xe addition.
The second influence of inert gases occurs when large quantities of a
noble gas are added to the input gas flow. In a series of studies [58,59] at
Argonne National Laboratory, large percentages of argon in the input gas
flow together with low hydrogen percentages have been used to deposit
nanocrystalline diamond. Work by the Argonne group has shown that for
Ar/H2 /CH4 (1% CH4) discharges the transition from a discharge containing
no argon (hydrogen dominant) to one containing no hydrogen (argon dom-
Microwave Plasma-Assisted Diamond Film Deposition 269

inant) is accompanied by fundamental changes in the plasma chemistry,


growth process, growth rate, and deposited film properties. However,
throughout the entire variation of hydrogen-argon compositions with a small
percentage of methane (⬃1%), diamond is grown. The plasma chemistry is
observed to change from a plasma dominated by CH3 and H radicals at high
hydrogen concentrations to a plasma having a high C2 concentration at high
argon concentrations. Corresponding to the discharge chemistry changes, the
diamond growth scenario for 70–99% hydrogen is dominated by the CH3
growth species, for the 20–70% hydrogen region the growth rate is domi-
nated by the C2H2 species, and for the low hydrogen content region (less
than 20%) the growth is dominated by the C2 species [59]. The C2 species
is postulated as both a diamond growth species and a diamond nucleation
species. The use of a predominantly argon discharge with small amounts of
CH4 (⬃1%) and small amounts of hydrogen (0 to a few percent) permits
the deposition of very smooth diamond films with randomly oriented 3- to
10-nm crystallites of diamond. Such diamond is referred to as nanocrystal-
line diamond.

VI. INTERNAL VARIABLES

Several researchers have studied the internal variables in microwave plasma-


assisted CVD diamond deposition reactors. These studies have quantified
some of the relationships of input to internal variables. Some of the internal
variable quantities measured have been species concentrations, gas temper-
ature, electron density, electron temperature, and microwave power absorp-
tion density versus various input parameters. In this section these internal
variable studies are reviewed with an emphasis on the studies that quantified
the internal variable values. In particular, optical emission studies that pro-
vide only relative signal levels or relative concentration levels are not con-
sidered in this review.

A. Species Concentrations
Mitomo and coworkers [60] used in situ Fourier transform infrared (FTIR)
spectroscopy to measure the molecular species concentrations in the micro-
wave discharge region. In their study they used a number of different input
gas mixtures including CH4 ⫹ H2 , C2H2 ⫹ H2 , C2H4 ⫹ H2 , CH3OH ⫹ H2,
C2H5OH ⫹ H2 , CO ⫹ H2 , CCl4 ⫹ H2 , and CH4 ⫹ O2 ⫹ H2 for the discharge.
The reactor was a tubular unit, shown in Fig. 5, operated at 2.45 GHz with
a 4-cm-diameter tube, 30 torr pressure, and 300 W microwave power. The
carbon-containing species observed using FTIR included CH4 , C2H2 , C2H4,
270 Grotjohn and Asmussen

and CO. The CH4 and C2H2 species were observed under all the conditions
studied, while C2H4 was observed only when higher concentrations of carbon
input gases were used. Lastly, CO was produced and measured when oxygen
was present in one of the input molecular gas species. The species CH3OH,
C2H5OH, and CCl4 were not seen in the discharge, indicating that plasma
conditions were effective at dissociating these molecules. As shown in Fig.
37, when the input gas contained no oxygen the dominant species for low
percentages of input carbon gas flow (i.e., 0.5% or less) was methane and
the dominant species for percentages above 0.5% was acetylene. This was
true regardless of the molecular form of the input gas. Another observation
was that the addition of oxygen to the discharge reduced the concentrations
of CH4 and C2H2 in the discharge as compared with the levels when no
oxygen was present. However, the CO species concentration increased as
oxygen was added. Hence, the discharge conditions in microwave CVD

Figure 37 Concentration of CH4 , C2H2 , and C2H4 in a microwave discharge region


as measured by FTIR absorption spectroscopy versus percent methane in the feed
gas at an operating pressure of 30 torr with no oxygen in the feed gas. (From
Ref. 60.)
Microwave Plasma-Assisted Diamond Film Deposition 271

reactors favor the formation of CO; i.e., the addition of oxygen results in
bonding of carbon with oxygen, forming CO.
A mass spectrometric study by Weimer et al. [44] found similar results.
They used a differential pumped quadrapole mass spectrometer to sample
the exhaust gas from a microwave tubular reactor being operated for the
deposition of diamond. The reactor had a 1.25-cm-diameter tube operating
at a pressure of 21 torr, a microwave power of 170 W, and a frequency of
2.45 GHz. The input gas flow consisted of hydrogen, oxygen, and various
carbon-containing molecules including CH4 , C2H2 , C2H4 , and C2H6 . The
species detected in the exhaust gas included CH4 , C2H2 , CO, and H2O.
Figure 38 shows plots of the various detected species as a function of the
oxygen percentage in the input gas flow (0–3%). Once again, the dominant
carbon species at low oxygen input was either CH4 or C2H2 . At oxygen flow
rates of 1% or greater the dominant species was CO and the concentrations
of methane and acetylene dropped as the oxygen flow increased.
Using a molecular beam mass spectrometer that is capable of measur-
ing radical and stable species in the discharge, Hsu [61] studied diamond
deposition in an ASTeX microwave reactor (see Fig. 7). The experimental
structure was constructed so that the sampling point of the discharge was a
hole in the center of the substrate holder. The deposition conditions used
during the mass spectrometer measurements included a substrate temperature
of 1073 K, a pressure of 20 torr, and an input microwave power of 800 W.
The species detected by the spectrometer included H2 , H, CH3 , CH4 , and
C2H2 . The results, which are summarized in Fig. 39, show measured con-
centrations versus the methane input flow percentage from 0.2 to 3%. The
two radicals measured were atomic hydrogen and the methyl radical, CH3 .
The dominant carbon species at low methane input flow was methane,
whereas at methane flow rates of 0.5% and greater the dominant species
was acetylene. The measured hydrogen mole fraction was about 10⫺3. This,
of course, is the measured value at the surface of the substrate holder where
the discharge is sampled by the spectrometer. It was further estimated by
Hsu that the H-atom mole fraction in the center of the discharge located
above the substrate holder would be 8%. He also found that the hydrocarbon
chemistry in the microwave system was largely unchanged from that found
in thermal systems (i.e., hot-filament systems). This was due to the carbon-
hydrocarbon chemistry being driven by the gas temperature and the atomic
hydrogen concentration. The primary effect of the plasma was suggested to
be the dissociation of the hydrogen molecules to atomic hydrogen.
Erickson et al. [62] measured in a unique microwave reactor config-
uration other radical species concentrations in a microwave discharge reac-
tor. The measurement technique used was absorption spectroscopy. The spe-
cies measured included CH3 and CH at a pressure of 20 torr in the discharge.
272 Grotjohn and Asmussen

Figure 38 Diamond deposition reactor exhaust gas composition versus oxygen


percentage in the feed gas for input gas flows of 97 sccm hydrogen and (a) 2 sccm
CH4 , (b) 1 sccm C2H6 , (c) 1 sccm C2H4 , and (d) 1 sccm C2H2 . (From Ref. 44.)
Microwave Plasma-Assisted Diamond Film Deposition 273

Figure 39 Mole fraction of chemical species measured in a microwave plasma


CVD system versus variation in the methane fraction in the feed gas. Measurements
done using a molecular beam mass spectrometer. (From Ref. 61.)

Based on the measured values of CH3 and CH at 20 torr, the atomic hydro-
gen mole fraction was estimated to be 0.8% or less.
Wouters and coworkers [63] looked at hydrogen discharges created in
a 1.6-cm-diameter tubular microwave reactor operating in the pressure range
from 2 to 40 torr. They used the two-photon laser-induced fluorescence (LIF)
method to measure the atomic H density when this discharge was operated
in a pulsed mode with a 40% duty cycle at 100 Hz using 200 W of power.
The results obtained for the absolute atomic hydrogen density and mole
fraction are shown in Fig. 40. They reported gas temperatures in the dis-
charge region were 1600 K at 40 torr and 1200 K at 2 torr as measured by
H-atom Doppler broadening. The atomic hydrogen mole fraction can be seen
to vary from 8% at 2 torr to 2% at 40 torr.
Atomic hydrogen mole fraction in an LIMHP-France bell jar micro-
wave CVD reactor (shown in Fig. 10) was measured by Gicquel and co-
workers [26,64]. They used the method of actinometry, where the emission
signals are properly calibrated so that absolute densities can be obtained.
Actinometry is a technique that looks at the strength of optical emission
274 Grotjohn and Asmussen

Figure 40 Atomic hydrogen percentage and density versus pressure. (From Ref.
63.)

signals from the discharge. Specifically, the emission from atomic hydrogen
and the emission from a gas added at a low concentration (single-atom gas
such as argon) are both measured from the same discharge. If the electron
excitation energy and cross section of excitation of the two emitting species
are similar, then the density of the atomic hydrogen species can be deter-
mined because the density of the argon species is known from the input
flow rate. Further, if the signal intensities are properly calibrated, the ab-
solute densities can be determined. In this case the results obtained for the
atomic hydrogen concentration are shown in Fig. 41 [26,64]. The system
used for this measurement was a microwave resonant reactor with a 5-cm-
diameter substrate and a 10-cm-diameter discharge region. The power den-
sity variation (6–30 W/cm3) was obtained by varying the pressure and the
input power to obtain the same plasma volume as the pressure was increased
from 18 to 100 torr. The power density and pressure are strongly coupled
with pressure increases producing power density increases. As shown in Fig.
41a, the atomic hydrogen mole fraction increases from about 2 to 20% as
the pressure increases. Figure 41b displays the variation of the atomic mole
fraction of hydrogen as the methane concentration is increased from 0 to
over 5%. Only a slight decrease is observed at the low power density of 9
W/cm3. At the higher power density of 22.5 W/cm3, no significant change
in the atomic hydrogen concentration is observed as the methane concentra-
tion increases from less than 1% to 6%.
Microwave Plasma-Assisted Diamond Film Deposition 275

Figure 41 Atomic hydrogen mole fraction versus the microwave power density
in part (a) and versus methane concentration in part (b). (From Ref. 26.)

B. Neutral Gas Temperature


The neutral gas temperature of the discharge in microwave plasma-assisted
diamond deposition reactors has been measured in a number of ways in-
cluding Doppler broadening of optical emission signals, Doppler broadening
of LIF signals, coherent anti-Stokes Raman spectroscopy (CARS), and ro-
tational temperature of optically emitting molecules. One of the most sys-
tematic studies of the gas temperature in a diamond deposition reactor has
been done by Gicquel and coworkers [65,66]. They used all four of the
techniques just listed to measure the gas temperature in an LIMHP-France
bell jar microwave discharge reactor (Fig. 10) operating at 2.45 GHz. This
reactor had a discharge diameter of 10 cm and a substrate diameter of 5 cm.
276 Grotjohn and Asmussen

The results found by measuring the line-of-sight Doppler broadened emis-


sion of atomic hydrogen are shown in Fig. 42. The gas temperature ranges
from 1600 K at the low power density (18 torr) to 3500 K at the highest
power densities studied, which correspond to a pressure of 105 torr. Mea-
surements performed using laser spectroscopy techniques are shown in Fig.
43. The two techniques utilized were CARS to measure molecular hydrogen
and two-photon LIF to measure atomic hydrogen. The CARS technique
measures the rotational temperature of molecular hydrogen. The LIF tech-
nique measures the Doppler broadening due to atomic hydrogen translational
motion. Both of these techniques can be performed with good spatial reso-
lution. For the data shown in Fig. 43 the location of the measurement was
in the center of the discharge at a distance of 20 to 25 mm above the
substrate. The effect of methane addition on the gas temperature was also
studied as shown in Fig. 44. The results obtained by the line-of-sight optical

Figure 42 Atomic hydrogen translation temperature versus microwave power den-


sity. The temperature was measured using Doppler broadening of hydrogen OES
signals. (Adapted from Refs. 65, 66.)
Microwave Plasma-Assisted Diamond Film Deposition 277

Figure 43 Gas temperature versus average microwave power density. Gas tem-
peratures measured include atomic hydrogen temperature by two-photon LIF and
hydrogen rotational temperature by CARS. (Adapted from Refs. 65, 66.)

emission measurements of the rotation spectrum of molecular hydrogen are


shown in Fig. 45. The temperatures in this case range from 1300 K at 9 W/
cm3 to 1900 K at 22 W/cm3. These rotational temperature values are sys-
tematically lower than those found by the other techniques. Similar rotational
temperatures versus pressure were also measured by Grotjohn et al. [67] for
the MCPR reactor system of Fig. 6b. One variation of this reactor has a 5-
cm discharge chamber diameter and a 3-cm-diameter substrate holder. These
results are shown versus pressure in Fig. 46.
Some understanding of the difference in the temperature measurements
can be obtained by considering the location and species being measured.
The Doppler broadening measurement of atomic hydrogen is weighted to-
ward the region that has the highest atomic hydrogen concentrations. That
region is the center of the plasma, which has the highest gas temperature.
Conversely, the molecular hydrogen, which is the species being observed
278 Grotjohn and Asmussen

Figure 44 Atomic hydrogen temperature (measured by LIF) and molecular hy-


drogen rotational temperature (measured by CARS) versus methane percentage in
the feed gas. (Adapted from Refs. 65, 66.)

for the OES (optical emission spectroscopy) rotational temperature mea-


surements, will have a higher density near the edges of the discharge where
the gas temperature is lower. Hence, the line-of-sight rotational temperature
measurements are weighted more toward the edges of the plasma discharge,
which are expected to be cooler.

C. Electron Density and Temperature


The electron density in the discharges operated under conditions that deposit
diamond have been measured. Grotjohn and coworkers [67] used a milli-
meter wave Fabry-Perot resonator operating at 30 GHz to measure the elec-
tron density in a 2.45-GHz microwave reactor operating in the pressure
range from 10–60 torr. The results of the measurements are shown in Fig.
47 versus pressure. The density range is 1–5 ⫻ 1011 cm⫺3. In another in-
vestigation using a tubular reactor with a diameter of 2 cm, Tahara et al.
[68] studied methane-hydrogen discharges in the pressure range from 0.1 to
Microwave Plasma-Assisted Diamond Film Deposition 279

Figure 45 Molecular hydrogen rotational temperature versus average microwave


power density. Temperature was measured using light-of-sight OES. (Adapted from
Refs. 65, 66.)

5 torr. The tube was placed through the center of a 2.45-GHz tunable res-
onant cavity. The methane flow rate in the input gas flow was varied from
1 to 10%. As shown in Fig. 48, the plasma density, which was measured
with a Langmuir probe, stayed approximately constant at 1 ⫻ 1011 cm⫺3
across the pressure range studied. The microwave power utilized was 350 W.
The last internal variable quantity is the electron temperature, which
was measured in the pressure range 0.1 to 5 torr by Tahara et al. [68] using
the system described in the preceding paragraph. The electron temperature
values varied from slightly above 3.5 eV at the lower pressure of 0.1 torr
to about 2eV at the higher pressure of 5 torr.

D. Microwave Power Density


Another important internal variable is the power density of the plasma dis-
charge. Power density is defined by knowing the absorbed power of the
280 Grotjohn and Asmussen

Figure 46 Molecular hydrogen rotational temperature versus pressure for a 5-cm-


diameter discharge system. (From Ref. 67.)

discharge and dividing by the volume of the discharge. The volume is typ-
ically estimated from the region of most intense light emission by the dis-
charge. An example plot of the power density versus pressure measured for
the MCPR reactor of Fig. 6b operated with a 3- to 4-cm diameter discharge
and 3.2-cm-diameter substrate holder is shown in Fig. 49 [67]. Even though
the pressure is a primary determining factor for the power density, other
factors such as substrate size and total plasma volume are also determining
factors. For example, the power density of the microwave system studied
here does not match exactly the power density of other microwave diamond
CVD systems with different diameters of substrate holders. In work by Kuo
and Asmussen [8], the power density found for a microwave reactor with a
7.5-cm-diameter holder at 60 torr was 15 W/cm3 (see Fig. 17). In another
system [2,30,65,66], the power density for a microwave system with a 5-
cm-diameter substrate holder operating at 60 torr was 22.5 W/cm3. So at the
60 torr pressure and 2.45 GHz excitation frequency, microwave systems with
substrate holder diameters of 3.2, 5, and 7.5 cm have power densities of 32,
22.5, and 15 W/cm3, respectively. This relationship indicates that larger di-
ameter plasmas, which are needed to cover larger substrates, operate with a
lower power density than smaller diameter plasmas.
Microwave Plasma-Assisted Diamond Film Deposition 281

Figure 47 Electron density versus pressure for a microwave plasma-assisted dia-


mond deposition discharge. (From Ref. 67.)

E. Microwave Electric Field and Mode


The last quantity to be considered in this section is the measurement of the
impressed microwave electric field strength and the spatial variation of the
electromagnetic mode in diamond deposition reactors. The specific system
considered here is the microwave cavity plasma reactor (MCPR) as shown
earlier in Fig. 6b. The microwave electric field has been measured in the
MCPR system by inserting a microcoaxial antenna probe as shown in Fig.
50 through holes drilled in a pattern along the applicator cavity walls, i.e.,
along the vertical or z direction and around the circumference. The micro-
coaxial antenna probe samples the electric field component normal to the
wall, which in this case is the radial component. The antenna probe is in-
serted through the applicator cavity holes a small distance (⬃a few milli-
meters) so that only a small fraction of microwave power going into the
applicator cavity is coupled into the sampling antenna probe (typically
282 Grotjohn and Asmussen

Figure 48 Electron temperature and plasma density versus pressure for a cavity
resonance microwave hydrogen-methane discharge. (From Ref. 68.)

⬃10⫺6). This small fraction for the amount of power sampled by the antenna
probe ensures that the probe does not perturb the field in the applicator.
An example plot of the measured electric field strength versus cavity
vertical position (z direction) is shown in Fig. 51 [69,70]. The symbols show
the experimentally measured microwave electric field values in a discharge
loaded applicator cavity. The dotted line is the expected strength of the radial
field variation versus vertical position along the applicator cavity for the
TM013 mode. A sketch of the ideal TM013 mode is shown in Fig. 52. Note
in this figure that the electric field has three maximums along the longitu-
dinal direction, which is also seen in the experimental data of Fig. 51. Al-
though not shown in Fig. 51, when the microwave electric field is sampled
around the circumference of the applicator cavity wall, the electric field
strength is unchanged in agreement with the MPCR operating in a TM013
electromagnetic mode.

VII. MICROWAVE DIAMOND CVD REACTOR MODELING

Microwave plasma-assisted CVD reactors for diamond deposition have been


modeled in a number of investigations. The modeling of microwave CVD
reactors needs to consider a number of factors including:
Microwave Plasma-Assisted Diamond Film Deposition 283

Figure 49 Microwave power density versus pressure for 5-cm-diameter hydrogen-


methane discharge used for diamond deposition. (From Ref. 67.)

1. Electron gas–initiated reactions in the discharges


2. Neutral chemistry (including thermally activated) reactions in the
discharges
3. Microwave field excitation, propagation/resonance, and absorption
including the spatial patterns of microwave fields and microwave
power absorption
First, a few preliminary comments regarding H2 /CH4 discharge and
microwave discharge operation in the pressure range from 1 to hundreds of
torr are in order. The dissociation reactions, which are so important to dia-
mond deposition (i.e., dissociation of H2 and CH4), can be driven by either
electron dissociation or thermal dissociation. The general trend as shown in
Fig. 53 for all molecular gases is that at low pressures, the electron tem-
perature is high and the gas temperature is low so electron dissociation
dominates. Conversely, at high pressures, the electron temperature is reduced
284 Grotjohn and Asmussen

Figure 50 Details of the microwave electric field measurement probe and a cut-
away view of a microwave cavity plasma reactor.
Microwave Plasma-Assisted Diamond Film Deposition 285

Figure 51 Microwave electric field strength (radial direction) versus vertical po-
sition for a microwave cavity plasma reactor as shown in Fig. 50.

Figure 52 Sketch of TM013 electromagnetic resonant mode.


286 Grotjohn and Asmussen

Figure 53 Electron, ion, and neutral temperatures versus pressure for nonequilib-
rium (nonisothermal) and thermal-like plasmas. (From Ref. 10.)

and the gas temperature increases, resulting in increased thermal dissocia-


tion. The exact pressure range over which this transition occurs is dependent
on many factors including molecular species type, other constituents in the
plasma, and the plasma volume. One of the objectives in this section is to
establish an understanding of this transition in the diamond deposition
process.

A. Modeling Studies
Several researchers have studied diamond deposition in microwave plasma-
assisted CVD systems. The key attributes that distinguish the various models
are:
1. Spatial dimension of the solution [zero (one point), one, or two
dimensional]
2. Discharge chemistry model (hydrogen, hydrogen-methane, H-C-
O)
Microwave Plasma-Assisted Diamond Film Deposition 287

3. Microwave power absorption profile (uniform, assumed profile,


self-consistently solved profile)

Koemtzopoulos et al. [71] in 1993 reported on a model for microwave


discharges that looked at hydrogen dissociation. The reaction rates were
solved for by determining the electron energy distribution function (EEDF)
using the Boltzmann equation. The model considered electron dissociation
of the hydrogen as the primary dissociation mechanism. The model solved
the electron density balance equation, the atomic hydrogen balance equation,
and the power balance equation at pressures ranging from 15 to 100 torr.
The geometry considered was a tubular reactor with the electric field and
species concentrations assumed uniform throughout the plasma volume. The
model showed that the electron distribution function is non-Maxwellian with
the tail of the distribution function depleted by inelastic collisions. Further,
it was demonstrated that even when 1% CH4 was added to the hydrogen
discharge of EEDF did not change appreciably. This work also noted that
the dissociation of methane by abstraction of atomic hydrogen (CH4 ⫹ H
→ CH3 ⫹ H2) is faster than electron dissociation at pressures as low as 20
torr with a gas temperature of 600 K and an atomic hydrogen fraction of
0.08.
Hyman et al. [72] in 1994 developed a one-point numerical model of
microwave plasma CVD diamond deposition reactors. The model solved the
Boltzmann equation to determine the electron energy distribution function.
A dominant energy loss mechanism for the electron gas was the vibrational
excitation of the hydrogen molecules. The gas heating then occurred pre-
dominantly through vibrational-translational energy exchange. This model
considered discharges consisting of carbon-hydrogen-oxygen mixtures. In
the model the point of calculation was selected as the center of the plasma
ball located above the substrate holder. Diffusion and heat conduction effects
were accounted for by two boundary points fixed at the substrate condition
and the wall/ambient condition. Their simulation example was given at 40
torr pressure, 30 W/cm3 microwave power density, and an input gas mixture
of 98.75% H2 , 1% CH4 , and 0.25% O2 . Typical values of the plasma con-
ditions simulated at time scales approximating steady state (after 4 msec of
reaction time) are an electron temperature of 2.5 eV and a gas temperature
of ⬃3000 K. In their model the initial gas mixture of H2 , CH4 , and O2 reacts
to a mixture consisting of H2 , C2H2 , and CO (stable species) and H, C, CH3,
and C2H (radical species). Hence the methane and oxygen molecules in the
discharge are converted predominantly to C2H2 and CO molecules.
Work by Tan and Grotjohn [69,73] on microwave plasma reactors for
diamond deposition looked at the microwave fields and the absorption of
the microwave energy by the discharge. They obtained full-wave two-di-
288 Grotjohn and Asmussen

mensional solutions of Maxwell’s equations to solve for the electromagnetic


fields using the FDTD (finite-difference time-domain) method. The work
simulated the resonant fields in a resonant cavity microwave reactor. Ex-
perimental measurements and simulations of the 2.45-GHz microwave fields
showed close agreement. This model was limited to hydrogen discharges in
the reactor. The reaction kinetics included electron dissociation and ioniza-
tion processes of hydrogen, but thermal processes were either left untreated
or treated in a very simple manner. The gas temperature in their model was
set on the basis of experimental gas temperature measurements. This mod-
eling work solved for the electromagnetic fields and the power absorption
of the electron gas in the microwave reactor.
Extensive modeling work on hydrogen and hydrogen-methane dis-
charges has been done by Hassouni et al. [74–80] at LIMHP-CNRS in
France. They developed one-dimensional discharge models for moderate-
pressure H2 and H2 /CH4 plasma discharges. They also developed a two-
dimensional model of H2 discharges using an assumed microwave power
absorption profile. Their modeling work considered the electron energy, H2
vibrational energy, and total energy. The models use Boltzmann equation
solutions to determine the EEDF, which is important for determining elec-
tron reaction rates because the EEDF is non-Maxwellian. The model also
included gas heating in the discharge region. Extensive comparisons with
good agreement are made of the simulated gas temperature and the experi-
mentally measured gas temperature, which was obtained by CARS and
Doppler broadening resolved OES. The hydrogen discharge considered in
their modeling work included either 7 or 9 species and the H2 /CH4 model
included an additional 12 neutral carbon-containing species and 9 ionic car-
bon species. The carbon chemistry for the pressure range considered (18–
100 torr) has been shown to be primarily governed by thermal chemistry
with the gas temperature and the atomic hydrogen concentrations being the
primary neutral carbon chemistry driving factors.
The work by Tan and Grotjohn [69,73] on modeling the microwave
fields in microwave diamond deposition reactors was combined with the
work on hydrogen discharges by Hassouni et al. [74–80] to produce a two-
dimensional self-consistent microwave field and plasma discharge simulation
for a moderate pressure (18–80 torr) hydrogen discharge reactor [81]. This
model produced a self-consistent solution of the microwave fields in the
plasma reactor, the absorption of the microwave energy by the discharge
electron gas, and the hydrogen plasma discharge. The plasma model used
was a three energy mode (gas, molecular vibration, and electron) with nine
species [H2 , H, H (n = 2), H (n = 3), H⫹, H⫹ ⫹ ⫺ ⫺
2 , H3 , H , and e ] model that
accounted for the non-Maxwellian electron distribution function and had 35
reactions. Good agreement was obtained between the model results and the
Microwave Plasma-Assisted Diamond Film Deposition 289

experimental data for gas temperatures and atomic hydrogen concentrations.


The model was also able to predict plasma discharge (ball) size, shape, and
location in the discharge chamber with good accuracy. The specific reactor
simulated, as shown in Fig. 10, had a 25-cm-diameter microwave resonant
cavity structure operating at 2.45 GHz with a silica bell jar of 10 cm di-
ameter. The substrate holder inside the bell jar had a diameter of 5 cm as
shown in Fig. 10. Example two-dimensional plots of the gas temperature,
atomic hydrogen mole fraction, absorbed microwave power density, electron
temperature, and electron density are shown in Fig. 54.
Numerical simulations of microwave plasma reactors for diamond
CVD have also been done by Funer et al. [82,83]. In their study microwave
fields are modeled in two dimensions (r and z) using a finite integration
technique to solve Maxwell’s equations for the reactor structure. The plasma
model used was a cold plasma dielectric function description of the dis-
charge where the density of the discharge was calculated on the basis of the
local electric field and a fitting parameter ␥ that is adjusted to have the
modeling results agree with experimental observations. Their work looked
at using the numerical model to design an optimum reactor. The various
geometric dimensions of the reactor are described by parameters P1 , P2 ,
. . . , Pn . An optimization is done to determine the set of parameter values
that maximize the ratio of the electric field (兩E兩2) within the plasma region
to the electric field strength (兩E兩2) in the region surrounding the plasma
region. The optimum parameter set was determined using the method of
‘‘direction of steepest gradient’’ to find the optimum solution. The result of
this study was the elliptical reactor described earlier in Sec. IV, Fig. 11 [16].

B. Internal Variables: Modeling Results


From the various modeling efforts, a number of important understandings
regarding microwave-assisted diamond CVD reactors can be extracted. The
first is that the chemistry of neutral carbon species (e.g., dissociation of CH4
to CH3) is essentially driven by gas temperature and H-atom concentration.
Hassouni et al. [74] demonstrated using a one-dimensional H2 /CH4 plasma
model that for the pressures they studied (18 torr and above) the carbon
chemistry is driven by thermal and atomic hydrogen [H] processes and not
by electron excitation or dissociation of carbon species.
Another understanding that can be drawn from the various modeling
results is the relative importance of thermal dissociation and electron colli-
sional dissociation of hydrogen. First, Fig. 55 shows the atomic hydrogen
concentration under equilibrium conditions due to just thermal dissociation
at temperatures from 1600 to 2800 K (dashed line) for the two pressures of
20 and 100 torr. The equilibrium molar fraction for a pressure of 20 torr
290 Grotjohn and Asmussen

Figure 54 Spatial distribution (versus r and z) of discharge characteristics at 2500


Pa and 600 W. (a) Gas temperature (K), (b) atomic hydrogen model fraction, (c)
absorbed microwave power density (W/cm3), (d) electron temperature (K), and (e)
electron density (⫻1011 cm⫺3). (From Ref. 81.)
Microwave Plasma-Assisted Diamond Film Deposition 291

Figure 55 Hydrogen dissociation (molar percentage) versus gas temperature for


hydrogen in equilibrium conditions (dashed line) and hydrogen in a plasma discharge
used for diamond deposition (solid line).

goes from 0.038% at 1600 K to 1.1% at 2000 K to 41% at 2800 K. Data


are also shown in Fig. 55 for a pressure of 100 torr. These quantities are
taken from the work by Argoitia et al. [84]. In the two-dimensional simu-
lations of a 2.45-GHz microwave plasma-assisted CVD reactor with a dis-
charge size of approximately 5 cm diameter by Hassouni et al. [81] the
simulation results yielded an average hydrogen molar fraction and gas tem-
perature as a function of pressure as shown in Fig. 56. Note that as the
pressure increases the gas temperature and the hydrogen molar fraction also
both increase. If these gas temperature and hydrogen molar fraction values
are now also plotted (Fig. 55), the solid line labeled 2.45 GHz is obtained.
In Fig. 55, the lowest pressure of 18 torr shows that the hydrogen dissoci-
ation in the microwave CVD system is substantially greater that that ex-
pected from the gas temperature. Hence, at this lower pressure electron-
driven dissociation of the discharge is an important process. At the higher
pressures, the hydrogen molar fraction is approximately the same as or less
than the thermal dissociation value based on the discharge gas temperature.
Hence, at 40 torr and above the thermal dissociation of hydrogen becomes
a dominant process. The modeled/measured value of the hydrogen dissoci-
ation is less than the equilibrium value because, in the reactor, the discharge
chamber walls and cooler gas regions outside the active discharge volume
provide sinks for atomic hydrogen via surface recombination and volume
recombination.
The influence of reactor scaling on the atomic hydrogen molar fraction
is also interesting to consider. A larger diameter microwave diamond dep-
292 Grotjohn and Asmussen

Figure 56 (a) Plasma gas temperature versus pressure in a hydrogen-dominated


diamond deposition discharge. (b) Atomic hydrogen percentage versus pressure in a
hydrogen-dominated diamond deposition discharge.

osition reactor operating at 915 MHz with a substrate diameter of 15 cm


was also simulated using the model in Ref. 81. The simulated data for the
larger system are shown in Fig. 55 as a solid line labeled 915 MHz. It can
be seen that at the higher gas temperatures of 2400 K and above the larger
diameter 915 MHz system has an atomic hydrogen molar percentage that is
closer to the thermal equilibrium values (dashed lines) than the smaller 5-
cm-diameter discharge 2.45-GHz system.
Another important factor affecting the %H and the gas temperature at
a selected pressure is the input microwave power. Table 2 shows the gas
temperature versus input microwave power at a pressure of 18 torr in a
Microwave Plasma-Assisted Diamond Film Deposition 293

Table 2 Simulated Plasma Discharge Quantities as a Function of Input Microwave Power


at a Pressure of 2500 Pa

Microwave input power (W)

Discharge parameters 300 500 700 800 900

Vp (cm3) 19 33.6 49 61 74.5


Tg peak value (K) 1,730 1,920 2,020 2,080 2,065
Tg average value (K) 1,500 1,620 1,640 1,710 1,640
Tg peak location (K) 1.3 1.5 1.7 1.9 1.9
Te peak value (K) 19,840 19,920 19,650 19,570 19,560
Te average value (K) 16,030 16,000 15,930 15,730 15,320
Te peak location (cm) 0.3 0.3 0.3 0.3 0.3
MWPD peak value (W/cm3) 18.1 19.2 18.4 17.5 17.2
MWPD average value (W/cm3) 8.7 8.5 8.0 7.4 6.4
MWPD peak location (cm) 0.3 0.3 0.3 0.3 0.3
ne peak value (cm⫺3) 3.3 ⫻ 1011 3.4 ⫻ 1011 3.3 ⫻ 1011 3.3 ⫻ 1011 3.1 ⫻ 1011
ne average value (cm⫺3) 2.0 ⫻ 1011 2.1 ⫻ 1011 2.1 ⫻ 1011 2.1 ⫻ 1011 1.8 ⫻ 1011
ne peak location (cm) 0.9 1.1 1.3 1.3 1.1
xH peak value (%) 0.65 0.81 0.9 0.93 0.9
xH average value (%) 0.4 0.52 0.55 0.60 0.52
xH peak location (cm) 1.1 1.5 1.5 1.7 1.7

Source: Ref. 81.

hydrogen discharge [81]. The primary factors affecting the gas temperature
and percent hydrogen concentration once a particular pressure is selected
are the plasma volume and the plasma boundary temperature (substrate tem-
perature and wall temperatures). In general, the larger the plasma volume
(as determined by the discharge chamber size and the input microwave
power), the higher will be the gas temperature. The variations of other in-
ternal variables versus microwave input power are also given in Table 2. A
companion table showing the variation of the internal variables versus pres-
sure for hydrogen discharges of similar volumes is Table 3. Tables 2 and 3
provide a picture of the internal variable values and their variation with the
pressure and microwave power (two input variables).
One final utility of the models is in the design and operation of a
reactor to get a uniform deposition across large areas. It has been noted by
researchers that the uniformity of the deposition is controllable by the ad-
justment of the substrate position, microwave tuning circuit, pressure, and
amplitude of the input microwave power. For example, work described by
Bachmann [10] showed that films can be grown in the same reactor that
vary from thickest in the center of a wafer area, to relatively uniform, to
294 Grotjohn and Asmussen

Table 3 Simulated Plasma Discharge Quantities as a Function of Pressure/Power

Pressure (hPa), microwave input power (W)


Discharge parameters 25–600 52–1000 84–1500 110–2000
3
Vp (cm )* 44.1 40.7 39.8 45
Tg peak value (K) 1,990 2,600 3,100 3,200
Tg average value (K) 1,640 2,100 2,540 2,760
Tg peak location (cm) 1.7 1.7 cm 1.7 cm 1.7 cm
Te peak value (K) 19,680 17,220 15,680 13,990
Te average value (K) 15,900 14,600 13,600 12,400
Te peak location (cm) 0.3 0.3 0.3 0.3
MWPD peak value (W/cm3) 18.3 40.1 67.8 79.1
MWPD average value (W/cm3) 8.0 16.0 25.0 30.
MWPDav experiment (W/cm3) 9.0 15.0 22.5 30.
MWPD peak location (cm) 0.3 0.3 0.3 0.3
ne peak value (cm⫺3) 3.4 ⫻ 1011 5.1 ⫻ 1011 7.4 ⫻ 1011 9.1 ⫻ 1011
ne average value (cm⫺3) 2.1 ⫻ 1011 3.1 ⫻ 1011 4.3 ⫻ 1011 5.3 ⫻ 1011
ne peak location (cm) 1.1 1.1 1.1 1.1
xH peak value (%) 0.87 1.19 2.91 6.98
xH average value (%) 0.55 0.78 1.66 3.8
xH peak location (cm) 1.5 1.5 1.7 1.9

*Vp, plasma volume.


Source: Ref. 81.

thickest at the edge of the deposition area. Such a dependence of the uni-
formity was also seen in the discharge itself in Ref. 81, where simulations
with the substrate holder at three difference vertical positions yielded the
results of Fig. 57. Specifically, variation of the substrate height produced
changes in the gas temperature and in the shape of the plasma discharge
region above the substrate. Raising the substrate holder is shown in Fig. 57
to flatten the plasma so that it is more uniform across the diameter of the
substrate.

VIII. CONCLUSION

Microwave plasma-assisted CVD machines create and control the chemical


environment and substrate conditions for diamond growth. The appropriate
chemically active environment supplies neutral fluxes, such as CH3 and
C2H2 , that provide the carbon for the growth process and also supplies a
high-density atomic hydrogen flux so that deposition occurs in the diamond
Microwave Plasma-Assisted Diamond Film Deposition 295

Figure 57 Spatial distribution of gas temperature (K) at three substrate positions.


(a) Lowered 1 cm, (b) nominal position, and (c) raised 1 cm. (From Ref. 81.)
296 Grotjohn and Asmussen

phase. The deposition machine must also control the substrate temperature
to achieve the desired diamond film uniformity and properties. Since the
early 1980s, when Kamo et al. [6] created the tubular reactor, substantial
progress and major innovations have been made in the design and devel-
opment of microwave plasma-assisted CVD machines. A wide range of ma-
chine types and sizes have been developed and have demonstrated successful
diamond deposition. Using current technology and know-how, microwave
plasma-assisted CVD is achieved over a wide experimental regime, includ-
ing pressures ranging from 10 m torr to 1 atmosphere, microwave powers
ranging from 100 W to 60 kW, and substrate diameters ranging from 2.5 to
30 cm. Today, microwave CVD systems can deposit diamond films uni-
formly across substrates as large as 30 cm in diameter and at rates of nearly
1 g/hr. By carefully adjusting the chemistry and controlling impurities, di-
amond films with the desired quality and morphology can also be synthe-
sized. Considerable engineering has gone into the design of these systems,
and reliable, automated operation is currently available for commercial
applications.
The approach utilized in this chapter was to view these diamond ma-
chines in terms of the relationships between the input variables, internal
variables, and output variables. The relationships between these experi-
mental variables are developed from both experimental studies reported over
the past 15 years in the literature and modeling investigations of specific
diamond deposition machines and their associated diamond deposition pro-
cesses. Much has been learned from experimental and modeling investiga-
tions about the relationships between the input variables, such as pressure,
gas flow rate and composition, and input microwave power, and the internal
variables, i.e., chemistry, of the discharge. This understanding has come both
from careful experimental measurements of the internal variables including
gas temperatures, plasma density, radical densities, and microwave power
densities and from careful modeling studies that can now solve for the
plasma discharge kinetics and the microwave fields self-consistently in two
dimensions. These studies, which were reviewed in this chapter, provide a
very useful picture for the understanding of the engineering and scientific
issues associated with the design of microwave plasma-assisted CVD ma-
chines and the diamond deposition process itself.
Microwave plasma reactors are often used for fundamental scientific
experimental investigations because microwave plasma-assisted machines
operate without electrodes or filaments in contact with the discharge. Hence,
electrode, filament, and other contamination issues can be minimized. For
example, microwave plasma reactors have been extensively used to inves-
tigate the influence of impurities (even at levels as low as 10 ppm) on the
deposition process and film properties. One result of these investigations has
Microwave Plasma-Assisted Diamond Film Deposition 297

been the design and development of very reliable, low-maintenance micro-


wave plasma-assisted CVD machines that have very high vacuum system
capabilities as well as the ability to control impurity gases to levels below
a few ppm. Thus applications that require high-quality diamond synthesis,
such as diamond window synthesis as well as synthesis of electronic quality
films, are now usually carried out using microwave plasma reactors.
However, even with the advances and successes over the past 15 years
in the areas of machine design and process understanding, many interesting
design and process understanding issues remain. Some of these include un-
derstanding the influence of various impurities or additives on the deposition
process, e.g., the influence of small amounts of nitrogen, boron, and oxygen
across the range of deposition conditions. Other issues center around the
design of deposition machines that permit ever more uniform diamond dep-
osition across large areas while minimizing unwanted contamination or im-
purities from the machine itself, i.e., impurities from wall erosion, substrate
erosion, and fused silica bell jar or window erosion found at the high tem-
peratures and in the highly active chemical environments of the deposition
process.

REFERENCES
1. PK Bachmann, D Leers, H Lydtin. Towards a general concept of diamond
chemical vapour deposition. Diamond Relat Mat 1:1–12, 1991.
2. W Zhu, BR Stoner, B Williams, JT Glass. Growth and characterization of
diamond films on nondiamond substrates for electronic applications. Proc IEEE
79:621–646, 1991.
3. JE Butler, H Windischmann. Developments in CVD-diamond synthesis during
the past decade. MRS Bull 23:22–27, 1998.
4. DG Goodwin, JE Butler. Theory of diamond chemical vapor deposition. In:
MA Prelas, G Popovici, LK Bigelow, eds. Handbook of Industrial Diamonds
and Diamond Films. New York: Marcel Dekker, 1998, pp 527–581.
5. K-P Kuo. Microwave assisted plasma CVD of diamond films using thermal-
like plasma discharge. PhD dissertation, Michigan State University, 1997; and
J. Zhany. Experimental Development of Microwave Cavity Plasma Reactors
for Large Area and High Rate Diamond Film Deposition. PhD dissertation,
Michigan State University, 1993.
6. M Kamo, Y Sato, S Matsumoto, N Setaka. Diamond synthesis from gas phase
in microwave plasma. J Cryst Growth 62:642–644, 1983.
7. J Zhang, B Huang, DK Reinhard, J Asmussen. An investigation of electro-
magnetic field patterns during microwave plasma diamond thin film deposition.
J Vac Sci Technol A 8:2124–2128, 1990.
8. K-P Kuo, J Asmussen. An experimental study of high pressure synthesis of
diamond films using a microwave plasma reactor. Diamond Relat Mater 6:
1097–1105, 1997.
298 Grotjohn and Asmussen

9. PK Bachmann, W Drawl, D Knight, R Weimer, RF Messier. In: A Badzian, M


Geis, G Johnson, eds. Diamond and Diamond-like Materials. MRS Symposium
Proceedings, EA-15, 1988, p 99.
10. PK Bachmann. Microwave plasma chemical vapor deposition of diamond. In:
MA Prelas, G Popovici, LK Bigelow, eds. Handbook of Industrial Diamonds
and Diamond Films. New York: Marcel Dekker, 1998, pp 821–850.
11. MC Salvadori, JW Ager III, IG Brown, KM Krishnan. Diamond synthesis by
microwave plasma chemical vapor deposition using graphite as the carbon
source. Appl Phys Let 59:2386–2388, 1991.
12. MA Brewer, IG Brown, MR Dickinson, JE Galvin, RA MacGill, MC Salvadori.
Simple, safe and economical microwave plasma-assisted chemical vapor dep-
osition facility. Rev Sci Instrum 63:3389–3393, 1992.
13. Z Feng, K Komvopoulos, IG Brown, DB Bogy. Effect of graphite carbon films
on diamond nucleation by microwave plasma-enhanced chemical vapor dep-
osition. J Appl Phys 74:2841–2849, 1993.
14. Z Feng, MA Brewer, K Komvopoulos, IG Brown, DB Bogy. Diamond nucle-
ation on unscratched silicon substrates coated with various non-diamond car-
bon films by microwave plasma-enhanced chemical vapor deposition. J Mater
Res 10:165–174, 1995.
15. A Gicquel, K Hassouni, S Farhat, Y Breton, CD Scott, M Lefebvre, M Prealat.
Diamond Relat Mater 3:581–586, 1994.
16. M Funer, C Wild, P Koidl. Novel microwave plasma reactor for diamond
synthesis. Appl Phys Lett 72:1149–1151, 1998.
17. CFM Borges, M Moisan, A Gicquel. A novel technique for diamond film dep-
osition using surface-wave discharges. Diamond Relat Mater 4:149–154, 1995.
18. CFM Borges, L StOnge, M Moisan, A Gicquel. Influence of process parameters
on diamond film CVD in a surface-wave driven microwave plasma reactor.
Thin Solid Films 274:3–17, 1996.
19. S Schelz, C Campillo, M Moisan. Characterization of diamond films deposited
with a 915 MHz scaled-up surface-wave-sustained plasma. Diamond Relat Ma-
ter 7:1675–1683, 1998.
20. Y Mitsuda, T Yoshida, K Akashi. Development of a new microwave plasma
torch and its application. Rev Sci Instrum 60:249–252, 1989.
21. K Takeuchi, T Yoshida. The effect of oxygen on diamond synthesis in a mi-
crowave plasma jet. J Appl Phys 71:2636–2639, 1992.
22. JJ Chang, TD Mantei, R Vuppuladhadium, HE Jackson. Effects of oxygen and
pressure on diamond synthesis in a magneto-active microwave plasma. J Appl
Phys 59:2918, 1992.
23. Z Ring, TD Mantei, S Tlali, HE Jackson. Plasma synthesis of diamond at low
temperatures with a pulse modulated magnetoactive discharge. Appl Phys Lett
66:3380–3382, 1995.
24. Z Ring, T Mantei. Low-temperature diamond growth in a pulsed microwave
plasma. J Vac Sci Technol A 13:1617–1618, 1995.
25. DG Goodwin. Scaling laws for diamond chemical-vapor deposition. I. Dia-
mond surface chemistry. J Appl Phys 74:6888–6894, 1993.
Microwave Plasma-Assisted Diamond Film Deposition 299

26. S Farhat, C Findeling, F Silva, K Hassouni, A Gicquel. Role of the plasma


composition at the surface on diamond growth. J Phys IV Fr 8(Pr-7):391–399,
1998.
27. MC McMaster, WL Hsu, ME Coltrin, DS Dandy. Experimental measurements
and numerical simulations of the gas composition in a hot-filament-assisted
diamond chemical-vapor-deposition reactor. J Appl Phys 76:7567–7577, 1994.
28. PK Bachmann, HJ Hagemann, H Lade, D Leers, F Picht, DU Wiechert. In:
CH Carter, G Gildenblatt, S Nakamura, RJ Nemanich, eds. Diamond, SiC, and
Nitride Wide Band Gas Semiconductors. MRS Symp Proc. Vol 339. Pittsburgh:
Materials Research Society, 1994, p 267.
29. K-P Kuo, J Asmussen. An experimental study of high pressure synthesis of
diamond films using a microwave cavity plasma reactor. Diamond Relat Mater
6:1097–1105, 1997.
30. A Gicquel, E Anger, MF Ravet, D Fabre, G Scatena, ZZ Wang. Diamond
deposition in a bell-jar reactor: influence of the plasma and substrate parameters
on the microstructure and growth rate. Diamond Relat Mater 2:417–424, 1993.
31. SJ Harris, AM Weiner. Filament-assisted diamond growth kinetics. J Appl Phys
70:1385–1391, 1991.
32. D Takeuchi, H Watanabe, S Yamanaka, H Okushi, K Kajimura. Defects in
device grade homoepitaxial diamond thin films grown with ultra-low CH4 /H2
conditions by microwave-plasma chemical vapor deposition. Phys Status Sol-
idi(a) 174:101–115, 1999.
33. E Kondoh, T Ohta, T Mitomo, K Ohtsuka. Surface reaction kinetics of gas-
phase diamond growth. J Appl Phys 73:3041–3046, 1993.
34. KA Snail, CM Marks. In situ diamond growth rate measurement using emission
interferometry. Appl Phys Lett 60:3135–3137, 1992.
35. MJ Ulczynski, DK Reinhard, M Prystajko, J Asmussen. Low temperature dep-
osition of thin film diamond. In: S Saito, N Fujimori, O Fukunaga, M Kamo,
K Kobashi, M Yoshikawa, eds. Advances in New Diamond Science and Tech-
nology. Tokyo: Yoshikawa, 1994, pp 41–44.
36. S Khatami. Controlled growth of microwave assisted plasma CVD of diamond.
PhD dissertation, Michigan State University, 1997.
37. V Ralchenko, I Sychov, I Vlasov, A Vlasov, V Konov, A Khomich, S Voronina.
Quality of diamond wafers grown by microwave plasma CVD: effects of gas
flow rate. Diamond Relat Mater 8:189–193, 1999.
38. CJ Chu, RH Hauge, JL Margrave, MP D’Evelyn. Growth kinetics of (100),
(110), and (111) homoepitaxial diamond films. Appl Phys Lett 61:1393–1395,
1992.
39. H Maeda, K Ohtsubo, M Irie, N Ohya, K Kusakabe, S Morooka. Determination
of diamond [100] and [111] growth rate and formation of highly oriented di-
amond film by microwave plasma-assisted chemical vapor deposition. J Mater
Res 10:3115–3123, 1995.
40. RE Clausing. Diamond morphology. In: MA Prelas, G Popovici, LK Bigelow,
eds. Handbook of Industrial Diamonds and Diamond Films. New York: Marcel
Dekker, 1998, pp 19–47.
300 Grotjohn and Asmussen

41. C Wild, P Koidl, W Muller-Sebert, H Walcher, R Kohl, N Herres, R Locher.


Chemical vapour deposition and characterization of smooth {100}-faceted di-
amond films. Diamond Relat Mater 2:158–168, 1993.
42. C Wild, R Kohl, N Herres, W Muller-Sebert, P Koidl. Oriented CVD diamond
films: twin formation, structure and morphology. Diamond Relat Mater 3:373–
381, 1994.
43. X Jiang, K Schiffmann, C-P Klages, D Wittorf, CL Jia, K Urban, W Jager.
Coalescence and overgrowth of diamond grains for improved heteroepitaxy on
silicon (001). J Appl Phys 83:2511–2518, 1998.
44. WA Weimer, RF Cario, CE Johnson. Examination of the chemistry involved
in microwave plasma assisted chemical vapor deposition of diamonds. J Mater
Res 6:2134–2144, 1991.
45. DK Milne, PG Roberts, P John, MG Jubber, M Liehr, JIB Wilson. Diamond
Relat Mater 4:395, 1995.
46. AB Harker, JF DeNatale. J Mater Res 5:818, 1990.
47. C Gu, Z Jin, C Wang, G Zou, Y Sakamoto, M Takaya. Growth of (100) ori-
entation diamond film deposited by MWPCVD methods using gaseous
mixtures of CH4 , CO and H2 . Diamond Relat Mater 7:765–768, 1998.
48. R Locher, C Wild, N Herres, D Behr, P Koidl. Nitrogen stabilized 具100典 texture
in chemical vapor deposited diamond films. Appl Phys Lett 65:34–36, 1994.
49. S Jin, TD Moustakas. Effect of nitrogen on the growth of diamond films. Appl
Phys Lett 65:403–405, 1994.
50. C Wild, R Locher, P Koidl. Homoepitaxial growth of CVD diamond: effect of
nitrogen contaminations on growth rates. Mat Res Soc Symp 416, pp. 75–80,
1996.
51. W Muller-Sebert, E Wormer, F Fuchs, C Wild, P Koidl. Nitrogen induced
increase of growth rate in chemical vapor deposition of diamond. Appl Phys
Lett 68:759–760, 1996.
52. J Asmussen, J Mossbrucker, S Khatami, WS Huang, B Wright, V Ayres. The
effect of nitrogen on the growth, morphology, and crystalline quality of
MPACVD diamond films. Diamond Relat Mater 8:220–225, 1999; VM Ayres,
TR Bieler, MG Kanatzidis, J Spano, S Hagopian, H Balhareth, BF Wright, M
Farhan, JA Majeed, D Spach, BL Wright, J Asmussen. The effect of nitrogen
on competitive growth mechanisms of diamond thin films. Diamond Relat Ma-
ter 9:236–240, 2000.
53. R Samlenski, C Haug, R Brenn, C Wild, R Locher, P Koidl. Appl Phys Lett
67:2798, 1995.
54. Y-Z Wan, HS Shen, Z-M Zhang, X-C He. Ternary C-H-halogen phase diagram
for CVD diamond. Mater Chem Phys 63:88–92, 2000 (also see references
contained within).
55. NJ Komplin, BJ Bai, CJ Chu, JL Margrave, RH Hauge. Proceedings of Third
International Symposium on Diamond Materials, Honolulu, 1993. New York:
Electrochemical Society, 1993, p 385.
56. PK Bachmann, HJ Hagemann, H Lade, D Leers, F Picht, DU Wiechert. Pro-
ceedings of Advanced Materials ’94, International Symposium on Advanced
Materials. Tsukuba, Japan: NIRIM, 1994, pp 115–120.
Microwave Plasma-Assisted Diamond Film Deposition 301

57. T Hosomi, T Maki, T Kobayashi, Y Yoshizako, M Taniguchi, M Sugiyo. Role


of xenon additive in microwave plasma-assisted (H2 ⫹ CH4) chemical vapor
deposition of diamond thin film. J Appl Phys 84:6059–6063, 1998.
58. D Zhou, DM Gruen, LC Qin, TG McCauley, AR Krauss. Control of diamond
film microstructure by Ar additions to CH4 /H2 microwave plasmas. J Appl Phys
84:1981–1989, 1998.
59. D Gruen. Nucleation, growth, and microstructure of nanocrystalline diamond
films. MRS Bull 23:32–35, 1998.
60. T Mitomo, T Ohta, E Kondoh, K Ohtsuka. An investigation of product distri-
butions in microwave plasma for diamond growth. J Appl Phys 70:4532–4539,
1991.
61. WL Hsu. Gas-phase kinetics during microwave plasma-assisted diamond dep-
osition: Is the hydrocarbon product distribution dictated by neutral-neutral in-
teractions? J Appl Phys 72:3102–3109, 1992.
62. CJ Erickson, WB Jameson, J Watts-Cain, JL Menningen, MA Childs, LW An-
derson, JE Lawler. Plasma Sources Sci Technol 5:761–764, 1996.
63. MJ Wouters, J Khachan, IS Falconer, BW James. Production and loss of H
atoms in a microwave discharge in H2 . J Phys D Appl Phys 31:2004–2012,
1998.
64. A Gicquel, M Chenevier, M Lefebvre. Spatially resolved spectroscopic analysis
of the plasma. In: MA Prelas, G Popovici, LK Bigelow, eds. Handbook of
Industrial Diamond and Diamond Films. New York: Marcel Dekker, 1998, pp
739–796.
65. A Gicquel, M Chenevier, Y Breton, M Petiau, JP Booth, K Hassouoni. Ground
state and excited state H-atom temperatures in a microwave plasma diamond
deposition reactor. J Phys III Fr 6:1167–1180, 1996.
66. A Gicquel, K Hassouni, Y Breton, M Chenevier, JC Cubertafon. Gas temper-
ature measurements by laser spectroscopic techniques and by optical emission
spectroscopy. Diamond Relat Mater 5:366–372, 1996.
67. TA Grotjohn, J Asmussen, J Sivagnaname, D Story, AL Vikharev, A Gorbachev,
A Kolysko. Millimeter wave Fabry-Perot resonator plasma diagnostic mea-
surements of electron density in moderate pressure diamond deposition dis-
charges. Diamond Relat Mater 9:322–327, 2000.
68. H Tahara, K Minami, A Murai, T Yasui, T Yoshikawa. Diagnostic experiment
and kinetic model analysis of microwave CH4 /H2 plasmas for deposition of
diamondlike carbon films. Jpn J Appl Phys 34:1972–1979, 1995.
69. W Tan, TA Grotjohn. Modeling the electromagnetic field and plasma discharge
in a microwave plasma diamond deposition reactor. Diamond Relat Mater 4:
1145–1154, 1995.
70. T Grotjohn. Modeling electromagnetic fields for the excitation of microwave
discharges used for materials processing. J Phys IV Fr 8:61–79, 1998.
71. CR Koemtzopoulos, DJ Economou, R Pollard. Hydrogen dissociation in a mi-
crowave discharge for diamond deposition. Diamond Relat Mater 2:25–35,
1993.
72. E Hyman, K Tsang, A Drobot, B Lane, J Casey, R Post. One-point numerical
302 Grotjohn and Asmussen

modeling of microwave plasma chemical vapor deposition diamond deposition


reactors. J Vac Sci Technol A 12:1474–1479, 1994.
73. W Tan, TA Grotjohn. Modeling the electromagnetic excitation of a microwave
cavity plasma reactor. J Vac Sci Technol A-12:1216–1220, 1994.
74. K Hassouni, O Leroy, S Farhat, A Gicquel. Modeling of H2 and H2 /CH4 mod-
erate-pressure microwave plasma used for diamond deposition. Plasma Chem
Plasma Proc 18:325–362, 1998.
75. K Hassouni, CD Scott, S Farhat, A Gicquel, M Capitelli. Non-maxwellian
effect on species and energy transport in moderate pressure H2 plasmas. Surf
Coat Technol 97:391–403, 1997.
76. K Hassouni, S Farhat, CD Scott, A Gicquel. Modeling species and energy
transport in moderate pressure diamond deposition H2 plasmas. J Phys III Fr
6:1229–1243, 1996.
77. M Capitelli, G Colonna, K Hassouni, A Gicquel. Electron energy distribution
functions and rate and transport coefficients of H2 /H/CH4 reactive plasmas for
diamond film deposition. Plasma Chem Plasma Process 16:153–171, 1996.
78. A Gicquel, K Hassouni, S Farhat, Y Breton, CD Scott, M Lefebvre, M Pealat.
Spectroscopic analysis and chemical kinetics modeling of a diamond deposition
plasma reactor. Diamond Relat Mater 3:581–586, 1994.
79. K Hassouni, CD Scott, S Farhat. Flow modeling for a plasma assisted diamond
deposition reactor. In: MA Prelas, G Popovici, LK Bigelow, eds. Handbook of
Industrial Diamond and Diamond Films. New York: Marcel Dekker, 1998, pp
653–672.
80. K Hassouni, CD Scott, S Farhat. Modeling the diffusional transport of an H2
plasma obtained under diamond deposition discharge conditions. In: Handbook
of Industrial Diamond and Diamond Films. New York: Marcel Dekker, 1998,
pp 697–738.
81. K Hassouni, TA Grotjohn, A Gicquel. Self-consistent microwave field and
plasma discharge simulations for a moderate pressure hydrogen discharge re-
actor. J Appl Phys 86:134–151, 1999.
82. M Funer, C Wild, P Koidl. Numerical simulations of microwave plasma re-
actors for diamond CVD. Surf Coat Technol 74–75:221–226, 1995.
83. M Funer, C Wild, P Koidl. Simulation and development of optimized micro-
wave plasma reactors for diamond deposition. Surf Coat Technol 116–119:
853–862, 1999.
84. A Argoitia, CS Kovach, JC Angus. Hot-filament CVD method. In: Handbook
of Industrial Diamond and Diamond Films. New York: Marcel Dekker, 1998,
pp 797–819.
8
Combustion Synthesis of Diamond

Colin A. Wolden
Colorado School of Mines, Golden, Colorado

I. INTRODUCTION

Combustion synthesis is one of the competing chemical vapor deposition


(CVD) technologies for diamond film growth. It was first discovered in 1988
by Hirose and Kondo [1], who realized that acetylene flames produce co-
pious amounts of atomic hydrogen and hydrocarbon radicals that are re-
quired for diamond growth. The discovery was subsequently confirmed at
the Naval Research Laboratory [2] and has become the subject of intense
study. It has been argued that combustion synthesis is the most flexible of
the CVD alternatives because of its scalable nature, minimal utility require-
ments, and significantly reduced capital costs relative to plasma-aided pro-
cesses [3]. In this chapter the development of combustion CVD will be
reviewed from its inception using conventional welding torches to its present
implementation with flat flame burners. The key experimental parameters
and their impact on deposition are discussed in detail. The chemistry of
combustion diamond CVD is discussed, highlighting studies that employed
in situ diagnostics and detailed reactor modeling. Finally, combustion syn-
thesis is contrasted with other CVD techniques in terms of both processing
and economics.

II. INVENTION: THE WELDING TORCH


A. Description of System
Figure 1 shows the structure of an atmospheric oxyacetylene torch in its
unperturbed state and in the configuration used for diamond deposition.
303
304 Wolden

Figure 1 Schematic diagrams of (a) the flame structure of an oxyacetylene torch


and (b) the flame in a diamond deposition configuration.

There are three distinct regions in an acetylene flame: (1) the inner flame,
(2) the acetylene feather, and (3) an outer diffusion flame. It is in the feather
region that the substrate is placed for diamond growth. The most important
parameter in combustion synthesis is the oxygen-to-acetylene ratio, defined
here as R = O2 :C2H2 . At values of R near 1.0, a neutral flame is achieved,
which is defined as the condition where the feather region just disappears
because all the acetylene is consumed in the primary flame. The diamond
growth regimes as a function of composition are outlined in Fig. 2. The
highest quality diamond is obtained in slightly rich flames, R = 0.85–1.0
[4]. The value of R at which a neutral flame occurs is dependent on both
burner design and total flow rate. Thus, for a particular experimental con-
figuration the composition at the neutral flame condition may be shifted
slightly, but the principles described in Fig. 2 still hold.
The welding torch arrangement of Hirose has been used in numerous
subsequent studies [5–13]. Polycrystalline diamond has been grown at rates
up to 200 ␮m/hr [5] and thick (150 ␮m) homoepitaxial layers have been
deposited [6]. Atmospheric torches have successfully produced large indi-
Combustion Synthesis of Diamond 305

Figure 2 Carbon deposition regions observed using atmospheric acetylene torches


as a function of gas composition. (From Ref. 4.)

vidual crystals that approach 1 mm in diameter [7,8]. The drawback of the


welding torch arrangement is that the deposited material is characterized by
radial inhomogeneity [9] and often contains significant amounts of nondia-
mond carbon [10]. Because of these limitations, welding torches are being
replaced by flat flame burners, which are more appropriate for large area
deposition. Nevertheless, the wealth of data from experiments with atmo-
spheric torches provided the foundation for the general understanding of
combustion grown diamond that is described in the following. A more com-
prehensive summary of experiments performed using atmospheric torches
can be found in an earlier review [11].

B. General Considerations
1. Chemistry
The composition of acetylene flames is much different from the traditional
H2 /CH4 mixtures used in hot-filament and plasma CVD systems. However,
the chemistry and the mechanism of diamond growth in combustion CVD
306 Wolden

are expected to be similar to those of other diamond growth systems. First,


at R = 1.0 the acetylene flame is positioned in the direct center of the
empirical growth regime diagram developed by Bachmann et al. [12] (see
Chapter 4). The detailed chemistry of acetylene flames is discussed in detail
in Sec. IV, but its essence may be distilled to the following two reactions:

C2H2 ⫹ O2 → 2CO ⫹ H2 ⌬H⬚R = ⫺107 kcal/mol (1)


H2 ↔ H ⫹ H Reversible: function (T, P) (2)

First, nearly all of the oxygen and acetylene is rapidly consumed, producing
carbon monoxide and hydrogen as described by the global reaction (1) [13].
The highly exothermic nature of this reaction results in flame temperatures
>3000 K. At these temperatures a significant fraction of hydrogen molecules
are converted into atomic hydrogen as expressed by global reaction (2) [14].
The degree of dissociation is strongly dependent on both temperature and
pressure. Carbon monoxide is thermally stable and not expected to affect
the growth processes under these conditions. A small fraction of the acety-
lene is converted into hydrocarbon radical species or remains unreacted.
Thus, growth schemes discussed in Chapter 4 that suggest that diamond
growth proceeds through the addition of hydrocarbon radicals in the pres-
ence of a superequilibrium concentration of atomic hydrogen may also be
applied to combustion systems. Where filament and plasma systems employ
electrical energy to create atomic hydrogen, combustion systems rely on the
chemical energy stored in the acetylene molecule to generate heat and atomic
hydrogen.

2. Substrate Temperature
Substrate temperatures during the combustion CVD of diamond range from
950 to 1650 K. Because of these high temperatures, substrates have been
limited to materials such as molybdenum, alumina, silicon, and diamond.
Evaluating the true substrate temperature is difficult in all diamond CVD
environments but especially so in combustion synthesis due to the extreme
heat fluxes present. The two techniques most commonly used are optical
pyrometry and thermocouples placed on the back side of the substrate. Py-
rometry provides a good measure of the relative temperature of the substrate,
but absolute values may be off by as much as 100 K due to uncertainties
in emissivities. Care must be taken to position the pyrometer in order to
avoid emission from the flame itself. Back-side thermocouples are affected
by both the quality of the contact and the steep thermal gradients present.
Both techniques work well for a single configuration, but caution is urged
when comparing absolute temperatures obtained from different systems.
Combustion Synthesis of Diamond 307

Substrate temperature has a dramatic effect on two important proper-


ties: growth rate and morphology. Several authors [15–17] have observed
that the growth rate increases exponentially with temperature as shown sche-
matically in Fig. 3. The behavior shown by the curve in Fig. 3 is charac-
teristic of combustion systems; however, its location may be shifted to the
right or left depending on the operating conditions and the technique used
to measure temperature. Weimer et al. [15] measured activation energies
between 12 and 18 kcal/mol for diamond growth on individual crystal faces.
Slightly higher values (16–23 kcal/mol) have been reported for polycrys-
talline films [16,17]. These values are in good accord with activation ener-
gies measured in hot-filament systems, indicating that the growth mecha-
nisms may be similar [18,19]. As the substrate temperature increases, the
growth rate reaches a maximum (Fig. 3). Increasing the substrate tempera-
ture beyond this point causes a rapid decline in both the quality and the
growth rate [15–17]. In atmospheric torches the maximum growth rate oc-
curs at substrate temperatures between 1450 and 1650 K [15,20]. In low-
pressure (40–50 torr) flat flame burners the same growth rate behavior has
been observed, but the maximum occurs at significantly reduced tempera-
tures of 1050–1250 K [17,21]. Kim and Cappelli [21] suggested that the
falloff at high temperature may be due to oxidation of diamond. An alter-

Figure 3 The effect of substrate temperature on growth rate observed in combus-


tion CVD of diamond. (From Refs. 15–17, 20.)
308 Wolden

native possibility is that the growth precursor desorbs from the surface be-
fore having the opportunity to incorporate into the diamond lattice [22].
Substrate temperature also determines the diamond film morphology.
The morphology of most films deposited by combustion CVD are typically
dominated by {111} facets [20,21,23]. However, a number of researchers
[17,20,23,24] have observed that at higher temperatures the morphology
consists of {100} facets parallel to the substrate. As temperature increases,
the growth habit changes from octahedron to cubic as shown in Fig. 4. The
temperature where {100} faceting occurs was near the temperature where
maximum growth rates were observed (Fig. 3) [17,20].

3. Reactant Composition
In atmospheric torches it has been observed that morphology also depends
on reactant composition [25,26]. Decreasing the oxygen fraction has an ef-
fect similar to that of increasing substrate temperature as shown in Fig. 4.
It was observed that the morphology changed from octahedron growth under
oxygen-rich conditions to cubic growth as the acetylene content was in-
creased [25,26]. The dependence on composition is in accord with obser-
vations of morphology variations with methane concentration in plasma re-
actors [27,28]. For flat flame burners this dependence on composition has
not been reported, perhaps because the range of R where diamond is suc-
cessfully formed is much smaller [17,21]. Of the two variables, morphology
depends more strongly on substrate temperature than composition.

C. Modifications of the Welding Torch


In the welding torch operation shown in Fig. 1, diamond is often deposited
in a small circle or in an annular ring. To increase the deposition area a

Figure 4 Schematic diagram showing changes in crystal growth habit as a function


of both substrate temperature and reactant composition. (From Refs. 17, 20, 23–26.)
Combustion Synthesis of Diamond 309

number of modifications of the welding torch have been investigated, in-


cluding turbulent flame operation, multinozzle burners, and enclosed flames.
A few groups [29,30] have investigated operating the welding torch under
turbulent flow conditions. The turbulent condition was achieved by increas-
ing both the flow rate and the burner orifice. Despite some reports [31] of
improved quality, it was generally concluded that the changes were not dra-
matic and not worth the costs associated with increased flow rates
[29,30,32]. Some success was achieved with a multinozzle configuration was
developed by Zhu and coworkers [33], although the morphology was not
uniform over the deposition area.
During atmospheric operation, diffusion of oxygen or impurities from
the ambient may effect film quality. Attempts to minimize the effect by
surrounding the torch with a coannular flow of inert gas have been studied
[34,35]. Under these conditions the outer flame (Fig. 1) was suppressed, but
no dramatic changes were observed in quality or growth rate. Another way
to exclude the ambient is simply to enclose the torch in a vacuum chamber
[36–39]. It was observed that the outer diffusion flame disappeared as there
was no oxygen present and the deposition area was increased by 20%
[36,37]. In addition, reducing the pressure to 300 torr increased the depo-
sition area by 200%, but the growth rate decreased significantly. Morrison
et al. [38] performed a systematic study of an enclosed flame at 700 torr
and found that a low-temperature pretreatment step enhanced the nucleation
density. Wang et al. [39] achieved some success using rastering techniques
with an enclosed flame to coat larger areas.

III. PRACTICAL IMPLEMENTATION: THE FLAT


FLAME BURNER
A. The Flat Flame Burner
1. Burner Design
A number of groups [14,17,21,40,41–45] have addressed the issues of in-
creasing the deposition area and improving uniformity through the use of
flat flame reactors operated at both atmospheric and reduced pressure.
Cooper and Yarbrough [40] first demonstrated diamond deposition in a
commercially available burner at pressures between 25 and 40 torr. A sche-
matic of a flat flame burner CVD for reduced pressure operation is shown
in Fig. 5. Premixed gas enters a water-cooled burner and exits through a
matrix that creates a radial uniform velocity profile with only an axial com-
ponent. In practice this has been achieved by drilling 1-mm holes in a copper
plate [21,41], and by using a honeycomb made of thin-walled silica tubes
310 Wolden

Figure 5 Schematic of a flat flame burner CVD system operated at reduced


pressures.

[17]. A flat, circular flame is formed stabilized below the burner surface as
shown in Fig. 5. This is a very different structure than the conical flame that
is associated with atmospheric torches (Fig. 1). The substrate is maintained
at a certain temperature T and positioned at a distance L from the burner
surface. This design was developed in the 1950s to measure flame velocities
near extinction/ignition limits [46,47]. The burner’s characteristics of stabil-
ity and geometrical simplicity that made it useful for that purpose are equally
valuable for chemical vapor deposition.
Atmospheric pressure flat flames are less stable and require more com-
plicated burner design. Two examples that have been used include a coflow
arrangement and a trumpet-bell design that are shown schematically in Fig.
6 [42]. Murayama and coworkers [43,44] used the coflow design with hy-
drogen as the external gas to stabilize their flame. Workers at Sandia have
demonstrated the scalability of the trumpet-bell design [42,45].
Comparison of Figs. 5 and 6 shows another important difference be-
tween atmospheric and low-pressure operation. At low pressures the flame
is stabilized on the burner surface, whereas atmospheric flames are substrate-
stabilized flames located within a millimeter or two of the substrate. In low-
pressure operation the substrate may be positioned at any distance from the
burner, whereas in atmospheric systems the distance is constrained by the
stability limits. In addition, in large-area atmospheric flames it was found
that it was necessary to surround the substrate with equally spaced pillars
to stabilize the flame [48].
Combustion Synthesis of Diamond 311

Figure 6 Two designs of atmospheric flat flame burners: (a) a coflow design and
(b) a trumpet bell design. (From Ref. 48.)

2. Radial Uniformity and Scalability


Regardless of operating pressure, the unique feature of this design is that
films are produced that are uniform in both thickness and morphology. Fig-
ure 7 shows micrographs of surface morphology and a cross-sectional profile
that demonstrate the radial uniformity achieved in flat flame burners. At low
pressures, Glumac and Goodwin [41] demonstrated the scaleable nature of
the system, producing uniform films over areas as large as 13 cm2. More
recently, Hahn et al. [47] scaled up an atmospheric deposition system to
20 cm2.
Another feature of the flat flame CVD reactor is that it is amenable to
detailed kinetic modeling. Under proper operating conditions [49], the axi-
symmetric stagnation flow may be treated in one dimension using a simi-
larity solution [50]. A number of groups have performed detailed kinetic
modeling on these systems, which has provided insight into the deposition
mechanisms. These studies are discussed in more detail in Sec. IV.

B. Operating Conditions
1. Reactant Composition
Low-pressure flat flame burners are operated with a value of R very near
unity [17,21]. At atmospheric pressure, pure oxygen-acetylene flames are
unstable. It has been found that the addition of hydrogen (18–25% by vol-
ume) while maintaining a C/O ratio ⬃1 was required to stabilize the flame
and achieve diamond growth [42–45]. Because of the homogeneous nature
312 Wolden

Figure 7 Scanning electron micrographs (a–c) and cross-section profile (d) show-
ing the radial uniformity of both morphology and growth rate achieved in flat flame
burners. (From Ref. 17.)

of these flames, the composition range where diamond is deposited is much


smaller than observed with the welding torch design [4]. Most researchers
[42–45] report only a single composition that successfully produced high-
quality diamond. In low-pressure systems there is a small range where di-
amond is deposited [21,51]. The reason for the narrow range is shown in
Fig. 8, where the equilibrium mole fractions of selected species are plotted
as a function of composition. The three major species (CO, H2 , and H) are
nearly constant across this range of R. For the minor species a very sharp
transition is observed between R = 0.98 and R = 1.02. The concentration of
carbon-containing species (C2H2 , CH3 , C) falls between four and seven or-
ders of magnitude over this narrow regime. A concomitant increase in oxi-
dation products (H2O, CO2 , O2) is also observed. Certainly, very good con-
trol of mass flow controllers is required for reproducible results using
combustion synthesis.

2. Operating Pressure
As already discussed, operating pressure has a major influence on burner
design and flame stability. The pressure also influences a number of critical
Combustion Synthesis of Diamond 313

Figure 8 Equilibrium mole fractions of selected species in an oxyacetylene flame


as a function of reactant composition R = O2 :C2H2 . Calculation performed at 40 torr
with 5% argon.

issues including growth rate, temperature control, and deposition tempera-


ture. First, growth rates in atmospheric systems range from 25 to 40 ␮m/hr
[44,45]. In comparison, growth rates in flat flame burners at ⬃45 torr have
been reported between 4 and 5.5 ␮m/hr [17,21]. Although the gas density
is about 15 times greater in atmospheric reactors, the growth rate is only a
factor of ⬃7 times greater. More important with regard to economics, the
carbon conversion efficiency (the fraction of acetylene atoms converted to
diamond) is very similar in both cases.
As discussed in Sec. II, growth temperatures in atmospheric systems
are hundreds of degrees hotter than at low pressure. Substrate material se-
lection is more limited for atmospheric flames, and these films are subject
to the formation of large compressive stresses during cooling due to differing
coefficients of thermal expansion [49]. Robust substrate temperature control
is requisite for both controlling growth rate and achieving textured growth
[17]. The proximity of the flame and substrate in atmospheric reactors re-
314 Wolden

Table 1 Comparison of Operating Flat Flames at Atmospheric and Reduced


(40–50 torr) Pressures

Aspect Reduced pressure Atmospheric pressure

Growth rates 4–5.5 ␮m/hr 25–40 ␮m/hr


Carbon conversion efficiency Similar ⬃0.005% Similar ⬃0.005%
Deposition temperatures 400–1000⬚C 700–1200⬚C
Temperature control Easy Difficult
Flame stability Yes No: requires H2 addition
Safety Advantage
Vacuum chamber required Yes No
Burner design Simple Complex

Source: Refs. 17, 21, 42–45, 51, 52.

quires that energy must be removed at fluxes on the order of 500 W/cm2,
requiring the use of elaborate cooling strategies [20,45,48]. In contrast, low-
pressure reactors are nearly thermally neutral, and films have been deposited
without any cooling present [41]. Simple control strategies can maintain the
substrate temperature within a few degrees of a desired setpoint for hours
of deposition [52]. Although atmospheric burner design is more complicated,
an advantage is that no vacuum chamber is required. Table 1 summarizes
some of the pros and cons of operating pressure in diamond deposition.

C. Applications
Combustion CVD was invented 7 years after the discovery of hot-filament
and plasma techniques, and subsequently the majority of applied research
has been performed in these conventional reactors. However, the studies that
have been conducted demonstrate that combustion-grown diamond is com-
parable to material produced in other systems. Some of these examples are
presented in this section with the intention of demonstrating the flexible
nature of combustion CVD.

1. Textured Film Growth


For a number of applications [53,54] films that demonstrate {100} texturing
have several advantages. The combination of {100} growth conditions [27]
and bias-enhanced nucleation techniques [55] has yielded highly oriented
diamond films. Both the number and angle of grain boundaries are signifi-
cantly reduced in these films relative to randomly oriented films [28,52].
Both the thermal [56] and the electronic [53] properties of diamond are
Combustion Synthesis of Diamond 315

adversely effected by the presence of grain boundaries. For example, the


measured carrier mobility was three times greater in {100} oriented films
than polycrystalline diamond [53]. In addition, {100} growth provides a
means of planarizing the growth surface [52], enabling the use of conven-
tional silicon technology to manufacture device structures without expensive
grinding and polishing steps [54]. Figure 9 shows a scanning electron mi-
crograph of a highly oriented {100} film that was produced by a two-step
process. First, oriented nucleation was achieved using bias-enhanced nucle-
ation techniques in a conventional microwave plasma reactor. The substrate
was transferred to a low-pressure combustion reactor and grown for 3 hr
[52]. In Fig. 9 one can see that a number of grains have coalesced, forming
a very flat surface.

2. Doping and Device Fabrication


Ravi and coworkers [57] demonstrated the high purity of combustion-grown
diamond, producing films that had resistivities two orders of magnitude
greater than natural diamond. The doping of diamond imparts semiconductor
properties that are required for a number of applications [53]. Most of the
limited work on doping of combustion-grown diamond has been carried out
at the Naval Research Laboratory [58–61]. Glesener and coworkers [58]

Figure 9 Scanning electron micrograph of a highly oriented {100} film formed


by a combination of bias-enhanced nucleation in a microwave reactor and textured
growth in a low-pressure combustion CVD system. (From Ref. 52.)
316 Wolden

fabricated Schottky diodes by depositing boron-doped diamond on molyb-


denum. Further studies [59,60] indicated that high surface resistance was
critical to form good junctions. The boron was added by bubbling part of
the acetylene through a solution of diboric trioxide in acetone. Doverspike
and coworkers [61] used an atomizer to entrain aerosol droplets that con-
tained dopant species into the gas flow. Boric acid dissolved in methanol
was used as a dopant solution. Recently, boron incorporation has been
achieved without the use of a solvent. Trimethylborate is a high-vapor-pres-
sure liquid that may be introduced by mass flow controllers at low pressure
or with a bubbler for atmospheric operation [14].

3. Low-Temperature Deposition
Low-temperature processing is required for compatibility with a number of
optoelectronic materials. In addition, low-temperature deposition minimizes
the compressive stress that is formed when diamond is grown on material
with differing coefficients of thermal expansion. Diamond deposition at rates
of ⬃1 ␮m/hr on glass substrates was achieved at low temperature (750 K)
in a low-pressure combustion reactor [51]. The low temperature was
achieved by increasing the burner-substrate distance to 20 mm. Continuous,
adherent films were deposited on Vycor and Pyrex glasses. The best films
were optically transparent and no damage to the substrates was observed.

IV. DEPOSITION CHEMISTRY


A. Diagnostics
The chemistry of combustion diamond CVD has been primarily investigated
using laser-induced fluorescence (LIF) and mass spectroscopy. The two tech-
niques are complementary. Mass spectroscopy has been used to provide
quantitative measurements of the major stable species, which are important
for verifying kinetic models. In this technique a quartz microprobe samples
gases at the diamond growth surface. Using mass spectroscopy, Wolden et
al. [62] noted that the strong compositional dependence observed in a flat
flame reactor was related to a sharp change in the acetylene concentration.
On the other hand, LIF is a nonintrusive optical technique that has been
used to detect radicals such as C2 , C2H, CH, and OH in the gas phase above
the substrate. Researchers using plasma and hot-filament reactors have fo-
cused on C1 species such as methyl radical and atomic carbon as the dom-
inant growth species [63,64]. However, evidence from combustion reactors
suggests that C2 species are also very important. Three different groups
[13,65,66] have noted a strong relation between C2 concentration profiles
Combustion Synthesis of Diamond 317

and diamond deposition rates. The role of CH is less clear, and OH appears
to be of minor importance [65]. The work of Cappelli and Paul [65] sug-
gested that C2H also plays an important role in the deposition process.

B. Reactor Modeling
An attractive feature of flat flame reactors is that they may be simulated
using simple stagnation flow models with complex combustion kinetic
mechanisms. Several workers have used this approach to model flat flames
at diamond growth conditions [66–68]. Kim and Cappelli [67] argued that
optimum diamond growth conditions result from a competition between hy-
drocarbon growth and oxidation. Simulations at Sandia indicated the im-
portance of operating at high flame speeds to increase atomic hydrogen
production [68].
A detailed kinetic mechanism containing 50 species and 218 reactions
has been developed for the acetylene flame by Miller and Melius [69]. The
model has been verified experimentally by Glumac and Goodwin [70] using
mass spectroscopy and LIF at conditions slightly oxygen rich for diamond
growth. Wolden and coworkers [14] performed a similar validation study at
diamond growth conditions with a diamond substrate present. Figure 10
shows the profiles of selected species between the burner and substrate at
diamond deposition conditions [14]. Acetylene and oxygen are rapidly con-
verted to hydrogen and carbon monoxide as the gas exits the burner. At the
high temperatures involved, a large amount of atomic hydrogen is produced.
The concentration of H decreases near the surface due to recombination
reactions with the diamond surface [71,72].
As noted in Sec. III, there is a very narrow composition window where
diamond growth is achieved. Figure 11 shows the normalized concentration
of selected species from model predictions plotted over a range of compo-
sition that spans the transition from graphite deposition to diamond depo-
sition through etching [66]. The two species most often implicated in dia-
mond growth, H and CH3 , hardly changed over this range. In contrast, the
acetylene concentration decreased by 70%, which was the largest change
observed for any species [66]. Although the mechanism is not fully under-
stood, acetylene appears to be responsible for the sharp transition between
diamond and graphite deposition.

C. Alternative Fuels
Although acetylene is the most common fuel used for combustion synthesis,
a number of alternatives have been examined including hydrogen [73], eth-
318 Wolden

Figure 10 Profiles of selected species between the burner (11 mm) and the sub-
strate (0 mm) at diamond growth conditions: R = 1.00, P = 47 torr, Ts = 750⬚C, Uo
= 600 cm/sec. (From Ref. 14.)

ylene [74], MAPP gas [75],* and methane [76]. The interest in other fuels
is economic, due to the relatively high cost of acetylene. Diamond has been
deposited successfully in each case, but the growth rates are significantly
less than with acetylene. The superiority of acetylene is due to its high flame
velocity and temperature. Table 2 compares these properties for a number
of fuels.
Examining Table 2, one finds that the flame temperature of acetylene
is at least 350⬚C hotter than for the alternatives. All of these fuels produce
hydrogen, but only atomic hydrogen is useful for diamond deposition. The
importance of acetylene’s high flame temperature is shown in Fig. 12, which
shows the dependence of hydrogen dissociation on both temperature and
pressure. First, the temperature dependence is exponential. Thus, increasing
the temperature from 2500 to 2900 K results in a fourfold increase in the
H atom mole fraction. Besides growth rate considerations, modeling efforts

*MAPP gas is a commercially available mixture of about half liquefied petroleum gas (mostly
propylene) and half C3H4 (a mixture of the two isomers methyl acetylene and propadiene).
Combustion Synthesis of Diamond 319

Figure 11 Variations of selected species as a function of reactant composition R


= O2 :C2H2 over a range that spans the transition from graphite deposition to dia-
mond-deposition to etching. (From Ref. 66.)

Table 2 Comparison of Adiabatic Flame Temperatures and Flame Speeds of


Selected Fuels

Adiabatic flame
Fuel temperature (K)* Flame speed (cm/sec)

Acetylene, C2H2 2910 141.0


Ethylene, C2H4 2565 68.3
Hydrogen, H2 2525 264.8
Propylene, C3H6 2505 43.8
Methane, CH4 2325 33.8

*Assumes base temperature = 25⬚C; oxidizer, air; equivalence ratio, 1.0.


320 Wolden

Figure 12 Equilibrium dissociation of atomic hydrogen as a function of temper-


ature and pressure.

suggest that diamond quality increases quadratically with atomic hydrogen


concentration [77]. Figure 12 also indicates that H-atom dissociation is en-
hanced at lower pressures in accordance with Le Châtelier’s principle.

V. COMPARISONS AND CONCLUSIONS

Although material deposited by combustion CVD has not been tested ex-
tensively, there is every indication that the quality is on par with that of
diamond produced in hot-filament and plasma systems. Schottky diodes and
high-energy particle detectors have been successfully fabricated using com-
bustion-grown diamond. Issues of large-area deposition and uniformity have
been addressed with flat flame burners. Growth rates are exceeded only by
atmospheric plasma torches. Important features such as textured growth,
boron doping, and low-temperature deposition have all been achieved in
combustion reactors. Oriented nucleation, which has been achieved by sub-
strate biasing in microwave and hot-filament reactors, has yet to be dem-
onstrated in a combustion CVD system.
Combustion Synthesis of Diamond 321

Early reports concluded that combustion CVD was a much more ex-
pensive synthesis technique than plasma or hot-filament methods [78]. How-
ever, more recent analyses using data from a low-pressure flat flame burner
indicates that the costs are very similar to those of microwave plasma CVD
(M. Stonefield and C.A. Wolden, unpublished work). In comparison with
plasma-aided processed, combustion CVD requires much less capital but has
higher consumable costs because of the amounts of acetylene and oxygen
required.
In conclusion, the status of combustion synthesis of diamond using
acetylene flames has been reviewed. The development of the technique from
its inception using conventional welding torches to its present implementa-
tion using flat flame burners has been detailed. High growth rates and uni-
formity are characteristic of diamond deposition in flat flame burners. The
design may be scaled to arbitrarily large areas. The quality of combustion
diamond is comparable to that of material produced by other techniques.
Economically, combustion CVD is competitive with plasma techniques.
Combustion CVD requires less capital investment, but these savings are
offset by high material costs. Substantial savings will be realized by im-
provements in growth rates and carbon utilization efficiency.

ACKNOWLEDGMENTS

The author acknowledges the financial support of the National Research


Council and Army Research Office during the writing of the manuscript.
The author would like to thank Dr. John Prater of the Army Research Office
for many helpful discussions and for stimulating my work in combustion
CVD of diamond. Collaborations with the research groups of Professors
Zlatko Sitar and Robert Davis in the Materials Science and Engineering
Department at North Carolina State University were greatly appreciated.

REFERENCES
1. Y Hirose, N Kondo. Program and Book of Abstracts, Japan Applied Physics
1988 Spring Meeting. Tokyo: Japanese Physical Society, 1988, p 434.
2. LM Hanssen, WA Carrington, JE Butler, KA Snail. Mater Lett 7:289, 1988.
3. KV Ravi. Diamond Relat Mater 4:243, 1995.
4. Y Hirose, S Amanuma, K Komaki. J Appl Phys 68:6401, 1990.
5. KA Snail, LM Hanssen. J Cryst Growth 112:651, 1991.
6. G Janssen, WJP van Enckevort, JJD Schaminee, W Vollenberg, LJ Giling, M
Seal. J Cryst Growth 104:752, 1990.
7. T Abe, M Suemitsu, N Miyamoto, N Sato. J Appl Phys 73:971, 1993.
322 Wolden

8. XH Wang, W Zhu, J von Windheim, JT Glass. J Cryst Growth 129:45, 1993.


9. DB Oakes, JE Butler, KA Snail, WA Carrington, LM Hanssen. J Appl Phys
69:2602, 1991.
10. LM Hanssen, KA Snail, WA Carrington, JE Butler, S Kellog, DB Oakes. Thin
Solid Films 196:271, 1991.
11. PW Morrsion Jr, JT Glass. In: G Davies, ed. Properties and Growth of Dia-
mond. London: INSPEC, 1994, p 380.
12. PK Bachmann, D Leers, H Lydtin. Diamond Relat Mater 1:1, 1991.
13. Y Matsui, A Yukki, M Sahara, Y Hirose. Jpn J Appl Phys 28:1718, 1989.
14. CA Wolden, RF Davis, Z Sitar, JT Prater. Diamond Relat Mater 7:133, 1998.
15. RA Weimer, TP Thorpe, KA Snail. J Appl Phys 77:641, 1995.
16. KA Snail, CM Marks. Appl Phys Lett 60:3135, 1992.
17. CA Wolden, Z Sitar, RF Davis, JT Prater. Appl Phys Lett 69:2258, 1996.
18. E Kondoh, T Ohta, T Mitomo, K Ohtsuka. Appl Phys Lett 59:488, 1991.
19. C Chu, M D’Evelyn, R Hauge, J Margrave. J Appl Phys 70:1695, 1991.
20. JJ Schermer, JEM Hogenkamp, GCJ Otter, G Janssen, WJP van Enckevort, LJ
Giling. Diamond Relat Mater 2:1149, 1993.
21. JS Kim, MA Cappelli. J Mater Res 10:149, 1995.
22. CA Wolden, KK Gleason. Diamond Relat Mater 5:1503, 1996.
23. GH Ma, Y Hirose, S Amanuma, M McClure, JT Prater, JT Glass. In: R Messier,
JT Glass, JE Butler, R Roy, eds. New Diamond Science and Technology. Pitts-
burgh: Materials Research Society, 1991, p 587.
24. KV Ravi, A Joshi. Appl Phys Lett 58:246, 1991.
25. KV Ravi, CA Koch, HS Hu, A Joshi. J Mater Res 5:2356, 1990.
26. K Hirabayashi, Y Hirose. Diamond Relat Mater 5:48, 1996.
27. C Wild, R Kohl, N Herres, W Muller-Sebert, P Koidl. Diamond Relat Mat 3:
373, 1994.
28. BR Stoner, SR Sahida, JP Bade, P Southworth, PJ Ellis. J Mater Res 8:1334,
1993.
29. KA Snail, RG Vardiman, JP Estrera, JW Glesener, C Merzbacher, CJ Craigie,
CM Marks, R Glosser, JA Freitas Jr. J Appl Phys 74:7561, 1993.
30. JJ Schermer, LJ Giling, P Alers. J Appl Phys 78:2376, 1995.
31. KA Snail, CL Vold, CM Marks, JA Freitas Jr. Diamond and Relat Mater 1:
180, 1992.
32. JJ Scheimer, WA Elst, LJ Giling. Diamond and Relat Mater 4:1113, 1995.
33. W Zhu, BH Tan, J Ahn, HS Tan. J Mater Res 30:2130, 1995.
34. RC Aldredge, DG Goodwin. J Mater Res 9:80, 1994.
35. T Abe, M Suemitsu, N Miyamoto. J Cryst Growth 143:206, 1994.
36. M Murakawa, S Takeuchi. Surf Coat Technol 54/55:403, 1992.
37. K Komaki, M Yanagisawa, I Yamamoto, Y Hirose. Jpn J Appl Phys 32:1814,
1993.
38. PW Morrsion, A Somashekhar, JT Glass, JT Prater. J Appl Phys 78:4144, 1995.
39. DY Wang, YH Song, JJ Wang, RY Cheng. Diamond Relat Mater 2:304, 1993.
40. JA Cooper Jr, WA Yarbrough. Diamond Opt SPIE 1325:41, 1990.
41. NG Glumac, DG Goodwin. Mater Lett 18:119, 1993.
42. KF McCarty, E Meeks, RJ Kee, AE Lutz. Appl Phys Lett 63:1498, 1993.
Combustion Synthesis of Diamond 323

43. M Murayama, S Kojima, K Uchida. J Appl Phys 69:7924, 1991.


44. M Murayama, K Uchida. Combust Flame 91:239, 1992.
45. DW Hahn, CF Edwards, KF McCarty, RJ Kee. Appl Phys Lett 68:2158, 1996.
46. J Powling. Fuel 28:25, 1949.
47. WT Bielder, HE Hoeschler. Jet Propul 25:1257, 1957.
48. CF Edwards, DW Hahn. Proceedings of ILASS-95 (Institute for Liquid At-
omization and Spray Systems), Troy, MI, 1995, p 34.
49. GH Evans, R Grief. Numer Heat Transfer 14:373, 1988.
50. RJ Kee, JA Miller, GH Evans, G Dixon-Lewis. In: Twenty-second Symposium
(International) on Combustion. Pittsburgh: The Combustion Institute, 1988, p
1479.
51. CA Wolden, Z Sitar, RF Davis, JT Prater. Diamond Relat Mater 6:1862, 1997.
52. CA Wolden, SK Han, MT McClure, Z Sitar, JT Prater. Mater Lett 32:9,1997.
53. BA Fox, BR Stoner, D Malta, P Ellis, RC Glass, FR Sivazlian. Diamond Relat
Mater 3:382, 1994.
54. SK Han, MT McClure, CA Wolden, Z Sitar. Mater Res Soc Symp Proc 423:
281, 1996.
55. SD Wolter, BR Stoner, JT Glass, PJ Ellis, DS Buhaenko, CE Jenkins, P South-
worth. Appl Phys Lett 62:1215, 1993.
56. JE Graebner, S Jin, GW Kammlot, B Bacon, L Seibles, WF Banholzer. J Appl
Phys 71:5353, 1992.
57. KV Ravi, CA Koch, DS Olson, P Choong, JW Vandersande, LD Zoltan. Appl
Phys Lett 64:2229, 1994.
58. JW Glesener, AA Morrish, KA Snail. J Appl Phys 70:5144, 1991.
59. JW Glesener, AA Morrish, KA Snail. Appl Phys Lett 61:429, 1992.
60. JW Glesener, KA Snail, AA Morrish. Appl Phys Lett 62:181, 1993.
61. K Doverspike, JE Butler, JA Freitas Jr. Diamond Relat Mater. 2:1078, 1993.
62. CA Wolden, Z Sitar, RF Davis, JT Prater. J Mater Res 70:2733, 1997.
63. SJ Harris, DG Goodwin. J Phys Chem 97:23, 1993.
64. BW Yu, SL Girshick. J Appl Phys 75:3914, 1994.
65. MA Cappelli, PH Paul. J Appl Phys 67:2596, 1990.
66. RJH Klein-Douwel, JJL Spaanjaars, JJ ter Meulen. J Appl Phys 78:2086, 1995.
67. JS Kim, MA Cappelli. J Appl Phys 72:5461, 1992.
68. E Meeks, RJ Kee, DS Dandy, ME Coltrin. Combust Flame 92:144, 1993.
69. JA Miller, CF Melius. Combust Flame 91:21, 1992.
70. NG Glumac, DG Goodwin. Combust Flame 105:321, 1996.
71. CA Wolden, S Mitra, KK Gleason. J Appl Phys 72:3750, 1992.
72. SJ Harris, AM Weiner. J Appl Phys 74:1022, 1993.
73. NG Glumac, DG Goodwin. Appl Phys Lett 60:2695, 1992.
74. JS Kim, MA Cappelli. Appl Phys Lett 65:2786, 1994.
75. SJ Harris, HS Shin, NG Glumac. Appl Phys Lett. 66:891, 1995.
76. JS Kim, MA Cappelli. Appl Phys Lett 67:1081, 1995.
77. DG Goodwin. J Appl Phys 74:6888, 1993.
78. Final report for DARPA Contract Number N00014-93-C2044, 1997.
9
Laser-Assisted and Optical
Pumping Techniques for
Diamond Synthesis

Vish V. Subramaniam and Shashi M. Aithal


The Ohio State University, Columbus, Ohio

I. INTRODUCTION

Diamond growth by chemical vapor deposition (CVD) processes requires


activation of the gas phase adjacent to a substrate. The previous chapters of
this book have discussed several methods of providing this activation needed
for driving chemical reactions in the gas phase. Among these are hot-fila-
ment CVD (HFCVD), flame synthesis, and plasma CVD. All three methods
rely upon thermal heating or near-equilibrium effects to induce reactions in
the gas leading to diamond growth. Consequently, it is not possible to ex-
ercise selectivity from among the various competing chemical processes for
diamond growth. Selectivity of desired chemical channels or lowering of
growth temperatures, for instance, requires that attention be devoted to how
the growth medium is energized and reacted. Lasers offer a unique means
of activating the molecules in the growth medium. They are potentially
capable of reducing growth temperatures as well as providing selectivity in
a reactive process. In this chapter, the use of lasers in diamond synthesis is
discussed.
Use of lasers for diamond synthesis has not always been motivated
with the goal of commercialization. Rather, lasers have been used to create
environments that enable detailed study of the gas (or condensed) phase
chemistry. These can also lead to better understanding of some of the re-
acting channels occurring in the other, more commercially viable processes
325
326 Subramaniam and Aithal

leading to process improvements. There are also other reasons that laser-
based methods of diamond synthesis have been explored. These include the
need to reduce substrate and gas (or liquid) temperatures during growth and
to control and limit the codeposition of nondiamond carbon by selectively
driving only the specific chemical channels that lead to diamond formation.
In addition, lasers can be used to control diamond deposition patterns and
enhance growth rates. Processes that meet these requirements are not nec-
essarily the most economical as well. Hence, cost is not the only issue to
consider when comparing laser-based methods with other better established
CVD processes and General Electric’s high-pressure, high-temperature
(HPHT) process.
In order to appreciate the utility of lasers in CVD processes for dia-
mond growth, it is necessary to understand how the energy added to a gas
is redistributed within the various modes of its molecules. Gases comprise
molecules and atoms and, in the case of plasmas, ions and electrons as well.
These molecules, atoms, and ions in turn possess various internal modes of
energy storage in addition to their translational (or what is called external)
modes of motion. Figure 1 shows these various modes schematically.
In addition to the three external degrees of freedom (i.e., translation
in the three coordinate directions) that a particle possesses, molecules and
molecular ions can store energy in rotation, vibration, and electronic exci-
tation. Atoms and atomic ions can store energy only in electronic excitation
in addition to their external modes. When energy is added to a gas in the

Figure 1 Schematic showing the different modes of energy storage for a diatomic
molecule.
Laser-Assisted and Optical Pumping Techniques 327

form of simple heating, it first appears in the translational motion of the gas
particles. Temperature is the common measure used to describe the average
translational energy of the gas. The energy added by heating eventually
makes its way into the other modes of molecular motion via collisions.
Usually, exchange of translational energy between molecules takes place
within a few collisions, and equilibration of energy between rotational and
translational modes usually requires on the order of tens of collisions. In
contrast, many collisions (on the order of thousands or many more) are
required for the vibrational modes of molecules to equilibrate with rotational
and translational modes [1–3]. Because the excitation of the vibrational
modes is helpful in driving endothermic reactions, it can be seen that simple
thermal heating is a circuitous and inefficient route to cause a gas to react.
Thermal stimulation of a gas can be accomplished in a number of
ways, including simple heating, electrical heating by partially ionizing it and
then driving a current through the gas, or using a laser. It is usually ineffi-
cient and expensive to use a laser for thermal heating of a gas unless re-
petitive, very short duration pulse heating is required for a particular process.
Lasers are also useful in instances where only the gas adjacent to a surface
must be heated without heating the surface itself (see Fig. 2). In cases where
the surface alone needs to be heated to drive a heterogeneous reaction, the
gas must be transparent to the laser source whose energy is absorbed at the
substrate-gas interface. This is often useful in the case where spot heating
as opposed to bulk heating is required.
Lasers drive chemical reactions by exciting specific transitions in a
molecule. It may be pertinent to ask at this point whether or not a laser is
necessary to excite a specific transition in a molecule. In other words, can
one use an intense lamp (with an appropriate filter) to produce monochro-
matic (but incoherent) light to initiate reactions in gases? The answer of
course is yes, and chemists were employing such techniques long before the
invention of lasers to measure reaction rates [4]. However, inference of re-

Figure 2 Schematic showing the use of a laser to drive homogeneous gas-phase


reactions by pyrolysis, photolysis, or laser excitation without heating of the surface.
328 Subramaniam and Aithal

action rates in complex chemically reacting systems is rendered difficult for


two important reasons. First, incoherent monochromatic sources such as in-
tense lamps are limited in intensity because they are diffuse, i.e., emit light
in all directions. Usually, the higher the intensity (i.e., energy per photon
multiplied by the number of photons per unit area, per unit time), the higher
is the reaction yield, which makes it easier to measure changes in concen-
trations. Second, production of extremely short duration pulses (say of du-
ration nanoseconds to hundreds of nanoseconds) of sufficient energy to drive
fast reactions is nearly impossible with an incoherent, monochromatic
source. Lasers, on the other hand, are monochromatic sources that can pro-
duce high pulse energies with extremely short durations and sustain these
characteristics over long distances because they are coherent.
We now digress a bit and examine this property of coherence in some
detail, following the development in Ref. 5. Two sources are said to be
coherent if they emit light or photons of a given frequency ␯ (or energy h␯,
where h is Planck’s constant), with nearly the same amplitude, and in phase
with each other. An important consequence of coherence is that a maximum
intensity is observed at a point in space where the phase difference between
two waves or photons reaching that point is an integral multiple of 2␲.
Another way of stating this idea is that the maximum intensity will occur
when the path difference1 between two waves or photons is an integral
multiple of the wavelength ␭ (= c/␯, where c is the speed of light). The
minimum intensity occurs when the phase difference between the photons
is an odd multiple of ␲, i.e., (2m ⫹ 1)␲, where m is an integer (= 0, 1, 2,
. . .). Coherent sources thus exhibit the important property of interference.
The appearance of fringes (i.e., alternating segments of maximum intensity
and minimum intensity) is due to interference.
Because light is a form of electromagnetic radiation, it can be repre-

sented

at any point→
in space as a time-varying electric field E(t) =
E0e ⫺i␻te i␾(t), where E0 is the amplitude, ␻ = 2␲␯ is the circular frequency, i
= 兹⫺1, t is time, and ␾→(t) is the →
time-dependent phase. Thus, when two
photons represented by E1 and E2 interact, the resulting intensity can be
expressed as a time average:
→ → → → → →
I = 具E⭈E*典 = 具(E1 ⫹ E2)⭈(E*
1 ⫹ E*)典
2

→ → → →
= 具兩E1兩2 ⫹ 兩E2兩2 ⫹ 2 Re(E1 ⭈E*)典
2

where the angle brackets denote the time average, defined as

1
If the path difference is x and the phase difference is ␦, the two are related by ␦ = 2␲x/␭,
where ␭ is the wavelength.
Laser-Assisted and Optical Pumping Techniques 329


t0
1
具 f 典 = lim

f(t) dt
t0 ⬁ t0 0

The symbol Re denotes the real part of a complex number, and the super-
script * denotes the complex conjugate of a complex number. Now for sim-
plicity, if we assume that the two fields are polarized,2 then their vectorial
nature can be ignored. The intensity then becomes
I = I1 ⫹ I2 ⫹ 2 Re具E1E*典
2

where I1 = 具兩E1兩2典 and I2 = 具兩E2兩2典. Thus, if t is the time required for one
photon to travel via a path A and if (t ⫹ ␶) is the time required for a second
photon to travel via path B, then the intensity due to interference is
2 Re具E1(t)E*(t
2 ⫹ ␶)典 = 2 Re(⌫12(␶)). The function ⌫12(t) is called the mutual
coherence function or correlation function of the two fields. Analogously,
⌫11(t) is called the autocorrelation function. By definition, ⌫11(t = 0) = I1
and ⌫22(t = 0) = I2. The intensity is also written as
I = I1 ⫹ I2 ⫹ 2兹I1I2 Re{␥12(␶)}
where ␥12(␶) is called the degree of partial coherence and is given by
⌫12(␶) ⌫12(␶)
␥12(␶) = =

兹 11(0)⌫ 22(0) 兹I1I2
If 兩␥12兩 = 1, we have complete coherence. If 兩␥12兩 = 0, there is complete
incoherence, and if 0 < 兩␥12兩 < 1, there is partial coherence. Now, suppose
that the interference pattern varies between the two limits set by Imax and
Imin:
Imax = I1 ⫹ I2 ⫹ 2兹I1I2兩␥12兩
Imin = I1 ⫹ I2 ⫺ 2兹I1I2兩␥12兩
The fringe visibility is then defined as
Imax ⫺ Imin 2兹I1I2兩␥12兩
᭙= =
Imax ⫹ Imin I1 ⫹ I2
When I1 = I2, then ᭙ = 兩␥12兩. Thus, for complete coherence, ᭙ = 1 and the
fringes have a maximum contrast of unity, whereas for complete incoher-
ence, ᭙ = 0 and the fringe contrast is 0 (i.e., there are no distinguishable
fringes).
We will now attempt to relate the degree of partial coherence to the
characteristics of a source. Suppose the source emits an electromagnetic

2
Simply defined, polarization is a chosen direction of the electric field vector in the plane
normal to the direction of propagation of the electromagnetic wave.
330 Subramaniam and Aithal

wave whose field varies sinusoidally for a certain duration ␶0 and then
changes phase abruptly. This can represent, for example, the case of an
excited atom or molecule that emits radiation for a certain amount of time
and then suffers a collision with another atom or molecule. This sequence
of emission for a certain duration ␶0 followed by an abrupt change of phase
is then repeated indefinitely. ␶0 is called the coherence time. The phase there-
fore may vary in a manner such as that shown in Fig. 3 for illustrative
purposes. Suppose now that the light from this source represented by E(t)
= E0e⫺i␻te i␾(t) is split into two beams and subsequently brought together to
produce interference. Assuming that 兩E1兩 = 兩E2兩 = 兩E兩, the degree of self partial
coherence is
具E(t)E*(t ⫹ ␶)典
␥(␶) =
具兩E兩2典
Substituting for E(t), we have


T
1
␥(␶) = 具e i␻␶e i [␾ (t)⫺␾ (t⫹␶)]典 = ei␻␶ lim

e i [␾ (t)⫺␾ (t⫹␶)] dt
T ⬁ T 0

Figure 4 shows an illustrative plot of the phase difference ␾(t) ⫺ ␾(t ⫹ ␶)


versus time. For the first time interval, 0 < t < ␶0, ␾(t) ⫺ ␾(t ⫹ ␶) = 0 when
0 < t < ␶0 ⫺ ␶. However, for ␶0 ⫺ ␶ < t < ␶0, the phase difference takes on
some random value ⌬ between 0 and 2␲. Therefore, the degree of self partial
coherence becomes

冕 再冕 冕 冎
␶0 ␶0⫺␶ ␶0
i␻␶ 1 i [␾ (t)⫺␾ (t⫹␶)] i␻␶ 1
␥(␶) = e e dt = e dt ⫹ e i⌬ dt
␶0 0 ␶0 0 ␶0⫺␶

1
= e i␻␶ {␶0 ⫺ ␶ ⫹ ␶e i⌬}
␶0
Each interval will have the same expression for ␥(␶), except that the value

Figure 3 Plot of phase ␾(t) versus time t for the example of a quasi-monochro-
matic source. (From Ref. 5.)
Laser-Assisted and Optical Pumping Techniques 331

Figure 4 Plot of phase difference, ␾(t) ⫺ ␾(t ⫹ ␶) versus time t for the discussion
of coherent length and coherent time. (From Ref. 5.)

of ⌬ will be different. Because ⌬ is random, the time average of e (i⌬) is zero,


and the average of ␥(␶) over all such intervals will be (1 ⫺ (␶/␶0)e i␻␶, when-
ever ␶ < ␶0. On the other hand, when ␶ > ␶0, the phase difference is always


random so that its average over many intervals is zero. Hence, we have

␥(␶) =
冉 冊 1⫺

␶0
e i␻␶ for ␶ < ␶0

0 for ␶ ⱖ ␶0


or

1⫺ for ␶ < ␶0
兩␥(␶)兩 = ␶0
0 for ␶ ⱖ ␶0

This function is shown schematically in Fig. 5. Since 兩␥兩 is equal to the


fringe visibility ᭙ for two light waves of equal amplitude, it can be seen
from Fig. 5 that ᭙ drops to zero when ␶ exceeds the coherence time ␶0. This
means that the path difference between the two split light waves, say x, must
not exceed the value of Lc = c␶0, where c is the speed of light. The quantity
Lc = c␶0 is called the coherence length. Thus, for x > Lc, interference fringes
will not be observed. Interference fringes can be observed only for x < Lc.
In a radiating gas, the time between collisions of gas molecules is not con-
stant but varies randomly from one collision to the next. However, an av-
erage time between collisions can be defined. Hence, ␶0 should be interpreted
as an average value of the individual coherence times. The same holds true
for the coherence length.
332 Subramaniam and Aithal

Figure 5 Plot of degree of self partial coherence, 兩␥ (␶)兩 versus ␶ for the discussion
of coherent length and coherent time. (From Ref. 5.)

We are now in a position to understand the crucial differences between


lasers and ordinary, intense, incoherent sources. The first point to realize is
that no source of light is ever strictly monochromatic. There is always a
spread of frequency about some mean frequency due to natural broadening.3
It can be shown using Fourier analysis that the width ⌬␻ of the frequency
distribution is given by ⌬␻ = 2␲/␶0 or ⌬␯ = 1/␶0 [5]. Conversely, we can
also say that a spectral line of width ⌬␯ has a corresponding coherence time
of ␶0 = 1/⌬␯, with a coherence length of Lc = c␶0 = c/⌬␯. This condition
can also be expressed in terms of wavelength as Lc = ␭2/⌬␭ because ⌬␯/␯
= 兩⌬␭兩 /␭. For ordinary light sources such as lamps or discharge tubes, the
line widths in the visible portion of the spectrum (say around 500 nm) are
on the order of an angstrom [5]. The corresponding coherence length is then
on the order of 5000 wavelengths or about 2.5 mm. This means that with
such light sources, interference fringes would disappear beyond a distance
of about 2.5 mm. In contrast, the line width of a gas laser can be as narrow
as 103 Hz or less,4 which corresponds to a coherence length of about 300
km! Thus, coherent sources are capable of producing interference effects
over much larger distances than incoherent sources.
The other essential difference between incoherent and coherent light
sources is the property of directionality. Ordinary lamps and discharge tubes,
which produce incoherent light, radiate in all directions. In contrast, light

3
Natural broadening refers to the finite line width (centered around a specific wavelength)
observed for a spectral line due to the finite radiative lifetime of a given excited state of an
atom or molecule. The width associated with natural broadening has its origins in Heisen-
berg’s uncertainty principle, which gives a relationship between uncertainties in time and
energy.
4
The corresponding line width for ordinary, incoherent light sources is on the order of 1010
Hz.
Laser-Assisted and Optical Pumping Techniques 333

from a laser can come very close to an ideal plane wave whose divergence
is primarily due to diffraction effects [6]. Therefore, the high directionality
of the laser beam can be used to focus it to extremely small dimensions and
thus attain high power densities or intensities.
For the aforementioned reasons, laser-based methods have a great po-
tential to drive chemical reactions. It is more efficient to stimulate internal
modes of gas molecules (i.e., the more reactive modes such as vibrational
or electronic excitation) directly rather than relying upon thermal heating.
The former relies upon sustaining thermodynamic disequilibrium between
internal and external modes of molecular motion. Excitation of the internal
modes with minimal excitation of the translational modes also leads to some
control over selectivity, as we shall see later in this chapter. In contrast,
thermal heating of a gas, results in states that are in thermodynamic equi-
librium or in local thermodynamic equilibrium (LTE).5 Lasers, depending
on how they are used, are capable of producing gaseous environments that
can be in LTE or far from it. Processes that use this departure from LTE to
induce selective growth of diamond or produce films of desired properties
or characteristics are discussed later in this chapter. Their ultimate utility in
industrial applications, though, must be evaluated with regard to cost.6
The use of lasers for diamond synthesis (or synthesis of other materials
for that matter) can be grouped into general categories: (1) rapid heating
and pyrolysis, shown schematically in Figs. 2 and 6; (2) ablation or physical
vapor deposition (PVD), shown schematically in Fig. 7; (3) photolysis,
shown schematically in Figs. 2 and 6; (4) laser excitation or optical pumping,
shown schematically in Figs. 2 and 6; and (5) hybrid methods.
An obvious use of a laser is for intermittent, rapid heating. Laser py-
rolysis is included in this grouping, although there are some important dif-
ferences. A laser can be used to heat a gas by irradiating surfaces in contact
with the gas. This takes advantage of the fact that solid surfaces tend to
absorb radiant energy broad band (i.e., over a continuous range of wave-
lengths) whereas gases tend to absorb discrete lines (i.e., at specific wave-
lengths). Such an instance of a solid surface being heated is encountered

5
Strictly speaking, the state of thermodynamic equilibrium is defined as one that is homoge-
neous, isotropic, and devoid of any gradients. However, most practical engineering situations
involve flows and gradients. Therefore, the concept of local thermodynamic equilibrium or
LTE is typically introduced as an approximation to allow a flowing gas exposed to gradients
to be treated as consisting of infinitesimal regions that are nearly homogeneous, isotropic,
and devoid of gradients.
6
We shall address the issue of cost later, as each technique is described. We hasten to point
out that the economics of diamond is largely artificial, and therefore we will avoid using
units of dollars per carat to discuss cost. Rather, we evaluate processes based upon energy
cost expressed here in units of joules per carat ( J/carat).
334 Subramaniam and Aithal

Figure 6 Schematic showing the use of a laser to drive gas-phase or heterogeneous


reactions by pyrolysis, photolysis, or laser excitation while heating the surface
locally.

when heterogeneous (i.e., gas-solid) reactions need to be driven. The laser


wavelength must then be chosen so that the gas is transparent to this wave-
length while the surface is not. A potential advantage over other methods of
heating the surface is that the laser energy can be absorbed at the surface
alone, with minimal heating of the bulk material. If the gas alone is to be
heated, the laser energy must first be absorbed7 by the gas (at a specific
wavelength or discrete wavelengths corresponding to vibrational or elec-
tronic transitions in the gas molecules). The energy initially absorbed in the
internal modes is then rapidly redistributed to the external (translational)
modes, thus raising the gas temperature and driving chemical reactions. This
process, known as pyrolysis, can involve either specific constituents or all
constituents of a gas mixture.
Lasers can also be used to irradiate and vaporize a target material, with
the vapor-phase products subsequently condensing on a surface placed near
the target. We shall refer to this technique as laser ablation, and a schematic
is shown in Fig. 7. In the literature, laser ablation is also known as laser
physical vapor deposition or LPVD. This method has been used successfully
to produce thin films of superconductors and other materials. The target or
targets are usually pure materials or materials of fixed, known composition.
Intense laser energy (usually in the ultraviolet) is focused on the target,

7
Laser energy can be absorbed by a gas molecule M either by resonant single-photon absorption
or by multiphoton absorption. The former utilizes transitions between real energy levels in a
molecule whereas the latter can also occur via virtual energy states:
single-photon: h␯ ⫹ M(E1) → M(E2) where E2 > E1
multiphoton: nh␯ ⫹ M(E *)1 → M(E *)2 where E *2 > E* 1 and E *
1 and E *
2 do not have to
be real energy states belonging to the molecule M
Laser-Assisted and Optical Pumping Techniques 335

Figure 7 Schematic of laser physical vapor deposition or LPVD process.

causing portions of it to vaporize and in some cases ionize or react. The


vapor then is made to condense on a substrate placed nearby (which may
be heated or cooled), resulting in a thin film. In most cases, the laser also
interacts with the vapor (which may or may not be ionized) emanating from
the target. Thus, the laser ablation technique could be easily applied in con-
junction with other techniques such as plasma CVD, hot-filament CVD, or
flame synthesis, resulting in a hybrid method.
The third category is photolysis, wherein multiphoton processes are
used to break apart polyatomic molecules into smaller, radical fragments.
Multiphoton absorption is a nonlinear process whereby two or more photons
are absorbed by a molecule, resulting in dissociation of the polyatomic mol-
ecule into molecular or atomic fragments. The process can be written sym-
bolically in the following form of a chemical reaction:
Mn ⫹ p(h␯) → Ra ⫹ Sb (1)
⫺34
where p photons of frequency ␯ are absorbed (h = 6.626 ⫻ 10 J-sec, is
Planck’s constant) by a polyatomic molecule containing n (n = a ⫹ b) atoms.
The result is that the molecule is taken to its dissociation limit and the
resulting polyatomic fragments are R (containing a atoms) and S (containing
b atoms). The two ways in which a multiple number of photons can be
absorbed by a polyatomic molecule are shown schematically in Fig. 8. The
photons do not necessarily have to access real energy levels within the mol-
ecule en route to the dissociation limit. The multiphoton process can access
virtual energy states [4,7,8]. Further, the absorption process can be either
sequential or simultaneous. An example of a naturally occurring multiphoton
process is the dissociation of O2 in the earth’s upper atmosphere due to
ultraviolet (UV) radiation from the sun:
O2 ⫹ m(h␯) → O ⫹ O (2)
336 Subramaniam and Aithal

Figure 8 Schematic showing multiphoton dissociation (MPD) process in a poly-


atomic molecule. MPD processes can take place through interaction of the molecule
with many photons either sequentially or simultaneously and can involve either real
energy levels or virtual energy levels.

where m is at least 2 for photons with a wavelength of 193 nm.8 The O


atoms subsequently recombine with O2 to form ozone (O3). This technique
of photolysis is routinely employed by chemists to measure reaction rates
involving specific molecules or radicals [4,7,8].
There are laser-based techniques that take advantage of the coincidence
between the wavelength of the laser light and energy difference for a par-
ticular transition (either electronic or vibrational) in a given molecule. Be-
cause such an application typically does not involve high powers but rather
the right wavelength, these techniques are collectively referred to here as
laser excitation or laser-excited CVD. A listing of different lasers presently
available along with their operating wavelengths is given for reference in
Table 1. A broader term that encompasses incoherent light (such as UV
lamps) as well as coherent (laser) light is optical pumping. The terms laser
excitation and optical pumping will be used interchangeably throughout this
chapter, even when a laser is used to create the optical pumping. In optical
pumping of a gas, the absorption is usually by a resonant single-photon
process:

8
The particular wavelength of 193 nm is also the wavelength at which the ArF (argon-fluoride)
excimer laser lases. The wavelength of 193 nm is in the range of absorption for O2 via the
Schumann-Runge bands [4].
Laser-Assisted and Optical Pumping Techniques 337

Table 1 Available Laser Sources and Their Respective (Commonly Found)


Wavelengths

Laser type Wavelength

ArCl excimer 175 nm


ArF excimer 193 nm
KrCl excimer 222nm
KrF excimer 248 nm
Frequency-quadrupled Nd:YAG 266 nm
XeBr excimer 282 nm
XeCl excimer 308 nm
Nitrogen laser 330 nm
XeF excimer 351 nm
7-Hydroxycoumarin dye 450–470 nm
4-Methylumbelliferone dye 450–470 nm
Esculin dye 450–470 nm
Argon ion laser 488 nm
Argon ion laser 514.5 nm
Frequency-doubled Nd:YAG 532 nm
Puronin B dye Yellow
Na-fluorescein dye 530–560 nm
2,7-Dichlorofluorescein dye 530–560 nm
Rhodamine 6G dye 570–610 nm
Acridine red dye 600–630 nm
Rhodamine B dye 605–635 nm
Helium-neon laser 632.8 nm
Ruby (Al2O3 doped with Cr2O3) 692.9 nm and 694.3 nm
Nd:YAG (Y3Al5O12) 1.064 ␮m (dominant line)
HF chemical laser 2.7 ␮m
DF chemical laser 3.8 ␮m
CO laser Multiple lines from 4.7 to 5.8 ␮m
CO2 laser 9.4 ␮m, 10.6 ␮m
Free electron laser Visible to ultramicrowave (mm) wavelengths

Mn(E1) ⫹ h␯ → Mn(E2) (3)


where h␯ = E2 ⫺ E1, and E1 and E2 are energy levels within the molecule
Mn, comprising n atoms. This is followed by collisional processes that lead
to energy transfer and subsequent chemical reaction.
Lasers have been used successfully to synthesize diamond particles,
films, and diamond-like films. Although lasers have also been used to en-
hance and control nucleation, reduce the surface roughness, and improve
adhesion of the film to the substrate, the focus of this chapter is on synthesis.
338 Subramaniam and Aithal

It is not our intent to provide a general background on lasers or on their


principles of operation. There exist several excellent books on the subject
[9,10]. Laser operation and characteristics will be discussed only as and
when specifically applicable to a particular process. The focus here is on the
more nonstandard and novel applications of lasers for diamond growth, es-
pecially those that result in highly nonequilibrium environments. In other
words, we explore the situations that can best be created by a laser and, in
some cases, not by any other means.
This chapter is organized as follows. Section II describes synthesis of
diamond and diamond-like carbon reportedly resulting from rapid heating
and/or cooling due to laser irradiation. Production of diamond-like carbon
by ablation of a precursor target is described in Sec. III, followed by a
discussion of use of laser CVD for diamond synthesis by photolysis of car-
bonaceous precursors in Sec. IV. Diamond synthesis by relatively low-power
resonance absorption of laser energy (i.e., laser excitation) is discussed in
Sec. V. A chapter summary is given in Sec. VI.

II. RAPID HEATING AND COOLING


A. Introduction
There are specific instances where laser beams provide an intense source of
energy that results in heating of a target gas, solid, or liquid. Depending on
the rate at which the incident energy is absorbed and redistributed per unit
time, resulting temperatures and pressures can exceed thousands of kelvins
and many hundreds of kilobars or more. Referring back to the (equilibrium)
phase diagram for carbon (see Fig. 13), it can be seen that such conditions
are conducive for conversion of nondiamond carbon to diamond. Even when
the output power of a laser beam alone does not provide sufficient intensity
or the required heating and compression, the beam can be focused to provide
intense heating over a small region.
The output beam from a laser is usually at least a few millimeters in
diameter. When focused using a lens (of radius b < a) or focusing mirror,
the ultimate radius of the beam of radius a can be estimated from physical
optics to be [6,9]
r0 = ␭ f/min{a, b} (4)
where ␭ is the wavelength of laser light and f is the focal length of the lens
or optical element used. In practice, however, the ultimate size of a focused
laser beam is much larger than that given by Eq. (4) because of multimode
emission from the laser and lens aberration. Now, if P is the power of the
incident laser beam, then the intensity can be written as
Laser-Assisted and Optical Pumping Techniques 339


a

Ip(r)2␲ r dr
0
I=
␲ r 20
When Ip is independent of r, we have
P
I= (5)
␲ r 20
We can also write Eq. (5) using Eq. (4) as
I = Pa2/␲␭2 f 2 (6)
Usually, Ip depends on r in a Gaussian manner but Eqs. (5) and (6) can be
easily rewritten for this case. Although Eq. (6) may not be quantitatively
accurate for real laser beams for which Ip is a function of r, it does provide
the correct trends. For instance, the intensity does scale inversely with the
square of the laser wavelength and lens focal length and directly with the
square of the initial (i.e., prefocusing) beam size. Thus, for a given laser
output power, the intensity increases for shorter wavelength laser beams,
smaller focal length lenses, and large initial beam diameters.

B. Gas-Phase Heating
An appropriate example of rapid gas-phase heating triggering reaction and
ultimately leading to formation of diamond particles is the experiment of
Buerki and Leutwyler [11]. This work combines both pyrolytic and photo-
lytic decomposition of the gas phase. In this experiment, mixtures consisting
of ethylene (C2H4), H2, and silane (SiH4) in compositions of 51.5–100%:0–
41.2%:0–7.2% were introduced vertically through a capillary nozzle into a
reactor. These precursors were diluted in either N2 or Ar or both. The gas
flow was then irradiated horizontally by a focused line-tunable continuous-
wave (cw) CO2 laser beam, 2–3 mm above the nozzle. Silane (a strong
absorber of 10.6-␮m CO2 laser radiation) was used to elevate the gas tem-
perature and to enhance pyrolysis or chemical decomposition of C2H4. Two
processes that cause such gas mixtures to react are pyrolysis and photolysis.
The process by which these small molecules decompose upon exposure to
infrared radiation from the CO2 laser is known as infrared multiphoton dis-
sociation or IRMPD [7]. Both SiH4 and C2H4 absorb infrared photons via
multiphoton processes (of the type discussed in footnote 7 and shown in
Fig. 8) triggered by the intense radiation field of the focused laser beam and
are subsequently taken to their respective dissociation limits [7]. Inevitably,
some of the laser energy is also transferred to the vibrational modes of these
340 Subramaniam and Aithal

molecules, resulting in rapid gas heating via vibration-rotation-translation


(VRT) and vibration-translation (VT) collisional energy transfer. Thus, some
of these molecules are also pyrolyzed and decomposed. The authors report
that 1 g of particles black in appearance were produced from C2H4 /N2 /Ar/
H2, C2H4 /N2, C2H4 /Ar, and C2H4 /N2 /H2 mixtures. The particles (on the order
of 5–15 nm in size) were characterized by bright-field transmission electron
microscopy (TEM) imaging and electron diffraction. Based on this analysis,
the authors report finding diamond for the C2H4 /N2 gas mixture and a graph-
ite-diamond mixture in all the other cases. In addition, these authors report
that a gas mixture of C2H4 /N2 /SiH4 /H2 yielded diamond particles ⬃120 nm
in size in one instance. Although it is encouraging that they appear to be
able to distinguish between diamond and graphite through bright-field TEM
imaging and electron diffraction analysis, some caution is warranted. Dis-
ordered graphite is known to masquerade as diamond, especially in electron
diffraction patterns [12,13]. The only way to establish deposits unequivo-
cally as being diamond is through Raman spectroscopy. As an example, the
Raman spectrum of a diamond film containing graphite and disordered
graphite synthesized using an oxyacetylene flame is shown in Fig. 9. This
particular film can be seen to contain diamond (1332 cm⫺1 line), graphite
(as can be seen from the ‘‘G’’ peak at 1580 cm⫺1), and disordered graphite

Figure 9 Raman spectrum of a diamond film synthesized using an oxyacetylene


flame, displaying peaks identifying diamond and disordered graphite. (From Refs.
15–17.)
Laser-Assisted and Optical Pumping Techniques 341

(as evidenced by the presence of the ‘‘D’’ peak at 1343 cm⫺1). Unfortunately,
no Raman spectra are presented in Ref. 11. This is understandable given the
small size of the particles and the small sample, both of which would yield
an extremely small signal (if any) in Raman spectroscopy. In the absence
of such evidence, as many additional methods as possible of characterization
of the physical properties (such as hardness) and chemical properties (such
as reactivity to hydrogen or oxygen when heated, or exposure to acids) are
needed. Again, this is difficult when sample sizes are small.
Gas-phase reactions with C2H4 as the carbonaceous precursor required
about 10 W of power (the residence time was about 1 msec and the pressure
was 1 atm) [11]. Based upon the values given in Ref. 11, a characteristic
J/carat value (not counting capital cost) can be computed for this case using
the optimistic assumption that all of the 1 g of deposit yield is diamond.
Reference 11 reports a linear growth rate of 5.7 ␮m/sec, and assuming this
is all diamond, we can compute an equivalent spherical volumetric growth
rate of (4/3)␲(5.7 ⫻ 10⫺6)3 m3/sec or 7.8 ⫻ 10⫺16 m3/sec. Given the density
of diamond (3515 kg/m3) and that 1 carat = 0.2 g or 2 ⫻ 10⫺4 kg, the growth
rate in carats per second is 7.8 ⫻ 10⫺16 ⫻ 3515/2 ⫻ 10⫺4, or 1.4 ⫻ 10⫺8
carats/sec. The power required for this process according to Ref. 11 was 10
W or 10 J/sec, so that the energy cost in joules per carat is 10/1.4 ⫻ 10⫺8,
or 7.1 ⫻ 108 J/carat. The value of 7.1 ⫻ 108 J/carat is enormous, and it is
important to put it in perspective by comparing with the corresponding en-
ergy cost for diamond synthesis using an oxyacetylene flame. For flame
synthesis, assuming a linear growth rate of 100 ␮m/hr on a 1 cm2 surface
area, we obtain a typical value9 of 8 ⫻ 105 J/carat. Thus, we can see that
the energy cost of this particular pyrolysis/photolysis process is three orders
of magnitude higher and has no apparent gain in quality of the diamond or
in selectivity. It must be further emphasized that laser efficiency and capital
cost have not been considered here. The joule per carat value, however, does
provide an index for comparing these different processes.
A more recent example of extreme gas-phase heating to the point of
ionization is the laser-plasma technique developed by Konov and Uglov
[14]. In this work, a powerful (2.5 kW) cw CO2 laser beam was focused
using an NaCl lens ( f = 7 cm) into a chamber containing a flowing mixture
of Xe, H2, and CH4 (in proportions of 300:30:1) at 1 atm. The authors report
growth of diamond films 1 cm2 in area at a rate of 30–50 ␮m/hr on water-

9
Assuming a growth rate of 100 ␮m/hr over a circular spot 1 cm2 in area, the volume growth
rate is 2.8 ⫻ 10⫺12 m3/sec or 4.9 ⫻ 10⫺5 carats/sec. Taking 39 W as that power required to
raise a total flow of 1.8 ⫻ 10⫺4 kg/sec of an acetylene-oxygen mixture from 25⬚C to the
ignition temperature of 305⬚C, the cost is 8 ⫻ 105 J/carat. It must be pointed out that this
value is typical of a laboratory-scale oxyacetylene flame.
342 Subramaniam and Aithal

cooled tungsten substrates, verified after growth using Raman spectroscopy.


This growth rate is comparable to deposition using a laboratory-scale oxy-
acetylene flame, except that the power cost is higher by about two orders
of magnitude. It must be pointed out, however, that this excessive power
cost can be lowered if their process is optimized. This work is, however,
very similar in nature to synthesis using the oxyacetylene flame except that
conditions such as gas type and purity are more controllable.

C. Surface Heating
An alternative approach of using lasers to provide rapid heating has been
demonstrated by several researchers [18,19]. In this instance, a stream of
carbon black particles was exposed to CO2 and yttrium-aluminum-garnet
(YAG) laser irradiation at various power densities and modes of operation
(i.e., cw and pulsed). Conversion of carbon black particles to diamond is
reported in Ref. 19. Again, no Raman spectra are given, but the authors
claim that TEM imaging, x-ray, and electron diffraction data are able to
distinguish diamond particles from disordered graphitic phases. Another re-
port appears to confirm this finding based on TEM imaging, electron dif-
fraction, x-ray photoelectron spectroscopy, and measurements of electrical
resistivity [20]. In the latter work, a Q-switched Nd:YAG laser was used to
irradiate a 200-nm-thick amorphous carbon (so called diamond-like carbon
or DLC) film and to convert it to diamond. However, caution must be ex-
ercised about the credibility of these reported results given the lack of any
Raman spectra. Further, an earlier study on pulsed Nd:YAG laser irradiation
of amorphous carbon films actually reports conversion of the film to graphite
at intensities of less than about 1 J/cm2 [21]. They confirm the structural
change to graphite by Raman spectroscopy. Laser intensities of 4.5 J/cm2
were reportedly used in Ref. 20 and these intensities are not drastically
different from those used in Ref. 21. It is also unlikely that the initial amor-
phous carbon films were very different. This comparison indicates that char-
acterization methods other than Raman spectroscopy (such as TEM imaging
and electron diffraction) may not be able to distinguish diamond from dis-
ordered graphite reliably.
There has been an interesting attempt to use a laser to provide rapid
heating of the surface layers of a single-crystal copper specimen, initially
saturated with carbon. Because carbon is not soluble in copper, it can be
driven out of the material with relative ease by heating. The technique de-
scribed in Ref. 22 reportedly formed millimeter-size single-crystal diamond
films. These films were about 500 Å thick and were formed on the single-
crystal copper substrate when irradiated with a laser beam. The presence of
diamond was reportedly verified using Raman spectroscopy. This work was
Laser-Assisted and Optical Pumping Techniques 343

probably based upon the work of Ref. 23, which reported heteroepitaxial
diamond growth by ion implantation of high-temperature carbon into a cop-
per substrate. Unfortunately, neither the result reported in Ref. 22 nor that
in Ref. 23 was reproduced in a subsequent attempt by Lee et al. [24]. Their
approach essentially consisted of ion implantation of carbon at an ion energy
of 50 keV and a flux of 1018 atoms/cm2 in a number of crystalline substrates
[Cu(100), Cu(110), Cu(111), Ni(100), Ni(110), and single crystal Co]. The
samples were then irradiated using separate laser wavelengths of 248 nm
(KrF excimer laser with pulse width of 25 nsec), 308 nm (XeCl excimer
laser with pulse width of 15 nsec), and 532 nm (frequency-doubled Nd:YAG
laser with pulse width of 7 nsec) with power densities in the range of 1–6
J/cm2. The laser irradiation was carried out in vacuum and in the presence
of nitrogen. No additional information was reported in their paper about
whether or not the beam was focused or the spot size of the beams. Char-
acterization by Raman spectroscopy, Auger spectroscopy, and TEM showed
the resulting carbon films to be amorphous or composed of microcrystalline
graphite. Lee et al. were therefore unable to reproduce the results of Refs.
22 and 23. Reference 24 appears to have reproduced all of the conditions
reported in Ref. 22, but for the longest pulse width and perhaps the rise time
of the laser pulse. In the experiments of Ref. 24, the longest pulse width
was 25 nsec whereas the longest pulse width in Ref. 22 was 45 nsec. Be-
cause the pulse width affects the heat flux and total heat input into the
surface, this could have affected the outcome of the experiments, with the
rise time of the laser pulse being an important parameter. Figure 10 shows
how two pulses can have different rise times while having the same total or
integrated energy content. As we are dealing with pulse widths on the order
of tens of nanoseconds, specific details such as rise time of the pulse and
maximum pulse amplitude could be important in reproducing the experi-
ments reported in Refs. 22–24. In other words, even if the heat addition
over the duration of the laser pulse were the same in Refs. 22 and 24, the

Figure 10 Schematic showing two laser pulses having the same total or integrated
energy while having different peak powers and rise times.
344 Subramaniam and Aithal

rate at which the heat is added may have been important. Unfortunately,
pulse shapes are not reported in either Ref. 22 or Ref. 24. In addition, it
would be interesting to repeat these experiments in the presence of atomic
hydrogen, as this could help stabilize a diamond surface in the act of
formation.
It is instructive to explore the heating depth and evolving surface tem-
perature distribution when a material is exposed to laser irradiation. Laser
radiation can be reflected, transmitted, or absorbed at a surface and at depths
below the surface. Of these, only the absorbed energy affects the temperature
or average energy of the solid material. The absorbed radiation may be
viewed as the result of interactions between the incident photons and elec-
trons and photons and phonons10 in the material. In dielectric materials,
phonon-phonon interactions are dominant whereas in metals photon-electron
followed by electron-phonon interactions are important. The process of heat
transport within the material therefore establishes itself (in the classical sense
of heat conduction) only after a certain time ␶ has elapsed. This initial
relaxation time, ␶, is the characteristic time required for photon-phonon or
photon-electron and electron-phonon interactions (i.e., collisions) to
exchange energy and establish local thermal equilibrium. In other words,
the relaxation time is the time that must elapse before a local lattice tem-
perature or local electron temperature can be defined. In metals, the char-
acteristic times for phonon-phonon, photon-electron, and electron-phonon
interactions are on the order of picoseconds, and in dielectrics the phonon-
phonon relaxation time is on the order of nanoseconds to picoseconds.
Therefore, if laser pulse widths are shorter than nanoseconds, the microscale
or short-transient energy transfer must be considered, and for subnanosecond
time scales it is likely that the temperature field is discontinuous [25]. During
these short times, propagation of thermal energy within a material often
follows a hyperbolic or wave equation unlike the usual parabolic equation
describing the diffusion of heat.11 This means that a temperature distribution
can propagate as a pulse or wave through the material, as shown in Fig. 11,
with transient peak temperatures being much higher than normally predicted
by the heat diffusion equation. Values of the quantities ␶q (relaxation time

10
Phonons are the quantum particles corresponding to the discrete or quantized vibrational
states in the lattice of a solid. Typical lattice frequencies ␯ are on the order of 1013 Hz and
energies are on the order of E = h␯, where h is Planck’s constant. Phonons propagate at the
speed of sound, which for most solid materials at room temperature is on the order of 10
to 100 km/sec.
11
Although the hyperbolic form of the heat equation was first pointed out by Maxwell in the
nineteenth century [26], it remained obscure until Cattaneo revived the idea in 1948 and
again in 1958 [27].
Laser-Assisted and Optical Pumping Techniques 345

Figure 11 Plot showing the distribution of nondimensionalized temperature ␪(x,


t) = (T(x, t) ⫺ T0)/T0 versus nondimensionalized distance ␦ = x/2兹␣␶q, at a non-
dimensional time ␤ = t/2␶q. The parameter B = ␶T/2␶q, where ␶T is the characteristic
time for a meaningful temperature to be defined at a point or the phase lag of the
temperature gradient, ␶q is the characteristic time for a meaningful temperature gra-
dient to be defined at a point or the phase lag of the heat flux vector, ␣ is the thermal
diffusivity, and T0 is the initial temperature at t = 0. The limit when B = 0 corresponds
to what is known as a thermal wave, i.e., one in which a temperature pulse with an
overshoot propagates as a wave through the material medium. (From Ref. 25.)

for the temperature gradient to be established) and ␶T (relaxation time for a


temperature to be defined) inferred from measurements are 0.4348 and
70.833 psec, respectively, for metallic copper [25]. However, the correspond-
ing values for oxides are larger, and it must be borne in mind that copper
will have an oxide layer when exposed to air. Such differences can be im-
portant in explaining the different results obtained in Refs. 22–24. The ef-
fects of heating at short time scales (i.e., on the order of nsec or smaller)
can be considerably different from behavior at long time scales (or times
greater than a nanosecond), and this in turn can alter the diffusion of carbon
from the bulk material to the surface.
346 Subramaniam and Aithal

Following the initial transient, the temperature response of a solid sub-


jected to laser irradiation can be calculated for times on the order of tens of
nanoseconds and greater. This is done by ignoring a part of the fast rising
portion at the beginning of the laser pulse. In this instance, the heat flow in
the material can be determined for most of the pulse duration of tens of
nanoseconds using classical (Fourier-type) heat conduction. For a semi-in-
finite solid receiving a heat flux over a small, prescribed region on its sur-
face, the transient temperature distribution is [28]

T(x, t) ⫺ T(x, t = 0) =
0 ␣Tt/␲)
2q⬙(
kT
1/2

exp 冉 冊

x2
4␣Tt


q⬙x
0

kT
erfc 冉 冊
x
2兹␣Tt
(7)

where q⬙0 is the heat flux at the surface due to the absorbed laser energy, ␣T
is the thermal diffusivity, kT is the thermal conductivity, x is the spatial
coordinate, t is time, and erfc is the complementary error function. T(x, t =
0) is the temperature distribution following the fast transient portion of the
laser pulse, after which time classical heat conduction occurs. The q⬙0 in Eq.
(7) is a constant heat flux input into the surface. In reality, q⬙0 can be time
dependent and varying even in the regime of classical heat conduction for
the two pulse shapes shown in Fig. 10, so that Eq. (7) must be rederived in
such an instance. In addition, the boundary condition (which yields the in-
stantaneous value of q⬙) 0 must include a heat balance whereby heat is re-
moved from the surface by radiation and convection. Hence, unrealistically
high surface temperatures will be predicted by Eq. (7) if applied under con-
ditions where radiative cooling of the surface is important. Although the
situation described by Eq. (7) is not exactly that encountered in the exper-
iments [22–24], it can nevertheless provide an indication of the temperature
levels existing within the material during the period of laser irradiation. For
illustrative purposes, temperature profiles given by Eq. (7) are plotted at
various times in Fig. 12 for a laser intensity of 5 ⫻ 108 W/cm2, representative
of the conditions existing in the experiments of Ref. 24. Because the rate
of diffusion of carbon within the bulk Ni or Cu substrate material is tem-
perature dependent, specifically varying as T 1/2, carbon initially near the
surface would be driven out, aggregate, and condense to form a film. Al-
though this would be expected to affect the growth rate of the carbon film
at the surface, it is unclear what the effect of high local transient tempera-
tures would be on the type of carbon aggregates thus produced. The nature
of the resulting film could well be dependent on the rise time of the laser
pulse.
Laser-Assisted and Optical Pumping Techniques 347

Figure 12 Temperature distributions calculated using Eq. (7) at various instants


of time are shown here for an absorbed laser intensity of 5 ⫻ 108 W/cm2 for copper,
representative of conditions in the experiments of Ref. 24.

Perhaps the most dramatic and as yet unsubstantiated claims have been
the reports of diamond growth on a variety of substrates using CO2 as the
carbonaceous precursor, with one or more laser sources (a CO2 laser, an
excimer laser, and an Nd:YAG laser) [29–32]. It is important to point out
that these claims were reported initially in the popular press, thereby cir-
cumventing the usual scientific peer review process. However, they are
worth examining in view of what already exists in the published scientific
literature and what is discussed in Secs. IV and V of this chapter. We will
try to analyze this process, henceforth referred to as the QQC process
(named after the industrial group that purportedly invented it).
According to initial press releases, in the QQC process CO2 and N2
(as a shielding gas) are introduced in a plane stagnation flow over the sub-
strate at an initial pressure of 1 atm. The process then consists of simulta-
neously irradiating a target surface to be coated, with simultaneous focused
348 Subramaniam and Aithal

beams from a CO2 laser, excimer laser (of undisclosed wavelength), and an
Nd:YAG laser, which then reportedly produces diamond on a variety of
substrates including stainless steel, cast iron, and a variety of metals and
ceramics [29–32]. What is more remarkable is the claim of growth rates on
the order of 1 ␮m/sec (specifically, a 45-␮m diamond coating is reportedly
produced over a 1.6 cm2 area within 45 sec). Although few details are pro-
vided in Refs. 29–32, it is still possible to explore the feasibility of this
approach.
We now analyze the QQC process to explore whether or not the con-
ditions might even be conceivably favorable for diamond growth. The ex-
cimer lasers and YAG lasers used in the QQC process are capable of pro-
ducing extremely short pulses on the order of 10 nsec, so that the peak
power can be on the order of 20 MW12 or more. This implies a peak intensity
of 20 MW/mm2 or 2 GW/cm2 for a spot size or focal area of 1 mm2. An
estimate of the gas temperature can then be made if we assume that the
laser heating is balanced by radiative loss from the gas. This yields an
approximate value of 13,000 K for the gas temperature of the resulting
thermal plasma. Ionization and dissociation processes will tend to lower this
estimated temperature so that realistic temperatures are probably in the range
of thousands of kelvins. In the reported experiments, the target surface is
exposed to a high intensity of infrared and ultraviolet energy. This must
result in flash evaporation, in a manner similar to what occurs in laser shock
processing or explosive welding [33–36]. The flash evaporation of the sub-
strate material can result in an implosive (compressive) force at the material
surface in the gigapascal range, depending on the pulse energy.13 This effect
is put to good use in laser shock processing to improve the mechanical
properties of metallic surfaces of dense or porous materials and in explosive
welding [33–36]. The resulting gas mixture composed of vaporized substrate
material, CO2, and N2 is rapidly heated to temperatures high enough to ionize
the constituents. Such an ionized, electrically conducting gas is called a
plasma. Accompanying this rapid heating is the production of extreme pres-
sures. For a Gaussian-shaped laser pulse, assuming an absorption coefficient
of 0.1 (typical for plasmas in this range of temperature and pressure), Ref.
35 gives the following relation between the maximum pressure in kbar and
the absorbed intensity expressed in GW/cm2:

12
This is obtained assuming a peak energy of 200 mJ and a pulse width of 10 nsec.
13
A surface undergoing flash evaporation loses ṁ mass per unit time. This is typically milli-
grams of material over the pulse duration of 10 nsec, yielding an ṁ on the order of 102 kg/
sec. Assuming a shock velocity on the order of the speed of sound at the temperature of
13,000 K, or O(103) m/sec, this yields a force of magnitude 105 N, or a pressure on the
order of 1011 Pa (100 GPa, or 1000 kbar) on a spot 1 mm2 in area.
Laser-Assisted and Optical Pumping Techniques 349

Pmax = BI 1/2 (8)

where B is a constant with a value on the order of 10. It is interesting to


note that this expression yields a maximum pressure that is independent of
laser pulse duration and wavelength and one that is dependent only on the
laser intensity at the focal point. Therefore, it is evident that the local pro-
cessing conditions (i.e., in the gas and on the surface) achieved by such
powerful lasers are on the order of GPa of pressure and temperatures on the
order of thousands of kelvins. Shown in Fig. 13 and Fig. 14 are the phase
diagrams for carbon in the absence of a catalyst and in the presence of
catalytic metals such as nickel and iron, respectively. It can be seen that the
pressures and temperatures shown in Figs. 13 and 14 match those expected
in the QQC process. Thus, the QQC process appears capable of producing
conditions locally comparable to those encountered in the well-known high-
temperature, high-pressure (HTHP) process used for commercial diamond
growth [37]. This places the QQC process within the realm of possibilities.
However, the technique must be reproduced and independently verified be-
fore its claims such as growth rates of 1 ␮m/sec over an area of 1.6 cm2
can be substantiated. According to the analysis presented here, such growth
rates may be possible on surfaces approximately 1 mm2 in area.

Figure 13 Phase diagram for carbon without the presence of any catalyst. (From
Ref. 38.)
350 Subramaniam and Aithal

Figure 14 Phase diagram for carbon in the presence of Ni catalyst (left) and Fe
catalyst (right) at a pressure of 5.7 GPa. M, solid metal; L, liquid; G, graphite; D,
diamond; C, carbide. (From Ref. 38.)

We can estimate the cost in terms of joules per carat for the QQC
process, assuming that the growth rate of 1 ␮m/sec over a 1.6 cm2 area is
indeed realizable. Using a pulse energy of 200 mJ/sec and volume growth
rate of 1.6 ⫻ 10⫺10 m3/sec, we can calculate an energy cost of 71 J/carat,
which is energetically much cheaper than diamond synthesis by one of the
faster CVD processes, flame synthesis.

D. Heating of Surfaces Immersed in Liquids


The growth of some natural diamond (if not all of the larger crystals found
in nature) is believed to occur in a state comprising solids immersed in
Laser-Assisted and Optical Pumping Techniques 351

liquids at extreme pressures and temperatures [38]. Nevertheless, synthesis


of diamond by various deposition techniques has until recently largely fo-
cused on the gas phase alone. There have been a few attempts to grow
diamond from a liquid phase or from solids submerged in the liquid phase
[39–43]. Of these, Refs. 40–42 appear to be most intriguing in terms of
potential application of lasers for diamond growth. In Cherian’s experiments
[40–42], evidence for dissolution of diamond in a nickel crucible containing
liquid NaOH at 1100 K and at atmospheric pressure is given, and more
surprisingly, recrystallization of diamond back onto diamond surfaces upon
‘‘rapid’’ cool-down is also observed. As reported in Ref. 40, diamond crys-
tallites consisting of chips and cleavages were dissolved in molten NaOH
in a nickel crucible maintained at 1100 K in an oven. The crucible and its
contents were then rapidly cooled to room temperature by removing them
from the oven and placing in contact with a room-temperature metal surface
or by cooling the crucible in room-temperature water. A cooling rate of
⬃1000⬚C/min was reportedly required for recrystallization to occur.
Figures 15 and 16 show scanning electron microscopy (SEM) images
of overgrowths that show the recrystallized diamond. Verification that the
overgrowths are indeed diamond was carried out using several different char-
acterization techniques, including microprobe Raman spectroscopy [40].
Reference [40] reports that careful experiments varying the quenching rate
showed that the faster quenching rates yielded incomplete growth in the
direction perpendicular to the substrate. Further, a metallic film of Ni was

Figures 15 and 16 SEM images of overgrowths that show the recrystallized di-
amond upon quenching an NaOH solution containing dissolved diamond particles
in a nickel crucible. (From Ref. 41.)
352 Subramaniam and Aithal

found on the overgrowths of diamond, suggesting the role of an Ni-C com-


plex as an intermediate facilitating the growth of diamond. Evidently, some
of the carbon in the initial diamond seed material dissolved into the liquid
NaOH, formed complexes with the dissolved Ni (from the walls of the Ni
crucible), and upon cool-down recrystallized as diamond on remnant dia-
mond seed surfaces. More insight into mechanisms could have been gained
if isotopic labeling had been used. For instance, 13C diamond particles (used
in the dissolution part) and 12C diamond seeds (used in the recrystallization
part) may have been helpful in identifying the exact sources and targets of
dissolution and recrystallization, respectively. Alternatively, a carbonaceous
source other than diamond (graphite, for instance) could have been used in
the dissolution phase of the experiment, while a diamond or silicon seed
particle (thoroughly characterized prior to use) could have been introduced
during the growth/recrystallization or cool-down phase of the experiment.
More recently, Zhao et al. [43,44] have demonstrated that diamond can
be grown on diamond seeds from (Ni)-C-H2O mixtures at a pressure of 1.4
kbar and temperature of 800⬚C.14 Experiments typically used mixtures of
3 wt% powdered nickel, 95 wt% glassy carbon, and 2 wt% 0.25 ␮m sized
diamond in water (50–100 wt% of the glassy carbon) in a pressurized and
heated vessel. Run durations were typically 50–100 hr, the shorter durations
yielding diamond particles several microns in size. The presence of nickel
was reportedly crucial in obtaining larger aggregates of particles with sizes
ranging from tens of microns to 100 ␮m. The typical particle morphology
observed in these experiments is shown in Fig. 17 and can be seen to re-
semble the morphology of diamond particles produced by General Electric’s
commercialized high-pressure, high-temperature process.
The use of lasers in such liquid-phase experiments may be awkward,
although specific wavelengths do penetrate certain liquids. For example, the
green line of the argon ion laser can be transmitted through water. Therefore,
it may be possible to use lasers to transmit through a liquid and trigger
heterogeneous reactions at a liquid-solid interface. However, this can be
exploited only after growth mechanisms are clearly understood. Another

14
Laboratory experiments (see H. C. Noltimier and V. V. Subramaniam, Laboratory chemical
vapor deposition [CVD] experiments applied to the geological formation and age of natural
diamond, Poster Paper BTH-1 presented at Session 152 [Experimental Petrology] at the 1995
Geological Society of America Annual Meeting, New Orleans, November 9, 1995) also
suggest the beneficial role of water vapor in accelerating growth of diamond by hot-filament
CVD on minerals such as almandine and olivine, both found as impurities in natural dia-
mond. These minerals can act as nuclei for diamond growth in C-H-O systems (and even
at the low pressures of 30 torr typical in HFCVD). The authors also give compelling ar-
guments indicating that most natural diamond may form during transit in the diamond dia-
tremes instead of forming at depth, as commonly thought.
Laser-Assisted and Optical Pumping Techniques 353

Figure 17 Typical particle morphology observed in the experiments of Ref. 43.


Note the resemblance to the morphology of diamond particles produced by General
Electric’s commercialized high-pressure, high-temperature process.

means of possibly initiating diamond growth from a laser-excited liquid is


discussed in Sec. V.C.

E. Summary
Use of intense laser irradiation for pyrolysis (and subsequent reaction) of
the gas phase and rapid heating of surfaces has been discussed in this sec-
tion. Where rate of heating is necessary and crucial, lasers may be capable
of providing a unique avenue for diamond growth. However, capital and
maintenance costs remain prohibitive, especially in the energy cost per carat.
Some reported techniques such as the QQC process, if reproduced, appear
competitive with other existing techniques based upon reported growth rates
and should be examined more carefully. Lasers have also been used to ir-
radiate powders of carbon black and convert some of these into diamond.
A relatively unexplored area is the use of lasers to drive heterogeneous
reactions on surfaces immersed in liquids. Use of lasers to excite liquids
themselves and drive liquid-phase reactions is discussed in Sec. V.
354 Subramaniam and Aithal

III. ABLATION

The use of lasers to produce rapid heating is well established. When this
rapid heating of solid surfaces leads to vaporization of the material at the
surface, this is known as ablation. The resulting vaporized material often
constitutes an activated gaseous phase that can be subsequently reacted and
modified to yield a product or can condense onto another surface to produce
a solid layer with different microstructural properties. This process, also
known as laser physical vapor deposition, was shown schematically in Fig.
7. Intense laser energy (usually focused) is directed toward a target material,
causing it to vaporize. The resulting vapor or plume is a gas comprising
atoms and molecules from the target and is often ionized. This technique is
often used to produce thin films on substrates by directing the electrically
conducting plume toward a biased substrate.
LPVD or ablation has been used for the deposition of thin films of
different materials. Of particular relevance is the use of such an ablative
technique for the deposition of diamond-like or amorphous carbon films
[45–54]. In these experiments, graphite is used as a target (except in the
case of Ref. 54, where Lucite targets were also used) and different high-
energy lasers such as excimer lasers or Nd:YAG lasers or CO2 lasers are
used. Intensities on the order of 108 W/cm2 are usually required.
It is well established that LPVD is capable of synthesizing diamond-
like carbon (DLC) or amorphous carbon films from ablated graphite targets.
The term amorphous carbon refers to a material with properties distinct from
those of graphite but lacking the long-range crystalline order characteristic
of diamond. DLC films are known for their hardness approaching that of
diamond. Many of the properties of amorphous carbon materials can be
accounted for by the structural model of graphitic islands interlinked by
small percentages of diamond-like sp 3 bonds [55]. Davanloo et al. [55] report
the growth of ‘‘amorphic diamond’’ from a laser plasma source. These au-
thors provide sufficient evidence for differences between their material and
DLC (such as structural size on the order of 100–200 angstroms and band
gap of 1.0 eV) to warrant distinguishing between their material and other
established DLC properties. Such small crystallite dimensions usually pose
great difficulty in acquiring Raman spectra so that this characterization tech-
nique is ineffective in unequivocally indicating the presence of diamond in
the present case. Their technique is a variant of LPVD. An Nd:YAG laser
(Q-switched repetition rate of 10 Hz, 15 nsec pulse width) was used to
produce a peak intensity of 5 ⫻ 1011 W/cm2 at a graphite target, in con-
junction with an electric discharge of 10 A maintained between the target
and a rod electrode placed nearby. The special nature of this ‘‘amorphic
diamond’’ film thus produced on a germanium window is evident in Fig.
Laser-Assisted and Optical Pumping Techniques 355

Figure 18 Transmittance curves for an amorphic diamond–coated Ge window (25


mm diameter) compared with a bare Ge window. The film was reportedly 0.3 ␮m
thick with an index of refraction of 2.1. The authors point out the fact that absorption
from CH bands at 2940 cm⫺1 is absent. (From Ref. 56.)

18. As can be seen from Fig. 18, the transmittance is higher for the amorphic
diamond–coated Ge window compared with the bare Ge window and shows
complete absence of the C — H absorption band at 3.4 ␮m. Reference 55
further reports a hardness value of 13 GPa, compared with the value of 77
GPa (at a temperature of 290 K) for diamond [37]. The amorphic diamond
of Davanloo et al. was thus clearly subjected to numerous such tests, which
enabled them to confirm that their films indeed exhibited diamond-like
properties.
Although LPVD and plasma-assisted LPVD have been successful in
producing DLC and amorphic diamond films, there have only been a limited
number of reports regarding growth of diamond using this technique [56,57].
Latsch and Hiraoka have shown that diamond can be produced by LPVD
from targets of polymethyl methacrylate (PMMA) when a reactive mixture
of oxygen and hydrogen (ratio of concentrations not reported) is present at
pressures between 0.5 and 0.9 torr. The authors report the following critical
parameters: target to substrate distance = 3–6 cm, substrate temperature =
600⬚C, ArF excimer laser (193 nm), fluence = 190 mJ/cm2, and substrate
356 Subramaniam and Aithal

bias = ⫺50 V [56]. The authors do not provide any information regarding
any substrate pretreatment and report that ‘‘crystals started to appear after
10 minutes and thicker films were obtained for longer exposure times.’’
However, no SEM images are shown of the ‘‘films,’’ only of the isolated
particles (see Fig. 19). Further, microprobe Raman spectra of the deposits
(shown here in Fig. 20) indicate the presence of both ‘‘D’’ (at 1330 cm⫺1)
and ‘‘G’’ (1597 cm⫺1) peaks. These peaks are usually indicative of graphite.
Although the ‘‘D’’ peak usually occurs around 1343 cm⫺1, it can shift in
some samples to the location where the diamond peak occurs. Therefore,
caution must be exercised in interpreting Raman spectra especially when a
broad peak near 1332 cm⫺1 and the ‘‘G’’ peak of graphite are simultaneously
present. As an illustrative example, a Raman spectrum of a carbonaceous
deposit produced from an oxyacetylene flame is shown in Fig. 21 [17]. The
same film, exposed to atomic hydrogen (produced by a hot filament), re-
moved the carbonaceous deposit, as can be seen from the Raman spectrum
shown in Fig. 22 [17]. Given the uncertainty introduced by this fact, it is
important to expose a film (or crystals) suspected to be diamond to H atoms
at temperatures near 800⬚C in order to be sure that the deposit is indeed
diamond. Subsequently, Polo et al [57] used ArF and KrF excimer laser
ablation of graphite targets in hydrogen at 0.76 torr and reported growth of
diamond particles 1–20 ␮m in size. The deposits were verified as diamond
by Raman spectroscopy.
The ablative method can be subject to problems of reproducibility. The
composition of the target is often a critical parameter, as are the substrate
temperature, position of the substrate relative to the target, substrate bias,

Figure 19 SEM image of isolated particles produced by LPVD. (From Ref. 56.)
Laser-Assisted and Optical Pumping Techniques 357

Figure 20 Microprobe Raman spectrum of the deposits from Ref. 56 showing the
presence of both ‘‘D’’ (at 1330 cm⫺1) and ‘‘G’’ (1597 cm⫺1) peaks. This is indicative
of disordered graphite rather than diamond.

Figure 21 Raman spectrum of a carbonaceous deposit produced from a flame.


(From Ref. 17.)
358 Subramaniam and Aithal

Figure 22 The film whose Raman spectrum is shown in Fig. 21 was exposed to
atomic hydrogen (produced by a hot filament) [17]. This figure shows the Raman
spectrum after exposure to atomic hydrogen. Note the disappearance of the feature
at 1334 cm⫺1, which clearly demonstrates that the original film was not diamond.

and laser pulse energy. Of these, variability in the composition of the target
has the largest influence on the resulting film or deposits. In some cases,
ablation of the target can be accompanied by gross ejection of particulate
solid material from the target that is then incorporated in a growing film.
Based upon the scant information presently available regarding growth rates
in LPVD, it is not possible to estimate the energy cost per carat for this
process. Further research in this area is warranted, especially with regard to
use of different combinations of target material and reactive gases.

IV. PHOTOLYSIS

The process of photolysis, or the use of energetic photons to fragment a


polyatomic molecule, was introduced in Sec. I. Usually, such processes re-
quire the presence of intense laser irradiation to initiate interaction between
a molecule and one or more photons. As explained in Sec. I, this multiphoton
interaction between molecule and photons may be sequential (i.e., the mol-
ecule may sequentially absorb each photon) or simultaneous, ultimately lead-
Laser-Assisted and Optical Pumping Techniques 359

ing to fragmenting of the molecule into smaller molecular or atomic frag-


ments. This generally falls within the area of photochemistry [4,7,8].
The wavelength range typically used in photochemistry varies from
the vacuum UV (below 200 nm) to the microwave region (1–10 cm). This
range is chosen because of the typical absorption characteristics of mole-
cules. Most small (three or four atom) polyatomic molecules have bond
dissociation energies on the order of 1–10 eV. This corresponds to photon
wavelengths in the UV-visible range. Light in this wavelength range is usu-
ally absorbed via electronic transitions in molecules. Wavelengths in the IR
usually result in vibrational excitation because molecular vibrational states
differ by energies on the order of 0.1 eV or less. Radiation in the far IR to
microwave regions (10 ␮m to 10 cm) usually corresponds to excitation of
the rotational energies of molecules. The wavelength range applicable to
photochemistry is further restricted by the availability of materials for optical
elements such as windows, lenses, and mirrors. Typical wavelength ranges
and other properties for common optical materials are listed in Table 2.
Table 3 lists some useful conversion factors because different units are used
for convenience. For instance, when dealing with UV or visible light, wave-
length units in nanometers are typically used. When dealing with radiation
in the near IR to the far IR, units of microns (␮m) are used for wavelength
and wavenumbers or cm⫺1 are used for frequency. In the microwave regions,
wavelength units of millimeters or centimeters are used.
It is important to delineate the difference between reactions occurring
in photochemistry, thermally induced reactions (i.e., those caused by heat-
ing), and reactions initiated by extremely short-wavelength radiation such
as x-rays or gamma rays. In thermal reactions (such as pyrolysis), molecules
are dissociated or reacted from their ground electronic manifolds by vibra-
tional excitation usually fed by energy from the external modes (i.e., trans-
lation and rotation). In contrast, photochemical reactions typically involve
electronically excited states. In reactions initiated by x-rays and gamma rays,
the route is often via ionization. In other words, molecules are ionized (i.e.,
charged by stripping them of one or more electrons) and subsequently react
with other ions or neutral molecules. The difference between thermally in-
duced reactions and photolytic reactions is apparent in the following illus-
tration provided in Ref. 4. Consider the thermal decomposition of ethane:
C2H6 ⫹ M → CH3 ⫹ CH3 ⫹ M
where M is any other collision partner. Here, translational energy is
transferred from M to C2H6 by impact, resulting in an intermediate15 fol-

15
The intermediate (C2H6)† is typically vibrationally excited and is known as a transition state.
In contrast, the intermediate in photolytic reactions is usually an electronically excited state.
360
Table 2 Typical Characteristics of Optical Materials

Transmission Water solubility Melting Thermal


range (g/100 mL of Knoop Density point Cleavage expansion
Material (␮m) Index of refraction H2O) hardness (g/cm3) (⬚C) plane (⫻10⫺6 per ⬚C)

Al2O3 0.15–5.5 1.768 Insoluble 2200 3.97 2053 4.5


(sapphire)
BaF2 0.5–13 1.475 at 0.546 ␮m 0.12 82 4.89 1280 (111)
CaF2 0.12–10 1.424 at 2 ␮m 1.6 ⫻ 10⫺3 158 3.18 1360 (111) 18.4
Ge 1.8–22 4.116 at 2 ␮m Insoluble 780 5.323 937.4 6.1
LiF 0.12–7 1.379 at 2 ␮m 0.27 102 2.635 842 (100) 35
MgF2 0.11–8 1.378 7.6 ⫻ 10⫺3 415 3.15 1266 Poor a or c 9.2–13.4
KBr 0.21–37 1.538 at 2 ␮m 53.48 7 2.75 730 (100) 38.4
KCl 0.18–25 1.475 at 2 ␮m 34.7 9 1.984 776 (100) 36.6
KF 0.16–15 1.39 at 0.257 ␮m 92.3 2.48 846 (100) 32

Subramaniam and Aithal


KI 0.27–42 1.631 at 2 ␮m 127.5 5 3.12 682 (100) 43
Si 1.2–15 3.458 at 2 ␮m Insoluble 1150 2.33 1410 3
SrF2 0.12–11 1.439 at 0.546 ␮m 0.011 130 4.24 1450 (111)
SrTiO3 0.395–5.0 2.409 Insoluble 595 5.175 2080 10.6
TiO2 (rutile) 0.42–5.3 2.613–2.909 Insoluble 700 4.26 1825 C-axis: 9.943

Source: Ref. 59.


Laser-Assisted and Optical Pumping Techniques 361

Table 3 Conversion Factors for Energy

1 eV 1.6021 ⫻ 10⫺12 ergs/molecule


8065.73 cm⫺1
23.061 kcal/mole
96.487 kJ/mole
1 cm⫺1 2.859 cal/mole
11.962 J/mole
1.9863 ⫻ 10⫺16 ergs/molecule
1.2398 ⫻ 10⫺4 eV/molecule
␭ (angstroms) 285915/␭ kcal/mole
12398/␭ eV/molecule

lowed by decomposition into CH3 molecules. In contrast, the primary pho-


tochemical channel for photolytic decomposition of ethane is
C2H6 ⫹ h␯ → C2H4 ⫹ H2
proceeding via absorption of a photon by C2H6, leading to an electronically
excited intermediate. As can be seen from this illustration, the products re-
sulting from thermal reactions and photolytic reactions can be very different,
showing how different products arise from different transition states.
A photon absorbed by a molecule does not necessarily lead to a chem-
ical change. The molecule certainly becomes excited upon absorbing the
photon, but there are other loss processes that inhibit chemical change. For
instance, the excited molecule could simply radiate the photon away by
spontaneous emission. The molecule could also suffer a collision with an-
other molecule while in this excited state. This may result in energy transfer
to (into either internal or external modes of) the collision partner. Such
processes are considered as losses because they do not result in dissociation
of the target molecule or in chemical reaction, which is the primary goal of
photolytic processes. In that sense, these loss mechanisms lead to inefficien-
cies in the photolytic process. The efficiency of a given photolytic process
is qualitatively accounted for by the quantum yield, ␩q. It is defined as
[60,61]
number of molecules undergoing the process
⌽q = (9)
number of photons absorbed by the system
In photochemistry, 1 mole (i.e., Avogadro’s number of 6.022 ⫻ 1023)
of photons is called an Einstein. Typically, an overall reaction pathway may
consist of several primary or elementary pathways and a quantum yield can
be defined for each primary channel i:
362 Subramaniam and Aithal

number of excited molecules that proceed via elementary channel i


⌽i =
number of photons absorbed by the system
(10)
If moderate or low light intensities are involved, the probability that an
excited molecule will absorb more than one photon during its short lifetime


is small. For these conditions,
⌽i = 1 (11)
i

where i represents the ith primary photochemical pathway. Equation (11) is


referred to as the Stark-Einstein law and holds only for low or moderate
light intensities. Further, though ⌽i ⱕ 1, the overall quantum yield for a
product, ⌽oq, can be much larger than 1 as is the case for chain reactions.
The earliest attempt to use a laser to photodissociate a carbonaceous
precursor and produce diamond met with failure [13]. Although success was
reported initially [12,13], subsequent characterization of the growth material
showed the deposit to be heat-treated carbon black [13]. At the time, both
CH4 (methane) and C2H2 (acetylene) were suspected of being growth species
and Kitahama et al. used 193-nm radiation from an ArF excimer laser to
photodissociate C2H2 diluted (from 1 to 30%) with hydrogen. The pressure
was kept in the range of 25–30 torr, and substrate temperatures in the range
400–800⬚C were explored. After growth durations of 2 hr during which time
C2H2 was photolyzed using 193-nm pulses (100 Hz repetition rate and 50
mJ/pulse), growth of particles (later shown to be nondiamond carbon) was
observed along scratches induced by substrate pretreatment.16 The authors
do not explain why higher hydrogen concentrations were not used in their
mixtures, especially given the fact that the photochemistry of acetylene at
193 nm is well known [62]. In fact, the photolysis products of pure C2H2
have been reported to yield diacetylene, ethylene, hydrogen, and a polymer
[62]. It is quite possible then that higher hydrogen concentrations or presence
of oxygen in the precursor gases would have yielded diamond growth in
these early experiments. The initial claims of diamond growth by photolysis
of acetylene were subsequently retracted [13].
Despite the initial setback for photolysis, Goto et al. [63] succeeded
in producing diamond from a gaseous mixture of hydrogen flowing at 1000
sccm and carbon tetrachloride (CCl4) flowing at 1–10 sccm and irradiated
by a focused ArF excimer laser beam. The pressure ranged from 6 to 50
torr, the repetition rate was 100 Hz, the pulse energy was 80–200 mJ, and
the average power was 5–12 W. The beam was focused using an F:300

16
Substrates were pretreated as is common with other growth techniques, in this case using
no. 1800 diamond powder to enhance nucleation and accelerate growth.
Laser-Assisted and Optical Pumping Techniques 363

cylindrical lens, and the authors report that configurations where the laser
irradiated the substrate and was parallel to the substrate, were separately
explored. The latter arrangement is shown schematically in Fig. 23. The
authors report the formation of an amorphous carbon film after deposition
durations ranging from 30 min to 3 hr. However, when the hydrogen stream
was predissociated using a microwave discharge or a resistively heated hot
filament, the authors report growth of a 1- to 3 ␮m-thick diamond film on
silicon substrates heated to 450⬚C. The films were characterized by Raman
spectroscopy, which revealed a discernible peak at 1333 cm⫺1 confirming
the presence of diamond. The crystal structure was further confirmed by
electron diffraction. This was the first reported instance of diamond growth
using a laser-based process and one of the first to demonstrate that substrate
temperatures did not need to be as high as 800⬚C. The authors report on the
importance of atomic hydrogen and methyl radicals for diamond growth.
This idea has received much support in reviews of diamond growth mech-
anisms [64–66].
Following the success of Goto et al., Tyndall and Hacker [67] reported
on photolysis of over 20 compounds of organic precursors using focused
(cylindrical lens with a focal length of 100 mm) KrF excimer laser radiation.
Laser fluences of 150 mJ/pulse/cm2 were reportedly used along with sub-
strate temperatures between 25 and 400⬚C, while chamber pressures were
maintained between 1 and 5 torr. The authors report that temperatures in
excess of 400⬚C resulted in dramatically decreased growth rates. The organic
precursors were admitted into the growth chamber by passing a carrier gas
(reportedly argon, helium, or hydrogen) over the reservoir containing the
organic precursor. Of the organic precursors examined, the authors report
that aliphatic carboxylic acids such as CH3CO2H (acetic acid) and

Figure 23 Schematic of experimental arrangement used in Ref. 63 to produce


diamond films from photolysis of CCl4/H2 mixtures.
364 Subramaniam and Aithal

H2C(CO2H)2 (malonic acid) yielded films containing diamond and disordered


graphite after durations of 1.5 hr. The malonic acid had to be heated to 50–
70⬚C to provide sufficient vapor pressure to yield reasonable growth rates,
but acetic acid could be used at room temperature. The authors do not spec-
ify which carrier gas or gases were used with these precursors. The Raman
spectra of films obtained from these organic precursors display broad fea-
tures at 1335 cm⫺1, which the authors claim to be diamond. However, this
should be taken as insufficient verification, based upon the illustrative spec-
tra shown in Figs. 21 and 22. As discussed earlier, this film shows the
presence of a feature at 1332 cm⫺1, but this feature disappeared when the
film was treated in atomic hydrogen (from a heated tungsten filament) after
growth. Diamond films are usually quite resistant to etching by atomic hy-
drogen, and such disappearance of the well-known diamond feature in the
Raman spectrum is not observed in true diamond films. On the other hand,
graphitic films or films with disordered graphitic carbon show significant
changes in their Raman spectra after postgrowth treatment in atomic hydro-
gen. Therefore, the spectra reported in Ref. 67 cannot be taken as irrefutable
evidence of the presence of diamond. A postgrowth etch treatment of the
film would have served as another means of verifying their claim. However,
the authors of Ref. 67 do report on additional characterization of their de-
posits, such as electrical resistivity measurements and characterization by
Auger spectroscopy. The electrical resistivity of these samples was greater
than 109 ohm-cm (closer to the value for diamond, i.e., 1016 ohm-cm, than
for graphite, i.e., 10⫺2 ohm-cm). Such additional characterization of the de-
posits is encouraging and bolsters the claims reported in Ref. 67. No SEM
images of the deposits are shown, and therefore it is not clear whether the
yield is a film covering a certain region of the substrate or consists of par-
ticles distributed over the substrate.
In some instances, the choice of the carbonaceous precursor dictates
the choice of growth technique. This is true in the case of growth of diamond
from mixtures containing CO [68–70]. Use of CO as the carbonaceous pre-
cursor for diamond growth is of particular interest when isotopically pure
diamond is desired. Diamond synthesized from a hydrocarbon source such
as methane, but with a 13C content of 0.1% or less, is much more thermally
conductive than diamond grown from CH4 with the natural abundance of
13
C of 1.1% [71]. Now, isotopically pure 12C and 13C are commercially pro-
duced as CO, and more processing steps are required to convert them to
isotopically pure hydrocarbon sources. Consequently, 12CO will be cheaper
than using 12CH4 in such an instance. Furthermore, because CO cannot be
used in some conventional diamond growth systems such as HFCVD or
oxyacetylene flame synthesis, growth options using CO as the precursor are
Laser-Assisted and Optical Pumping Techniques 365

limited. Consequently, plasma CVD or laser methods must be used when


CO is the precursor.
Growth of particles of diamond on seeded unheated monocrystalline
silicon [(001) and (111)] substrates has since been reported from CO/H2 gas
mixtures by photolysis of CO [68–70]. The photolysis of CO was accom-
plished using focused ArF excimer laser irradiation (the reader is referred
to Ref. 70 for experimental details). Several orientations of the laser relative
to the substrate were explored, as shown in Fig. 24, while the gas flow was
directed perpendicular to the laser beam and toward the substrate. A CO
flow of 0.7 sccm and H2 flow of 99.3 sccm were used, and the chamber
pressure was maintained at 8 torr. Prior to growth, the substrates were thor-
oughly characterized using SEM imaging and energy-dispersive x-ray spec-
troscopic (EDS) analysis to ensure that no diamond particles remaining from
the abrasive pretreatment used were present. Further, in order to distinguish
seed particles from growth particles, the authors report using commercially
available diamond grit (0–0.5 ␮m in size). The substrates were then thor-
oughly cleaned ultrasonically in acetone, rinsed with deionized water, and
characterized before growth using SEM imaging and Raman spectroscopy.
After run durations of 4 hr, irregularly shaped but faceted particles of size
5–10 ␮m of the type shown in Fig. 25 were observed. The morphology of
these particles does not resemble that of diamond crystallites usually ob-
served in other diamond processes (for example, see Fig. 28), suggesting
that pure growth is involved here as opposed to the growth and etch pro-
cesses simultaneously occurring in other CVD processes. Microprobe Raman
spectra of these particles (see Fig. 26 showing a representative spectrum)
exhibit a beautifully sharp diamond line at 1332 cm⫺1, with no discernible
presence of graphitic or amorphous carbon. This work represents the first
instance in which diamond growth is observed on unheated substrates by
any known process, despite the slow growth rate. In some of their laser
photolysis experiments, the H2 stream was dissociated using a tungsten fil-
ament resistively heated to about 2000⬚C [68–70]. As expected, the coverage
of the particles is substantially increased, as shown in Fig. 27.
The morphology of diamond particles produced by photolysis of CO
in a hydrogen bath is significantly different from that of crystals normally
grown by other CVD techniques. They are irregular in shape and resemble
the 0- to 0.5-␮m diamond grit used for pretreatment of the substrates but
are larger (5–10 ␮m in size). The irregularity in shape suggests the follow-
ing. Because the resolution of SEM imaging is about 50 nm, it is likely that
seed particles smaller than 50–100 nm went undetected. These small par-
ticles then would have acted as seeds around which diamond could grow,
thus explaining the increase in size observed after growth. The typical cubo-
octahedral shapes (see Fig. 28) and other morphologies usually seen in other
366 Subramaniam and Aithal

Figure 24 Schematic of LCVD experiment. (From Ref. 70.)


Laser-Assisted and Optical Pumping Techniques 367

Figure 25 SEM images of diamond particles grown by LCVD on unheated


surface.

Figure 26 Typical Raman spectrum of diamond particles synthesized by LCVD


on unheated surfaces.
368 Subramaniam and Aithal

Figure 27 SEM image of increased coverage obtained by LCVD, in the presence


of a predissociated hydrogen stream.

Figure 28 Typical cubo-octahedral morphology of diamond particles synthesized


by hot-filament CVD.
Laser-Assisted and Optical Pumping Techniques 369

CVD systems are a result of growth-etch cycles. In conventional CVD sys-


tems, the presence of (relatively) large amounts of atomic hydrogen accel-
erates diamond growth by passivating the growing surface while removing
nondiamond carbon and partially etching diamond. An excellent illustration
is provided by the interrupted temporal history of growth of diamond around
a 100-␮m seed particle from a CO/H2 gas mixture in a microwave discharge
reported in Ref. 72 and reproduced here in Fig. 29. As can be seen, the early
stages of growth on the seed crystal give the appearance of an irregular
shape for the particle. The morphologies usually observed in other conven-
tional CVD systems begin to become apparent in this case of a CO/H2
plasma only after a long period of time (50 hr) has elapsed [72]. The irreg-
ular morphology observed in Refs. 68–70 can then be understood because
their experiments spanned only 4 hr.
The laser photolysis experiments of Refs. 68–70 provide an excellent
example of the use of lasers to drive reactions selectively, specifically those

Figure 29 An excellent illustration is provided by the interrupted temporal history


of growth of diamond around a 100-␮m seed particle from a CO/H2 gas mixture at
45 torr in a microwave discharge reported in Ref. 72. (a) The seed crystal, (b) after
10 hr, (c) after 20 hr, and (d) after 50 hr of growth.
370 Subramaniam and Aithal

that result in diamond formation while formation of the graphitic phase is


suppressed. The choice of the ArF excimer laser as a source is dictated by
the well-known multiphoton process that dissociates CO into C (31P) and
ground state O(3P) atoms [73]. Once atomic carbon and oxygen are formed,
they react as radicals with the surrounding molecular hydrogen resulting in
the formation of desirable CHx species. It is important to note that if H2
were not present, copious amounts of C2, soot, and other nondiamond carbon
would result [70]. This channel of C2 production from CO will be addressed
further in Sec. V. More recently, it has been shown that optical pumping of
CO/Ar gas mixtures with trace amounts of Fe or Ni catalyst results in growth
of single-walled carbon nanotubes (see E. Ploenges et al. Carbon Nanotube
Production in CO Laser Pumped Carbon Monoxide Plasmas. Paper AIAA-
2001-0651, presented at the 39th AIAA Aerospace Science Meeting and
Exhibit, Reno, Nevada, January 8–11, 2001).
In order to understand the laser-driven chemistry in the experiments
reported in Refs. 65–67 better, we examine a simple chemical kinetics
model of the gas-phase reactions expected to occur in the irradiated CO/H2
mixture. To enable a simple solution, the following assumptions are made.
First, every CO molecule in the focal volume is assumed to have dissociated.
Second, diffusion and convection are neglected in order to simplify the so-
lution. From the flow rate of CO of about 1 sccm reported in Ref. 68, total
pressure of 8 torr, and assuming a local gas temperature of 350 K, the initial
number density of CO can be calculated to be ⬃2.2 ⫻ 1021 m⫺3. Similarly,
the initial number density of molecular hydrogen based on its flow rate of
about 99 sccm is 2.2 ⫻ 1023 m⫺3. Knowing the energy per photon,17 1.0 ⫻
10⫺18 J and taking the energy per pulse as 50 mJ, the total number of photons
in the laser-irradiated volume can be calculated. Assuming this volume to
be about 1 mm3, the number density of photons is then approximately 4.8
⫻ 1025 m⫺3. Because this is much bigger than the initial CO concentration
of 2.2 ⫻ 1021 m⫺3 and assuming no more than three photons are required
to dissociate a CO molecule, the first assumption is justified. Thus, the initial
gas composition within the laser irradiated region can be taken to be nCO =
0 m⫺3, nH2 = 2.2 ⫻ 1023 m⫺3, and nC = nO = 2.2 ⫻ 1021 m⫺3. However,
diffusion and flow will serve to replenish the laser-irradiated region with
CO and H2 reactants. A more representative and realistic estimate of the gas
composition can be obtained by scaling the initial concentrations of atomic
carbon and oxygen with respect to the ratio of the irradiated volume to the

17
Because an ArF excimer laser was used in these experiments, the energy of a photon of
wavelength 193 nm is (6.626 ⫻ 10⫺34 J-sec) ⫻ (3 ⫻ 108 m/sec)/(193 ⫻ 10⫺9 m) or 1.03 ⫻
10⫺18 J.
Laser-Assisted and Optical Pumping Techniques 371

gas volume adjacent to the substrate. Taking the volume adjacent to the
substrate to be about 1 cm3 and the irradiated volume as about 1 mm3, the
initial composition for each successive interval is: nCO = 2.2 ⫻ 1021 m⫺3,
nH2 = 2.2 ⫻ 1023 m⫺3, and nC = nO = 2.2 ⫻ 1018 m⫺3. With these initial
values, the set of rate equations of the form
dni
= f (ni , nj ) for all j (12)
dt
describe the evolution of concentration of species i, where ni and nj represent
the number densities of the species listed in the reactions given in Table 4.

Table 4 Elementary Reactions and Rate Constants


Evaluated at 300 K, Used to Simulate Gaseous Environment
Existing Within the Laser-Irradiated Volume Adjacent to the
Substrate in the Experiments Reported in Refs. 68–70

Reaction Rate constants

C ⫹ H2 → CH2 7.11 ⫻ 10⫺44 m3/sec


C ⫹ H2 → CH ⫹ H 5.0 ⫻ 10⫺151 m3/sec
H2 ⫹ O → OH ⫹ H 7.05 ⫻ 10⫺24 m3/sec
C ⫹ CO → C2O 6.31 ⫻ 10⫺44 m3/sec
CH2 ⫹ H2 → CH3 ⫹ H 3.2 ⫻ 10⫺24 m3/sec
CH2 ⫹ CO → C2H2 ⫹ O 2.14 ⫻ 10⫺63 m3/sec
CH2 ⫹ CO → CH2CO 1.0 ⫻ 10⫺21 m3/sec
H2 ⫹ C2O → CH2 ⫹ CO 7.0 ⫻ 10⫺19 m3/sec
CH ⫹ H2 → CH3 2.66 ⫻ 10⫺17 m3/sec
CH ⫹ H2 → CH2 ⫹ H 2.66 ⫻ 10⫺17 m3/sec
C2H ⫹ O → CH ⫹ CO 3.0 ⫻ 10⫺17 m3/sec
CH ⫹ CO → HCO ⫹ C 2.09 ⫻ 10⫺17 m3/sec
H ⫹ CO → HCO 6.92 ⫻ 10⫺17 m3/sec
H ⫹ CO ⫹ H2 → CHO ⫹ H2 1.15 ⫻ 10⫺46 m6/sec
OH ⫹ CO → H ⫹ CO2 1.32 ⫻ 10⫺19 m3/sec
CH2 ⫹ CH2 → C2H2 ⫹ H2 4.98 ⫻ 10⫺17 m3/sec
CH3 ⫹ CH3 → C2H6 4.06 ⫻ 10⫺17 m3/sec
CH2 ⫹ CH3 → C2H4 ⫹ H 6.64 ⫻ 10⫺17 m3/sec
CH2 ⫹ CH2 → CH3 ⫹ CH 2.30 ⫻ 10⫺23 m3/sec
CH ⫹ H → C ⫹ H2 4.98 ⫻ 10⫺17 m3/sec
CH3 ⫹ H → CH2 ⫹ H2 3.2 ⫻ 10⫺27 m3/sec
C2H2 ⫹ O → CH2 ⫹ CO 2.14 ⫻ 10⫺19 m3/sec
CO2 ⫹ O → CO ⫹ O2 1.39 ⫻ 10⫺55 m3/sec
CO2 ⫹ H → CO ⫹ OH 1.87 ⫻ 10⫺35 m3/sec
CH3 ⫹ H → CH4 3.32 ⫻ 10⫺16 m3/sec
372 Subramaniam and Aithal

The reactions listed in Table 4 are not meant to be exclusive but represent
only one set of reactions thought to be important for the conditions reported
in Refs. 68–70. Equations (12) then represent a set of coupled nonlinear
equations for ni that can be solved numerically using a method such as that
described in Ref. 75. In these calculations, the ArF excimer laser pulses are
assumed to remain off for 50 msec (corresponding to a 20-Hz repetition
rate) and have pulse durations of 20 nsec. Each pulse interval is hereafter
defined as the pulse duration of 20 nsec plus the laser-off time of 50 msec.
Compositions of all species (except CO, H2, C, and O) are updated for each
interval from values calculated at the end of the previous interval. The com-
positions after 2 sec or 40 such intervals thus calculated are shown in Figs.
30–32. It can be seen that the carbonaceous species present in order of
abundance are HCO, C, CO, CH3, CH2CO, CH4, CH2, C2H6, C2H4, C2H2,
and CH. Among these, the least credible is the unusually high amount of
atomic carbon present. This must be regarded as a fictitious result because

Figure 30 Compositions of various species calculated using the reactions in Table


4 are shown here after 2 sec or 40 intervals.
Laser-Assisted and Optical Pumping Techniques 373

Figure 31 Compositions of various species calculated using the reactions in Table


4 are shown here after 2 sec or 40 intervals.

recombination of C to form either C2 or CO was not included in our reaction


set listed in Table 4. Further, the absence of nondiamond carbon either on
the SEM images or in the Raman spectra is conspicuous in the experiments
reported in Refs. 68–70. This strongly suggests that C2 species must not
have been present in abundance in the gas phase because these are known
to produce graphitic carbon. Species capable of removing nondiamond car-
bon or atomic hydrogen or atomic oxygen from CHxOy complexes bound to
the growing diamond surface are also present. In order of abundance, they
are HCO, C, O, OH, H, CH3, CH2CO, CH2, and CH. Of these, only the high
concentration of C should be ignored for the reasons already mentioned.
Given the state of present understanding regarding mechanisms of diamond
growth [64], we can attempt to make some assessment regarding the iden-
tities of possible precursors important in diamond growth by photolysis
of CO.
374 Subramaniam and Aithal

Figure 32 Compositions of various species calculated using the reactions in Table


4 are shown here after 2 sec or 40 intervals.

Suppose the linear growth rate (i.e., growth rate normal to the substrate
surface) is gr. Then, the number of carbon atoms added per unit time per
unit area of the growing surface by the carbonaceous precursor, ṅ⬙C , is given
by
gr␳d
ṅ⬙C = (13)
mC
where gr is expressed in units of m/sec, ␳d is the mass density of diamond
(3515 kg/m3), and mC is the atomic mass of carbon in kg (12 ⫻ 1.6605 ⫻
10⫺27 kg). At the very least, for growth to occur, the flux of the carbonaceous
precursor must exceed this quantity ṅ⬙C for growth to continue. Thus, we
have
1 ¯ ⱖ n⬙
nXC ˙C (14)
4
where nX is the gas phase number density of the carbonaceous precursor,
Laser-Assisted and Optical Pumping Techniques 375

and C̄ = (8kBT/mX␲)1/2 is the random thermal speed. In the expression for


C̄, kB is Boltzmann’s constant (1.3806 ⫻ 10⫺23 J/K), T is the gas temperature
in the neighborhood of the growing surface, and mX is the molecular mass
of the carbonaceous precursor. In using the expression for the random ther-
mal flux in Eq. (14), it has been assumed that the velocity distribution func-
tion of species X is described by the Maxwell-Boltzmann distribution. Using
Eqs. (13) and (14), the order of magnitude of the minimum concentration
nX necessary to explain an observed growth of gr can be determined. For
the specific conditions of the CO photolysis experiments reported in Refs.
68–70, let us take the growth rate to be 1 ␮m/hr, gas temperature T = 300
K, and mX to be on the order of 2 ⫻ 10⫺26 kg. For these values, Eq. (14)
yields nX ⬇ 1018 m⫺3. Expected values of the gas composition for times on
the order of an hour can be determined from calculated results such as those
shown in Figs. 30–32 and compared with this minimum value of nX. Such
an order of magnitude estimate shows that candidate growth species in di-
amond growth by photolysis of CO (excluding C and CH4) are HCO, CO,
CH3, and CH2CO. Of these, CO can be eliminated as a likely growth pre-
cursor because it is an extremely tightly bound molecule and difficult to
dissociate. CH3 emerges as a strong contender as growth species based upon
what is known regarding growth mechanisms in other CVD systems [64].
However, the abundant presence of HCO and CH2CO cannot be overlooked,
and these may well play a role in diamond growth by photolysis of CO.
The other somewhat remote but possible candidate growth species is CH2,
as its concentration is lower than that of CH3 only by about two orders of
magnitude. Other species such as C2H6, C2H4, C2H2, and CH can be safely
eliminated because they are present in substantially smaller amounts. Al-
though the foregoing analysis yields some insight into the identity of the
key carbonaceous precursor for diamond growth, it must be emphasized that
it is inexact and can be viewed only as an order of magnitude estimate.
Nevertheless, such analysis is useful for inferring trends and may suggest
process improvements that lead to an increase in yield or growth rate.
In this section, we have examined existing photolytic techniques for
diamond synthesis. Of significance is the fact that growth of diamond par-
ticles has been realized under conditions where the substrate is not heated
at all (see Refs. 68–70 on photolysis of CO), and growth of diamond films
has been reported at temperatures as low as 450⬚C (see Ref. 63 on photolysis
of CCl4). Given the strong interest in lowering growth temperatures [76],
these represent significant advancements in the state of the art. It is also of
significance to note that in the photolysis experiments involving CO there
is evidence that selectivity has been achieved because nondiamond carbon
was not codeposited. The absence of nondiamond carbon is conspicuous,
and the microprobe Raman spectra show a particularly sharp diamond line
376 Subramaniam and Aithal

at 1332 cm⫺1. All these photolysis techniques, however, appear to require


predissociation of hydrogen in order to increase growth rates. Of these, the
photolytic decomposition of CCl4 in a predissociated hydrogen stream ap-
pears closest to commercial realization. An estimate of cost can be made
based upon the results reported in Ref. 63. Using their reported growth rate
of 1 ␮m/hr but over an area of 1 cm2 (the actual deposition area was not
disclosed in Ref. 63) and an input average power of 10 W, the energy cost
is approximately 2 ⫻ 107 J/carat for a yield of 4.9 ⫻ 10⫺7 carats/sec.

V. OPTICAL PUMPING
A. Introduction
Optical pumping refers to the excitation of the internal modes of atoms or
molecules. Light, which is not necessarily coherent, may be used to excite
rotational, vibrational, or electronic states of atoms and molecules by what
is known as resonant absorption. In contrast to the relatively higher power,
multiphoton processes introduced in the earlier sections of this chapter, here
we focus on single-photon resonant absorption. The term resonant absorption
refers to the fact that there is an excellent coincidence between the frequency
of the exciting radiation or light and the energy gap (divided by Planck’s
constant) associated with a particular rotational, vibrational, or electronic
transition. Further, in contrast to multiphoton processes, optical pumping or
resonant single-photon processes are characterized by much lower intensi-
ties. Coherence of the exciting radiation is therefore not strictly necessary,
although they are needed in practice in order to exceed threshold intensities
for absorption. Such threshold absorption levels exist because there are
losses due to quenching by collisional or radiative processes that must be
overcome.
Internal modes of diatomic molecules were introduced briefly in Sec. I.
As discussed earlier, these comprise rotational, vibrational, and electronic
means of energy storage for molecules. At equilibrium, the average energy
in these modes can be described by a single temperature, T. Further, the
equipartition theorem18 from statistical thermodynamics tells us that each
squared term in the Hamiltonian (corresponding to the degrees of freedom
associated with each mode of molecular motion) contributes on average kBT/

18
The equipartition theorem states that each squared term in the Hamiltonian contributes
kT/2 to the average energy. Examples of squared terms are kinetic energy of a particle, which
scales as velocity squared and potential energy in a linear spring, which scales as displace-
ment squared. In Hamilton’s formulation of classical mechanics, velocity and displacement
are examples of canonical independent variables.
Laser-Assisted and Optical Pumping Techniques 377

2 to the average energy of a molecule. For example, there are three degrees
of freedom in translation for an atom or molecule. Each appears as a squared
term in the kinetic energy arising from the sum of the squares of the three
components of velocity (i.e., 12 mC 2x ⫹ 12 mC 2y ⫹ 12 mC 2z ). Hence, the contribu-
tion from the translational motion to the total energy of the particle is (3/2)kT.
Similarly, for a diatomic particle, the contribution from the rotational modes
is kT (corresponding to two degrees of freedom in rotation), and the contri-
bution from the vibrational mode is kT (corresponding to the potential energy
and kinetic energy associated with the single degree of freedom in vibration).
The essential point here is that the energy in each of these modes is depen-
dent on a single quantity, the gas temperature. When the gas is out of ther-
modynamic equilibrium, the energy in these modes can be different and is
no longer identified by a single temperature. This is of relevance to optical
pumping because the resulting situation is one where the energy in the vi-
brational and electronic modes cannot be described solely by the gas tem-
perature, which characterizes the rotational and translational modes.
Equilibration of the energies in the various modes of molecules occurs
by collisions. As explained in Sec. I, rotation and translation equilibrate
within a few collisions. However, for diatomic molecules such as CO, NO,
and N2, it takes many collisions before the vibrational modes equilibrate
with the external modes (i.e., rotation and translation). The rate at which
energy is exchanged by the various modes via collisions can be described
by a rate coefficient, analogously to chemical processes. For example, one
can speak of a rate coefficient for vibration-vibration (VV) energy transfer,
vibration-translation (VT) energy transfer, vibration-rotation (VR) energy
transfer, vibration-electronic (VE) energy transfer, and so on. Thus, each
species under such nonequilibrium conditions should be thought of as a
specific molecule in a particular vibrational state of an electronic manifold.
An example is provided by the energy level diagram of CO shown in Fig.
33, which shows the ground electronic state of CO (X1⌺g⫹) along with its
vibrational levels (denoted as the many horizontal lines within the curve).
Also shown in Fig. 33 are other electronic states along with their vibrational
levels. The rotational levels are not shown for clarity, but they would appear
as additional horizontal lines in between successive vibrational levels. For
CO at 300 K, the characteristic time for VT transfer19 is expressed as ⬃10
sec-atm for the v = 1 level, while the characteristic time for VV energy
transfer is ⬃0.1 msec-torr. At 1 atm, this yields a characteristic time of 10

19
This VT rate is for CO-CO collisions. The corresponding values for CO-He and CO-Ar VT
relaxing collisions depleting v = 1 and populating v = 0 are 4 ⫻ 10⫺3 sec-atm and 103 sec-
atm, respectively.
378 Subramaniam and Aithal

Figure 33 Energy level diagram for CO.

sec for VT relaxation for the v = 1 level while its characteristic time for VV
exchange is 76 msec. This means that at any given pressure in the collision-
dominated regime, vibrationally excited CO will tend to retain energy in
vibration for relatively long durations if VT processes are ineffective. Chem-
ical reactions proceed from vibrationally excited or electronically excited
states because these promote the necessary breaking of chemical bonds.
Optical pumping provides a means of producing vibrationally or electroni-
cally excited molecules. This technique has been pioneered by Rich and
coworkers [2,77–79], Brechignac et al. [80], and Urban and coworkers
[81,82] for studying various nonequilibrium molecular energy transfer pro-
cesses with applications to electrical discharges, flames, and supersonic ex-
pansions. In this section, we discuss in detail the use of this novel technique
for diamond synthesis.
Although other laser sources and precursor molecules can be targeted
using the technique presented in this section, we focus specifically on the
technique known as laser-excited CVD or LECVD already reported in the
Laser-Assisted and Optical Pumping Techniques 379

literature [70,83,84]. In this method, a CO laser operating on several lines


(i.e., transitions) from v = 12 → v = 11 through v = 1 → v = 0, is directed
on CO gas molecules in a slowly flowing 95% CO/5% CH4 gas mixture at
room temperature. The laser energy is absorbed by the target CO molecules
initially in the ground electronic state (X1⌺⫹) and redistributed via anhar-
monic VV exchange collisions primarily to the internal modes of CH4 as
well as to higher vibrational levels within CO. Diamond particles similar in
morphology to those produced in Refs. 68–70 were observed on mono-
crystalline silicon wafers over substrate temperatures ranging from ⬃600⬚C
down to room temperature. In order to understand this technique better, it
is necessary to provide some detailed background on the optical pumping
of CO, a topic on which there exists a considerable amount of literature.
At room temperature, most CO molecules are in their ground electronic
manifold (X1⌺⫹) with a majority of them occupying the ground vibrational
level v = 0. The equilibrium distribution of populations versus vibrational
level is described by the well-known Boltzmann distribution:

nv = nv=0e⫺(Ev⫺Ev=0)/kT (15)

where nv is the number density of CO molecules in vibrational level v with


energy Ev = ប␻(v ⫹ 12) ⫺ ប␻␹e(v ⫹ 12)2, nv=0 is the number density of CO
molecules in vibrational level v = 0 with energy Ev=0, and T is the transla-
tional mode temperature. In the expression for the vibrational energy Ev, ប
= h/2␲, ␻ is the frequency of the molecule as an oscillator, v is the vibra-
tional quantum number, and ␹e is the anharmonicity. Equation (15) states
that at equilibrium at a temperature T, most molecules can be found in level
v = 0, with the population in higher vibrational levels decreasing exponen-
tially with v. Therefore, if radiation from a CO laser operating on vibrational
transitions other than v = 1 → v = 0 is directed on gaseous CO at room
temperature, no absorption will result and the gas will be transparent to the
laser irradiation. It is evident that for cold CO gas to absorb the radiation,
either the laser must have some output on the lowest transition v = 1 → v
= 0 (i.e., shortest wavelength) or the gas must be heated (so that upper
vibrational levels have sufficient population to absorb the longer wavelength
laser transitions). Commercially available CO lasers typically do not have
output on this shortest wavelength transition, and therefore such a CO laser
must be specially built [70,83]. When laser output on the v = 1 → v = 0
transition is present, CO gas molecules can now absorb this laser energy
and populate the v = 1 level. These molecules in level v = 1 now absorb
the laser output from the v = 2 → v = 1 transition and populate the v = 2
level, and so on. In this manner, CO molecules can be optically pumped up
to vibrational level v = 12, or whatever may be the vibrational level corre-
380 Subramaniam and Aithal

sponding to the longest wavelength laser transition. Collision-induced vi-


brational energy (VV) exchange processes then proceed according to
CO(v) ⫹ CO(w) S CO(v ⫺ 1) ⫹ CO(w ⫹ 1), wⱖv (16)
This collisional vibrational energy exchange is favored due to the anharmo-
nicity of molecules20 and serves to populate vibrational levels much higher
than those initially populated by the laser irradiation. Levels as high as v =
40 in the ground electronic manifold of CO (corresponding to energies of
about 10 eV) have been populated in this manner. This laser excitation
followed by redistribution of the absorbed laser energy among the higher
vibrational levels is known as anharmonic VV pumping. The resulting pop-
ulation distribution, henceforth referred to as the TRR distribution, is highly
non-Boltzmann and was first derived by Treanor, Rich, and Rehm in 1968
[85]. For the limiting case of VV exchange collisions dominating VT
exchange, the TRR distribution is [85]:

nv = nv=0 exp 再 ប␻␹ev(v ⫺ 1)


kT

(ប␻ v ⫺ 2ប␻␹ev)
kT1
冎 (17)

where T1 is the ‘‘vibrational temperature’’ of level v = 1 defined by


nv=1 = nv=0e⫺ប␻(1⫺2␹e)/kT1
or
ប␻(1 ⫺ 2␹e)

冉 冊
T1 = ⫺ (18)
nv=1
k ln
nv=0
The form of Eq. (17) for the TRR distribution can also be derived from
statistical thermodynamics in a manner analogous to that used to derive the
Bose-Einstein or Fermi-Dirac distribution. This is done by maximizing the
thermodynamic probability subject to the constraints that the total number
of particles, total energy, and total number of vibrational quanta per molecule
are fixed (i.e., conserved) [85].
Figure 34 shows a semilog plot of the normalized number density or
population density as a function of vibrational quantum number for several
cases. These are (1) the Boltzmann distribution as given by Eq. (15) but
neglecting anharmonicity in the molecule, (2) the Boltzmann distribution

20
Anharmonicity refers to the fact that the vibrating bond between C and O atoms in the CO
molecule exhibits departure from that of a harmonic oscillator. As a consequence, the gap
between successive vibrational energy levels decreases as the vibrational quantum number
(or vibrational energy) increases.
Laser-Assisted and Optical Pumping Techniques 381

Figure 34 Schematic showing a log-linear plot of normalized populations of mol-


ecules in vibrational level v versus vibrational quantum number (or vibrational en-
ergy) for three cases: (1) equilibrium, where the variation is given by the Boltzmann
distribution [Eq. (15)] but without the effects of anharmonicity; (2) equilibrium,
where the variation is given by the Boltzmann distribution [Eq. (15)] but including
the effects of anharmonicity; and (3) nonequilibrium in which the average vibrational
energy is different from the average translational energy.

including anharmonicity, and (3) the limiting TRR distribution as given by


Eq. (17), with Tv ≠ T. It is important to point out that the concept of a
vibrational temperature Tv that represents a meaningful average energy in
vibration makes sense only when the variation of normalized number density
versus vibrational quantum number is log linear. When departure from log
linearity occurs, a single vibrational temperature cannot be defined for all
vibrational levels. This is what happens in the case of the Boltzmann dis-
tribution including the effects of anharmonicity with Tv ≠ T or in the case
of the ideal TRR distribution. The vibrational quantum number at which the
number density attains a minimum for the TRR distribution can be deter-
mined by differentiating ln(nv /nv=0) from Eq. (17) with respect to v and
setting it equal to zero. The result yields what is known as the Treanor
minimum:

vmin =
(1 ⫺ 2␹e)
2␹e
冉冊T
T1

1
2
(19)

A similar minimum exists for the Boltzmann distribution (i.e., equilibrium


distribution) when the effect of molecular anharmonicity is included:
(1 ⫺ ␹e)
(vmin)equilibrium = (20)
2␹e
382 Subramaniam and Aithal

Values of frequencies and anharmonicities for some diatomic species are


given in Table 5. As can be seen, the anharmonicity, ␹e, takes on values that
are usually less than or equal to 0.01. This means that (vmin)equilibrium ⬇ 1/2␹e
⬇ 50. Such high vibrational levels are so close to the dissociation limit that
the quadratic behavior of anharmonicity is never observed under equilibrium
conditions. Thus, at equilibrium, the populations of vibrational levels are
nearly log linear with vibrational quantum number below the dissociation
limit, even when the effect of anharmonicity is included. In contrast, the
TRR distribution yields vmin ⬇ (82)(1/5) ⬇ 16 for CO for T1 /T ⬇ 5. Thus,
for the species listed in Table 5, the TRR distribution yields a minimum in
the number density variation when the vibrational quantum number is on
the order of 10 and the vibrational temperature of level v = 1 is a few times
higher than the translational or gas temperature.
In reality, the TRR distribution is modified by the competing effect of
VT relaxation. VT energy transfer scales with vibrational quantum number
and hence becomes important at the higher quantum numbers. The degree
to which vibrational nonequilibrium persists in a gas therefore depends on
the competing strengths of VV and VT or other loss processes such as
spontaneous emission. In molecules such as CO and NO, spontaneous emis-
sion is slower than VT processes at pressures of interest. In homopolar
diatomic molecules such as O2 and N2, there is no spontaneous emission
because these molecules possess no natural or intrinsic dipole moment. We
therefore illustrate the realistic VV up-pumping process by considering VV
and VT processes alone, with the rotational modes in equilibrium with the
translational modes at temperature T. Consider a diatomic molecule A2 un-
dergoing the following single-quantum processes:

VV energy transfer:

k w⫺1,w
A2(v ⫹ 1) ⫹ A2(w ⫺ 1) →
v⫹1,v
← A2(v) ⫹ A2(w) (21)
k w,w⫺1
v,v⫹1

Table 5 Frequencies and Anharmonicities for Some Diatomic Molecules

Parameter CO H2 N2 NO O2 OH

ប␻ (cm⫺1) 2169.8 4401.2 2358 1904 1580 3735


ប␻␹e (cm⫺1) 13.3 121.3 14.1 14 12 83
ប␻/2ប␻␹e 82 18 84 68 66 22

Source: Ref. 86.


Laser-Assisted and Optical Pumping Techniques 383

VT energy transfer:
kv⫹1,v
A2(v ⫹ 1) ⫹ M →
← A2(v) ⫹ M (22)
kv,v⫹1
where the VV and VT rates are given in Refs. 75 and 79. The evolution of
the population of level v is then given by the following rate equations,
including spontaneous emission as a loss mechanism [2]:
dnv
= VTv ⫹ VVv ⫹ SRDv (23)
dt
where the terms on the right-hand side represent net VV transfer, VT trans-
fer, and spontaneous radiative decay into level v. Expressions for each of
these terms, for CO, are given in Ref. 75.
Process (21) is shown schematically in Fig. 35 for two general mole-
cules A and B. Now, if E(v) is the energy of molecules in vibrational level
v, then E(v ⫹ 1) ⫺ E(v) > E(w) ⫺ E(w ⫺ 1) for w ⱖ v because of the
effect of anharmonicity. Thus, if we treat the right-hand side of process (21)
as the ‘‘products’’ and the left-hand side as the ‘‘reactants,’’ we have ⌬E =
E(v) ⫹ E(w) ⫺ E(v ⫹ 1) ⫺ E(w ⫺ 1) = [E(w) ⫺ E(w ⫺ 1)] ⫺ [E(v ⫹ 1)
⫺ E(v)] so that ⌬E ⱕ 0 for w ⱖ v. ⌬E is called the resonance defect. This
shows that the forward process of (21) is favored at lower temperatures
because it is exothermic in the sense that the energy difference ⌬E flows
out of the vibrational modes into the external modes of rotation and trans-
lation for w ⱖ v. On the other hand, the reverse of process (21) is endo-
thermic in the sense that energy must be provided from the external modes
to sustain it. This can be expected to occur only at high temperatures. Energy
can be retained in the vibrational modes, therefore, if an external source
(such as a laser or optical pump) provides energy to the vibrational mode

Figure 35 Schematic showing intermolecular vibrational energy transfer, prefer-


entially transferring vibrational energy from the molecule with the more widely
spaced energy levels to the molecule with the more closely spaced energy levels
[2]. When both collision partners are molecules of the same species, then such
VV transfer is called intramolecular vibrational energy transfer. The difference be-
tween ⌬v and ⌬w is called the resonance defect.
384 Subramaniam and Aithal

to overcome loss processes such as process (22) or else process (22) is


inhibited or minimized. Process (22) scales with vibrational quantum number
v and temperature; i.e., the rate for vibrational deexcitation by VT transfer
increases with increasing v and increasing T. Hence, as long as the energy
corresponding to the resonance defect is removed either by having the gas
flow or by having a suitable diluent such as argon, a low gas temperature
can be maintained or VT losses can be lowered compared with VV
exchange. Under these conditions, the solution of the rate equations (23)
yields a fully VV pumped distribution and is indeed observed as shown in
Fig. 36.
The fully VV pumped distribution is observed in optically pumped
gases as well as in common plasmas. It is, in fact, ubiquitous in plasmas
containing tightly bound molecular species such as CO, NO, N2, or O2. In
such plasmas, the mean electron energy is lowered because inelastic colli-
sions between electrons and molecules dominate all other processes. Con-
sequently, the electron (translational) energies in such discharges strongly
resemble the fully VV pumped distribution shown in Fig. 36. The result is
that a single electron temperature cannot be identified, and hence we must
speak of electron energies and a corresponding electron energy distribution
function or EEDF that is highly non-Boltzmann. These electron impact pro-
cesses can be included in the rate equation formulation as given by Eq. (23)
and are crucial in determining the chemical composition in a plasma.
Process (16) is an example of intramolecular energy transfer, or trans-
fer of vibrational energy between molecules of the same chemical species.
Intermolecular energy transfer, or transfer of vibrational energy between
molecules of different chemical species, also occurs. In both cases, energy
is transferred preferentially from the molecules with widely spaced vibra-
tional energy levels to those with more closely spaced energy levels. LECVD
takes advantage of this point precisely. Further, this energy transfer is a result
of molecular collisions and scales with pressure (or density) as long as rel-
atively low external mode (i.e., translational and rotational) temperatures are
maintained or as long as rates of population of vibrational levels by VV
exchange collisions are favorable compared with the rates of depopulation
of these levels by VT collisional processes. The effect of anharmonicity in
a diatomic or small polyatomic molecule is such that any vibrational energy
over and above the equilibrium value (corresponding to the translational
temperature T ) is rapidly redistributed to higher vibrational states. Thus,
molecular states at high vibrational levels can be populated, especially when
the gas temperature is very low. The process, however, is not restricted to
low gas temperatures and has been shown to occur at elevated gas temper-
atures on the order of 1500 K [87].
Laser-Assisted and Optical Pumping Techniques 385

Figure 36 Fully VV pumped distribution from Ref. 79 measured from an optically


pumped mixture of 1% CO/Ar at a total pressure of 100 torr. Note that at low
vibrational quantum numbers, the VV pumped distribution resembles the TRR dis-
tribution but instead of displaying a minimum exhibits a plateau known as the TRR
plateau characterized by v ln(nv=0/nv) = constant. The distribution exhibits a sharp
drop at the higher vibrational quantum numbers because the VT rates overwhelm
the VV rates at high values of v.

B. Optical Pumping of Gases (Laser-Excited CVD or


LECVD) for Diamond Growth
Optical pumping of gases using a low-power laser can, under the right con-
ditions, result in production of molecules with high vibrational energies.
These molecules can then be made to react among themselves or transfer
energy to other species to excite them to specific vibrational or electronic
states and hence serve as huge heat baths for driving chemical reactions. A
particularly dramatic demonstration of the power and usefulness of this tech-
386 Subramaniam and Aithal

nique is the early work of Kildal and Deutsch [88]. At the time, laser sources
in the mid-IR such as the CO laser were not as well developed as they are
today. Consequently, Kildal and Deutsch [88] went to elaborate lengths to
obtain some coherent output at ⬃4.7 ␮m by frequency doubling the 9.4 ␮m
laser line from a CO2 laser. The resulting laser output at 4.7 ␮m is coincident
with the lowest vibrational transition, v = 0 → v = 1, in CO so that CO can
be vibrationally excited to level v = 1 at room temperature. Once this state
is populated, of course, other higher vibrational levels can be populated via
anharmonic VV pumping: CO(v = 1) ⫹ CO(v = 1) → CO(v = 0) ⫹ CO(v
= 2), followed by CO(v = 1) ⫹ CO(v = 2) → CO(v = 0) ⫹ CO(v = 3), and
so on. Kildal and Deutsch used this approach to optically pump various gas
mixtures containing CO, where CO is used as the energy ‘‘donor’’: CO/
C2H2, CO/C2H2 /H2, CO/CO2, CO/N2O, CO/CS2, CO/CS2 /H2 and CO/OCS
[88]. Further, by placing mirrors on the chamber containing these gas
mixtures, Kildal and Deutsch were able to obtain lasing from the ‘‘acceptor’’
molecules in which population inversions (partial or total) were sustained
by energy supplied from the optically pumped and subsequently vibration-
ally excited CO molecules. The fact that such transfer lasers could be pro-
duced was testament to the rapidity of intermolecular vibrational energy
transfer versus loss of this energy to translational modes. A laser source at
4.7 ␮m (i.e., laser output on the CO fundamental v = 1 → v = 0) would
have made the optical pumping of CO much easier. Similar energy transfer
and VV pumping have been previously reported in CH4, CD3H, CD4, CH2D2,
and CH3F [89].
The CO laser was invented as early as 1965 by Patel [90], but theo-
retical understanding of its operating principle would await the development
of the theory of anharmonic VV pumping developed by Treanor, Rich, and
Rehm in 1968 [85]. This theoretical development subsequently led to more
powerful supersonic and cryogenically cooled CO laser sources [77–79].
Today, the CO laser is the most efficient gas laser, displaying efficiencies
near 50%. Steady development in the 1980s and 1990s led to compact cry-
ogenically cooled CO laser sources capable of significant output on the
lowest vibrational transition (v = 1 → v = 0). This has been important in
enabling the optical pumping of CO at room temperature.
We begin with a description of the optical pumping of CO diluted in
argon. It is necessary to understand optical pumping in this simple mixture
before proceeding with a description of the LECVD process that utilizes the
optical pumping of other cold CO-containing gas mixtures. An excellent
review can be found in Ref. 2. Consider a mixture of CO/Ar (typically a
few percent CO with the balance being argon) flowing at 100 sccm, total
pressure of about 50 torr, and temperature of 300 K, irradiated by a CO
laser operating multiline with some of its output on the lowest transition (v
Laser-Assisted and Optical Pumping Techniques 387

= 1 → v = 0). Usually, lasing on the lowest transition is difficult but can be


relatively easily produced using an intracavity Q switch [70,82]. This usually
results in about 100 mW output on the lowest transition, with a total output
of about 5 W on all lines. With improved designs [91], cw (continuous wave)
output with several watts on the lowest transition can be obtained. The CO
in the CO/Ar mixture absorbs this radiation on the v = 1 → v = 0 transition,
which populates the v = 1 energy level above its equilibrium value. This in
turn enables laser output on the v = 2 → v = 1 transition to be absorbed,
populating the v = 2 level above its equilibrium value, and so on. In this
manner, levels v = 9 down to v = 1 are populated by absorption of energy
from the laser beam. A typical spectrum of the CO laser emission is shown
in Fig. 37. It is important to highlight the fact that this absorption process
is a resonant, single-photon process and therefore does not require high laser
powers or pulse energies. This absorbed laser energy is then redistributed to
the higher vibrational levels by anharmonic VV pumping. The result is a
fully VV pumped distribution as shown in Fig. 36. Note that only one por-

Figure 37 Typical spectrum showing output from a CO laser. This laser is capable
of operating at about 10 W cw while also lasing on the v = 1 → v = 0 transition
(leftmost peak). Each successive set(s) of peaks represents higher vibrational bands
from v = 2 → v = 1 up to v = 10→ v = 9. (From Ref. 91.)
388 Subramaniam and Aithal

tion of the TRR distribution is evident because VT rates scale or increase


with vibrational quantum number and prevent the total inversion that is
characteristic of the TRR distribution. Instead of a minimum, the real VV
pumped distribution exhibits a near-plateau region over which vnv ⬇ con-
stant, followed by a steep drop in the vibrational populations at the higher
vibrational quantum numbers. The reason for both trends is that both VV
and VT rates increase with v, but eventually VT rates exceed the VV rates
that drive the up-pumping at the higher vibrational quantum numbers. A
fully VV pumped vibrational population distribution in a flowing CO/Ar
mixture results in population of vibrational states as high as v = 42, corre-
sponding to energies of more than 8 eV [79]. This is significant because the
dissociation energy of the CO molecule is about 11 eV.
When a molecule such as CO is pumped to vibrational levels as high
as v = 42, quite a bit of energy is engaged within the vibrational mode. This
energy should be available to drive chemical reactions or for transfer to
other modes of energy storage such as electronic excitation. Indeed, a host
of energy transfer processes occur such as those that result in population of
low-lying electronic states by VE (vibration-to-electronic) transfer [79,82]:
CO(X1⌺⫹, v) ⫹ CO(X1⌺⫹, w) → CO(v⬘) ⫹ CO(a3⌸, w⬘),
Ev ⫹ Ew > 6 eV (24)
⫹ ⫹ ⫹
CO(X ⌺ , v) ⫹ CO(X ⌺ , w) → CO(X ⌺ , v ⬘) ⫹ CO(A ⌸, w⬘),
1 1 1 1

Ev ⫹ Ew > 8 eV (25)

CO(X ⌺ , v ⬇ 27) ⫹ M → CO(a ⌸, w⬘) ⫹ M)
1 3
(26)

CO(X ⌺ , v ⬇ 40) ⫹ M → CO(A ⌸, w⬘) ⫹ M
1 1
(27)
Processes (26) and (27) represent direct collisional transfer to electronic
states, one of which is the a3⌸ state, which is metastable with a radiative
lifetime of 4.4–9.5 msec. Another electronic state, A1⌸, has a radiative life-
time of 10 nsec and therefore serves to limit the vibrational up-pump. It
must be pointed out that around v = 42, 2[E(v = 42) ⫺ E(v = 40)] ⬇ [E(v
= 1) ⫺ E(v = 0)], so that the following near-resonant process:
CO(X1⌺⫹, v ⬇ 42) ⫹ CO(X1⌺⫹, v = 0)
→ CO(X1⌺⫹, v ⬇ 40) ⫹ CO(X1⌺⫹, v = 1)
can occur with high rapidity, as does VT transfer (which scales with the
vibrational quantum number v). While this is possible, the VE transfer via
process (27) has been observed experimentally, and radiative emission from
CO(A1⌸, w) → CO(X1⌺⫹, v) has been observed only after CO(X1⌺⫹, v ⬇
42) is populated [79]. Thus, despite attaining energies near the dissociation
limit, CO is not observed to dissociate because of the rapid VE transfer to
Laser-Assisted and Optical Pumping Techniques 389

the A1⌸ electronic state, followed by fast radiative decay to the ground
electronic state or manifold [79]. Thus, infrared radiation goes into exciting
the gas, while ultraviolet light comes out! In contrast, the a3⌸ by virtue of
its relatively long lifetime suffers collisions and is either deexcited (colli-
sionally quenched) or reacted.21
Processes (24) and (25) represent energy transfer by an associative
mechanism referred to as vibrational pooling. Such processes have high
probability of occurrence because they typically involve many combinations
of molecular collision pairs with energies that add up to the required energy
of a given excited electronic state. Such associative processes have been
shown to be instrumental in producing ionization by vibrational pooling and
may constitute a major source of ionization in CO-containing electrical dis-
charges [92]:
CO(X1⌺⫹, v) ⫹ CO(X1⌺⫹, w)

→ 再 CO⫹ ⫹ CO(X1⌺⫹, w⬘) ⫹ e⫺,


(CO)⫹
2 ⫹ e

Ev ⫹ Ew ⱖ 13.6 eV
(28)

The lower pathway of process (28) resulting in the formation of the dimer
ion is favored because it has a slightly lower ionization potential than CO.
Regardless, the production of CO⫹ or (CO)⫹ 2 dimer ions usually leads to
cluster ions of the form (CO⫹ ⭈ CO⭈ Cn) where n = (0, . . . , 11) [93].
In addition to the aforementioned energy transfer and associative ion-
ization processes, chemical reactions such as the Boudouard reaction occur:
{CO(X1⌺⫹, v) or CO(a3⌸, v⬘)}
⫹ {CO(X1⌺⫹, w) or CO(a3⌸, w⬘)}
→ C* ⫹ CO2, Ev ⫹ Ew > 6 eV (29)
followed by:
C ⫹ CO ⫹ M → C2O (30)
C ⫹ C ⫹ M → C2 ⫹ M (31)
The formation of C2 in the gas phase is generally thought to be undesirable
for diamond formation. There is some work however, that suggests C2 could
be a growth species for nanocrystalline diamond [94,95]. Past optical pump-
ing experiments involving sufficient vibrational excitation of CO to drive
the Boudouard reaction (29) leading to C2 formation via (31) have shown
formation of graphitic soot [96]. Reaction (31) is one of the channels for

Alternatively, there can be collision-induced E-V transfer from CO(a3⌸) into the CO(X1⌺⫹,
21

v ⬇ 27) state, although it has not yet been observed experimentally by optical pumping.
390 Subramaniam and Aithal

formation of soot in electrical discharges containing substantial amounts of


CO. In a plasma, free carbon is produced by electron impact dissociation of
CO as well as by reactions (29) and (31) where the appropriate CO(a3⌸) or
vibrationally excited CO(X1⌺⫹) is produced by electron impact processes.
In contrast, formation of C2 in the gas phase in an optically pumped mixture
first requires the formation of atomic carbon via reaction (29), which has an
activation energy of about 6 eV. Therefore, it is clear that formation of CO
in the a3⌸ state [whose lowest vibrational level is isoenergetic with the v ⬇
27 state of CO(X1⌺⫹) with an energy on the order of 6 eV] or CO in
vibrational levels beyond v ⬇ 13 in the X1⌺⫹ state must be suppressed. The
requirement that CO in vibrational levels beyond v ⬇ 13 in the X1⌺⫹ state
not be populated stems from the fact that molecules in these levels can pool
their energies to form some molecules in v ⬇ 27 of the X1⌺⫹ state or in the
low vibrational levels of the a3⌸ state. In general, light molecules or mol-
ecules with many rotational modes are very effective in VT relaxing vibra-
tionally excited CO [2,3]. Molecular hydrogen and water are two examples
of strong VT relaxers [2,75]. Hence, it is very difficult to produce reactive
vibrationally excited CO molecules by optical pumping in mixtures predom-
inantly comprising H2 or H2O, which is typical of growth mixtures used in
diamond synthesis by CVD. Rebello et al. circumvented this problem by
using a mixture containing mainly CO but with some CH4, which is com-
monly used as a carbonaceous precursor in CVD processes for diamond
synthesis.
Diamond growth was reported from a gaseous mixture of 95% CO
and 5% CH4, where the CO was optically excited using a Q-switched CO
laser operating with its shortest wavelength output on the v = 3 → v = 2
transition [70,83]. These experiments were the first to demonstrate that di-
amond growth was possible without the need to hyperdilute the gas phase
with hydrogen or some other etchant. Such a mixture has never before been
reported to yield diamond growth by any other CVD process (HFCVD,
plasma CVD, or flame synthesis), and may therefore signal the existence of
alternative routes to diamond synthesis. We review their experiment here in
detail.
A schematic of the LECVD or laser-excited CVD experiment is shown
in Fig. 38. A CO laser Q-switched at 200 Hz with the lasing lines shown in
Fig. 39 was used to optically pump a flowing mixture of 95 sccm of CO
and 5 sccm of CH4 at a total pressure of 50 torr. Of the 2.3 W output (on
all lines) from the Q-switched CO laser, approximately 1 W was reportedly
absorbed by the CO in the gas mixture [70,83]. Single-crystal Si(100) wafers
approximately 2 cm2 in size were used as substrates and heated to a tem-
perature between 600 and 700⬚C. The substrates were supported on a heated
holder within the reactor and oriented upside down [83]. This was done to
Laser-Assisted and Optical Pumping Techniques 391

Figure 38 Schematic of the LECVD or laser-excited CVD experiment. (From


Ref. 83.)

take advantage of the buoyancy-driven or free convective flow induced by


substrate heating, which tends to drive the gas upward. Such a means of
mounting was also used in experiments where the substrates were not heated
[70]. The substrates were physically abraded using diamond particles (4- to
8-␮m sized particles in the earlier work where the substrate was heated [83]
and with particles 0–0.5 ␮m in size in the later work where the substrates
392 Subramaniam and Aithal

Figure 39 Spectrum showing the lasing lines of the CO laser used in the LECVD
experiments. This laser was Q switched at 200 Hz. (From Ref. 83.)

were not heated [70]) suspended in glycerol and subsequently cleaned in


deionized water, acetone, and methanol, respectively. The authors reported
that such a pretreatment was used to possibly reduce nucleation times and
thereby enable short-duration experiments, as is typically done with other
diamond growth techniques [70,83]. The substrates were then thoroughly
characterized prior to growth using SEM imaging, and Raman spectroscopy
(survey as well as with a microprobe). The substrates contained some resid-
ual abrasive particles only in a few isolated cases. However, these proved
to be debris of the silicon substrate when analyzed using energy dispersive
x-ray spectroscopic (EDS) analysis. Further, these debris particles did not
show the characteristic diamond line at 1332 cm⫺1 in the corresponding
Raman spectra. The authors carefully characterized the substrates before
growth and reported on the formation of additional particles approximately
10 ␮m in size clustered together in groups that covered regions on the order
of 50 or 60 ␮m2.
Figure 40 shows SEM images of the substrate surface before and after
growth. The fact that these growth particles were grouped together enabled
Raman spectra to be obtained using a microprobe. A representative Raman
spectrum and EDS spectrum are shown in Figs. 41 and 42, respectively. Of
Laser-Assisted and Optical Pumping Techniques 393

Figure 40 SEM images before and after growth in the LECVD experiment. (From
Refs. 70, 83.)

significance is the fact that these experiments have been repeated under
conditions where the substrate is not heated and similar diamond growth is
observed [70]. Evidently, diamond growth by LECVD does not require the
monocrystalline silicon substrate to be heated. During the LECVD growth
process, there was no visible emission from the reactor chamber even when
the substrate was heated because the resistively heated tungsten filament was
hidden from view. However, there was radiative emission from the gas in
the infrared. Figure 43 shows a low-resolution IR spectrum from the gas
394 Subramaniam and Aithal

Figure 41 Typical Raman spectrum of the diamond particles synthesized by


LECVD. (From Ref. 70.)

phase for the case where the substrate was not heated, displaying the over-
tone emission (i.e., ⌬v = 2) from vibrationally excited CO and emission
from the ␯3 mode of CH4. The ␯3 mode is the asymmetric stretch mode
where a single hydrogen atom vibrates against the CH3 group in the methane.
An energy level diagram for CH4 is shown in Fig. 44 along with the cor-
responding low-lying CO vibrational energy levels. In addition, faint emis-
sion was observed from the ␯4 or bending mode of CH4 [70]. The ␯4 mode
emission is particularly difficult to detect because it overlaps with ambient
blackbody radiative emission at 300 K. These spectra serve to verify that
CO is vibrationally excited and transfers energy to CH4 to excite it vibra-
tionally as well. The population distribution in CO(X1⌺⫹) can be inferred
by comparing the experimentally measured radiative emission with synthetic
spectra and is shown in Fig. 45 [70]. It can be seen that the CO in the CO/
CH4 mixture under conditions of interest to diamond growth exhibits vibra-
tional nonequilibrium but is not fully VV pumped. Using the slope of the
population distribution for the lower vibrational levels in Fig. 45, a vibra-
tional temperature T␯ = 2200 K can be inferred.22
There are some interesting similarities and differences between these
diamond crystals and those observed in other CVD systems. In the first

22
Note that here the translational temperature T ⬇ 300 K ≠ T␯ = 2200 K.
Laser-Assisted and Optical Pumping Techniques 395

Figure 42 Energy-dispersive x-ray spectroscopic (EDS) analysis spectrum of the


diamond particles synthesized by LECVD. (From Ref. 70.)

place, the morphology of these particles is clearly irregular but still faceted.
It resembles the morphology of the particles obtained by photolysis of CO
in the CO/H2 mixtures in LCVD (see Sec. IV and Refs. 68 and 69). There
is also a strong resemblance of the morphology of the growth particles to
that of the high-pressure diamond grit used in the abrasion pretreatment,
except the growth particles are larger. The well-defined crystal habits dis-
played in diamond synthesized by other CVD techniques are due to the
simultaneous presence of growth and etch channels. If pure growth occurred
without the presence of any etch mechanisms, morphologies such as those
in Figs. 25 and 29 would be expected, as discussed earlier in Sec. IV (see
Figs. 25 and 29, associated discussion, and Ref. 72). The Raman spectra
reveal that the growth particles are indeed diamond as can be seen from the
sharp 1332 cm⫺1 line in Fig. 41. The fact that no graphitic lines (at 1580
cm⫺1 and/or at 1343 cm⫺1) are observed in the Raman spectrum is indeed
conspicuous. Codeposition of nondiamond (graphitic) carbon is usually ob-
396 Subramaniam and Aithal

Figure 43 Low-resolution IR spectrum from the gas phase, displaying the over-
tone emission (i.e., ⌬v = 2) from vibrationally excited CO and emission from the
␯3 mode of CH4. The ␯3 mode is the asymmetric stretch mode where a single hy-
drogen atom vibrates against the CH3 group in the methane. (From Ref. 70.)

served in diamond growth using other types of CVD processes and is clearly
absent in LECVD. The EDS spectrum shows the presence of a small peak
corresponding to oxygen. As this peak is observed only in diamond films
grown using other CVD techniques in which oxygen or oxygen-bearing
compounds are used in the growth gases [15–17,69], this suggests rather
strongly that CO may play a role in diamond growth by LECVD. Such an
oxygen peak is never observed in EDS spectra of diamond films exposed to
ambient air. Therefore, it appears highly likely that oxygen is present on the
diamond surface itself as a direct result of the specific LECVD growth en-
vironment and may in fact provide clues to growth mechanisms.
Control experiments were also done to determine what the growth
species might be [70,83]. Substrates having undergone the same abrasive
pretreatment, and either heated to the same temperatures or unheated, were
exposed to optically pumped 95% CO/5% H2 and 100% CO gaseous envi-
ronments but showed no presence of diamond. These control experiments
suggest that CH4 is the likely carbonaceous precursor. However, the CO
Laser-Assisted and Optical Pumping Techniques 397

Figure 44 Energy level diagram for CH4, along with the corresponding low-lying
CO vibrational energy levels. (From Ref. 70.)

could have played a facilitating role as can be inferred from EDS analysis
indicating the presence of oxygen on the diamond surface. Unfortunately,
experiments involving 13CH4, which would have been more illuminating,
were not done.23
As mentioned earlier, the same optical pumping technique has been
applied to conditions where the substrate is not heated [70,84]. Earlier ex-
periments [83] were conducted with a heated substrate and v = 3 → v = 2

23
The use of 13CO in the gas phase would have been problematic because the CO laser source
would also have had to operate using the same isotope in order to take advantage of reso-
nance absorption.
398 Subramaniam and Aithal

Figure 45 Population distribution in CO (X1⌺⫹) inferred by comparing the radi-


ative emission with synthetic spectra. (From Ref. 70.)

as the shortest wavelength lasing transition from the CO laser. As discussed


earlier in Secs. V.A and V.B, there is a negligible population of CO mole-
cules in the v = 2 state at room temperature so that laser absorption to trigger
the VV pumping could occur only at an elevated temperature. In the more
recent work [70,84], however, the CO laser was operated with some output
on the v = 1 → v = 0 transition so that CO molecules at room temperature
could be vibrationally excited. Indeed, as in the LCVD or laser photolysis
technique discussed in Sec IV, diamond growth was obtained on unheated
surfaces [70,84]. It is of significance that two completely different laser-
based techniques (LCVD and LECVD) involving two completely different
gas mixtures (1% CO in 99% H2 for photolysis and 5% CH4 in 95% CO
for LECVD) yielded diamond growth on unheated surfaces. This also sug-
gests that growth mechanisms in these completely different experiments may
share common features. We shall address the subject of mechanisms shortly.
Optical pumping experiments have also been conducted in mixtures of
5% C2H2 in CO [70,84]. A low-resolution emission spectrum from this ex-
periment is shown in Fig. 46 and displays the ␯3 band of acetylene and faint
emission from possibly the combination bands ␯2 ⫹ ␯ 15, ␯2 ⫹ 2␯ 05 ⫺ ␯ 15, ␯2
⫹ 2␯ 14 ⫹ ␯ 15 ⫺ ␯ 14, ␯3 ⫺ ␯ 14, and ␯1 ⫺ ␯ 15 [97]. The spectrum ascribed to the
Laser-Assisted and Optical Pumping Techniques 399

Figure 46 Low-resolution emission spectrum from optical pumping of CO/C2H2


mixture showing the ␯3 band of acetylene and faint emission from possibly the
combinations bands ␯2 ⫹ ␯ 15, ␯2 ⫹ 2␯ 05 ⫺ ␯ 15, ␯2 ⫹ 2␯ 14 ⫹ ␯ 15 ⫺ ␯ 14, ␯3 ⫺ ␯ 14, and ␯1
⫺ ␯ 15 [97]. (From Ref. 70.)

␯3 band may also contain some emission from the ␯2 ⫹ ␯ 14 ⫹ ␯ 15 combination


band. The ␯3 band of acetylene arises from its asymmetric stretch mode
wherein a hydrogen atom vibrates against the associated C2H. As in the case
of the optically pumped CO/CH4 mixture, the vibrational populations of CO
can be inferred from the overtone emission spectrum. This is shown in Fig.
47 for the CO/C2H2 mixture, and appears to indicate that levels up to about
v = 9 or v = 10 are populated. However, no diamond growth was obtained
from optically pumped CO/C2H2 mixtures despite the obvious energy trans-
fer from CO to acetylene [70].
The LECVD experiments reported in Refs. 70, 83, and 84 were in-
dependently reproduced in the Laboratoire d’Énergétique Moleculaire et
Macroscopique, Combustion (E.M2.C.) at École Centrale Paris in France
[98]. These results confirm diamond growth both on unheated silicon sub-
strates as well as on heated substrates [98]. Additional experiments in which
high-resolution spectra were obtained are reported in Ref. 98 as well. Figure
400 Subramaniam and Aithal

Figure 47 Vibrational populations of CO inferred from the overtone emission


spectrum are shown here for the CO/C2H2 mixture and indicate that levels up to
about v = 9 or v = 10 are populated. (From Ref. 70.)

48 shows a high-resolution spectrum of the same bands of CO and CH4 that


are displayed in Fig. 43 for the experiments reported in Refs. 70, 83, and
84. With 4 W of the incident 10.8 W cw laser power (on all lines) absorbed,
Ref. 98 reported that emission measurements indicate that the CO alone
(without addition of CH4) is pumped up to levels near v = 17, which cor-
responds to energies of about 4 eV. Upon addition of the CH4, emissions
from CO molecules are observed only up to about v = 10, which corresponds
to energies of about 2.5 eV. Note that in the latter case, formation of C2 in
the gas phase is suppressed because reaction (29) requires an activation
energy of about 6 eV. Reference 98 also presents detailed analyses using
high-resolution emission and absorption spectroscopy. Careful analysis
shows that the spectrum largely attributed to the ␯3 mode of CH4 actually
contains other lines arising from the combination bands ␯3 ⫹ ␯4 → ␯4 and
␯3 ⫹ ␯2 → ␯2, also known as the ‘‘hot bands’’ of methane. From these
spectra, a vibrational temperature T␯ of 1950 K was inferred [98]. Of sig-
nificance is the fact that the detailed gas-phase spectroscopic studies of Ref.
98 indicate the presence only of CO and CH4 in both emission and absorp-
tion measurements. No evidence was found for any other chemical species
or reaction by-products, at least within detection limits. Clearly, either re-
Laser-Assisted and Optical Pumping Techniques 401

Figure 48 High-resolution spectrum of the overtone bands of CO and ␯3 mode


emission from CH4 from the École Centrale Paris experiments. (From Ref. 98.)

action by-product concentrations were too small to be observed or reactions


occurred on the growth surface.
Diamond growth on unheated, seeded substrates has been reported us-
ing two completely different laser-based techniques (laser photolysis and
LECVD) and using two very different gas mixtures (1% CO in H2 in the
photolysis case and 5% CH4 in CO in the optical pumping case). These
results beg the question of whether or not the two techniques share some
commonality in growth mechanisms. At first glance, it appears they share
402 Subramaniam and Aithal

no common features. Laser photolysis uses a ‘‘conventional’’ gas mixture


for diamond growth and therefore can be explained using present under-
standing of diamond growth by CVD processes [64], which identifies the
CH3 radical as the growth precursor. Once CH3 groups are added to a grow-
ing diamond surface, the atomic hydrogen is removed by the atomic hydro-
gen present in the gas phase. In contrast, LECVD uses a gas mixture that
normally would not yield diamond growth if used in other conventional
non–laser-based CVD methods. There cannot be an appreciable amount of
atomic hydrogen in the LECVD environment, or else the CO would not VV
pump [2,75]. Because the particle growth rates for the two methods are
comparable (on the order of 1 ␮m/hr) as are the resulting morphologies and
Raman spectra, we expect the carbonaceous precursor to be present in con-
centrations on the order of 1018 m⫺3 following the same reasoning as in
Sec. IV.
It is extremely difficult to infer growth mechanisms or detailed chan-
nels based upon the experiments reported thus far [70,83,84,98]. However,
a reasonable reaction scheme can be formulated if all the known evidence
is viewed collectively. This evidence will be briefly summarized at the out-
set. First, control experiments indicate no diamond growth either in the ab-
sence of laser excitation or when CH4 is absent from the gas mixture. Fur-
ther, no diamond growth was obtained from optically pumped CO/C2H2
mixtures. Second, elemental oxygen is detected in the EDS spectra of dia-
mond particles grown using LECVD as well as laser photolysis. This sug-
gests that CO somehow acts in concert with CH4 during growth. Third, there
is clear evidence of energy transfer from CO to CH4 as can be seen from
the IR emission from excited states of CH4. Fourth, the LECVD experiments
conducted at École Centrale Paris detected only CO and CH4 and no other
species (within detection limits) in their emission and absorption studies
[98]. Fifth, the morphology of the irregularly faceted particles grown by
LECVD is identical to those grown by laser photolysis. Finally, the particle
growth rates appear to be insensitive to whether or not the substrate is
heated. Based upon these six essential points, we attempt to formulate a
possible mechanism.
There have been several important attempts to elucidate the surface
mechanisms responsible for diamond growth [64,65,99–102]. These mech-
anisms are relevant for conventional CVD techniques for diamond growth
in a hydrogen-rich environment and have shown promise in providing a
mechanistic understanding of growth on (100) surfaces. Many of the pro-
posed mechanisms focus on the incorporation of CHx species on the surface,
specifically the CH3 molecule (i.e., methyl radical) [64,65,99–101]. We
therefore concentrate here on the possibility of extending these ideas to
understand the LECVD process. However, it must be borne in mind that
Laser-Assisted and Optical Pumping Techniques 403

these mechanisms have been postulated for a hydrogen-rich ambient and not
for the conditions typical of LECVD.
The first point that must be addressed is the production of methyl
radicals either in the gas phase or on the surface of seed diamond particles.
Given the relatively high vibrational temperature of CO (⬃2200 K) pro-
duced by laser excitation and subsequent VV pumping, it is reasonable to
expect the translational temperature of CH4 to be between 300 and 2200 K.
Under these conditions, production of CH3 can occur via the following
channels:
Vibrationally stimulated thermal dissociation:
CH4 ⫹ M → CH3 ⫹ H ⫹ M (32)
Vibrational pooling: 4 ⫹ CH*
CH* 4 → CH3 ⫹ H ⫹ CH4 (33)
CO(v) ⫹ CH*
4 → [CH3COH]* → [C2H4O]*

CH3 ⫹ HCO
→ [CH3CHO]* CH3CHO (34)
CH4 ⫹ CO
where the * denotes an excited species. The formation of [CH3COH]* can
proceed via an insertion reaction whereby a CO molecule inserts itself into
a vibrationally excited CH4. Such insertion reactions involving AlO inserted
in CH4, have been previously reported [103]. The last step of process (34)
has been observed in studies of decomposition of oxirane [104]. It is also
interesting to note that CH3CHO is more stable than C2H4O by about 27
kcal/mol or ⬃1.17 eV/molecule. But, there is an activation energy of ⬃57
kcal/mol or ⬃2.47 eV/molecule for the isomerization C2H4O → CH3CHO.
This activation energy can be provided via the vibrational excitation of the
reactant CO and CH4 molecules by pooling because energies up to ⬃2 eV
are available from CO molecules near v = 10 (see Fig. 45).
A compelling argument can be made for processes (33) and (34) based
upon energy transfer considerations. It can be seen from Fig. 44 that the ␯3
mode of CH4 cannot be populated by intermolecular energy transfer from
CO(v = 1) because its energy is higher than the energy corresponding to the
v = 1 → v = 0 transition.24 However, intermolecular energy transfer can
occur to the ␯2 and ␯4 states of CH4 via
CO(X1⌺⫹, v = 1) ⫹ CH4 → CO(X1⌺⫹, v = 0) ⫹ CH4(␯2 or ␯4) (35)
It can thus be seen that the ␯3 mode can be populated by VV up-pumping

24
Two-quantum transfer via processes such as CO(v = 2) ⫹ CH4 → CO(v = 0) ⫹ CH4(␯3) are
possible but much less probable than transfer of single vibrational quanta.
404 Subramaniam and Aithal

Table 6 Typical Characteristics of Low-Lying Energy Levels and VV Transfer in


Methane at 2.5 Torr

Characteristic time for


State VV transfer Radiative lifetime

␯1 (symmetric stretch) O(0.1–0.5 ␮sec) >20 sec


␯2 (symmetric bend) O(0.1–0.5 ␮sec) 13 sec
␯4 (asymmetric bend) 1.1 ␮sec 0.39 sec
␯3 (asymmetric stretch) 1.6 ␮sec 37 msec
2␯2 O(0.1–0.5 ␮sec) —
2␯4 O(0.1–0.5 ␮sec) 0.20 sec
2␯3 O(0.1–0.5 ␮sec) —
2␯3 → ␯3 0.92 ␮sec —
␯2 ⫹ ␯4 O(0.1–0.5 ␮sec) 0.39 sec
␯3 → ␯4 0.72 ␮sec 25 sec
␯3 → ␯2 O(0.1–0.5 ␮sec) 120 sec
␯1 → ␯4 O(0.1–0.5 ␮sec) 8 sec
2␯4 → ␯2 ⫹ ␯4 1.6 ␮sec —
␯1 ⫹ ␯4 → ␯3 0.22 ␮sec —

Source: Refs. 105–107.

within the methane initiated from either the ␯2 or ␯4 modes25 or by radiative


decay from an excited state. In the latter case, an upper state could have
been populated only by VV up-pumping within the methane. Once a specific
excited state is populated, it can undergo one of several processes. It can
radiate a photon (provided selection rules allow such a transition) and oc-
cupy a lower energy state or ground state. It can be collisionally deexcited
to any lower state by a VT-type collision or collisionally excited to an upper
state by intermolecular or intramolecular vibrational energy transfer. In CH4,
the radiative lifetimes of the low-lying levels are longer than tens of milli-
seconds, as can be seen from Table 6 [105–107]. In contrast, VV transfer
occurs within microseconds at pressures of interest to LECVD (i.e., PCH4 =
2.5 torr) [106]. Also, VT and VR collisional deactivation of these levels
occurs on time scales of hundreds of microseconds or milliseconds at 2.5

25
The ␯2 and ␯4 levels of methane are isoenergetic with the v = 24 → v = 23 and v = 33 →
v = 32 transitions in CO, respectively. Because such high vibrational levels are not signifi-
cantly populated in CO (as can be seen from the overtone emission spectrum of CO), these
methane energy levels must have been populated by off-resonance intermolecular energy
transfer from v → v ⫺ 1 transitions in CO (with the v = 1 → v = 0 transition being the
most likely one).
Laser-Assisted and Optical Pumping Techniques 405

torr [106]. Hence, if intermolecular energy transfer from CH4 back to CO


does not depopulate the upper levels of CH4 appreciably, a compelling ar-
gument can be made for rapid VV exchange among CH4 molecules. We
therefore expect CH4 molecules to be vibrationally hot. This is evident from
the strong radiative emission from the ␯3 mode of CH4 despite the presence
of rapid intramolecular VV transfer and self-absorption of radiation from
the ␯3 mode by surrounding, cold CH4. It is therefore clear that vibrational
energy from CO is rapidly transferred to CH4, thereby populating the ␯2 or
␯4 (or both) states.
The presence of vibrationally excited CO and excited CH4 in the
LECVD experiments has been inferred. These can lead to formation of CH3
radicals via processes (32) through (34). Similar reactions could occur be-
tween CO and CH4 molecules adsorbed on diamond seed surfaces as well,
and there is not enough experimental evidence to argue for production of
methyl radicals from the gas phase alone. Nevertheless, given such an initial
source of production of CH3 radicals, the evidence gathered from the
LECVD experiments collectively leads to two possible mechanisms. They
are (1) growth from CH3 radicals with CO playing no part other than that
of a spoiler and terminating growth and (2) growth by concerted action of
CO and CH3 at the surface of the diamond seed particles.
Let us consider these two possible mechanisms in some detail, espe-
cially from the perspective of experimental observations. For ease of dis-
cussion, we consider growth on the (100)-oriented surface. Once CH3 radi-
cals are produced (via either gas-phase or surface processes), they can attach
themselves to dangling bonds on the (100) surface as shown in Fig. 49. This
can be written symbolically as:
C•d ⫹ CH3 → Cd — CH3 (36)

where C represents an activated site (i.e., dangling bond) on a seed diamond
d
surface. Atomic hydrogen from the CH3 group can then be removed by
successive attacks by other CH3 radicals:
Cd — CH3 ⫹ CH3 → Cd — CH2• ⫹ CH4(g) (37)
In this manner, carbon can be successively added to and atomic hydrogen

Figure 49 Schematic showing attachment of CH3 radical on a dangling bond on


the (100) surface of a diamond speed particle.
406 Subramaniam and Aithal

removed from the surface by CH3 radicals alone. Molecular dynamics cal-
culations have shown that a high fraction of CH3 radicals (more than 0.5 at
normal incidence) can be adsorbed on (100) diamond surfaces over kinetic
energy ranges from 0.5 to 2 eV [108]. Other processes for abstraction of H
atoms can also take place. One such process occurs by attack from vibra-
tionally excited CO as suggested previously [109]:

Cd — CH3 ⫹ CO(␯) → Cd — CH2 ⫹ HCO (38)

The other can occur by the following process (after abstraction of an H atom
from each of the CH3 groups):

Cd — CH2• ⫹ Cd — CH2• → Cd — C2H4 (39)

In this scenario, the CO serves the sole purpose of exciting CH4 and pro-
ducing CH3. It can also play the role of a spoiler by occupying a dangling
bond and establishing a ketone-type structure. This structure is stable (unless
attacked by a radical such as CH3) and can in principle inhibit growth by
the CH3 mechanism just discussed. The ketone and other possible surface
structures are shown in Fig. 50.
The second possible mechanism requires the presence of both CO and
CH4. Here, CO is added to the surface (the activation energy for adsorption
supplied by vibrational excitation) so as to form a ketone-type structure (see
Fig. 50a):

C•d ⫹ CO → Cd — C —
—O (40)

The adsorbed CO is then attacked by a methyl radical, resulting in the


conversion of the double bond between C and O to a single bond by ␤-
scission:

Figure 50 Schematic showing attachment of CO on the (100) surface of a dia-


mond seed particle, forming a stable ketone-type structure (a) and an ether-type
structure (b).
Laser-Assisted and Optical Pumping Techniques 407

— O ⫹ CH3 → Cd — C — O — CH3
Cd — C — (41)
The resulting ether-like structure is shown in Fig. 51. After subsequent H-
atom abstraction via (37), (38), or (39), an aldehyde-type structure can form
momentarily, leading to ␤-scission of the underlying CO bond. This should
result in evolution of formaldehyde (H2CO), leaving behind two dangling
bonds (i.e., two active sites):

Cd — C• — O — CH2• → Cd — C• ⫹ H2CO(g)
The two dangling bonds on the carbon now allow another layer to be grown
by repetition of the preceding processes.
Both mechanisms serve to explain some of the key observations in the
LECVD experiments. Both can account for the presence of small amounts
of elemental oxygen (see the EDS spectrum shown in Fig. 42), and both
give plausible descriptions of growth in an environment depleted of atomic
hydrogen. Of the two, however, the first appears to explain additional ob-
servations reported in Ref. 98. The result of hydrogen abstraction by methyl
radicals in the first mechanism would result in the evolution of CH4 from
the surface, which would be indistinguishable from the methane already
present in the gas phase. This would explain why only CO and CH4 were
detected in the absorption measurements reported in Ref. 98. The question
remains why CH3 radicals or perhaps HCO went undetected. A possible
answer to this question is that CH3 concentration levels (according to our
estimates, methyl radical concentrations on the order of nCH3 ⬇ 1012 cm⫺3
or 1018 m⫺3 are expected, or about 1 ppm) were well below detection limits.
By this latter argument, the second mechanism cannot be ruled out because

Figure 51 Schematic showing the result of attack by the CH3 radical on a ketone-
type CO structure occupying a dangling bond on the (100) surface of a diamond
seed particle.
408 Subramaniam and Aithal

evolution of H2CO and HCO levels could have been below detection limits
as well. Unfortunately, ultimate quantitative detection limits were not re-
ported in Ref. 98 so that it is not possible to carry out further analysis of
possible mechanisms.
Given the current level of interest in synthesizing diamond at low
substrate temperatures [76], both LCVD and LECVD appear to be significant
advancements toward attaining this goal. Both laser-based techniques chal-
lenge the conventional myth that high substrate temperatures are necessary
for diamond growth. Clearly, the environment of conventional diamond
growth techniques is limited only by how energy is loaded into the gas
mixture. Both techniques also offer some selectivity as can be seen by the
conspicuous lack of codeposition of nondiamond carbon, prevalent in other
CVD methods. LECVD also challenges our understanding of diamond
growth mechanisms by displaying growth in an environment that is not rich
in atomic hydrogen. Ultimately, that may be the reason why growth rates in
the two methods are small—on the order of 1 ␮m/hr. For LECVD, as the
absorbed power is on the order of 1 W, we may estimate the energy cost
per carat as 1 J/sec ⫻ (1/10⫺12 cm3) ⫻ (1 hr ⫻ 3600 sec/hr) ⫻ (1/3.515)
(cm3/g) ⫻ (0.2 g/carat) = 2 ⫻ 1014 J/carat. This value is many orders of
magnitude higher than the corresponding value for diamond synthesis by an
oxyacetylene flame. However, unlike LCVD and other methods of CVD
diamond synthesis, the LECVD process scales with pressure and can be
operated potentially at pressures on the order of 20 atm [77,83], so that the
J/carat value can be reduced drastically. However, continuous films have yet
to be produced by either LCVD or LECVD.
The optical pumping technique shows tremendous promise for synthe-
sis of other materials. For example, it has been shown that vibrationally
excited nitrogen is important in the production of silicon nitride films [110].
Reference 110 reports that when silane was added to a flowing nitrogen
discharge afterglow (where there is known to be copious amounts of vibra-
tionally excited N2 molecules) and the reaction products made to impinge
on a heated monocrystalline silicon substrate placed downstream, silicon
nitride films were produced. In addition, reactions between the vibrationally
excited N2 and silane in the afterglow led to formation of fine (micron-sized)
particles of white silicon nitride powder [110]. Given the similarity in struc-
ture between CH4 and SiH4, it is likely that optically pumped CO/SiH4
mixtures could be used for deposition of silicon at low temperatures or for
synthesis of silicon carbide. Indeed, Ref. 110 determined experimentally that
about 15% of the vibrationally excited N2 quenching events resulted in ex-
citation of the ␯3 mode of SiH4. Recently, a combination of optical pumping
and application of a subbreakdown radio-frequency electric field has been
shown to produce plasmas in CO/N2 gas mixtures seeded either with NO or
Laser-Assisted and Optical Pumping Techniques 409

O2 at total pressures ranging from 0.4 to 1.2 atm [111]. Such plasmas, al-
though weakly ionized, contain substantial amounts of vibrationally excited
CO and N2. The use of such plasmas for synthesis of novel materials remains
to be explored.
In this section, we have examined a laser excitation technique known
as LECVD for diamond synthesis. Of significance is the fact that growth of
diamond particles has been realized under conditions where the substrate is
not heated at all [70,84] and without the need to hyperdilute the gas phase
with hydrogen. In fact, diluents such as hydrogen poison the vibrational up-
pumping of CO and CH4, an effect that is central to the LECVD process.
In the following section, we examine a potential means of extending the
LECVD process to the condensed phase in order to increase growth rates.

C. Optical Pumping of Liquids


Energy transfer as well as the optical pumping of gases was discussed in
Sec. V.B. Specifically, it was shown that small polyatomic molecules are
very effective in retaining energy in their vibrational modes. For diatomic
molecules, in the limit as VV exchange rates dominate VT and VR energy
transfer rates, the population of various vibrational levels is described by
the TRR distribution for anharmonic oscillators [see Eq. (17)]. As long as
VV rates exceed VT, VR, and spontaneous emission rates, energy is effec-
tively retained in the vibrational modes of molecules. It is important to point
out that vibrational energy exchange among anharmonic oscillators is a col-
lisional process. As long as the energy defect26 is carried away by a suitable
cold bath, energy can be maintained for long times within the vibrational
modes. This is irrespective of the pressure or density of the medium. In the
gas phase, CO/Ar mixtures have been optically pumped in a manner so as
to excite CO to very high vibrational states (near v = 40) at pressures of 20
atm [77]. Such strong vibrational excitation has also been observed in the
liquid and solid phases. This is of significance if we are interested in driving
chemical reactions, while maintaining some degree of control and selectivity
over which reactions occur and to what extent. In this section, we describe
extension of the LECVD technique described in Sec. V.A and V.B to the
condensed phase.

26
Recall that the energy defect is defined as the energy difference between the total energy of
the colliding molecules before the collision and that value after the collision. During anhar-
monic VV pumping, energy of magnitude equal to the energy defect is transferred from vi-
brational excitation to the external modes of translation and rotation. This results in eventual
heating of the gas unless the gas is flowed or a suitable diluent is used to carry off this excess
heat.
410 Subramaniam and Aithal

There exists a considerable literature on optical pumping of CO at


elevated gas pressures as well as in the liquid and solid phases [77,112–
118]. These studies have been largely motivated by interest in fundamental
energy transfer processes, isotope separation processes, and development of
energy transfer lasers. There exists a relatively good coincidence between
the laser lines emanating from a CO laser operating on the abundant isotope
12 16
C O and the isotopic liquid phase mixture of 88% 13C16O and 12% 13C18O.
Several researchers have used this coincidence to excite CO vibrationally in
the liquid phase and in the solid phase [112–118]. Remarkably, the con-
densed phase CO behaves as though it were a dense gas within the liquid
argon matrix or on the solid single-crystal NaCl (100) surface [112–117].
Processes encountered in the gas phase (as discussed in Sec. V.A. and V.B)
also occur in the condensed phases, and very high vibrational levels near v
= 40 in CO have been observed [112]. Further, energy transfer from CO to
small polyatomic molecules has also been reported [112,117,119,120], and
not surprisingly, vibrationally excited CO and O2 have been reacted to form
CO2 in the liquid phase at temperatures near 88 K. This is indeed a dramatic
demonstration of the extreme disequilibrium between vibrational modes and
external modes created by optical pumping.
Although diamond growth from such optically pumped CO/CH4 liquid
mixtures has never been demonstrated, the successful LECVD experiments
described in Sec. V.B are strong indicators that diamond growth in optically
pumped liquids could meet with success. Further, measured rate coefficients
for energy transfer in the liquid and gas phases for a variety of mixtures of
interest to diamond synthesis are quite encouraging. Table 7 gives measured
values of rate coefficients for gas and liquid phases at a temperature of 80
K, as reported [119,120]. Remarkably, the variation in rate coefficients for
vibrational energy transfer between gas and liquid states is less than 10%
for the molecules listed in Table 7. Now, the rate of energy transfer depends
on the product of the rate coefficient and number densities of the colliding
partners. Therefore, the rate at which intermolecular vibrational energy trans-
fer occurs increases by many orders of magnitude because the liquid phase
is much denser than the gas phase. This has the potential to increase yield
and growth rates. As many single-crystal materials are grown from melts in
the liquid phase, optical pumping in the liquid phase may finally lead to
epitaxial, single-crystal diamond films. The latter possibility is further bol-
stered by the fact that multilayers of ␣-form solid, single-crystal CO can be
deposited on NaCl (100) substrates epitaxially because the lattice mismatch
is less than 0.5% [116]. Further, the solid CO has been vibrationally excited
up to levels as high as v = 23 [114], and as high as v = 30 at 22 K, by
optical pumping using a CO laser [116]. In fact, this up-pumping is so rapid
in the solid phase as to yield a population inversion (i.e., there are greater
Laser-Assisted and Optical Pumping Techniques 411

Table 7 Measured Rate Constants for Vibrational Energy Transfer in the Gas and
Liquid Phases at 85 K

⌬E kLiquid kGas
System (cm⫺1) (cm3/sec) (cm3/sec) kLiquid/kGas

N2-12C16O 187 (3.2 ⫾ 0.2) ⫻ 10⫺15 (3.6 ⫾ 0.2) ⫻ 10⫺15 0.97 ⫾ 0.08
N2-13C16O 234 (1.2 ⫾ 0.1) ⫻ 10⫺15 (1.1 ⫾ 0.1) ⫻ 10⫺15 1.09 ⫾ 0.13
N2-13C18O 286 (3.9 ⫾ 0.1) ⫻ 10⫺16 (3.79 ⫾ 0.08) ⫻ 10⫺16 1.03 ⫾ 0.03
13 18
C O-O2 487 (1.54 ⫾ 0.02) ⫻ 10⫺17 (1.50 ⫾ 0.03) ⫻ 10⫺17 1.03 ⫾ 0.03
13 16
C O-O2 540 (7.0 ⫾ 0.5) ⫻ 10⫺18 (7.0 ⫾ 0.9) ⫻ 10⫺18 1.00 ⫾ 0.15
12 16
C O-O2 587 (4.2 ⫾ 0.1) ⫻ 10⫺18 (4.1 ⫾ 0.2) ⫻ 10⫺18 1.02 ⫾ 0.06
13 18
C O-CH4 509 (4.03 ⫾ 0.09) ⫻ 10⫺15 (4.17 ⫾ 0.08) ⫻ 10⫺15 0.97 ⫾ 0.03
13 16
C O-CH4 562 (2.08 ⫾ 0.05) ⫻ 10⫺15 (2.07 ⫾ 0.06) ⫻ 10⫺15 1.00 ⫾ 0.04
12 16
C O-CH4 609 (1.33 ⫾ 0.05) ⫻ 10⫺15 (1.27 ⫾ 0.04) ⫻ 10⫺15 1.05 ⫾ 0.06
N2-CH4 796 (1.3 ⫾ 0.1) ⫻ 10⫺16 (1.5 ⫾ 0.2) ⫻ 10⫺16 0.87 ⫾ 0.13
12 16
C O-CH4 (1.33 ⫾ 0.05) ⫻ 10⫺15 (1.27 ⫾ 0.04) ⫻ 10⫺15 1.05 ⫾ 0.06
13 16
C O-CH4 (2.08 ⫾ 0.05) ⫻ 10⫺15 (2.07 ⫾ 0.06) ⫻ 10⫺15 1.00 ⫾ 0.04
13 18
C O-CH4 (4.03 ⫾ 0.09) ⫻ 10⫺15 (4.17 ⫾ 0.08) ⫻ 10⫺15 0.97 ⫾ 0.03
12 16
C O-CF4 (8.6 ⫾ 0.1) ⫻ 10⫺14 (1.98 ⫾ 0.04) ⫻ 10⫺13 0.43 ⫾ 0.01
13 18
C O-CF4 (7.50 ⫾ 0.09) ⫻ 10⫺16 (1.0 ⫾ 0.1) ⫻ 10⫺15 0.75 ⫾ 0.08
12 16
C O-CD4 (2.48 ⫾ 0.04) ⫻ 10⫺13 (4.60 ⫾ 0.08) ⫻ 10⫺13 0.54 ⫾ 0.01
13 16
C O-CD4 (2.28 ⫾ 0.08) ⫻ 10⫺13 (4.3 ⫾ 0.1) ⫻ 10⫺13 0.53 ⫾ 0.02
13 18
C O-CD4 (1.47 ⫾ 0.03) ⫻ 10⫺13 (3.5 ⫾ 0.1) ⫻ 10⫺13 0.42 ⫾ 0.02
12 16
C O-CD3H (1.15 ⫾ 0.02) ⫻ 10⫺12 (1.32 ⫾ 0.03) ⫻ 10⫺12 0.87 ⫾ 0.02
13 16
C O-CD3H (1.58 ⫾ 0.04) ⫻ 10⫺13 (3.3 ⫾ 0.1) ⫻ 10⫺13 0.48 ⫾ 0.02
13 18
C O-CD3H (1.26 ⫾ 0.02) ⫻ 10⫺13 (2.38 ⫾ 0.05) ⫻ 10⫺13 0.53 ⫾ 0.01

Source: Refs. 119, 120.

numbers of molecules in the higher vibrational states than in the v = 0 or


ground vibrational state).
We now describe an experiment that demonstrates some of the salient
features of optical pumping of CO in the liquid phase [70]. A CO laser
designed to operate cw (5 to 8 W on all lines) with some laser output on
the v = 1 → v = 0 transition was used to pump CO in a liquid argon matrix.
A schematic of the cell containing the cryogenic mixture is shown in Fig.
52. This cell is identical to that used in the experiments reported in Ref.
112, and details may be found therein. The output from the CO laser was
focused into the center of the cryogenic cell containing a solution of CO in
liquid argon, using a ZnSe lens (1 in. diameter, focal length of 9 in.). The
liquid mixture was produced from an initially gaseous mixture of CO and
argon, which was condensed upon being cooled by the liquid argon placed
in a dewar immediately above the cell. A schematic of the mixing chamber
412 Subramaniam and Aithal

Figure 52 Schematic of the cell containing the cryogenic mixture of CO and


argon. (From Ref. 70.)

is shown in Fig. 53. The CO/argon mixture is prepurified before allowing it


to condense into the cell. The purification procedure consists of using the
cold finger connected to the mixing chamber. The gases are condensed into
the cold finger and, after some time, allowed to evaporate back into the
mixing chamber while a small part of the cold finger is still immersed in
liquid nitrogen. This process is repeated 15 times.
Reference 70 reported pumping of CO/argon gas mixtures where the
initial partial pressure of CO in the gas phase was varied between 70 mtorr
and 30 torr, while maintaining a total pressure of 2 atm. The CO was an
isotopic mixture comprising 88% 13C16O and 12% 13C18O. This isotopic mix-
ture was selected because of its excellent coincidence in the liquid phase
with CO laser lines when the CO laser was operated on the normally abun-
dant 12C16O isotope. Following the aforementioned purification procedure,
the CO/argon mixture was condensed into the cell and irradiated by the cw
CO laser beam. Power measurements before the cell were in the range of
3.5 to 5 W. Using a side port, IR emission was monitored from the optically
pumped liquid CO. A representative spectrum of the first overtone (⌬v = 2)
Laser-Assisted and Optical Pumping Techniques 413

Figure 53 Schematic showing the mixing chamber used in optical pumping of


liquid CO/argon mixture. (From Ref. 70.)

transitions from the liquid CO for an initial gas-phase CO partial pressure


of 9 torr, after background blackbody subtraction, is shown in Fig. 54. It is
important to note that this is not a low-resolution spectrum. Rotational lines
within each vibrational band can be either totally absent (as in the case of
CH4) or substantially narrowed in the liquid state as compared with similar
gas-phase spectra. It is immediately apparent from the emission spectrum
that states at least as high as v = 38 (see the energy level diagram for CO
414 Subramaniam and Aithal

Figure 54 A representative spectrum of the first overtone (⌬v = 2) transitions from


liquid CO in liquid argon, optically pumped using a CO laser. This spectrum was
obtained for an initial gas-phase CO partial pressure of 9 torr and after background
blackbody subtraction. (From Ref. 70.)

shown in Fig. 34) of the heavier isotope 13C18O are substantially populated.
In addition, peaks corresponding to isotopes of carbon dioxide 13C16O16O,
13 16 18
C O O, and 13C18O18O are also observed. This is indicative of chemical
reaction occurring among vibrationally excited CO molecules, possibly via
channels (29). Production of CO2 has been observed previously but from
vibrationally excited CO doped with O2 [112]. Assuming the Einstein A
coefficients for spontaneous emission from these vibrational states are com-
parable between gas and liquid phases, relative populations can be inferred
from the emission spectrum. This is shown in Fig. 55, displaying a fully
VV pumped distribution in the heavier isotope.
It is clear that many (if not all) of the processes observed in gas-phase
optically pumped CO occur in the liquid phase as well. Anharmonic VV
pumping resulting in highly vibrationally excited CO (levels as high as v =
38 or as energetic as 8 or 9 eV) is observed in both gas and liquid phases.
Intermolecular energy transfer between CO and CH4 has been reported, al-
though IR emission from highly excited methane has not yet been observed.
Chemical reaction from vibrationally excited CO, and between CO and O2,
yielding CO2 is observed in both the gas and liquid phases as well. Given
this close similarity in the occurrence of key processes between gas and
liquid phases and the success of the LECVD process for diamond synthesis,
the likelihood that diamond can be synthesized from optically pumped liquid
CO/CH4 /Ar mixture appears to be high. Should diamond synthesis occur in
Laser-Assisted and Optical Pumping Techniques 415

Figure 55 Relative populations of vibrationally excited CO in liquid argon in-


ferred from the emission spectrum. This was obtained by assuming that the Einstein
A coefficients for spontaneous emission from these vibrational states are comparable
between gas and liquid phases. Note the fully VV pumped distribution in the heavier
isotope. (From Ref. 70.)

such an optically pumped liquid mixture, it should be more rapid than in


the gas phase because the rates of energy transfer scale as the square of the
density. Finally, the production of flaw-free, cubic, solid CO as well as its
extreme vibrational excitation by optical pumping resulting in population
inversion bodes well for adsorbed CH4 species. Although growth of diamond
films using such a technique has not been demonstrated, it is nevertheless
worth exploring. Given the fact that many growth techniques for single
crystals of other materials involve the liquid phase, this approach of optically
pumping liquids appears promising for synthesis of single-crystal diamond.
This is important before diamond can be considered as a candidate material
for electronic applications.

VI. SUMMARY

This chapter has discussed synthesis of diamond from gas and liquid phases
using laser sources. The laser-based techniques include surface heating, py-
416 Subramaniam and Aithal

rolysis, ablation (LPVD), photolysis (LCVD), and optical pumping


(LECVD). The laser-based techniques do not necessarily supplant existing
CVD methods but offer the prospect of lowering growth temperatures, se-
lectively depositing diamond while inhibiting the deposition of nondiamond
carbon, and perhaps enhancing growth rates. In the case of rapid gas-phase
heating such as pyrolysis, the laser simply serves as a source of thermal
heating and is therefore an inefficient means of activating the gas. In this
instance, it does not provide any advantages such as selectivity or enhanced
quality of the deposit. Ablation and heating of surfaces can benefit from
laser sources because reactions may need to be driven locally, without bulk
heating of the growth medium, target, or substrate. In contrast, photolysis
and optical pumping techniques use attributes of molecular structure to drive
specific reaction pathways to form products. This is difficult or not even
possible using conventional growth methods.
Many of these laser-based processes have already demonstrated dia-
mond growth, albeit at different rates. Although capital costs vary widely, a
useful measure of the relative efficacy of each process is the joule-per-carat
value. This measure considers the power required for the process as well as
growth rate simultaneously. The pyrolysis and photolysis techniques exhibit
growth rates on the order of microns per hour, as does the optical pumping
technique at low gas pressures. However these exhibit drastically different
energy costs in J/carat: O(108), O(107)–O(1014), and O(1014), respectively.
These should be contrasted with typical values of O(108) J/carat for
HFCVD27 and O(106) J/carat for synthesis using an oxyacetylene flame.28
The laser-plasma technique is equivalent to but less efficient energetically
than the conventional oxyacetylene flame, exhibiting growth rates on the
order of 10 to 100 ␮m per hour at a power level of 2.5 kW. Of all these
laser processes, however, the well-publicized but as yet unverified QQC
process reports growth rates on the order of millimeters per hour (i.e., mi-
crons per second) at a cost of about 71 J/carat. Clearly, if cost is the pre-
vailing factor, the QQC process appears to be the best (provided its claims
are substantiated), while some of the laser pyrolysis and laser photolysis
methods are competitive with HFCVD and oxyacetylene flame synthesis. It
would be premature to eliminate optical pumping based on a comparative
measure such as joules per carat. Optical pumping, unlike the other methods,
inherently scales directly with pressure. Therefore, for approximately the
same power expenditure, the growth rate can be significantly increased sim-

27
This value is based on a power expenditure of 100 W and deposition over a 1 cm2 area at
1 ␮m/hr.
28
See footnote 9.
Laser-Assisted and Optical Pumping Techniques 417

ply by raising the pressure. This would reduce the J/carat value for this
process from the unreasonable O(1014) and make it competitive with the
others. Further enhancement in growth rate is possible in the liquid phase,
in principle, although diamond growth in an optically pumped liquid is yet
to be demonstrated. Moreover, this method has the added virtue of lower
growth temperatures as well as process selectivity.
Some of the more nascent but novel approaches such as LECVD, laser
photolysis, and the QQC process have been critically examined. Some ap-
pear promising in terms of scaling to higher growth rates, lower growth
temperatures, and providing selectivity. Conventional CVD and some of the
laser-based (such as pyrolysis and laser-plasma) methods are useful for sub-
strates that can withstand high temperatures and the presence of large
amounts of atomic hydrogen or oxygen. The more novel of the laser-based
methods show some promise of being able to circumvent these difficulties.
It is particularly noteworthy that the optical pumping technique is capable
of activating gases over a wide a range of pressures, as well as liquids.
There are precedents for growth of single crystals of various materials from
the liquid phase. Optical pumping lays the groundwork for development of
a new class of processes that employ laser excitation to drive energy transfer
and chemistry in cryogenic liquids and may someday play a role in the
production of single-crystal diamond films.

ACKNOWLEDGMENTS

Partial support from grants MSS-9157303 and MSS-9102076 from the Na-
tional Science Foundation, The Ohio State University College of Engineer-
ing, The Center for Materials Research, The Ohio Board of Regents In-
vestment Fund Program, and the Directorate of Defense Research and
Engineering (DDR&E) under the Air Plasma Ramparts MURI program man-
aged by the Air Force Office of Scientific Research is gratefully acknowl-
edged. The authors thank Dr. Gregory Hall, Chemistry Department, Brook-
haven National Laboratory for many helpful comments and suggestions.

REFERENCES
1. M Capitelli. Non-Equilibrium Vibrational Kinetics. Vol 39, Topics in Current
Physics. New York: Springer-Verlag, 1986.
2. JW Rich. Relaxation of molecules exchanging vibrational energy. In: EW
MacDaniel, WL Nighan, eds. Applied Atomic Collision Physics. Vol 3: Gas
Lasers. New York: Academic Press, 1982.
418 Subramaniam and Aithal

3. JT Yardley. Introduction to Molecular Energy Transfer. New York: Academic


Press, 1980.
4. H Okabe. Photochemistry of Small Molecules. New York: John Wiley &
Sons, 1978.
5. GR Fowles. An Introduction to Modern Optics. 2nd ed. New York: Dover,
1989.
6. K Thyagarajan, AK Ghatak. Lasers—Theory and Applications. New York:
Plenum, 1981.
7. JI Steinfeld, JS Francisco, WL Hase. Chemical Kinetics and Dynamics. En-
glewood Cliffs, NJ: Prentice Hall, 1989.
8. JI Steinfeld. Molecules and Radiation—An Introduction to Modern Molecular
Spectroscopy. 2nd ed. Cambridge: MIT Press, 1986.
9. JT Verdeyen. Laser Electronics. 2nd ed. Englewood Cliffs, NJ: Prentice Hall,
1989.
10. A Siegman. Lasers. Mill Valley: University Science Books, 1986.
11. PR Buerki, S Leutwyler. Homogeneous nucleation of diamond powder by
CO2-laser-driven gas-phase reactions. J Appl Phys 69:3739–3744, 1991.
12. K Kitahama, K Hirata, H Nakamatsu, S Kawai, N Fujimori, T Imai, H Yosh-
ino, A Doi. Synthesis of diamond by laser induced chemical vapor deposition.
Appl Phys Lett 49:634–635, 1986.
13. K Kitahama. Reinvestigation of the carbon films prepared by ArF excimer
laser induced chemical vapor deposition. Appl Phys Lett 53:1812–1814, 1988.
14. VI Konov, SA Uglov. Laser-plasma synthesis of diamond films. Quantum
Electron (Kvantovaya Elektron) 28:281–282, 1998.
15. EA Frey. Flame deposition of diamond on silicon. MS thesis, Ohio State
University, 1992.
16. EA Frey, A Tamhane, JHD Rebello, SA Dregia, VV Subramaniam. Morpho-
logical variations in flame-deposited diamond. J Mater Res 9:625–630, 1994.
17. EA Frey, JHD Rebello, VV Subramaniam. Flame synthesis of diamond on
silicon. Presented at the Fifth International Conference on Surface Modifi-
cation Technologies, Birmingham, UK, September 1991; also see Proceedings
of Surface Modification Technologies V, TS Sudarshan, JF Braza, eds. The
Institute of Materials, 1992, pp 343–356.
18. DV Fedoseev, VL Bukhovets, IG Varshavskaya, AV Lavrent’ev, and BV Der-
jaguin. Carbon 21:237–241, 1983.
19. M Alam, T DebRoy, R Roy, E Breval. Diamond formation in air by the
Fedoseev-Derjaguin laser process. Carbon 27:289–294, 1989.
20. KS Harshavardhan, RS Yalamanchi, LK Rao. Formation of crystalline dia-
mond from amorphous diamond-like carbon films by pulsed laser irradiation.
Appl Phys Lett 55:351–353, 1989.
21. S Prawer, R Kalish, M Adel. Pulsed laser treatment of diamond-like carbon
films. Appl Phys Lett 48:1585–1587, 1986.
22. J Narayan, VP Godbole, CW White. Science 252:416, 1991.
23. JF Prins, HL Gaigher. In: R Messier, JT Glass, eds. New Diamond Science
and Technology. Pittsburgh: Materials Research Society, 1991, p 561.
Laser-Assisted and Optical Pumping Techniques 419

24. S Tong Lee, S Chen, J Agostinelli, G Braunstein, LJ Huang, WM Lau. Laser


processing of carbon-implanted Cu, Ni, and Co crystals: an attempt to grow
diamond films. Appl Phys Lett 60:2213–2215, 1992.
25. DY Tzou. Macro- to Microscale Heat Transfer: The Lagging Behavior. Wash-
ington, DC: Taylor & Francis, 1997.
26. JC Maxwell. On the dynamical theory of gases. Philos Trans Lond 157:49–
88, 1867.
27. C Cattaneo. Sur une forme de L’équation de la chaleur éliminant le paradoxe
d’une propagation instantanée (A form of heat conduction equation which
eliminates the paradox of instantaneous propagation). C R Acad Sci 247:431–
433, 1958: also see Sulla conduzione de calore. Atti Semin Mat Fis Univ
Modena 3:3, 1948.
28. FP Incropera, DP DeWitt. Fundamentals of Heat and Mass Transfer. 4th ed.
New York: John Wiley & Sons, 1996.
29. See Manu Eng, February 1995.
30. See Chem Eng News, January 22, 1996, p 8.
31. See Lucky lab accident yields new recipe for diamond coating. The New
York Times Science Times, March 12, 1996, p B5.
32. P Mistry, MC Turchan, S Liu, GO Granse, T Baurmann, MG Shara. A rev-
olutionary diamond synthesis technique: the QQC materials deposition pro-
cess. Innovations Mater Res 1(2):193–207, 1996.
33. BP Fairand, BA Wilcox. J Appl Phys 43:3893, 1972.
34. AH Clauer, BP Fairand, J Holbrook. J Appl Phys 50:1497, 1979.
35. P Peyre, R Fabbrot. Laser shock processing: a review of the physics and
applications. Opt Quantum Electron 27:1213–1229, 1995.
36. B Dubrujeaud, M Jeandin. Cladding by laser shock processing. J Mater Sci
Lett 13:773–775, 1994.
37. G Davies, ed. Properties and Growth of Diamond. London: Institution of
Electrical Engineers, 1994.
38. YL Orlov. The Mineralogy of Diamond. New York: John Wiley & Sons, 1974.
39. SB Ogale, AP Malshe, SM Kanetkar, ST Kshirsagar. Formation of diamond
particulates by pulsed ruby laser irradiation of graphite immersed in benzene.
Solid State Commun 84:371–373, 1992.
40. KA Cherian. The dissolution and metastable autoepitaxial recrystallization of
diamond. PhD dissertation, Sardar Patel University, India, 1983.
41. KA Cherian. Nickel-assisted metastable diamond formation (surface recrys-
tallization) in a dissolution medium at atmospheric pressure. Surf Coat Tech-
nol 47:127–143, 1991.
42. KA Cherian. Metastable surface recrystallization of diamond from the liquid
phase: substrate effects. In: Y Tzeng, ed. Applications of Diamond Films and
Related Materials. Amsterdam: Elsevier, 1991, pp 389–394.
43. XZ Zhao, R Roy, KA Cherian, A Badzian. Hydrothermal growth of diamond
in metal-C-H2O systems. Nature 385:513–515, 1997.
44. RC DeVries. Diamonds from warm water. Nature 385:485, 1997.
45. SS Wagal, EM Juengerman, CB Collins. Diamond-like carbon films prepared
with a laser ion source. Appl Phys Lett 53:187–188, 1988.
420 Subramaniam and Aithal

46. YA Bykovskii, PP Kozlenkov, IN Nikolaev, EV Charyshkin. Use of micro-


second duration laser light to deposit diamond-like carbon films. Sov Tech
Phys Lett 14:979–980, 1988.
47. A Richter, I Muhling, M Klose. Properties of laser plasma deposited carbon
films. J Noncryst Solids 107:128–131, 1988.
48. T Sato, S Furuno, S Iguchi, M Hanabusa. Diamond-like carbon films prepared
by pulsed laser evaporation. Appl Phys A45:355–360, 1988.
49. J Krishnaswamy, A Rangan, A Srivatsa, J Narayan, Y Cong, R Collins, K
Vedam. Low-temperature deposition of hard amorphous diamond-like films
by laser evaporation. MRS Symp 129:219–224, 1989.
50. J Krishnaswamy, A Rangan, J Narayan, K Vedam, CJ McHargue. Thin film
deposition by a new laser ablation and plasma hybrid technique. Appl Phys
Lett 54:2455–2457, 1989.
51. RT Demers, DG Harris. Pulsed laser deposition of amorphous carbon films.
Proc SPIE 1146:48–55, 1989.
52. AP Malshe, SM Chaudhari, SM Kanetkar, SB Ogale, SV Rajarshi, ST Kshir-
sagar. Properties of carbon films deposited by pulsed laser vaporization from
pyrolytic graphite. J Mater Res 4:1238–1242, 1989.
53. RT Demers, DG Harris. KrF excimer laser deposition of diamond-like carbon
films with germanium interlayers. Proc SPIE 1990.
54. BR Mehta, EA Ogryzlo. Deposition of diamond-like films by laser ablation.
Proceedings of the International Conference on Thin Films ICTF8/ICMC17,
San Diego, 1990.
55. F Davanloo, EM Juengerman, DR Jander, TJ Lee, CB Collins. Amorphic
diamond films produced by a laser plasma source. J Appl Phys 67:2081–
2087, 1990.
56. S Latsch, H Hiraoka. Diamond films by the excimer laser photoablation of
polymers. J Mater 65–65, 1994.
57. MC Polo, J Cifre, G Sanchez, R Aguiar, M Varela, J Esteve. Pulsed laser
deposition of diamond from graphite targets. Appl Phys Lett 67:485–487,
1995.
58. Precision Optics and Components for Lasers & Scientific Optical Systems.
Janos Technology Inc, HCR #33, Townshend, VT.
59. from Atomergic Chemetals Corporation, Farmingdale, New York.
60. DC Harris, MD Bertolucci. Symmetry and Spectroscopy—An Introduction to
Vibrational and Electronic Spectroscopy. New York: Dover, 1989.
61. PV Hobbs. Basic Physical Chemistry for the Atmosphere Sciences. New York:
Cambridge University Press, 1995.
62. MP Irion, KL Kompa. UV laser photochemistry of acetylene at 193 nm. Appl
Phys B27:183–186, 1982.
63. Y Goto, T Yagi, H Nagai. Synthesis of diamond films by laser induced chem-
ical vapor deposition. MRS 129:213–217, 1989.
64. JE Butler, RL Woodin. Thin film diamond growth mechanisms. Philos Trans
Soc Lond A342:209–224, 1993.
65. SJ Harris. Mechanisms for diamond growth from methyl radicals. Appl Phys
Lett 56:2298–2300, 1990.
Laser-Assisted and Optical Pumping Techniques 421

66. JC Angus, A Argoitia, R Gat, Z Li, M Sunkara, L Wang, Y Wang. Chemical


vapor deposition of diamond. Philos Trans Soc R Lond A342:195–208, 1993.
67. GW Tyndall, NP Hacker. KrF* laser induced chemical vapor deposition of
diamond. MRS Symp 1990.
68. JHD Rebello, VV Subramaniam, TS Sudarshan. Diamond growth by laser-
driven reactions in a CO/hydrogen mixture. Appl Phys Lett 62:899–901,
1993.
69. JHD Rebello, VV Subramaniam. Diamond synthesis at low temperatures. J
Mater 46:60–63, 1994.
70. JHD Rebello. Synthesis of diamond by selective laser excitation of gaseous
precursors. PhD dissertation, Ohio State University, 1995.
71. TR Anthony, WF Banholzer, JF Fleischer, L Wei, PK Kuo, RL Thomas, RW
Pryor. Thermal diffusivity of isotopically enriched 12C diamond. Phys Rev B
2:1104–1111, 1990.
72. H Itoh, T Nakamura, H Iwahara, H Sakamoto. Morphology and growth pro-
cess of single crystalline diamond grown by microwave plasma CVD in the
CO-H2 system. MRS International Conference Proceedings on New Diamond
Science and Technology, 1991, pp 479–484.
73. G Meijer, AM Wodtke, H Voges, H Schluter, P Andresen. State-selective
detection of CO using a tunable ArF excimer laser. J Chem Phys 89:2588–
2589, 1988.
74. W Hack, W Langel. Formation of C2(e3⌸g , C1⌸g) in the photolysis of CO
( X1兺g⫹) at 193 nm with an ArF laser. Chem Phys Lett 81:387–390, 1981.
75. V Babu, VV Subramaniam. Numerical solutions to nozzle flows with vibra-
tional non-equilibrium. J Thermophys Heat Transfer 9:227–232, 1995.
76. Y Muranaka, H Yamashita, H Miyadera. Worldwide status of low-temperature
growth of diamond. Diamond Relat Mater 3:313–318, 1994.
77. JW Rich, RC Bergman. C2 and CN formation by optical pumping of CO/Ar
and CO/N2 /Ar mixtures at room temperature. Chem Phys 44:53, 1979.
78. RC Bergman, GF Homicz, JW Rich, GL Wolk. Chem Phys 78:1281, 1983.
79. RL DeLeon, JW Rich. Vibrational energy exchange rates in carbon monoxide.
Chem Phys 107:283–292, 1986.
80. P Brechignac, JP Martin, G Taieb. IEEE J Quantum Electron QE-10:797,
1974.
81. H Dunnwald, E Siegal, W Urban, JW Rich, GF Homicz, MJ Williams. Chem
Phys 94:195, 1985.
82. W Urban, JX Lin, VV Subramaniam, M Havenith, JW Rich. Treanor pumping
of CO initiated by a CO laser. Chem Phys 130:389–399, 1989.
83. JHD Rebello, DL Straub, VV Subramaniam. Diamond growth from a CO/
methane mixture by laser excitation of CO: laser-excited chemical vapor dep-
osition. J Appl Phys 72:1133–1136, 1992.
84. VV Subramaniam, JHD Rebello. Laser-based synthesis of diamond at low
temperatures. Presented at the 187th Meeting of the Electrochemical Society,
Reno, NV May 21–26, 1995.
85. CE Treanor, JW Rich, RG Rehm. Vibrational relaxation of anharmonic os-
cillators with exchange dominated collisions. J Chem Phys 48:1789, 1968.
422 Subramaniam and Aithal

86. BM Smirnov. Physics of Weakly Ionized Gases. Moscow: Mir Publishers,


1981.
87. C Flament, T George, KA Meister, JC Tufts, JW Rich, VV Subramaniam, JP
Martin, B Piar, MY Perrin. Nonequilibrium vibrational kinetics of carbon
monoxide at high translational mode temperatures. Chem Phys 163:241, 1992.
88. H Kildal, TF Deutsch. Optically pumped infrared V-V transfer lasers. Appl
Phys Lett 27:500–502, 1975.
89. DS Anex, GE Ewing. Transfer and storage of vibrational energy in liquids:
collisional up-pumping of carbon monoxide in liquid argon. J Phys Chem 90:
1604–1610, 1986.
90. CKN Patel. CW laser on the vibrational-rotational transitions of CO. Appl
Phys Lett 7:246, 1965.
91. Provided courtesy of KA Essenhigh, Molecular Energy Transfer Laboratory,
Non-Equilibrium Thermodynamics Laboratories, Department of Mechanical
Engineering, Ohio State University, August 7, 1998 (unpublished).
92. IV Adamovich, S Saupe, MJ Grassi, O Schulz, S Macheret, JW Rich. Vibra-
tionally stimulated ionization of carbon monoxide in optical pumping exper-
iments. Chem Phys 173:491–504, 1993.
93. Y Kaufman, P Avivi, F Dothan, H Keren, J Malinowitz. Ion clusters in He-
CO and Ar-CO glow discharges. J Chem Phys 72:2606–2611, 1980; also E
Plonjes, P Palm, unpublished results from optical pumping experiments at
Ohio State University, 1998.
94. DM Gruen. Nucleation, growth, and microstructure of nanocrystalline dia-
mond films. MRS Bull 32–35, 1998.
95. PC Redfern, DA Horner, LA Curtiss, DM Gruen. J Phys Chem 100:11654,
1996.
96. DL Straub, Laser-excited chemical vapor deposition (LECVD) of carbon
films. MS thesis, Ohio State University, 1991.
97. EE Bell, HH Nielsen. The infra-red spectrum of acetylene. J Chem Phys 18:
1382–1394, 1950.
98. F Chaouchi-Gueoual. Excitation vibrationnelle par transferts collisionnels CO
( X1兺⫹, v)-CH4 . Production de diamant. DSc thesis, University of Paris, Orsay,
1996.
99. SJ Harris, DG Goodwin. J Phys Chem 97:23, 1993.
100. S Skokov, B Weiner, M Frenklach. Elementary reaction mechanisms for
growth of diamond (100) surfaces from methyl radicals. J Phys Chem 98:
7073–7078, 1994.
101. S Skokov, B Weiner, M Frenklach. Molecular-dynamics study of oxygenated
(100) diamond surfaces. Phys Rev B 49:11374–11382, 1994.
102. S Skokov, B Weiner, M Frenklach. Elementary reaction mechanism of dia-
mond growth from acetylene. J Phys Chem 98:8–11, 1994.
103. DP Belyung, A Fontijn. Gas phase reactions between hydrocarbons and metal
oxides: the AlO ⫹ CH4 reaction from 590 to 1380 K. J Phys Chem 97:3456,
1993.
104. RR Baldwin, A Keen, RW Walker. Studies of the decomposition of oxirane
Laser-Assisted and Optical Pumping Techniques 423

and of its addition to slowly reacting mixtures of hydrogen and oxygen at


480⬚C. J Chem Soc Faraday Trans 1 80:435–456, 1984.
105. JT Yardley, CB Moore. Vibrational energy transfer in methane. J Chem Phys
49:1111–1125, 1968.
106. P Hess, AH Kung, CB Moore. Vibration → vibration energy transfer in meth-
ane. J Chem Phys 72:5525–5531, 1980.
107. P Hess, CB Moore. Vibrational energy transfer in methane and methane-rare-
gas mixtures. J Chem Phys 65:2339–2344, 1976.
108. DR Alfonso, SE Ulloa. Molecular-dynamics simulations of methyl-radical
deposition on diamond (100) surfaces. Phys Rev B 48:12235–12239, 1993.
109. JA Mucha, DL Flamm, DE Ibbotson. On the role of oxygen and hydrogen in
diamond forming discharges. J Appl Phys 65:3448–3452, 1989.
110. LG Piper. The importance of vibrationally excited nitrogen in silicon nitride
deposition systems. Report WL-TR-93-2097, Aero Propulsion and Power Di-
rectorate, Wright Laboratory, Wright-Patterson AFB, August 1993.
111. E Ploenjes, P Palm, WC Lee, WR Lempert, IV Adamovich. Unconditionally
stable high-pressure nonequilibrium molecular plasma. Paper AIAA-2000-
2627 presented at the 31st AIAA Plasmadynamics and Lasers Conference,
Denver, June 19–22, 2000.
112. WF Calaway, G Ewing. Vibrational relaxation of small molecules in the liquid
phase: liquid nitrogen doped with O2 , CO, and CH4 . J Chem Phys 63:2842,
1975.
113. H Dubost, R Charneau. Laser studies of vibrational energy transfer and re-
laxation of CO trapped in solid neon and argon. Chem Phys 12:407, 1976.
114. N Legay-Sommaire, F Legay. Observation of strong vibrational population
inversion by CO laser excitation of pure solid carbon monoxide. IEEE J
Quantum Electron QE-16:308, 1980.
115. HC Chang, HH Richardson, GE Ewing. Epitaxial growth of CO on NaCl
(100) studied by infrared spectroscopy. J Chem Phys 89:7561–7568, 1988.
116. HC Chang, GE Ewing. Vibrational energy transfer and population inversion
in CO overlayers on NaCl (100). J Chem Phys 94:7635–7641, 1990.
117. E Weitz, G Flynn. Vibrational energy flow in the ground electronic states of
polyatomic molecules. In: J Jortner, RD Levine, SA Rice, eds. Advances in
Chemical Physics. Vol XLVII: Photoselective Chemistry (Part 2). 1981, pp
185–235.
118. R Disselkamp, GE Ewing. High vibrational states of carbon monoxide in
liquid argon: overtone intensity enhancement and reactions with oxygen. J
Phys Chem 93:6334–6339, 1989.
119. MR Buckingham, HT Williams, RS Pennington, CJSM Simpson, MM Maricq.
A comparison of rate constants for vibrational relaxation in liquid argon and
in the gas phase at the same temperature. Chem Phys 98:179–195, 1985.
120. HT Williams, MH Purvis, CJSM Simpson. Non-resonant VV transfer in liquid
air and in the gas phase at 85 K. Chem Phys 115:7–14, 1987.
10
CVD Diamond Solutions for
Machining and Other
Mechanical Applications

Brian L. Cline
Cline Innovations, LLC, Sterling, Massachusetts
James M. Olson
Fairchild Semiconductor, South Portland, Maine

I. INTRODUCTION
A. Growth Engine for Advanced Cutting Tool Materials
The machining industry is always seeking a means of improving productivity
and machined part quality. Over the years, this relentless demand has re-
sulted in countless iterations of productivity improvements. Today, the ma-
chine tool industry produces automated high-speed machining centers that
have the ability to machine complex shapes quickly with high accuracy and
minimal operator assistance. These dramatic machine tool improvements
create an interrelated demand for improved cutting tool materials that en-
hance productivity by extending tool life under increasingly aggressive ma-
chining conditions.
Improvements to cutting tool materials are also needed for enhanced
cutting tool performance in machining many advanced workpiece materials.
For example, the aerospace and automotive industries are utilizing increasing
quantities of lightweight materials such as high-silicon aluminum alloys,
fiber-reinforced composites, and metal matrix composites (MMCs), which
offer unique options for component design. Unfortunately, many of these
enabling materials are very abrasive and difficult—if not impossible—to
machine using conventional cutting tools [1–3].
425
426 Cline and Olson

This chapter contains an overview of how and why chemical vapor


deposition (CVD) diamond technology is beginning to assume a key role in
the materials evolution for advanced cutting tool and specialty wear part
applications. Section I continues with a history of cutting tool materials
development including high-speed steel, cemented carbides, hard coatings,
and synthetic diamond materials. Section II overviews the issues and chal-
lenges associated with CVD diamond tool design and manufacture. This
section also includes discussion of CVD diamond properties and application
specifics that can affect the performance of CVD diamond cutting tools as
well as common approaches to CVD diamond tool design. Section III is
focused on microstructural features of CVD diamond that affect the perfor-
mance of diamond coatings as well as freestanding diamond in mechanical
applications. Section IV contains cutting performance information for vari-
ous CVD diamond tool types used in a wide range of materials. The chapter
closes with an overview of other types of mechanical component applica-
tions in Sec. V followed by a summary in Sec. VI.

B. Evolution of Conventional Cutting Tool Materials


1. Carbon Tool Steels and Nonferrous Cast Alloys
Early industrial tooling materials included carbon tool steels—iron-based
materials with a wear-resistant ‘‘case’’ surrounding a tougher FeC alloy.
Although these materials display high fracture resistance, their low hardness
and low wear resistance limit their wear life in industrial machining of met-
als and highly abrasive materials. The introduction of high-speed steels (con-
taining varying amounts of W, Cr, V, Mo, and Co) led to the rapid replace-
ment of carbon tool steels in metalcutting applications due to marked
improvements in wear and fracture resistance. The added wear resistance
and high-temperature hardness properties (‘‘hot hardness’’) of nonferrous
cast alloys (containing varying amounts of Cr, W, C, and Co) allowed further
improvements in cutting capabilities for selected metalcutting operations.

2. Cemented Carbides Including Tungsten Carbide


The subsequent development of cemented carbides in the late 1920s pro-
vided an immediate advantage over traditional high-speed steels and cast
alloys [4]. The combination of properties offered by cemented carbide cut-
ting tools is typically attained by sintering large volumes of ceramic grains
with 3 to 15% (by volume) of metallic binder and various sintering aids.
Cobalt-sintered tungsten carbide combines wear-resistant, hard tungsten car-
bide (WC) ceramic particles with the fracture resistance of a ductile, metal-
lic, Co-based binder phase. Many ‘‘tungsten carbide’’ grade formulations are
CVD Diamond Solutions for Machining 427

readily available for a wide range of applications in the cutting tool and
wear part industry. The metal sintering approach to engineering cutting tool
materials bypasses the challenges of introducing the high hardness and wear
resistance of otherwise brittle ceramic materials into cutting tools. Although
WC-Co cutting tools have lower fracture resistance than high-speed steel,
their mechanical properties are suitable for a very wide range of heavy-duty
applications. The higher hardness and improved hot hardness characteristics
of cemented carbides allow operation at cutting speeds three to four times
higher than with high-speed steel.

C. The Historic Use of Diamond and Ceramic Cutting


Tool Materials
1. Single-Crystal Diamond
For decades, natural and high-pressure, high-temperature (HPHT) single-
crystal diamonds have been used for specialty cutting and wear applications.
Single-crystal diamond tools are known to have unmatched edge quality and
create excellent surface finish due to their precision, continuous diamond
edges. Applications include woodworking, contact lens machining, jewelry
manufacture, and nonferrous metal finishing. The cost and difficulty of fab-
ricating tools of complex geometries limit the usage of single-crystal tips to
simple shapes relative to other tooling materials. However, the hardness,
thermal stability, and corrosion resistance of single-crystal diamond tooling
continue to provide added value in many demanding industrial applications.
Single-crystal tools are also limited by their low fracture resistance, aniso-
tropic wear behavior, and morphological shape constraints relative to other
forms of diamond.

2. Polycrystalline Diamond Compacts


In the early 1970s, technology was developed that allowed the production
of metal-sintered polycrystalline diamond (PCD) compacts in large, two-
dimensional flat plates sintered directly to a cemented carbide backing. Sim-
ilar in construction to cemented carbide, PCD diamond tooling has signifi-
cant advantages related to the high wear resistance of diamond grains
combined with the fracture resistance afforded by the metallic binders used
in sintering. Figure 1 contains scanning electron microscope (SEM) images
that compare the two-phase microstructures of a cemented carbide cutting
tool and a PCD cutting tool. The added hardness and wear resistance of the
diamond grains in PCD relative to the tungsten carbide grains in WC-Co
offer distinct advantages for PCD-tipped tooling. PCD tools are normally
fabricated by radio-frequency or torch brazing flat, tungsten carbide-backed,
428 Cline and Olson
CVD Diamond Solutions for Machining 429

polycrystalline tool tips directly onto a cemented carbide or high-speed steel


tool body. After brazing in air, PCD tools are typically finish ground or cut
to final shape by electric discharge machining (EDM). The performance
advantages of PCD over tungsten carbide tools clearly outweigh the added
cost of brazed PCD tooling in many nonferrous metal and abrasive non-
metallic machining applications. PCD is commonly used for machining alu-
minum, copper, brass, bronze, and cemented carbide in applications includ-
ing turning, boring, profiling, grooving, milling, and holemaking [5].

3. Advanced Ceramics
Ceramic cutting tools are produced from a wide range of polycrystalline
ceramic materials including aluminum oxide, cubic boron nitride (‘‘PCBN’’),
and silicon nitride [6–9]. The hardness, chemical stability, and high wear
resistance of these advanced ceramic cutting tools have demonstrated cost-
performance advantages over conventional cutting tool materials in appli-
cations ranging from abrasive and corrosive polymers to superalloy machin-
ing. PCBN-tipped tools are rapidly becoming the favored cutting tool choice
in many high-throughput ferrous applications. Although the wear resistance,
high-temperature corrosion resistance, and hot hardness of ceramic cutting
tools are impressive, the application range of ceramic tooling is often limited
by the lower fracture resistance of ceramic materials relative to other cutting
tool materials. Special cutting edge preparation, whisker reinforcement, or
other approaches are commonly used to improve the performance and
broaden the application range of ceramic cutting tools.

D. Development of Coating Technologies for


Cutting Tools
In the 1970s, thin-film deposition technology began to play a key role in
furthering performance of commercial cutting tools [10]. Coated carbide and

<
Figure 1 Structural similarities between cemented tungsten carbide and PCD di-
amond: SEM photomicrographs showing (a) the fractured cross section of a 6% Co-
WC cemented carbide at 5000⫻ magnification, (b) a polished cross section of a 6%
Co-WC cemented carbide at 2000⫻ magnification, and (c) a 50⫻ image showing
the construction of a PCD cobalt-sintered diamond cutting tool. Because both ce-
mented carbides and PCD are two-phase materials composed of hard ceramic grains
(WC or diamond, respectively) sintered in metal, they are commercially available
in various compositions and grain sizes tailored for a wide range of applications.
(From Norton Diamond Film/Saint Gobain Industrial Ceramics, Inc.)
430 Cline and Olson

coated high-speed steel tools utilize hard coatings such as titanium nitride,
titanium carbide, and aluminum oxide to enhance cutting performance. Most
coatings are produced using CVD or physical vapor deposition (PVD) tech-
niques. This ‘‘layer-composite’’ approach to cutting tool design couples the
high-temperature wear resistance, corrosion resistance, and hardness advan-
tages of advanced ceramic materials with the superior fracture resistance of
the underlying tool body. Today, hard coating technology has evolved to the
development of multilayered coatings in which individual coating layers
offer unique performance-enhancing properties beyond single-layer struc-
tures [10,11]. Coating technologies for tungsten carbide and high-speed steel
resulted in significant improvements in machining productivity and serve as
the predecessors of CVD diamond-coated cutting tools.

E. Commercialization of CVD Diamond Technology for


Cutting Tools
The relatively recent development of CVD diamond technology [12–14] has
enabled further advances of diamond as a strategic material in the cutting
tool industry. Like many new materials, CVD diamond has had its technical
and commercial challenges. Today, however, the industry has achieved a
track record of success and continues to develop processes that ensure con-
sistency, reliability, and performance [1,2,15–23]. Presently, CVD diamond
cutting tools are commercially available in two primary product forms:
‘‘thick-film’’ freestanding CVD diamond cutting tool tips and ‘‘thin-film’’
CVD diamond coatings.

1. Thick-Film CVD Diamond Cutting Tools


Thick-film CVD diamond is vapor-deposited diamond manufactured in the
form of solid, freestanding wafers of pure, binder-less diamond with typical
thickness ranging from 150 to over 1000 ␮m. For most cutting tool uses,
CVD diamond wafers are laser cut into hundreds of mirror-finish polished
CVD diamond tips that are subsequently attached to cutting tools in a man-
ner similar to cobalt-sintered polycrystalline diamond (PCD). The develop-
ment of successful brazing techniques for thick-film diamond in the early
1990s bypassed the adhesion and substrate selection challenges of thin-film
CVD diamond tools [8,23]. Figure 2a contains an image of two large-di-
ameter, as-deposited, freestanding diamond wafers in addition to a collection
of mirror-finished freestanding diamond materials. Figure 2b shows exam-
ples of finish-ground thick-film CVD diamond tooling (also known as dia-
mond sheet tools [11,23]) adjacent to examples of aluminum workpiece ma-
terials. Thick-film CVD diamond cutting tools are engineered to compete
CVD Diamond Solutions for Machining 431

Figure 2 Thick-film CVD diamond is vapor-deposited diamond that can be man-


ufactured in the form of large-diameter, freestanding wafers of pure, binder-less
diamond. The larger wafer shown in the background of image (a) is approximately
175 mm in diameter. For most cutting tool uses, CVD diamond wafers are laser cut
into hundreds of mirror-finish polished CVD diamond tips [(a), foreground], which
are subsequently attached to cutting tools (b) in a similar manner to cobalt-sintered
polycrystalline diamond (PCD). Freestanding CVD diamond cutting tools are used
for a wide range of applications including aluminum automotive component ma-
chining (b). (From Ref. 1.)
432 Cline and Olson

with conventional PCD tools and are useful in a wide range of applications.
As with PCD, thick-film CVD diamond tools are normally made with over-
sized tips that can be reground multiple times by diamond tool fabricators.
Approaches to engineering thick-film CVD diamond-tipped tools are dis-
cussed further in Sec. II.D.2.

2. Thin-Film CVD Diamond-Coated Cutting Tools


Thin-film diamond is CVD diamond that is deposited as a continuous, con-
formal coating directly onto a suitable substrate. The ability to deposit poly-
crystalline diamond coatings directly onto cutting tools enables the proper-
ties of diamond to be captured in shapes previously not available from nature
or the diamond industry. In addition, the complete coating can eliminate the
depth of cut limitations of thick-film CVD tools and PCD-tipped tools. Com-
mercial diamond coatings generally fall in the thickness range of 5 to 35
␮m. The diamond-coated tungsten carbide end mill depicted in Fig. 3 shows
the complex shape, high-aspect-ratio coating capability presently available
from the CVD diamond industry. Approaches to engineering thin-film CVD
diamond-coated tools are discussed in Sec. II.D.1. Thin-film adhesion issues,
challenges, and solutions are the topic of Sec. III.B.

Figure 3 Thin-film CVD diamond is vapor-deposited diamond deposited directly


onto a component such as a cemented carbide cutting tool. This image contains a
diamond-coated tungsten carbide rotary tool showing the complex shape coating
capability now available in the CVD diamond industry. The end mill shown is 20
mm in diameter and 160 mm in overall length with a coated flute length of 110
mm. (From Ref. 15.)
CVD Diamond Solutions for Machining 433

II. ISSUES AND CHALLENGES IN CVD DIAMOND


CUTTING TOOL DESIGN AND MANUFACTURE

Capturing the unique properties of diamond in the design of CVD diamond


cutting tools requires a knowledge of the application range and a funda-
mental understanding of the processes available to manufacture the tool. A
broad-scope assessment of the complex properties-process-application inter-
action is critical because the performance advantage of a CVD diamond tool
—if any—will depend not only upon the properties of the diamond itself
but also on whether the chosen tool design and manufacturing process ef-
fectively capture the desired properties for the application of interest.

A. Critical Properties of CVD Diamond for


Mechanical Applications
The key properties of CVD diamond for mechanical applications are not
limited to the often-referenced hardness of diamond. The true challenge in
CVD diamond tool manufacturing is capturing this potential within a func-
tional tool that delivers reproducible performance. Table 1 provides a com-
parison of selected mechanical and thermal properties for PCD, single-crys-
tal diamond, cemented carbide, and silicon nitride. Selected properties are
summarized further in the remainder of this section.

1. Hardness and Young’s Modulus


Diamond’s unmatched hardness and elastic modulus contribute to excellent
wear resistance. Unlike metal-bonded PCD compacts and cemented carbides,
diamond-like carbon (DLC), and many other hard coatings, CVD diamond
maintains its hardness and wear resistance at elevated cutting temperatures.
Diamond’s hot hardness is due in part to the high-temperature structural
stability of its dense, polycrystalline construction coupled with the lack of
metal binders that soften at elevated temperatures.

2. Coefficient of Friction
CVD diamond’s low friction coefficient contributes to lower cutting forces,
lower spindle power consumption, and less frictional heating in many cutting
applications. The reduced cutting temperature that results from diamond’s
high lubricity is viewed as a major advantage in applications where coolant
elimination and higher speed operation are being considered. This property
is not included in Table 1 because measured values of the coefficient of
friction vary significantly depending on environment, surface roughness, and
measurement technique. The coefficient of friction of polished CVD dia-
434
Table 1 Comparison of Thermal and Mechanical Properties for CVD Diamond and Other Hard Materials

WC-Co cemented
Property CVD diamond Natural diamond PCD carbide Si3N4 ceramic

Indentation 85–100 50–100 50 7.8–23.5 7.8–17.7


hardness Technique unspecified Technique unspecified Technique unspecified Vickers indentation Vickers indentation
(GPa) Commercial data Commercial data Commercial data Typical range Range based on
Ref. 90 Ref. 90 Ref. 90 Ref. 93 commercial data from
two suppliers
78.5 ⫾ 18.6 56–102 21.5
Vickers indentation Knoop indentation Knoop indentation
Commercial data Normal load of 1–500 Ref. 92
Ref. 91 kg
Ref. 92
Young’s modulus 1000–1100 1000–1100 776 400–700 120–330
(GPa) Commercial data Commercial data Commercial data Ref. 93 Typical data
Ref. 91 Ref. 90 Ref. 90 Ref. 94
Tensile strength Growth face in tension: 1050–3000 1260 700–1500 586–843
(MPa) 500–800 (0.5 mm Orientation dependent Measurement technique Typical range Range observed for three
thick) Measurement technique unspecified Ref. 93 commercial grades
Three-point bend unspecified Commercial data Four-point bend with
geometry Typical commercial Ref. 90 crosshead speed of
Estimated from Fig. 11 data 0.004 cm/s
of Ref. 21 Ref. 90 NIST evaluated data
Nucleation face in tension: Ref. 95
800–1300 (0.5 mm
thick)
Three-point bend
geometry
Estimated from Fig. 12
of Ref. 21
Compressive 16,000 8680 average 7600 3000–7000 >4000
strength Commercial data 16,530 maximum Commercial data Typical range Commercial data
(MPa) Ref. 90 Natural octahedron Ref. 90 Ref. 93 ASTM C773
containing no visible Ref. 97
flaws or inclusions
10⫺6 m2 estimated load
area
Ref. 96
Transverse 1.3 2.9 1.2 0.6–3.0 0.76–0.85
rupture Commercial data Commercial data Commercial data Typical range Commercial data
strength (GPa) Ref. 90 Ref. 90 Ref. 90 Ref. 93 Ref. 4, p. D116,
Kennametal, Inc.
Fracture 5.3–7.0 3.4 8.81 5–30 1.5–8.5
toughness Commercial data Commercial data Commercial data Typical range Typical values
[MPa(m)1/2] Ref. 91 Ref. 90 Ref. 90 Ref. 93 Ref. 94
Thermal 500–2200 600–2200 560 20–120 7–43
conductivity Commercial data Commercial data Commercial data Typical range for Typical data
at room Ref. 90 Ref. 90 Ref. 90 manufacturers Combined range for four
temperature quoted values material types
(W/m ⭈ K) Ref. 93 Ref. 94
Thermal 500–1100 600–1100 200 — —
conductivity Commercial data Commercial data Commercial data
at 200⬚C Ref. 90 Ref. 90 Ref. 90
(W/m ⭈ K)
435
436
Table 1 Continued

WC-Co cemented
Property CVD diamond Natural diamond PCD carbide Si3N4 ceramic

Thermal 1.2 1.2 4.2 — —


expansion Commercial data Commercial data Commercial data
coefficient Ref. 90 Ref. 90 Ref. 90
(ppm/K in
100–250⬚C
range)
Thermal 3.8 3.8 — — —
expansion Commercial data Commercial data
coefficient Ref. 90 Ref. 90
(ppm/K at
500⬚C)
Thermal 4.5 4.5 6.3 — —
expansion Commercial data Commercial data Commercial data
coefficient Ref. 90 Ref. 90 Ref. 90
(ppm/K at
1000⬚C)
Mean thermal — — — 4.5–8.5 2.8–3.7
expansion Typical range for Typical data for
coefficient 0–800⬚C 20–1000⬚C range
(ppm/K in temperature Ref. 94
denoted range) range
Ref. 93
CVD Diamond Solutions for Machining 437

mond is generally superior to that of cemented carbides [24] and advanced


ceramics [25] and is similar to values attained for single-crystal diamond
(e.g., 0.05 [24]). The high lubricity is often compared with that of fluoro-
polymers.*

3. Thermal Conductivity
High-quality diamond has the highest thermal conductivity of any material.
As Sec. III.A will explain, the use of the highest crystalline quality CVD
diamond is not necessarily the best overall solution for mechanical appli-
cations of diamond. Regardless, it has been demonstrated that freestanding
diamond that has been engineered for mechanical applications can offer
thermal conductivity over five times that of tungsten carbide and over 50%
greater than that of PCD.† Although diamond’s high lubricity helps to avoid
frictional heating effects, CVD diamond’s excellent thermal conductivity
relative to other cutting tool materials acts as an additional safeguard in
maintaining lower cutting temperatures by dissipating heat generated in the
cutting zone.

4. Thermal Expansion Coefficient


CVD diamond and single-crystal diamond have essentially identical thermal
expansion characteristics, as Table 1 delineates. Diamond has a very low
thermal expansion coefficient relative to most other cutting tool materials
such as cemented carbide and particularly high-speed steel, especially in the
100 to 250⬚C range. This property can be beneficial in controlling the po-
sitional accuracy of a cutting tool relative to a workpiece during precision
machining. Because of the high-temperature nature of commercial CVD di-
amond coating and brazing processes, attachment of CVD diamond to cut-
ting tool materials such as cemented carbide requires strict attention to the
thermal expansion mismatch. The residual thermal stresses that result from
high-temperature attachment necessitate good interfacial adhesion for both
braze fabrication of thick-film CVD diamond and direct thin-film coating.
Section III.B contains a detailed discussion of diamond adhesion issues for
thin-film cutting tools.

*According to E.I. du Pont de Nemours and Company, the coefficient of friction of Teflon is
generally in the range of 0.05 to 0.20, depending on the load, sliding speed, and particular
Teflon coating used.
†Comment is based on Norton Diamond Film’s ‘‘PolyTurn’’ and ‘‘DiamaPak’’ cutting tool
products, which were retracted from the market upon business closure.
438 Cline and Olson

5. Fracture Strength
As Table 1 depicts, the compressive strength of CVD diamond is generally
superior to that of single-crystal diamond and PCD. Unfortunately, the pure
polycrystalline structure results in a material that is generally inferior in
tensile strength. Even so, the tensile strength of freestanding CVD diamond
is sufficient for a wide range of industrial applications, especially when
incorporated into tool designs that accommodate the unavoidable fact that
diamond is a brittle, ceramic-like material. The measured values of the Wei-
bull modulus [21] indicate that the breadth of the strength distribution for
bulk CVD diamond is similar to that for advanced ceramics such as silicon
nitride. The observed values ensure that CVD diamond can yield the reliable,
reproducible tensile strength necessary in many mechanical applications.

6. Fracture Toughness
Fracture toughness is a property of a material that is frequently defined as
resistance to crack propagation. High fracture resistance is necessary for a
cutting tool material to survive the impact and fatigue that can result from
aggressive cutting operations such as milling or interrupted cutting or when
machining workpiece materials containing material inhomogeneities such as
hard phases, fibers, or particles. As shown in Table 1, the fracture toughness
values of bulk, freestanding CVD diamond are comparable to those of ad-
vanced ceramic materials such as silicon nitride. Furthermore, because the
microstructure of polycrystalline CVD diamond can provide a crack deflec-
tion mechanism [26–28], proper microstructural engineering can yield frac-
ture toughness values exceeding those of single-crystal diamond and ap-
proaching values common to cobalt-sintered PCD. Section III.A includes
approaches to optimizing the bulk properties of CVD diamond including
fracture toughness.

7. High-Temperature Phase Stability


Black, graphite-like coatings have been observed on diamond surfaces ex-
posed to atomic oxygen at temperatures as low as 625⬚C [24]. However,
diamond oxidation occurs at very low rates in air below 800⬚C and generally
does not limit the application range of CVD diamond tooling. CVD diamond
is thermally stable to temperatures of at least 1200⬚C in vacuum. By contrast,
PCD is particularly susceptible to thermal degradation at temperatures above
700⬚C [29]. The cobalt binder phase can catalyze graphite formation at the
sintered contacts between the diamond grains. This high-temperature phase
instability, which can be induced by excessive cutting temperatures or im-
CVD Diamond Solutions for Machining 439

proper brazing or grinding procedures, is known to result in a general deg-


radation of the mechanical properties of the PCD cutting tool tip.

8. Chemical Corrosion Resistance


The chemical reactivity of carbon limits the use of diamond tooling in fer-
rous metal and superalloy machining. All forms of diamond tooling are at
risk of corrosion or graphitization induced by machining iron-, nickel-, and
cobalt-containing metals at elevated cutting temperatures [30]. Molten man-
ganese, chromium, and the platinum group of metals are also true solvents
for all forms of carbon including diamond [24]. Other metals capable of
reacting with diamond include the carbide-forming transition metals tita-
nium, tantalum, tungsten, and zirconium. Even so, diamond’s superlative
combination of properties still maintains it as the preferred form of tooling
for selected applications such as bimetallic machining of aluminum–cast
iron deck faces for automotive engines [5].
With the exception of the metallic interactions just noted and high-
temperature oxidizing environments, pure forms of diamond are extremely
chemically inert. Unfortunately, the corrosion resistance of CVD diamond
is a property that is often overlooked. For example, the metallic constituents
of PCD and cemented carbides limit tool lifetime in corrosive polymer ma-
chining. Although the corrosion resistance of ceramic cutting tools can offer
measurable improvements over traditional tooling, the advent of CVD dia-
mond technology now allows the production of tooling with complex ge-
ometry that can offer both corrosion resistance and unmatched abrasive wear
resistance.

B. The Critical Combination of Abrasive Wear Resistance


and Fracture Toughness
In order to meet new machining requirements for difficult-to-machine ma-
terials, improve productivity, and hold high workpiece tolerances, new cut-
ting tool materials must have both suitable fracture toughness and high
abrasive wear resistance. The importance of fracture toughness, a measure
of the resistance to crack propagation, was discussed in Sec. II.A.6. How-
ever, the specific abrasive wear resistance of a CVD diamond tool is very
application specific and, therefore, was not discussed in Sec. II.A. Abrasive
wear resistance is the result of a complex interaction between the properties
and characteristics of the diamond tool, the workpiece material being ma-
chined, and the nature of the specific application. Improved abrasive wear
resistance allows machinists to maintain tight tolerances with minimal tool
or machine adjustments and reduces machine downtime associated with
rapid tool wear and frequent tool changes.
440 Cline and Olson

Figure 4 depicts schematically the trade-off between fracture toughness


and abrasive wear resistance in cutting tool materials. For example, ad-
vanced ceramic cutting tool materials, known to be very hard and resistant
to abrasive wear, tend to be lower in fracture toughness. Metallic cutting
tools such as high-speed steel, known to be high in fracture toughness, tend
to have relatively low resistance to abrasive wear. Although cemented car-
bide does not have the superior toughness of high-speed steel, it combines
an abrasive wear resistance approaching that of ceramic materials and frac-
ture toughness that is sufficient for a wide range of heavy-duty industrial
machining needs. In most applications, the exceptional fracture toughness
of high-speed steel is not necessary or worth the inherent trade-off in abra-
sive wear resistance.
Figure 4 also shows that ceramic-like CVD and PVD coatings can
markedly enhance the abrasive wear resistance of both tungsten carbide and
high-speed steel tooling while the critical fracture toughness of the under-
lying tool substrate is maintained. From the success of hard coatings in the

Figure 4 Abrasive wear resistance and fracture toughness trade-offs for common
cutting tool materials.
CVD Diamond Solutions for Machining 441

cutting tool market, it is clear that the added hardness and wear resistance
of diamond-coated tungsten carbide offer a well-balanced fracture tough-
ness-wear resistance combination. The unique combination expected for
CVD diamond-coated cutting tools is depicted at the top center of the figure.
Because fracture toughness is a bulk mechanical property and the underlying
substrate of a diamond-coated carbide tool is tougher than bulk CVD dia-
mond, the diamond-coated carbide concept offers the potential to exceed the
fracture toughness of bulk, freestanding CVD diamond. However, as dis-
cussed in Sec. III.B of this chapter, the composite properties of a diamond-
coated carbide are captured in the tool design only if the adhesion strength
exceeds the forces of the application.
The abrasive wear resistance of the cobalt-sintered diamond in PCD
can offer as much as 100 times greater tool life over cemented carbide [5].
By contrast, as Fig. 4 shows, PCD-tipped cutting tools are usually inferior
in fracture toughness to cemented carbides. Fortunately, the fracture tough-
ness of PCD is sufficient to avoid cutting edge chipping in most rigid ma-
chining situations. In fact, as the operating speeds and feeds move beyond
the material limits of traditional cutting tool materials in aggressive high-
productivity operations, the long life and predictable finish advantages of
diamond tooling are often amplified.

C. Application Characteristics That Affect CVD Diamond


Cutting Tool Performance
Every cutting tool application is unique, and industrial cutting tools need to
be designed for the worst-case scenario within the targeted range of appli-
cations. There are many factors that can directly or indirectly affect cutting
tool performance. The feasible application range of a CVD diamond cutting
tool design may not be clear until a tool is thoroughly tested in the field.
As with any cutting tool, success in a specific operation on a specific ma-
chine tool does not ensure the same results with the same tool on the same
type of machine at a different location. Because of the complexity of ma-
chining processes, this section is provided as an overview of the application
characteristics that should be factored into the design and manufacturing
processes used to produce CVD diamond cutting tools.

1. Impact of Machine, Fixture, and Operating


Conditions on Performance
Factors such as spindle balancing and rigidity, workpiece vibration, or even
poor tool handling practices can dictate whether or not a tool will offer
442 Cline and Olson

a performance advantage in a specific application. Clearly, the type of ma-


chining operation (e.g., turning, boring, milling, drilling) and machine op-
erating parameters (e.g., cutting speed, cutting feed rate, depth of cut) have
a major influence on the nature of the forces on the CVD diamond cutting
edge and the diamond-tool interface. For instance, machining operations
where the cutting edge is not in constant contact with the workpiece (e.g.,
interrupted turning, milling, or end milling) generally require more robust
design than continuous cutting operations (e.g., turning, boring, drilling).
The impact-like forces generated in interrupted operations require good ma-
terial strength and fracture toughness to minimize the damage of a CVD
diamond tip or diamond coating during use. Other important application
details include the coolant type (e.g., dry, mist, flood), chip-forming behav-
ior, and efficiency of chip evacuation from the cutting area.
Because CVD diamond (like other forms of diamond) is capable of
operating at high cutting speeds and feed rates, machine tools with limited
ranges of speed and feed can significantly limit the performance potential
of diamond. By contrast, the wear resistance of many traditional cutting tool
materials can degrade rapidly when machining processes are put into ‘‘fast
forward’’ at high speeds and feeds. As a demonstration, Cline [31] and Tan-
ikella et al. [32] have reported the results of end mill machining tests that
were performed on SiC-containing ‘‘bisque ceramic’’ that was heat treated
(‘‘presintered’’) at temperatures greater than 1200⬚C. The workpiece material
and machining conditions were selected in an effort to accelerate the dia-
mond wear mechanism common to abrasive applications such as ‘‘green
ceramic’’ (unfired polymer-ceramic compacts) and graphite machining. The
results of the machining tests showed the dramatic performance advantage
of thin-film CVD diamond-coated end mills at the selected machining con-
ditions. Figure 5a and b show that the uncoated tungsten carbide and TiN-
coated tungsten carbide tools failed within 16 cm of machining (two 7.6-
cm-long passes). The failure was highlighted by excessive abrasive wear,
which was enhanced by frictional heating of the tools. The PVD TiN coating
offered no clear advantages under these machining conditions. Optical py-
rometry of the carbide and TiN-coated carbide tools indicated temperatures
exceeding 900⬚C. By contrast, a diamond tool that had already run 80 pre-
vious passes was barely warm to the touch after eight sequential passes at
the same machining conditions. Freeze-frame images of the 88th pass are
shown in Fig. 5c. Both the TiN-coated and uncoated carbide tooling showed
a strong sensitivity to density variations in the presintered ceramic work-
piece. In the case of one uncoated carbide tool, the excessive wear and
overheating reduced the 9.5 mm cutting diameter of a new tool by over 2.5
mm within less than 23 cm of machining. More details of this case study
are summarized in Sec. IV.B.2.c.
CVD Diamond Solutions for Machining 443

Figure 5 Effect of high cutting speeds on various cutting tool materials: sequential
freeze-frame images showing presintered ceramic machining using (a) an uncoated
tungsten carbide end mill, (b) a TiN-coated carbide end mill, and (c) a CVD dia-
mond-coated end mill. The uncoated and TiN-coated tungsten carbide tools failed
within 16 cm of machining due to excessive wear and frictional heating. The dia-
mond-coated tool operated at cutting temperatures at least 500–1000⬚C lower than
the uncoated equivalent and was still worthy of continued testing after more than
670 cm of machining. (From Ref. 31.)
444 Cline and Olson

Figure 5 Continued

2. Impact of Workpiece Material Characteristics


on Performance
The relative performance advantage of one tool over another is dependent
on the workpiece material and the requirements for finished part quality. The
characteristics of the workpiece such as abrasiveness, corrosiveness, and
microstructural homogeneity can significantly affect the utility of a diamond
tool in machining operations. Although less aggressive applications such as
graphite machining will not always differentiate between tools of varying
integrity, applications that generate higher, impact-like cutting forces can
rapidly differentiate between tools that offer predictable abrasive coating
wear and tools that fail unpredictably due to film delamination.
The characteristics of diamond tooling are of particular interest in ma-
chining composite materials. The unique advantages offered by composites
are generally the result of combining two or more dissimilar metallic and/
or nonmetallic materials in an effort to yield combined properties that exceed
those of the individual constituents. For instance, glass fiber–reinforced plas-
tics (GFRPs) combine the stiffness of the reinforcing fibers with the low-
cost, lightweight characteristics of an otherwise pliable polymer matrix.
Other useful composites, such as high-silicon aluminum alloys, metal matrix
CVD Diamond Solutions for Machining 445

composites (MMCs), and structural aerospace composites, offer advantages


ranging from weight reduction to wear resistance and fatigue resistance. By
design, the most unique and enabling composites tend to be composed of
materials that have diverse properties and, therefore, vastly different ma-
chining behavior. The combined results are workpiece materials that can be
abrasive, corrosive, and generally difficult to machine with conventional
cutting tool materials [2,3,23,33,34].
Table 2 lists some commonly used metallic and nonmetallic composite
materials along with their associated machining challenges. Many early ef-
forts to fabricate components from these and other composites using con-
ventional tooling proved to be cost prohibitive due in part to high machining
costs. As the industrial demand for innovative composite materials continues
to increase, so does the interrelated demand for cutting tools that can endure
the challenges of machining these progressive materials. Many of the case
studies discussed in Sec. IV are related to this increasingly important class
of materials.

D. Design and Manufacturing Options and Constraints


for CVD Diamond
The design and manufacture of diamond-coated cutting tools varies signif-
icantly from thick-film, brazed-on CVD-diamond cutting tools. The last step
in manufacturing a thin-film, diamond-coated tool is typically the CVD coat-
ing process, whereas freestanding CVD diamond wafer fabrication is the
first of many steps in the fabrication of a thick-film, brazed-on CVD dia-
mond tool. Many of the process-related advantages of the thin-film CVD
diamond coating process are similar to advantages observed with other hard
coatings used for cutting tools (see Sec. I.D). On the other hand, the process-
related advantages of the fabrication process for thick-film CVD diamond
are more typical of PCD diamond tooling (see Sec. I.C.2).

1. Approaches to Engineering Thin-Film CVD


Diamond-Coated Tools
Today, CVD diamond processes enable direct coating of high-aspect-ratio,
complex shapes ranging from specially molded, chip-breaking inserts to the
end mill shown in Fig. 3. However, the manufacture of thin-film, CVD
diamond-coated cutting tools does not come without unique technical chal-
lenges. Most noteworthy are the challenges associated with adhesion of di-
amond deposits to tungsten carbide—the preferred substrate for diamond
446
Table 2 Examples of Difficult-to-Machine Composite Materials

Related CVD diamond


Material type Example Examples of use Machining issues performance case studies

Hypereutectic or Composite: A390 (18% Reduced-weight, wear Although aluminum is generally Thick-film inserts: IV.A.1.a
‘‘high-silicon’’ Si in Al) resistant, temperature- free-machining, hard silicon Thick-film rotary tools: IV.A.2
aluminum Matrix: Aluminum resistant pistons particles are extremely Thin-film inserts: IV.B.1.a,
Reinforcement: Silicon abrasive IV.B.1.b
particles
Metal matrix Composite: Duralcan Brake rotors Although aluminum is generally Thick-film inserts: IV.A.1.c
composites (30% SiC in Al) Lightweight structures free-machining, hard SiC Thin-film rotary tools:
(MMCs) Matrix: Aluminum ceramic particles are IV.B.2.c
Reinforcement: Silicon extremely abrasive
carbide ceramic
particles
Cemented tungsten Composite: 25% cobalt High-fracture-toughness Tungsten carbide sintering results Thick-film inserts: IV.A.1.d
carbide tungsten carbide wear parts in strong bonding or
Matrix: Cobalt-based contiguity between WC grains.
alloy The WC grains are very hard.
Reinforcement: sintered At elevated temperatures, the
tungsten carbide metal binder can react with
grains the carbon in diamond.
Structural Composite: Carbon- Stiff, lightweight Carbon fibers are extremely Thin-film rotary tools:
‘‘aerospace epoxy support structures for abrasive; grinding is often IV.B.2.c
composites’’ Matrix: Epoxy polymer commercial aircraft preferred over cutting due to

Cline and Olson


Reinforcement: High- Strong, lightweight excessive tool wear
density carbon fibers sporting goods
Fiberglass- Composite: G10 Lightweight, insulative Glass fibers induce abrasive tool Thin-film rotary tools:
reinforced Matrix: Polymer circuit boards wear; polymer can cause IV.B.2.c
polymers Reinforcement: Glass Low-cost structural corrosive tool wear
(FRPs) fibers composites

Source: Modified from Ref. 2.


CVD Diamond Solutions for Machining 447

coating commercialization. Many early efforts to introduce diamond-coated


carbide cutting tools into the aluminum machining market failed or were
significantly delayed because of lack of adhesion treatment technologies that
could reproducibly yield WC-Co surfaces worthy of CVD diamond pro-
cessing and subsequent use. Many early development efforts in the CVD
diamond cutting tool industry involved the use of advanced ceramic mate-
rials as interim substrate solutions because the chemical compatibility and
thermal expansion mismatch were more favorable for diamond coating. A
variety of substrate materials including SiAlON, binder-less tungsten carbide
and, more commonly, silicon nitride and silicon carbide were employed [23].
Although diamond-coated ceramic tools do have utility in selected appli-
cations, the inferior fracture toughness of diamond-coated ceramic inserts
and end mills generally limited their use to nonmetallic machining opera-
tions such as those involving graphite, polymers, green ceramics, and non-
metallic composite materials.
a. Interfacial Integrity. Figure 6 contrasts the failure modes of a
‘‘bad’’ tool (Fig. 6a) that has failed by unpredictable, catastrophic film de-
lamination related to poor interfacial adhesion and a ‘‘good’’ tool (Fig. 6b)
that has failed by predictable abrasive wear. The relative utility of these two
tools is clear. If the diamond adhesion is not suitable for the application, the
desired coating properties simply are not captured in the tool design. As
discussed in Sec. III.B in detail, proper diamond-coated WC-Co cutting tool
design requires strict attention to both the coating properties and the sub-
strate surface properties.
b. Morphology and Surface Roughness Characteristics. Today, most
thin-film CVD diamond cutting tools are made from well-faceted CVD di-
amond deposits because of the higher abrasive wear resistance typically
offered by coarse-grained diamond. However, relatively smooth, more lu-
bricious, microcrystalline diamond coatings are commercially available for
applications where workpiece surface finish is more critical. Most diamond-
coated tools are presently marketed in an as-deposited state with no post-
deposition finishing. Depending on the interfacial roughness introduced by
adhesion treatment, coating thickness, and the morphological features of the
coating, the surface roughness and edge blunting of some diamond-coated
tools can limit their use to intermediate finishing and roughing applications.
The morphological features of CVD diamond are discussed in more detail
in Sec. III.A.
c. Postdeposition Finishing Options. Postdeposition finishing tech-
niques can be applied to modify the generally rough, matte finish of dia-
mond-coated products. Diamond-coated ceramic tools with mirror-finished
448 Cline and Olson

Figure 6 Contrasting failure modes of CVD diamond coatings: SEM images con-
trasting the failure mode of two different diamond-coated tool designs after alumi-
num metalcutting tests. (a) A tool that failed catastrophically due to insufficient
coating adhesion. A metallic buildup of aluminum is evident in the delaminated zone
on the tool tip where the adhesion-treated carbide was exposed. (b) A tool that
offered a suitable level of adhesion for the application and therefore maintained the
slow, predictable wear characteristics desired in a diamond-coated component. As
reviewed in Sec. III.B, the diamond/substrate interface characteristics have a signif-
icant influence on the nature of tool failure. (From Norton Diamond Film/Saint
Gobain Industrial Ceramics, Inc.)
CVD Diamond Solutions for Machining 449

rake faces similar to PCD tools have been produced in the industry.* Oles
et al. [23] have reported the success of a CVD diamond buffing technique
in reducing insert surface roughness for the flank face of a diamond-coated
carbide insert. Turning tests in A390 (18% Si-Al) showed that the buffed
flank surfaces substantially improved the workpiece surface finish. However,
the turned component finish of the buffed tools was still inferior to the finish
generated by finish-ground PCD tools tested in the same study. Kanda et al.
[17] have reported progress in grinding rotary tools to enhance cutting edge
characteristics and resulting workpiece surface finish.
Although the general tendency of the industry is toward smoother sur-
faces that mimic the finish ground characteristics of PCD and cemented
carbide tools, Oles et al. [23] have also reported a ‘‘microscopic chip-break-
ing’’ advantage of diamond-coated cemented carbide inserts. Milling and
turning studies of low-silicon and high-silicon alloys showed the advantages
of diamond facets on the chip-forming rake surface in creating a ‘‘highly
stressed chip that is more readily broken.’’ Metallic chips in the shape of
small ‘‘sixes’’ and ‘‘nines’’ were reported to be more desirable than contin-
uous stringers or coils that are common to smooth rake-face tools such as
PCD.
As CVD diamond technology advances, it is likely that the use of
polishing, grinding, or other finishing techniques for CVD diamond-coated
tooling will become more prevalent. Increases in CVD diamond cutting tool
demand will provide justification for tailoring film properties such as thick-
ness and surface roughness to specific applications. Such justification is crit-
ical to the presence of CVD diamond in the market because it will undoubt-
edly lead to an expansion in application range for diamond-coated products.

2. Approaches to Engineering Thick-Film CVD


Diamond Tools
a. Design and Manufacture of Thick-Film Tips. The primary tech-
nical challenge in the manufacture of freestanding thick-film CVD diamond
cutting tool tips is attaining a throughput of freestanding diamond material
having the dimensional and mechanical characteristics needed for down-
stream processes and ultimate use [35]. This is commonly approached
through the manufacture of large-area, crack-free, CVD diamond discs of
the necessary thickness with minimal thickness variation and minimal bow.
Attaining CVD diamond wafers of this quality is exceptionally difficult due
to the unique mechanical properties of diamond and the tendency for these

*Mirror finish observed on diamond-coated silicon carbide cutting tools once produced by
DeBeers.
450 Cline and Olson

properties to vary measurably with changes in deposition conditions such as


temperature and gas chemistry. Clearly, factors such as CVD diamond re-
actor type, the associated diamond deposition area, deposition rate, and vac-
uum process control capabilities are critical to success in freestanding dia-
mond production. Although this issue has been discussed in various forums
[35], many of the details of the industrial methods used to generate large
freestanding diamond discs are presently treated as trade secrets and will
not be discussed in this text. Regardless of the challenges, pure CVD dia-
mond wafers for mechanical applications have been commercially manufac-
tured in the thickness range of 150 to over 1000 ␮m with diameters up to
175 mm (see Fig. 2a).* By comparison, commercial high-temperature, high-
pressure PCD manufacture is presently limited to disc sizes of about 74 mm.
Cutting CVD diamond components from freestanding CVD diamond
wafers is presently done using laser technology as opposed to the electric
discharge machining (EDM) ‘‘wire cutting’’ common to the PCD industry.
Pure CVD diamond wafers contain no metallic binders and, therefore, do
not afford the conductive properties needed in an EDM workpiece. The
general acceptance of EDM wire techniques for diamond tool fabrication
coupled with the present lack of the necessary laser technology at cutting
tool fabricator sites is a significant barrier to commercialization of thick-film
diamond tooling.
b. Attachment Techniques for CVD Diamond Tips. Most freestand-
ing, thick-film CVD diamond used for cutting tool applications is approxi-
mately 0.5-mm-thick polished diamond. The pure diamond can be attached
to the tool body using a high-temperature inert vacuum braze (normally
>850⬚C). The use of a high-temperature braze ensures good chemical bond-
ing and excellent braze strength. Commercially available forms of freestand-
ing CVD diamond cutting tool tips are generally made from well-faceted
deposits that may have crystal sizes exceeding 50 ␮m. The surface rough-
ness of the thick-film, freestanding diamond is usually removed by diamond
finishing techniques in an effort to mimic the desirable characteristics of
PCD. In most commercial thick-film CVD cutting tool applications, the rel-
atively smooth nucleation surface is used as the cutting surface and the
rough, faceted face becomes part of a vacuum-brazed interface typically
bonded to tungsten carbide. A flank-face view of a finish-ground CVD di-
amond cutting tool tip is shown in Fig. 7. Commercially available thick-
film cutting tool tips offer superior wear resistance, which is typical of
coarse-grained thin-film diamond deposits, coupled with mirror finish (com-
monly <0.5 ␮m R a) polished rake faces and finish-ground flank faces at-

*Comment refers to Norton Diamond Film’s thick-film product range prior to business closure.
CVD Diamond Solutions for Machining 451

Figure 7 Flank-face electron microscope view of a freestanding CVD diamond


cutting tool after use in a machining operation. The bright white region along the
cutting edge contains the abrasive wear scar. As the 100⫻ image depicts, the faceted
coarse-grained ‘‘growth’’ face of the CVD diamond tip is incorporated into the vac-
uum braze layer of the tool (see lower horizontal feature). The polished, fine-grained,
‘‘nucleation’’ face of the diamond is used as the rake face of the tool (see top, dark
region above the U-shaped cutting edge). To the naked eye, the rake face of this
tool actually has a black mirror finish. (From Ref. 1.)

tained by industrial finishing and grinding techniques, respectively. This


unique combination enables thick-film cutting tool tips to generate superior
workpiece finish relative to CVD diamond coatings and most other alter-
native cutting tool technologies.
c. CVD Diamond Grinding Challenges. Good cutting edge grinding
techniques can yield CVD diamond edge quality similar, if not superior, to
that attainable by most or all PCD grades. However, CVD diamond’s erosion
resistance often requires more fabrication time and cost to ensure good edge
quality. According to a representative of the diamond fabrication industry
(T. Drury, J&M Diamond Tool, personal communication, 2000) [1] it takes
10 to 20% longer to grind a CVD diamond tool with an edge quality com-
parable to that of PCD tooling. Diamond superabrasive wheels are normally
used to grind CVD diamond. As with PCD fabrication, toolmakers have the
option of using vitrified bonded, resin-bonded, and metal-bonded diamond
wheels.
Aggressive grinding of CVD diamond can induce flaws that sacrifice
the mechanical behavior and cutting action of the cutting edge. When proper
452 Cline and Olson

grinding techniques are employed, the strong nonmetallic bonding of the


CVD diamond crystallites minimizes grain pullout on the cutting edge of
thick-film CVD diamond tips. Most toolmakers claim that reduction in grind-
ing wheel feed rates can yield CVD diamond edge finishes superior to what
PCD can offer. Once the CVD diamond edge quality is attained through
proper fabrication techniques, the binder-less construction of CVD diamond
offers a continuous polycrystalline cutting edge that lacks the soft binder
phase inherently present in metal-sintered PCD and cemented carbides.

III. OPTIMIZING MECHANICAL PERFORMANCE OF CVD


DIAMOND CUTTING TOOLS

Because abrasive wear and mechanical failure mechanisms control the wear
life of cutting tools in most applications, optimization of the mechanical
properties of CVD diamond cutting tools is essential to maximizing perfor-
mance. Fortunately, CVD diamond process parameters can be adjusted and
monitored in an effort to modify and reproduce desirable material properties
and physical characteristics of the deposits. This section contains discussions
of the issues and challenges of attaining good mechanical performance of
CVD diamond tools. Section III.A contains approaches to engineering sur-
face structure and the bulk mechanical properties of both thin-film and thick-
film CVD diamond deposits. Section III.B will be focused on the funda-
mentals of the thin-film diamond adhesion and includes specific approaches
that have been taken to overcome adhesion to tungsten carbide cutting tools.

A. Optimizing the Surface and Bulk Structure of CVD


Diamond Deposits
1. CVD Diamond Morphology and Defect Structure
One of the most recognizable physical characteristics of CVD diamond de-
posits grown under different process conditions is the microstructure or mor-
phology of the individual diamond crystals. As shown in Fig. 8, diamond
film morphology may range from coarse-grained (diameter >1 ␮m), faceted
crystallines to fine-grained (diameter <0.1 ␮m), ‘‘microcrystalline’’ struc-
tures. The properties of the diamond film and associated performance can
vary widely depending on the selected morphology and application details.
Because of the organic nature of CVD diamond synthesis from carbon-
hydrogen gas mixtures, diamond deposits produced under typical CVD di-
amond growth conditions may contain small fractions of nondiamond or
non-sp3 bonded carbon. Fine-grained deposits (typically grown with higher
CVD Diamond Solutions for Machining 453

Figure 8 Examples of CVD diamond morphology. Depending on the needs of the


application, the morphology of CVD diamond deposits can range from coarse (di-
ameter >1 ␮m) faceted crystallites to fine-grained (diameter <0.1 ␮m) or ‘‘micro-
crystalline’’ structures. The SEM micrograph in (a) shows the free surface of a
coarse-grained diamond film. Note the misorientation of grains relative to each other
and the high density of twin defects observed at the surface. The SEM micrograph
in (b) shows the free surface of a microcrystalline diamond film. The average grain
size for this film is on the order of 100–200 nm. (From Ref. 28.)
454 Cline and Olson

carbon content gas mixtures) generally contain higher amounts of non-sp3


carbon than coarse-grained deposits. In addition to nondiamond carbon de-
fect structure, lattice defects such as vacancies, dislocations, and/or twin
planes may also be incorporated into the films. Microporosity in the form
of fissures and voids has also been reported in thick-film diamond [35].
High-resolution electron microscopy has been used to resolve the fine struc-
ture of lattice defects in films and results have been correlated with growth
conditions and mechanisms [36,37]. The resulting influence of defects on
the material properties has been determined to be a function of the defect
type and density [35,38–42].

2. Structural Analysis of CVD Diamond by


Raman Spectroscopy
Raman spectroscopy has been demonstrated to be one of the most versatile
techniques used to characterize the structural properties of synthetic dia-
mond. Graphite, sp2-bonded amorphous carbon, diamond, and other forms
of carbons have strong and easily identifiable Raman spectra. In diamond
materials, the primary diamond peak exhibits changes in width that have
been related to the degree of structural order, and small shifts in the wave-
number position of the primary diamond peak have been correlated with the
stress state in the diamond. Nondiamond carbon has a distinctly different
Raman signature that is more intense than the diamond spectrum, allowing
the detection of very small amounts of ‘‘graphitic’’ material in CVD diamond
films [43].
Many early CVD diamond references refer to the relative amount of
non-sp3 carbon versus sp3 carbon in a deposit as a measure of CVD diamond
‘‘quality,’’ where the quality was loosely defined by the percentage of non-
diamond carbon and the density of defects incorporated in the deposit [44].
This definition assumes that the ideal ‘‘highest quality’’ Raman characteristic
for polycrystalline CVD diamond is one that mimics the perfect single-
crystal diamond—minimal defect structure with a sharp, narrow signature
Raman peak at 1332 cm⫺1. In applications such as freestanding optics, this
definition may apply. However, in most predominantly mechanical appli-
cations, the best performing material often has intergranular and intragran-
ular defect structures that yield Raman spectra quite different from those of
a pristine single-crystal. That is, the use of the highest crystalline quality
CVD diamond is not necessarily the best overall solution for mechanical
applications of diamond.
The Raman spectrum of the coarse-grained (‘‘higher quality’’) diamond
film depicted in Fig. 8a is presented in Fig. 9. The Raman results show a
sharp primary diamond band located at about 1332 cm⫺1 and a low-intensity,
CVD Diamond Solutions for Machining 455

Figure 9 Raman spectra for a coarse-grained diamond coating: Raman spectrum


of coarse-grained diamond film used for thin-film cutting tool applications. Note the
sharp primary diamond band located at about 1332 ⌬cm⫺1. This primary diamond
band displays a full width at half of the maximum intensity (FWHM) of approxi-
mately 12 ⌬cm⫺1. The individual peaks upon which the experimental data are su-
perimposed were found to represent the best fit solution to the spectrum. The broad
band located at approximately 1556 ⌬cm⫺1 is attributed to a small amount of sp2-
bonded carbon content in the coating. (From Ref. 28.)

broad band located at approximately 1556 cm⫺1. Because the Raman scat-
tering efficiency of the sp2-bonded material is approximately 50 times greater
than that of sp3-bonded carbon [43,45] and the intensity of the broad band
is quite low compared with the first-order diamond band, the sp2 carbon
content is actually quite low in this sample. The corresponding microstruc-
ture of this film displays a high density of microtwinning and a high degree
of misorientation of the free-surface crystal planes (see Fig. 8a). The Raman
spectrum of the microcrystalline (‘‘lower quality’’) diamond film depicted
in Fig. 8b is shown in Fig. 10. In addition to the primary diamond band at
456 Cline and Olson

Figure 10 Raman spectra for a microcrystalline diamond coating: Raman spec-


trum of a microcrystalline diamond film used for thin-film cutting tools or sliding
wear applications. In addition to the primary diamond band located at about 1332
⌬cm⫺1, high-intensity bands at approximately 1357 ⌬cm⫺1 and 1580 ⌬cm⫺1 indicate
a higher component of sp2-bonded carbon material in this sample compared with the
spectrum presented in Fig. 9. The low-intensity band near 1180 ⌬cm⫺1 has been
attributed to a breakdown in Raman scattering rules observed for very small grained
crystals. (From Ref. 28.)

1332 cm⫺1, the Raman results for this sample display several broad bands
at approximately 1357 cm⫺1 and 1580 cm⫺1 that are attributed to disordered
sp2-hybridized carbon bonds. The low-intensity band near 1180 cm⫺1 has
been attributed to a breakdown in Raman scattering rules observed for very
small grained crystals [46,47]. The average size of the diamond grains in
this film is estimated to be in the range of 0.10–0.20 ␮m. The results of
characterization of CVD diamond by many researchers appear to indicate
that sp2-bonded carbon generally resides at the boundaries of the individual
crystallites in the CVD diamond films grown by most techniques [48,49].
In much the same way that impurities can influence the grain size of other
CVD Diamond Solutions for Machining 457

polycrystalline materials, it is likely that significant amounts of nondiamond


carbon actually limit the crystalline periodicity and, therefore, the grain size
in this type of deposit (see Fig. 8b).

3. Effect of CVD Diamond Structure on


Mechanical Performance
In order to investigate the relationship between diamond characteristics and
wear resistance, Olson and Dawes [28] characterized the microstructure,
phase purity, and defect structure for diamond films grown under a variety
of process conditions using scanning electron microscopy (SEM), x-ray dif-
fraction (XRD), and Raman spectroscopy. The material characteristics were
then correlated with ‘‘performance’’ in a metalcutting application. The results
of this examination suggest that the density and type of defect have a very
strong influence on the wear mode and wear rate of the film.
The mechanism and rate of abrasive wear of cutting tools depend upon
free-surface characteristics such as chemical and phase composition and bulk
properties such as strength and toughness [50]. As discussed in Sec. III.A.1,
the phase composition and microstructure of the film surface may vary sig-
nificantly as a function of processing conditions. In most cases, attempts to
characterize the tribological consequence of such free-surface microstruc-
tural variations in CVD diamond films have been unsuccessful due to lim-
itations in adhesion strength [14]. Interfacial reactions between the cutting
tool surface and workpiece during machining can dramatically affect wear
rate; therefore, the potential reactivity between diamond and the workpiece
needs to be considered. In highly abrasive applications (chemical wear ex-
cluded), diamond wear proceeds by brittle fracture or cleavage [50]. Mate-
rials with high hardness are usually brittle and diamond is a notable example.
Fracture analysis of CVD diamond films grown under a range of conditions
reveals that the fracture mode may vary from nearly 100% intergranular to
nearly 100% intragranular [26–28]. In materials that fracture through an
intergranular mode, wear proceeds by crack propagation between individual
crystallites. This fracture mode is typical for CVD diamond films with weak
intergranular bonding. In materials that fracture through an intragranular
mode, wear proceeds by cleavage through the grains of diamond. This frac-
ture mode is typical for CVD diamond films with strong intergranular
bonding.
The general relationship between grain size and strength is well estab-
lished for ceramics and other brittle materials [51]. However, evidence sug-
gests that the operating mechanism that limits grain size in CVD diamond
films has a more significant influence on the wear mechanism than the grain
size itself. Because non-sp3-bonded material often resides preferentially at
458 Cline and Olson

the grain boundaries of the crystals that make up the polycrystalline material
[48,49], this chemical defect can limit the grain boundary strength and may
ultimately alter the wear mechanism. Well-faceted, highly oriented films that
are characterized by weak grain boundaries also display very poor resistance
to wear. A fractographic comparison of weak and strong grain boundaries is
shown in Fig. 11.
In materials that fracture through an intragranular mode, wear may be
controlled through the introduction of planar defects that can act as micro-
scopic crack deflection sites [26–28]. Step formation restrains the propa-
gation of brittle cracks by absorbing extra energy in the vicinity of the
connecting riser between adjacent crack planes. The increase in crack resis-
tance energy associated with step formation depends on the density and
height of the steps [51]. Experimental evidence supports the hypothesis that
planar defects and strong, randomly oriented grain boundaries in CVD di-
amond films may serve as microscopic crack deflection sites that redirect
cracks and absorb crack energy [26–28]. Experimental evidence also sup-
ports that CVD diamond films characterized by intergranular fracture prop-
agation (Fig. 11b) have wear resistance inferior to that of CVD diamond
deposits characterized by intragranular fracture (Fig. 11a) in most applica-
tions [28].

B. Optimizing Adhesion of CVD Diamond Coatings to


Cutting Tools
CVD diamond processes enable cutting tool designers to capture the unique
properties of thin-film diamond while exploiting the fracture toughness and
other bulk properties of the underlying substrate base material. In order to
transform this design concept into functional tooling, the strength of adhe-
sion of the diamond film to the underlying substrate must allow the thin film
and substrate to operate as a ‘‘composite’’ system. Because diamond is a
low thermal expansion material and commercial CVD diamond thin-film

>
Figure 11 Comparison of intergranular and intragranular fracture in CVD dia-
mond. SEM micrographs of the fractured cross section of thin, CVD diamond films
grown on WC-Co substrates show the dramatic variations in fracture characteristics
that can result from simple changes in CVD diamond process conditions. A highly
oriented film seen as the dark layer in the top of the 2000⫻ image in (a) displays
weak grain boundaries and a fracture mode that is intergranular. A randomly oriented
film seen as the dark layer making up most of the 4000⫻ image in (b) displays
strong grain boundaries and a fracture mode that is nearly 100% intragranular. (From
Ref. 74.)
CVD Diamond Solutions for Machining 459
460 Cline and Olson

processes operate at high temperatures, diamond coating requires special


attention to substrate chemistry and general process control that is not as
critical for most other coatings. Diamond coating challenges are amplified
by the fact that the primary market demand is for diamond coatings on
existing grades of tungsten carbide that contain not only cobalt but also
various grain growth inhibitors and metallic impurities. The high-tempera-
ture reactivity of diamond with the cobalt-based binder phase of tungsten
carbide cutting tools generally prevents the direct, high-temperature depo-
sition of CVD diamond directly onto ‘‘off-the-shelf’’ tungsten carbide
grades. Even after high-temperature chemical compatibility of the interface
is ensured using adhesion treatment processes, the thermal expansion mis-
match between the film and substrate often requires attention as it can be
the source of very large residual stresses. Ignoring any one of these factors
can lead to poor interfacial integrity and may result in delamination of the
film or coating during use.
Because overcoming diamond adhesion challenges is part of the crit-
ical path to successfully manufacturing a thin-film CVD diamond cutting
tool, this section is devoted specifically to adhesion issues. Section III.B.1
is an overview of the specific adhesion challenges in coating cutting tools
with a focus on commercial grades of tungsten carbide. Section III.B.2 in-
cludes various approaches that have been used to overcome adhesion barriers
in an effort to capture the enabling characteristics of diamond-coated tung-
sten carbide. Section III.B.3 contains a case study on the development of a
specific adhesion treatment intended for the manufacture of diamond-coated
metalcutting inserts.

1. Diamond-Substrate Characteristics Necessary


for Adhesion
Adhesion strength may be loosely defined as the force or energy required
to separate two objects. It may be thought of as the energy necessary to
break the bonds at an interface, thus driving the extension of an interfacial
crack. Extension of such a crack is referred to as interfacial crack propa-
gation. It is interfacial crack propagation that leads to failure of an interface
and ultimately yields visually apparent signs of component failure referred
to as ‘‘delamination,’’ ‘‘spalling,’’ or ‘‘flaking.’’ Using this definition, inter-
facial failure is defined not solely by a fracture resistance parameter (‘‘in-
terfacial fracture toughness’’) uniquely related to the bonding across the
interface but by the ‘‘adhesion strength,’’ which is determined by the com-
bined influences of interfacial fracture toughness, strength controlling de-
fects, and residual stresses [52]. The interfacial fracture toughness is related
to the type and density of interfacial defects (cracks, voids, weak interfacial
CVD Diamond Solutions for Machining 461

phases, etc.), the density of chemical bonds between the diamond film and
substrate at the interface, and the presence of an interfacial crack deflection
mechanism [52–54]. Therefore, maximizing adhesion strength for CVD di-
amond-coated cutting tools requires minimizing specific interfacial defects
such as voids, cracks, or weak interfacial phases; maximizing chemical bond
density; and providing a crack deflection mechanism that increases the en-
ergy necessary to drive an interfacial crack. In order to minimize the inter-
facial defects and maximize the chemical bond density in diamond-coated
WC-Co, it is essential to maximize diamond nucleation density, ensure that
the interface is chemically stable, and suppress the formation of ‘‘soft’’ non-
diamond phases at the interface. As will be discussed in Sec. III.B.1.d,
toughness-enhancing crack deflection characteristics can be engineered into
the diamond/WC-Co interface.
It should be emphasized that, in practical applications, the ‘‘adhesion
strength’’ (in quotation marks to emphasize the potential difference in failure
mechanism) is a measure of the utility of the tool in the application and its
resistance to decohesion during use. That is, a quantitative assessment of
adhesion strength is meaningless unless the conditions mimic the specific
failure mode observed in the application. Using this alternative definition,
the failure mode commonly described as delamination, spalling, or flaking
is failure that is located at or near the interface. This distinction encom-
passes crack propagation within the nucleation layer of the diamond film as
well as failure within the free-surface grains of the substrate such as the
adhesion-treated region of a diamond-coated WC-Co component. Although
this definition is of much more practical utility, it necessitates the use of
semiquantitative failure analysis to verify the specific mechanism and lo-
cation of the ‘‘interfacial’’ failure.
a. Nucleation Density of Diamond. Effective nucleation of CVD di-
amond onto foreign substrate materials is notably more difficult than nucle-
ation of other hard coatings produced by CVD or PVD processes. Unlike
other hard coating processes, ‘‘diamond seeding’’ processes are often em-
ployed to enhance the nucleation density of diamond [55]. Heteroepitaxially
grown diamond films begin as submicrometer-sized nuclei formed on the
top of a nondiamond substrate. The physical characteristics of the nuclei are
dependent on the deposition conditions and the composition of the under-
lying substrate. As schematically shown in Fig. 12, subsequent growth nor-
mally occurs by addition of atoms to already formed nuclei rather than by
continued formation of new nuclei. If the individual nuclei are separated by
a ‘‘large distance,’’ interfacial voids may form when the individual nuclei
coalesce and form a continuous layer. By maximizing the nucleation density,
the inclusion of internucleic voids at the interface may be minimized. Be-
462 Cline and Olson

Figure 12 CVD diamond nucleation and growth. On many nondiamond surfaces,


growth of CVD diamond films occurs by a Volmer-Weber or ‘‘island growth’’ type
of nucleation/growth mechanism. In this process, a finite number of nuclei are
formed during a nucleation stage (a). Following this stage, adatoms are incorporated
into the individual nucleation sites until the islands have grown to some maximum
diameter at which their boundaries intersect (b). If the density of diamond nuclei is
low and individual nuclei are separated by large distances relative to their size,
interfacial voids will form when the nucleation sites have grown to a size at which
their diameters coalesce (b). The size of these interfacial or internucleic voids may
be minimized (assuming the same nucleation and growth mechanism) by increasing
the nucleation density as shown in (c). (From Ref. 74.)

cause these voids may act as crack nucleation sites, they can lower the
critical stress necessary for crack propagation at the interface. Increasing the
nucleation density also reduces the time necessary for the nuclei to coalesce
into a continuous film. Many methods of optimizing the nucleation density
for a range of substrate materials have been presented in the CVD diamond
synthesis technical literature [55–60] and the details are not discussed in
this chapter.
b. Interfacial Chemical Bonding. The chemical composition of
some substrate materials can impair or negate the ability to form strong
bonds between the film and substrate, especially with the high-temperature
nature of CVD diamond processes. Physical or mechanical adhesion alone
is simply not sufficient to ensure performance. Chemical bonding is neces-
sary to counter the interfacial stresses induced by the thermal expansion
mismatch and the applied load of cutting tool operations. Several approaches
CVD Diamond Solutions for Machining 463

may be taken to optimize the chemical bonding between the film and sub-
strate. In general, the most effective means of maximizing bond density is
by minimizing the mismatch between coefficients of thermal expansion and
lattice spacings between the film and substrate. A more detailed considera-
tion of this topic may be found in Allen [61].
For the specific case of CVD diamond films on carbide-forming sub-
strates (Ta, Cr, Ti, W, etc.), a carbide ‘‘interphase’’ may be formed that can
relax the lattice mismatch between the film and substrate [62,63]. However,
in the case in which amorphous carbon or other nondiamond material is
used to enhance the nucleation density, these nondiamond layers may form
a weak interfacial layer suppressing the strength of chemical diamond-sub-
strate bonds. Therefore, deposition process conditions must be carefully con-
trolled in order to suppress the formation of a weak interfacial nondiamond
phase or, if this phase cannot be avoided, to minimize its thickness.
c. Substrate Chemical Composition. Because cobalt catalyzes the
formation of nondiamond carbon (sp, sp2-bonded carbon) in the diamond-
CVD growth environment, it is important that the binder phase be absent
from the substrate surface during nucleation [64,65] and that diffusion of
the binder phase from the bulk to the free surface is suppressed [66]. It is
believed that the presence of Co at the growth surface or at a region of
already formed diamond can perturb the phase stability of the deposit. Under
typical CVD growth conditions, this may result in a phase transformation
from diamond to graphite or other nondiamond carbon phases. The solubility
of carbon in cobalt under the elevated temperatures of CVD diamond dep-
osition conditions may drive the dissolution of the carbon at the interface
into the binder phase [67]. For this reason, the binder phase composition
and the proximity of the binder phase to the diamond film may also affect
the phase stability of the interface when subsequently exposed to the high-
temperature diamond deposition conditions.
d. Interfacial Microstructure. Consider a film-substrate system as il-
lustrated in Fig. 13, which is characterized by an ‘‘atomically smooth’’ in-
terfacial microstructure. Because adhesion strength is related to the density
of chemical bonds that are present at the interface, the only resistance to
interfacial crack propagation in this case is provided by the strength of these
chemical bonds. If we consider the interface to contain residual stress and
other weakening characteristics such as internucleic voids, the applied force
required to drive an interfacial crack is further reduced.
Now consider the film-substrate system as illustrated in Fig. 14, which
is characterized by a rough interfacial microstructure. Recalling the previous
definition that adhesion strength is a measure of the force or energy required
to drive a crack along the interface between the film and substrate, this
464 Cline and Olson

Figure 13 Schematic representation of a smooth substrate-film interface system.


Interfacial crack extension or propagation is resisted only by chemical bonding be-
tween the film and substrate. If an additional stress is applied to a film that has a
preexisting crack at the interface (a), this crack will extend along the interface re-
sulting in delamination (b). The adhesion strength of films that display this type of
interface is limited by the strength and density of the chemical bonds, residual
stresses, and the type and density of interfacial defects such as cracks or voids.
(From Ref. 74.)

energy needed is significantly increased for a system that incorporates a


nonporous, ‘‘rough’’ interface. This is due to several factors. Generally, the
diamond-WC interface (assuming that no Co or Co interaction is present)
can be considered a brittle interface. In brittle materials, crack propagation
occurs very rapidly due to high crack velocities. Studies of single-crystal
diamond have shown that the crack velocities exceed 5000 m/sec [68]. For-
tunately, the same crack deflection phenomenon described for the bulk di-
amond in Sec. III.A.3 is also applicable to the diamond-substrate interface.
For a crack to extend along the interface, it must follow a tortuous path as
it is redirected along the rough interface as illustrated in Fig. 14. Because
of the high crack velocity, the lowest energy crack path is most likely a
linear path into the film or into the substrate. Extension of the crack into
the film or into the substrate may pin the crack tip and arrest the interfacial
crack, effectively toughening the interface. In addition, because the inter-
facial surface area between the film and substrate is much greater for rough
interfaces, the increased density of chemical bonds further enhances adhe-
sion strength.
CVD Diamond Solutions for Machining 465

Figure 14 Schematic representation of a rough substrate-film interface system. In


addition to the increase in surface area available for chemical bonding, this type of
interface may provide a tough, crack deflection mechanism. In brittle interfaces
characterized by little plasticity, crack extension is redirected from the interface (a)
and may be arrested by the film or substrate (b). Thus, the increase in chemical
bond density in addition to the toughening mechanism results in an increase in
resistance to interfacial crack propagation and delamination. (From Ref. 74.)

2. Selected Approaches to Solving the Tungsten Carbide


Adhesion Problem
a. Wet-Chemical Etching Processes. Wet-chemical etching tech-
niques have been commonly used as an adhesion treatment approach tar-
geted at removing the metallic binder phase from the surface of WC-Co
substrates. For example, Kikuchi et al. [69] proposed the formation of an
‘‘etch layer’’ extending between 0.1 to 1.0 ␮m into a WC-Co substrate with
a 1–4 wt% Co content. Etching methods successfully allow the nucleation
and growth of diamond films on the etched surface without the preferential
deposition of graphite. However, most wet-chemical techniques require strin-
gent process control to ensure utility of the diamond-coated part. The binder
phase, which is located at the interstices of the hard, WC grains, provides
the toughness characteristic of ductility to the WC-Co material. It also serves
to ‘‘cement’’ the hard, somewhat contiguous, WC ‘‘skeletal structure’’ to-
gether to form a composite material with excellent mechanical properties.
Chemical removal of the binder phase to a depth greater than the dimension
of the free-surface WC grains essentially removes the cement and generally
results in the formation of an embrittled layer at the surface of the WC-Co
466 Cline and Olson

part. In the presence of residual thermal stresses or applied stresses encoun-


tered during use, failure of the interface by WC grain ‘‘decohesion’’ or by
crack extension in this embrittled area may result in delamination of the film
as illustrated in Fig. 15. Diamond-coated tungsten carbide components that
have failed by this mode characteristically have WC grain pullout from the
WC-Co substrate onto the back side (interface/nucleation side) of the delam-
inated diamond film. An example of this is shown in Fig. 16.
By contrast, the removal of the binder phase to a depth less than the
dimension of the free-surface WC grains may allow too much chemical
interaction between the diamond and binder phase at the high temperatures
typical of traditional CVD diamond synthesis. This interaction results in
dissolution of the diamond and diffusion of the carbon into the metallic
binder phase as well as diffusion of the binder phase into the diamond as
illustrated in Fig. 17. This effectively reduces the interfacial contact area

Figure 15 Overetched WC-Co surfaces yield WC-Co/diamond interfaces that are


susceptible to mechanical failure. (a) A schematic representation of the cross section
of a WC-Co substrate. The goal of most wet-chemical etch processes is to remove
the binder phase from a region near the free surface of the WC-Co surface. If the
etch depth extends further into the substrate than the top layer of the WC grains (b),
the toughness of the interface will be severely degraded. Following diamond dep-
osition on this surface (c), the diamond-coated part will cool from deposition tem-
perature. Because of the mismatch in the coefficient of thermal expansion between
the film and substrate, the interface will be in tension due to residual thermal stresses.
In the presence of further applied stresses, crack extension along the interface be-
tween the near-surface WC grains and the unetched region of the substrate (d) can
lead to failure of this region. This is sometimes referred to as grain pullout as the
interface side of the diamond film contains WC grains that were pulled from the
substrate (e). (From Ref. 74.)
CVD Diamond Solutions for Machining 467

Figure 16 SEM micrograph of the interface side of a diamond coating that de-
laminated due to the failure mode shown in Fig. 15. The bright contrast, faceted
grains were verified to be WC grains using energy dispersive spectroscopy (EDS).
Detection of these WC grains on the interface side of the diamond film verifies that
failure of the interface region occurred by crack extension in the region of the
substrate in which the binder phase was removed. (From Norton Diamond Film/
Saint Gobain Industrial Ceramics, Inc.)

between the film and substrate and sacrifices the mechanical integrity of the
diamond-coated component. This failure mode has also been characterized
by SEM and energy dispersive spectroscopy (EDS) as presented in Fig. 18.
Metal machining operations induce cutting forces that often exceed the
level of adhesion offered by etching processes. Extensive cutting tests and
interfacial analysis of diamond coatings on pre-etched WC-Co surfaces in-
dicate* that etched interfaces of common WC-Co grades offer an insufficient
level of adhesion for reproducible performance in applications such as alu-
minum machining. The adhesion limitations of etched WC-Co are especially
evident under more aggressive machining conditions that induce impact
forces on the interface. Notable examples include milling, interrupted turn-
ing, and the machining of workpiece materials with structural inhomoge-
neities (e.g., high-silicon aluminum, MMCs). Other testing has indicated that
good etching process control can allow production of diamond films on

*Comment is based on studies performed within and monitored by Norton Diamond Film
prior to business closure.
468 Cline and Olson

Figure 17 Underetched WC-Co surfaces yield WC-Co/diamond interfaces that are


susceptible to chemical degradation during coating. (a) Schematic representation of
the cross section of a WC-Co substrate. (b) The goal of most wet-chemical etch
processes is to remove the binder phase from a region near the free surface of the
WC-Co surface. (c and d) If the etch depth does not extend sufficiently far into the
substrate, the binder phase and diamond film may interact under the high tempera-
tures typical of most CVD diamond growth conditions. The Co can induce a phase
transformation from diamond to graphite. The carbon then diffuses into the binder
phase as the cobalt diffuses into the film. The resulting interfacial porosity results
in poor bonding between the film and substate. (e) Depending on the magnitude of
the damage, delamination of the film can occur because of residual thermal stresses
or the applied stresses of the application. (From Ref. 74.)

>
Figure 18 Structural and chemical analysis of cobalt-induced interfacial degra-
dation. At the high temperatures present in typical CVD growth environments, dif-
fusion of the binder phase into the film and subsequent diffusion of carbon from the
film into the binder phase may occur. Given time, the diamond-substrate interface
may be dissolved, thereby reducing the interfacial contact area and adhesion strength.
The SEM image of a film that failed by this mode (a) was taken of the interface
side of a diamond film following delamination. The pores displayed in the image
were created in the diamond film by binder phase diffusion from the bulk into the
film during deposition. Within the interior of the voids, small spheres of Co have
solidified upon cooling down from deposition temperature. The corresponding en-
ergy dispersive spectrum (b) identifies the composition of the small, bright spheres
as primarily cobalt. Co-diamond interactions may also be viewed in cross section as
observed in the composite SEM image of a diamond WC-Co interface shown in (c).
CVD Diamond Solutions for Machining 469

This SEM image was taken of the interface region between a diamond film and
WC-Co substrate in which the interface between the diamond and substrate has
resulted in the diffusion of carbon from the diamond into the binder phase of the
substrate. Upon cooling down from deposition, the carbon in the binder phase has
precipitated out in the form of graphite (shown as the ‘‘fuzzy’’ dark contrast material
in the central region of the image). (From Ref. 74.)
470 Cline and Olson

Figure 18 Continued

common grades of etched WC-Co in applications that generate lower cutting


forces such as nonmetallic machining.
b. Diffusion Barrier Approaches. Recognizing that a physical bar-
rier or so-called diffusion barrier to diamond-binder interaction may improve
adhesion by preventing interaction between the binder phase and the dia-
mond film, other researchers [70–72] have developed techniques for the
CVD Diamond Solutions for Machining 471

formation of interlayers between the CVD diamond film and WC-Co sub-
strate. Proper selection of such a layer could also reduce residual stresses
between the diamond film and the underlying substrate by choosing an in-
terlayer material with a coefficient of thermal expansion that falls between
the film and underlying substrate. Destructive analysis of commercial CVD
diamond products produced by various industrial vendors indicates that in-
terlayer technology is used; however, the specific intent of the interlayer
design was not evident and observed failures were typically at the inter-
layer.* Testing indicated that the specific approaches studied in commercial
samples failed to yield sufficient interfacial toughness to resist delamination
in abrasive aluminum machining; however, the interfacial integrity of the
same products may have been suitable for other less aggressive operations.
Academic research on interlayers reported by Kupp [71] revealed a sup-
pression of chemical interaction but generally poor cutting test performance
based on industrial expectations. Although diffusion barrier approaches can
add measurable cost and complexity to adhesion treatment, it is quite pos-
sible that unique interlayer solutions will evolve as the CVD diamond in-
dustry advances.
c. Mechanical Roughening Techniques. Other researchers have rec-
ognized that rough interfaces potentially provide an increase in the adhesion
strength of CVD diamond films or WC-Co materials. This has led to meth-
ods that include mechanical roughening by abrasion, wet-chemical etching
of the WC phase [73], and laser ablation or blasting with abrasive grit.
Unfortunately, most of these techniques form an interface that has sustained
significant damage and actually reduce the interfacial toughness rather than
improve it.
d. High-Temperature Heat Treatments. Saijo and coworkers [73]
discuss a process for treatment of WC-Co with a binder phase of 4 wt% or
less using a decarburizing gas composed of oxygen and hydrogen at a tem-
perature between 500 and 1200⬚C. Although the decarburization of the free-
surface WC grains promotes chemical bonding between the diamond film
and substrate through recarburization during CVD diamond deposition, the
method produces a free surface in which the WC grains are smaller than in
the bulk. According to Olson and Windischmann [74], this process therefore
does not provide the crack deflection or interfacial toughening mechanism
believed to be essential in highly abrasive applications and may create an
embrittled surface layer due to decreased grain contiguity.

*Comment is based on studies performed within Norton Diamond Film prior to business
closure.
472 Cline and Olson

e. Present Status and Future Needs for Adhesion Treatments. The


often undisclosed, proprietary adhesion treatments used by diamond coating
vendors have been successful in producing suitable adhesion for selected
applications but have still not resulted in diamond-coated WC-Co products
that can be used for the full range of machining applications envisioned for
CVD diamond a decade ago. For instance, diamond-coated tungsten carbide
products offer measurable performance advantages in machining selected
nonmetallic materials; however, adhesion issues have clearly limited the suc-
cess in machining common metallic workpiece materials such as aluminum.
It now seems apparent that the nature and extent of adhesion challenges for
diamond-coated tungsten carbide cutting tools and wear parts create the need
for a range of adhesion treatment solutions that are selected based on the
specific demands of the application. For purposes of instruction, Sec. III.B.3
contains a case study involving adhesion treatment technology developed
specifically for metalcutting insert manufacture. Section IV.B.1.a contains
related metal cutting results.

3. Case Study: Development of an Adhesion Treatment for


Metalcutting Inserts
In order to maximize adhesion strength of diamond coatings of WC-Co
substrate materials, it is essential to optimize many key features of the di-
amond-substrate interface. Unless all of these characteristics are in place,
optimal adhesion strength cannot be realized for the most abrasive appli-
cations. In an approach reported by Olson and Windischmann [74], the es-
sential characteristics of the diamond-substrate interface are produced that,
acting together, optimize the adhesion strength of the diamond film to the
underlying substrate. These characteristics fall in three general categories:
(1) chemical composition, (2) phase composition, and (3) microstructural
composition. The remainder of this section contains a detailed discussion of
the specific attributes of this adhesion treatment for WC-Co cutting tool
inserts.
The chemical composition of the interface is altered to avoid delete-
rious binder phase–diamond reactions that can reduce the chemical bonding
of the diamond film to the substrate and also induce a phase transformation
of the diamond film to graphite. However, unlike techniques that remove
the binder phase to some depth below the exposed WC-Co substrate surface,
binder phase removal is done in a way which limits removal to an area that
is directly exposed to the CVD growth species or ‘‘free surface.’’ In addition,
the composition of the WC phase at the free surface is altered to maximize
the density of direct chemical bonding between the diamond film and sub-
strate. Unlike chemical etching methods which decarburize the WC grains
CVD Diamond Solutions for Machining 473

by chemically ‘‘attacking’’ them, this is performed in a manner intended to


maintain the mechanical properties of the substrate and interface. Finally,
the microstructural composition of the interface is altered to minimize crack
nucleation sites due to interfacial voids and provide a toughening, crack
deflection mechanism that resists interfacial crack propagation. This feature
essentially arrests or deflects cracks that may nucleate at the interface and
impedes the propagation of these cracks, thereby suppressing delamination.
The process utilizes commercially available WC-Co cutting tool inserts
with a specific grain size and composition. The typical composition of the
starting grade is 94.0 wt% WC, 6.0 wt% Co with an average grain size of
the WC phase of about 1–2 ␮m (Sandvik H13A, Carboloy 883, and others).
Because the substrate in this case is in the form of a commercially available
cutting tool insert, the free surface of the material is usually finish ground
to final dimensional tolerances. Therefore, the free-surface usually displays
the impression of residual grind lines and surface damage shown in Fig.
19a. This surface contains fragments of WC grains that have been fractured
during the grinding step and a binder phase, which is composed mostly of
Co, smeared across the surface.
In the current approach, the free-surface binder phase is vaporized
using a proprietary technique. Because of the nature of the process, the free-
surface WC grain size is increased while the stoichiometry of the WC grains
is shifted to a slightly C-deficient ratio. This leaves the free surface or
‘‘shell’’ of individual WC grains at the free surface of the substrate with a
slightly decarburized ‘‘case.’’ Figure 19b shows the marked change in sur-
face structure relative to the as-received WC-Co surface in Fig. 19a. Ele-
mental analysis of the adhesion treated surface (Fig. 19b) by EDS indicates
a surface that is essentially free of metallic binder phases (Fig. 19a). The
combination of the simultaneous vaporization of the binder phase, which
consequently increases the surface free energy of the WC grains, and the
slight decarburization of the WC grains increases the dangling bond density
of the free-surface grains. Enlargement of these free-surface WC grains is
induced simultaneously with the binder phase vaporization and shift in stoi-
chiometry. Thus, all three components of the required interface may be
evolved: (1) the binder phase is removed through vaporization, (2) the chem-
ical bonding between the diamond film and substrate may be maximized
through a recarburization/diamond film growth step, and (3) the enlarged
WC grains coupled with a high nucleation density provide the crack deflec-
tion enhancing microstructure that toughens the interface. All of these fea-
tures are created using a one-step process developed to produce these char-
acteristics in a way that minimizes the trade-offs of other important physical
features of the carbide substrate.
474 Cline and Olson

Figure 19 (a) SEM micrograph showing the finish-ground surface of a 6% Co-


WC cutting tool. Most adhesion technologies are targeted at removal of the metal
binder that is smeared on the surface during grinding. (b) Using the surface modi-
fication technique described in Sec. III.B.3, the binder phase can be selectively
removed from commercially available grades of as-ground WC-Co cutting tool in-
serts. As the image depicts, the WC grains are restructured to create a pristine WC
surface ready for diamond coating. EDS spectra (not shown) indicate that an essen-
tially cobalt-free substrate surface can be produced by this technique. (From Ref.
74.)
CVD Diamond Solutions for Machining 475

Following the evolution of the surface microstructure and chemical


composition of the substrate as just described, the surface is coated with a
diamond film [74]. Diamond growth was carried out in both high-rate, mi-
crowave plasma CVD and DC arcjet CVD systems under high deposition
rate conditions that suppress bulk-to-interface diffusion of the binder phase.
The early stages of the CVD diamond process were tailored to optimize the
nucleation density without formation of a nondiamond interphase. The char-
acteristics of the diamond film have been developed to optimize the wear
properties for abrasive environments as described in Sec. III.A and previ-
ously by Olson and Dawes [28]. The interface structure of a diamond-coated
WC-Co cutting tool insert prepared by this technique is contrasted with that
of a diamond-coated insert prepared by chemical etching in Fig. 20. Section
IV.B.1.a contains the aluminum machining performance of inserts produced
using this specific interfacial engineering approach.

IV. CVD DIAMOND CUTTING TOOL PERFORMANCE

As discussed in Secs. II and III, there are many factors that can affect the
performance of a specific CVD diamond cutting tool design in a chosen
application. This section contains application case studies of both thin-film
and thick-film CVD diamond cutting tools. The case studies are intended to
clearly show that the relative performance advantage of CVD diamond tool-
ing over conventional tooling varies widely depending on the specifics of
the machining application and the tool design concepts employed. The case
studies include discussion of the most critical application characteristics as
well as the cutting tool wear mechanisms that dictate tool life and machining
efficiency.

A. Freestanding Thick-Film CVD Diamond Cutting


Tool Performance
1. Thick-Film CVD Diamond Insert Performance
a. ‘‘High-Silicon’’ Aluminum Machining with Thick-Film CVD Dia-
mond. As noted in Table 2 (Sec. II.C.2), silicon is commonly added to
aluminum compositions to modify alloy properties. The Si-Al alloy has a
eutectic near 12.2% Si-Al. Higher amounts of silicon in the composition
result in the precipitation of hard, abrasive silicon particles in the workpiece
that enhance the wear resistance of the aluminum surface. For instance, A390
(18% Si-Al) hypereutectic aluminum, which is commonly used in automo-
tive pistons, contains approximately 6% hard silicon particles that vary in
476 Cline and Olson

Figure 20 Interfacial comparison of two adhesion treatment approaches. Suitable


diamond deposition onto substrates produced using the surface modification tech-
nique described in Sec. III.B.3 yields an interface that is free of large voids and of
the chemical interfacial degradation observed in Co-diamond interactions. (a) Cross-
sectional SEM image of the diamond film–substrate interface. (b) For comparison,
a cross-sectional SEM image of a diamond film on an etched WC-Co substrate. Note
the porosity of the binder-depleted zone (embrittled layer) and the low interfacial
roughness of the interface shown in (b) versus (a). (From Ref. 74.)
CVD Diamond Solutions for Machining 477

size and distribution depending on the aluminum processing technique. Ac-


cording to Whitacre, a piston industry representative [75], ‘‘diamond tooling
is an absolute requirement to successfully machine hypereutectic [high-sil-
icon] alloys.’’ Because the volume of silicon particles increases with increas-
ing silicon content, the machinability of low-silicon hypoeutectic aluminum
alloys is significantly better than that of high-silicon hypereutectic aluminum
alloys.
Although PCD tooling is widely used for hypereutectic alloy machin-
ing in the piston industry, some companies have been benefiting from the
enhanced tool life offered by brazed-on, thick-film CVD diamond tips since
the early 1990s. CVD diamond properties captured in a properly brazed and
finish-ground thick-film CVD diamond tool tip can yield extended tool life
in hypereutectic aluminum alloys while producing better and more consistent
surface finish than PCD. For a piston machining operation, Hay [8] reported
that a CVD diamond tool offered three times the tool life of PCD in a finish
profile turning operation. Using a cutting speed of 700 m/min, water–soluble
oil coolant, and a depth of cut of 0.15 mm, A390 hypereutectic aluminum
pistons were machined in a two-pass operation with the first pass using a
feed rate of 0.30 mm/revolution and the second pass using a feed rate of
0.15 mm/rev. The 25-␮m grain size PCD tools yielded approximately 10,000
pistons/tool before finish specification was lost. By comparison, the prop-
erties of CVD diamond enabled the facility to produce an average of 30,000
pistons/tool in the same operation.
The 100⫻ SEM image in Fig. 7 is that of a wear scar found on a CVD
diamond piston turning tool after machining A390 aluminum pistons. This
tool has worn to a point that requires regrinding of the flank face of the tool
in order to resharpen the cutting edge. Depending on the extent of edge
damage before each resharpening, tools in this application are reground more
than five times before disposal. During its useful lifetime, a single CVD
diamond tip is capable of machining over 100,000 high-silicon aluminum
pistons. Wear of the CVD diamond can be seen along the cutting edge where
the finish-ground flank face of the tool meets the polished rake face.
The higher magnification 500⫻ image in Fig. 21 shows that the wear
scar has some striations that may be the result of anisotropic wear of the
diamond crystallites or, more likely, silicon particle impact damage. Hard
particle damage to the polished rake face would tend to evolve into striations
along the flank face wear surface, which is in constant contact with the
rotating piston. Although the tool tip is visually reflective with no significant
buildup of aluminum, the dark region of contrast at the top of the 100⫻
image in Fig. 7 is likely to be a submicrometer aluminum layer resulting
from chip flow. Also noteworthy is the apparent waviness of the rake face
in the bright region on the left side of the 100⫻ image. This feature is
478 Cline and Olson

Figure 21 Flank-face SEM image of a freestanding CVD diamond cutting tool


after use in turning high-silicon aluminum pistons. This image is a 500⫻ close-up
of the 100⫻ image shown in Fig. 7. A single CVD diamond tip is capable of
machining over 100,000 high-silicon aluminum pistons. (From Norton Diamond
Film/Saint Gobain Industrial Ceramics, Inc.)

probably induced by the erosive nature of the Si-Al chips that are formed
in this region of the tool.
b. ‘‘Low-Silicon’’ Aluminum Machining with Thick-Film CVD Dia-
mond. Although hypoeutectic low-silicon aluminum compositions have
good machinability and can generally be machined with nondiamond tooling
materials such as tungsten carbide, diamond-tipped tooling is commonly
used in mass production environments, which benefit from diamond’s ability
to endure many hours of stable, high-speed operation. Drury has reported
(T. Drury, personal communication, 2000) [1] performance in an aluminum-
finishing operation in which CVD diamond-tipped tooling not only outlasted
PCD by 30% but also maintained the required surface finish throughout the
tool life. Hay [8] has reported the results of a finish-boring application where
hard, anodized 6061 aluminum turbine cases were machined with both CVD
and PCD diamond-tipped tools. Using a cutting speed of over 457 m/min,
a depth of cut of 0.013 mm, and a feed rate of 0.05 mm/rev, CVD diamond
outperformed fine-grain PCD (5 ␮m grain size) under stringent surface finish
specifications. The CVD diamond tool reportedly increased tool life from
106 parts per tool up to 345 parts per tool and surface finish was more
consistent than that of the PCD tooling. This is one of many examples where
CVD Diamond Solutions for Machining 479

thick-film CVD diamond not only extends wear life but also produces and
maintains superior surface finish relative to PCD tools.
c. Metal Matrix Composite Machining with Thick-Film CVD Dia-
mond. As noted in Table 2 (Sec. II.C.2), metal matrix composites (MMCs)
are typically reinforced with over 20% ceramic particles or fibers, making
them extremely difficult or impossible to machine with conventional tooling
such as cemented carbide. One of the present uses of MMCs is for auto-
motive brake rotors where aluminum matrix composites are employed.
MMCs are also of interest for improved high-speed rail braking systems and
components for the electronics industry. CVD diamond tooling has shown
promise as an alternative to PCD tooling or, in some cases, grinding or
water-jet cutting MMCs.
In tests performed by an Austrian research group [76] using a 30%
SiC reinforced aluminum-matrix composite, it was determined that larger
grain size PCD significantly outperformed smaller grain size PCD. In the
same tests, CVD diamond-tipped tools outperformed all forms of PCD tested
in finishing the MMC material. As an example, after 10,000 m of finishing,
the CVD diamond tool had a wear scar less than 25 ␮m in width, whereas
25- and 40-␮m grain size PCD had worn to over 120 and 230 ␮m, respec-
tively. The superior wear resistance offered by CVD diamond is attributed
to its binder-free, pure diamond construction, which reduces the tendency
for diamond grain ‘‘pullout’’ when eroded by the SiC particles. Because
CVD diamond can be manufactured with high diamond-to-diamond grain
boundary strength, the grain size of the diamond crystallites is not nearly as
critical for CVD as it is for cobalt-sintered PCD. However, as discussed in
Sec. III.A, the grain boundary strength of CVD diamond deposits is likely
to be very critical in abrasive applications such as MMC machining.
The high erosion resistance of thick-film CVD diamond in machining
MMCs and high-silicon aluminum materials is probably due to the combi-
nation of diamond’s hardness, fracture toughness, lubricity, and other key
properties. High-silicon aluminum or MMC machining requires very high
erosion resistance to hard particles. In general, the metal matrix of both
MMCs (including high-silicon aluminum) is free-machining aluminum;
therefore, tool wear is dictated by the size and distribution of the hard par-
ticulate reinforcement. Table 3 contains erosion resistance data for CVD
diamond and other materials known for their hardness or wear resistance.
In these tests, CVD diamond clearly showed superior erosion resistance
compared with alternative tooling materials with a relative volume loss four
times lower than for PCD and 120 times lower than for tungsten carbide.
The performance of thin-film tooling in erosive workpiece materials requires
not only erosion-resistant diamond but also interfacial impact resistance be-
480 Cline and Olson

Table 3 Relative Erosion Resistance of CVD Diamond and Other Materials

Material Relative volume loss

CVD diamond (low pressure, vapor deposited) 1


PCD (high pressure, cobalt sintered) 4
Cemented tungsten carbide (6% Co-WC sintered) 120
Aluminum oxide ceramic (99.5% Al2O3) 220
Silicon carbide ceramic 360
Silicon nitride ceramic 920
Erosion test parameters:
2% SiC particles in water (30 grit; >0.5 mm
average particle size)
91.4 m/sec abrasive flow rate
45⬚ angle of impingement

Source: Norton Diamond Film, SGIC, Inc. internal test data.

tween the tooling material and the coating. The performance of diamond-
coated inserts in high-silicon aluminum machining is discussed in Sec.
IV.B.1.a. The performance of diamond-coated drills in MMCs is discussed
in Sec. IV.B.2.c.
d. Sintered Tungsten Carbide Boring with Thick-Film CVD Dia-
mond. Tungsten carbide not only offers unique solutions as a cutting tool
material as discussed in Secs. I.B.2 and II.B, but also is commonly used in
tough, wear-resistant wear parts. In selected applications, tungsten carbide
is precision machined using PCD, PCBN, and, more recently, CVD diamond.
In a boring application, a 25% Co-WC cylinder with a 20 mm inner diameter
and 40 mm length was machined using a speed of 30 m/min, feed of 0.05
mm/rotation, and depth of cut of 0.12 mm. Flood coolant was used. The
results are summarized in Fig. 22. The CVD diamond thick-film tool ma-
chined eight parts before failure. PCD machined only five parts per tool and
PCBN was only able to machine one part. Another carbide boring applica-
tion demonstrated the ability of CVD diamond to produce a similar finish
to single-crystal diamond tooling. The ability to machine dry, with no cool-
ant, has also been demonstrated with CVD diamond thick-film tooling.

2. Thick-Film CVD Diamond Rotary Tool Performance


The performance advantages of thick-film CVD diamond relative to PCD
are similar to that observed for thick-film CVD diamond inserts. For ex-
ample, in a 19% Si-Al wrist pin bore reaming operation, a PCD reamer was
replaced with a thick-film CVD diamond blade reamer insert. Reamer life
CVD Diamond Solutions for Machining 481

Figure 22 Performance comparison of CVD diamond, PCD, and PCBN in sin-


tered tungsten carbide boring (25% Co-WC). (Data provided by a customer of Nor-
ton Diamond Film/Saint Gobain Industrial Ceramics, Inc. and Ceratonia.)

was extended by three to four times using a cutting speed of 628 m/min,
speed of 51 mm/rev, with a depth of cut of 0.076 mm per side. Even though
the potential performance advantage are significant, the present use of thick-
film CVD diamond in rotary tooling including reamers, end mills, routers,
and drills is much less extensive than the usage in the simpler geometries
of inserts. One of the major barriers for thick-film CVD rotary tools is the
inability to EDM ‘‘wire-cut’’ CVD in a manner similar to that with PCD.
Many of these challenges will ultimately be overcome as methods of fab-
ricating with flat, thick-film CVD shapes evolve or alternative, adherent
solutions are developed with thin-film diamond. Since diamond-coated tung-
sten carbide rotary tools are the present focus of the industry, performance
advantages of thin-film diamond rotary tool designs are discussed exten-
sively in Sec. IV.B.2.

B. Thin-Film CVD Diamond Cutting Tool Performance


1. Thin-Film CVD Diamond Insert Performance
Depending on the application, diamond-coated inserts can show a cost-per-
formance advantage relative to uncoated carbide, other hard coatings, and
482 Cline and Olson

PCD. Although there are cases where single corners of a CVD diamond
insert will outperform a single PCD tip, the indexability (and disposable
design) of diamond-coated inserts is generally a key advantage relative to
regrindable PCD tips. CVD diamond-coated inserts generally cannot produce
the superior surface finish that is expected from fabricated PCD tips. In some
cases, diamond-coated insert design limits the use of diamond-coated tools
to roughing and intermediate finishing processes. In such instances, fabri-
cated, thick-film CVD diamond tips are a viable alternative for long wear
life and improved surface finish over PCD.
a. Case Study: Performance of Diamond-Coated Inserts. To evalu-
ate fully the level of performance of the CVD diamond-coated WC-Co cut-
ting tool inserts manufactured using the process described in Sec. III.B.3,
inserts were tested in a range of industrial applications under a variety of
conditions involving workpiece materials ranging from graphite to hyper-
eutectic aluminum. The tests were carried out in machining laboratory set-
tings as well as various industrial settings by independent evaluators, and
the results were compared with those for tools traditionally used in the spe-
cific application.
HIGH-SILICON ALUMINUM TURNING. In A390 high-silicon aluminum
turning tests, the tools were demonstrated to last significantly longer than
uncoated carbide tools or PCD-tipped tools. Using a cutting speed of 680
m/min, feed rate of 0.2 mm/rev, and depth of cut of 1.0 mm, diamond-
coated C2 grade WC-Co TPG 321 style inserts were tested against uncoated
carbide, coarse grain PCD (25 ␮m grain size), and thick-film CVD diamond
of the same tool geometry. Both the PCD and thick-film CVD tools are
regrindable by design; the diamond-coated and uncoated carbide tools are
disposable. Tools were tested to a predetermined failure criterion defined by
0.375 mm of flank wear. Figure 23a shows the results of the tool life testing.
Thick-film CVD diamond (500 ␮m diamond brazed-on and finish ground)
produced the best per tip tool life, followed by thin-film CVD diamond (25–
35 ␮m coating), PCD, and uncoated C2 grade, 6% Co-WC carbide. Because
diamond-coated tools are indexable and a TPG 321 tool is triangular, the
per tool lifetime was actually highest for the disposable CVD diamond thin-
film tools. The thin-film tool’s rough interface (created by the adhesion
treatment discussed in Sec. III.B.3) coupled with the faceted diamond mor-
phology critical to high wear resistance in the A390 workpiece limits the
ability of this tool design in finishing selected workpiece materials. As Fig.
23b summarizes, the finish-ground cutting edges on both PCD and thick-
film CVD diamond yielded surface roughness values near 0.4 ␮m R a,
whereas, the diamond-coated tools produced values near 0.75 ␮m R a.
Using this and other case studies, the adhesion treatment approach
discussed in Sec. III.B.3 proved to offer suitable adhesion to endure various
CVD Diamond Solutions for Machining 483

Figure 23 Comparison of PCD, CVD diamond thick-film, CVD diamond thin-


film, and uncoated WC-Co tooling in turning high-silicon aluminum. Tool lifetime
is compared in (a). The surface finish of the Mahle A390 (⬃18% Si-Al) workpiece
is shown in (b). (From Norton Diamond Film/Saint Gobain Industrial Ceramics,
Inc.)
484 Cline and Olson

abrasive wear and impact-oriented applications. Unfortunately, the efforts to


engineer the necessary properties into the diamond-coated WC-Co ‘‘com-
posite’’ required a trade-off in surface finish of the workpiece that generally
limits the use of this particular tooling design concept to intermediate fin-
ishing and roughing. Figure 6 (Sec. II.D.1.a) depicts this surface roughness
versus adhesion trade-off. Figure 6b shows a relatively rough, diamond-
coated tool produced by this method. A uniform wear pattern can be ob-
served at the cutting corner. Figure 6a shows a relatively smooth, but de-
laminated tool produced by a wet chemical etching adhesion treatment. As
Fig. 23 depicts, the thick-film, brazed-on diamond tip not only demonstrated
superior life relative to coarse-grained PCD but also delivered comparable
finish.
b. Performance of Other Thin-Film Diamond Tool Designs
HIGH-SILICON ALUMINUM TURNING. A CVD diamond machining
study by Oles et al. [23] reported turning test results for two hypereutectic
alloys (Reynolds A390 and Mahle 138) that contained nominally 18% sili-
con. Machining conditions were as follows: 762 m/min, 0.127 mm/rev, 0.635
mm depth of cut, 15⬚ lead angle, and flood coolant. The tested tools were
SPGN120308-style inserts with a diamond-coating thickness of approxi-
mately 30 ␮m. Regardless of the alloy type, diamond-coated and PCD tools
lasted approximately two orders of magnitude longer than the uncoated car-
bide tools, which reached the failure criterion of the testing within seconds.
This exceptionally rapid wear was induced by the hard silicon particles and
clarifies why diamond tooling is generally accepted as the only suitable
solution for high-productivity machining of high-silicon aluminum alloys
[75]. The study also showed the strong influence of the workpiece micro-
structure on the wear rate and general performance of CVD diamond–coated
tools relative to PCD.
In Mahle 138 alloy, the steady-state wear rates on the coating average
0.005 mm/min, whereas the wear rate averaged 0.012 mm/min when ma-
chining Reynolds A390. The higher wear rates observed in the Reynolds
A390 were attributed to the higher level of impact damage induced by the
larger, less homogeneous silicon particulates. The microstructure of the A390
alloy contained a nonuniform distribution of relatively larger, irregular-
shaped silicon particles (⬃0.09 mm size, 100 particles/cm2), whereas the
138 alloy contained a uniform distribution of smaller, spheroidal particles
(⬃0.02 mm size, 160 particles/cm2).
LOW-SILICON ALUMINUM TURNING. Oles et al. [23] also reported
turning tests using CVD diamond-coated tools in hypoeutectic 383.2 alu-
minum (11% silicon) using the same machining conditions summarized be-
fore for the hypereutectic alloy study. The steady-state diamond wear rate
CVD Diamond Solutions for Machining 485

was more than five times lower than the wear rates reported for the high-
silicon alloys in the previous section. The wear rate for uncoated carbide
was 0.011 mm/min compared with 0.001 mm/min estimated for the dia-
mond-coated tooling. The authors noted that the much lower wear rates of
the cemented carbide tooling explain the general acceptance of uncoated and
TiN-coated carbides as a solution for machining hypoeutectic, low-silicon
aluminum alloys.
CARBON-FILLED PHENOLIC COMPOSITE TURNING. Hay [8] has re-
ported the performance of diamond-coated silicon nitride ceramic tools in a
30 vol% carbon-filled phenolic resin machining application. Precision parts
were turned using a cutting speed of 122 m/min, feed rate of 0.003 ipr, and
a depth of cut of 0.635 mm. To counter the corrosive effects of the work-
piece, aluminum oxide ceramic tools were used to attain approximately 50
parts per cutting corner and a marked cost-lifetime advantage over metal-
bonded PCD and tungsten carbide tooling. The introduction of diamond-
coated silicon nitride tools into the same operation now offers up to 650
parts per corner due to an unmatched combination of corrosive and abrasive
wear resistance.

2. Thin-Film CVD Diamond Rotary Tool Performance


a. General Status of Nonmetal Machining with Diamond-Coated Ro-
tary Tools. Some of the most impressive tool life improvements with CVD
diamond have been reported in applications using diamond-coated rotary
tools. In cases where the level of adhesion is suitable for the application,
the lifetime advantage of a diamond-coated tungsten carbide tool is often
dramatic. Because of the geometric complexity of most rotary tools, PCD
(or thick-film diamond) tooling is generally not a cost-effective option; there-
fore, tungsten carbide and hard coatings on carbide are commonly used in
many high-throughput environments. Lifetime advantages of diamond-
coated tools relative to other hard coating or uncoated carbide generally fall
in the range of 10 to 100 times for machining nonmetallic materials includ-
ing structural composites, abrasive or corrosive polymers, green ceramics,
graphite, and hard carbon. Selected case studies of nonmetallic machining
applications are summarized in Sec. IV.B.2.c.
b. General Status of Metal Machining with Diamond-Coated Rotary
Tools. Although similarly impressive performance advantages have been
observed in metal machining applications, not all vendors recommend their
diamond-coated rotary tool products for metalcutting at the time of publi-
cation. Although much of the potential for diamond-coated tooling is pro-
jected to be automotive and aluminum machining, the present use of dia-
mond-coated rotary tools in metalcutting applications is not nearly as
486 Cline and Olson

widespread as for nonmetals. Engineering diamond-coated tools to sustain


the shear forces of metal machining has been a major barrier to expansion
of their application range. There have been cases where commercial claims
of metalcutting capability have been retracted from the marketplace because
of reproducibility issues in the field. Even with these challenges, rotary tool
users who machine nonferrous metals such as aluminum alloys, copper,
brass, bronze, and magnesium should closely monitor the expanding appli-
cation range of CVD diamond-coated tooling as commercial adhesion tech-
nology and application know-how continue to evolve. The following section
contains a summary of a case study on MMC drilling.
c. Diamond-Coated Rotary Tool Case Studies
GRAPHITE AND HARD-CARBON MATCHING. The advantages of CVD
diamond-coated rotary tools in enhancing graphite-machining operations are
presently aggressively marketed by many vendors and are becoming well
known in the machining industry [18–20,77]. Ten to 20 times tool lifetime
advantages are commonly observed in small job shops and large machine
shops involved in EDM sinker-die electrode and other graphite component
production. Success has been achieved with both outdated milling machines
and high-speed machining centers. High-speed machines amplify the advan-
tages of CVD diamond as other hard coatings and uncoated carbide both
fall short at operating speeds in excess of 900 m/min.
When forms of carbon harder than graphite are machined, the relative
performance numbers are often even more dramatic than the graphite ma-
chining results. In an antimony-impregnated hard-carbon (carbon-graphite)
end milling application (T. Uemura, personal communication of field test
data, 1999), a diamond-coated end mill with a faceted 10 to 15-␮m coating
was used as a replacement for a commercially available diamond-like carbon
(DLC) coating. Using a 9.5 mm diameter, four-flute, square end mill, notches
were machined into a gas seal ring. DLC-coated end mills were able to
produce approximately 120 parts per tool using the following conditions:
1000 rpm (30 m/min), 38 mm/min feed rate, and a depth of cut of 5.3 mm.
Not only did CVD diamond demonstrate superior performance at the same
conditions, but also the properties of the coating enabled operation under
more aggressive conditions: 3000 rpm (a threefold increase), 1016 mm/min
feed rate (a 26.7-fold increase), and a depth of cut of 5.3 mm. Under these
conditions, the CVD diamond-coated end mill produced approximately
11,000 parts and the customer realized tool life increases of over 90 times
relative to the DLC-coated tool. More important, the machining process
productivity was dramatically affected by the increases in speed and feed
enabled by the diamond-coated tool as per part cycle times were decreased
from 20 to 3 minutes per seal ring.
CVD Diamond Solutions for Machining 487

Although the present costs of DLC tooling are markedly lower than
those of CVD diamond coatings, the cost-performance advantage of pure,
crystalline, CVD diamond is clear in many applications such as the preced-
ing hard-carbon case study. Most DLC coatings are limited in higher tem-
perature cutting applications (often induced by higher speed operations) be-
cause of phase instability at temperatures as low as 250–350⬚C. The level
of phase instability has been determined to be a function of the hydrogen
content in DLC materials with pure amorphous carbon having the best sta-
bility of materials in the continuum of amorphous hydrogenated carbon (a-
H:C) materials. Although Horsfall [78] has reported properties of noncrys-
talline carbon coatings that have low hydrogen content and high-temperature
stability above 500⬚C, the continuum of diamond-like carbon materials are
limited in performance relative to CVD diamond because of their relative
thermal instability. Furthermore, due to compressive stresses in DLC coat-
ings, they are often limited to a coating thickness of approximately 2 ␮m,
over five times thinner than many commercially available diamond-coated
tools. In addition to per tool cost advantages, DLC coatings have an advan-
tage over diamond coatings in edge sharpness (a function of coating thick-
ness), coating surface roughness (typical of amorphous coatings), and much
lower sensitivity to substrate selection (due to low-temperature coating
process).
PRESINTERED CERAMIC MACHINING. In the presintered ceramic ma-
chining test discussed earlier in Sec. II.C.1, the performance of 9.5 mm
diameter, four-flute diamond-coated end mills was compared with that of
uncoated tungsten carbide and PVD TiN-coated carbide tools of the same
geometry. The spindle speed was 10,000 rpm, with a feed rate of 508 mm/
min (20 ipm) corresponding to a cutting speed near 300 m/min (1000 sfm)
and a chip load of approximately 0.012 mm. The presintered ceramic work-
piece was machined with no coolant using alternating, 7.6 cm long climb
(up-cut) and conventional (down-cut) passes with a 4.8 mm radial depth of
cut and a 12.7 mm axial depth of cut. Cutting forces on the workpiece were
measured using a three-axis dynamometer and a computerized data collec-
tion system. Testing details have been reported elsewhere by Tanikella et al.
[32] and Cline [31].
Figure 5 visually depicted the difference in cutting temperatures be-
tween diamond and the alternative tools in this test. This dramatic result has
been attributed to the superior lubricity (low coefficient of friction) of the
diamond coating when in contact with the ceramic workpiece material. Ac-
cording to Quinto et al. [79,80], the hardness of PVD TiN-coated carbide at
1000⬚C is approximately 25% of its room temperature hardness. This ‘‘hot
hardness’’ limitation explains the lack of any performance advantage af-
forded by the PVD TiN coating relative to uncoated carbide under these
488 Cline and Olson

cutting conditions. The reduced friction and wear were clearly reflected in
the force and power data summarized in Table 4. In the first 7.6 cm long
machining pass, the spindle power consumption for the TiN-coated carbide
and uncoated carbide tools was over 10 times higher than the power con-
sumption for the diamond tool after 80 passes. The lower cutting forces seen
with CVD diamond-coated tools offer the potential for reduced yield losses
in green or presintered ceramic machining. The low cutting temperature seen
with the CVD diamond tool is viewed not only as an indication of the
tremendous potential for CVD diamond tooling in high-speed machining of
ceramics but also as the promise of CVD diamond coatings for machining
many other difficult-to-machine abrasive materials.
CARBON-EPOXY COMPOSITE MACHINING. Carbon-epoxy composites
are of interest for lightweight, structural applications ranging from the aer-
ospace industry to sporting goods. Because the hard, stiff carbon fibers in
carbon-epoxy induce excessive wear in traditional cutting tool materials,
some manufacturers have resorted to using alternative shaping techniques
such as water jet cutting or computer numerical control (CNC) grinding with
diamond grit tools. Other manufacturers are beginning to benefit from the
advantages of CVD diamond-coated rotary tools in this difficult-to-machine
material.
Tanikella et al. [32] and Cline [2] have reported the results of carbon-
epoxy machining tests to determine the advantage of diamond-coated rotary
tools over uncoated tungsten carbide two-flute, 9.5 mm diameter routers.

Table 4 Cutting Forces and Spindle Power Measured During Dry Machining of
Presintered Ceramic

Fx Fy Fz Power
Pass number (newtons) (newtons) (newtons) (watts)

Typical measurements for uncoated or TiN-coated tungsten carbide end mills


First pass (climb) 200–250 100–150 20–50 800
Second pass 300–400 500–700 80–100 1250–1400
(conventional)

Typical measurements for diamond-coated tungsten carbide end mills


Average for pass 1 40 40 15–25 50
through 8
Average for pass 81 60 60 25 50
through 88

Source: Ref. 31.


CVD Diamond Solutions for Machining 489

Using a spindle speed of 20,000 rpm with a table speed of 2540 mm/min,
alternating climb milling and conventional milling passes were performed
on a 17.8 cm long, rigidly fixtured panel. The selected cutting conditions
were in accordance with the interests of a large commercial aircraft manu-
facturer. As shown in Fig. 24a, severe wear was observed on the carbide
tool in less than 36 cm of cutting. Figure 24b shows that cutting forces for
the uncoated carbide tool increased dramatically during the first (climb) ma-
chining pass. After 20 passes, the CVD diamond-coated tool was still sharp
and running predictably as depicted in Fig. 24c and d. Cutting noise and
cutting odor typical of uncoated carbide tools were dramatically reduced
with the use of CVD diamond-coated routers.
Figure 25 shows the machined cross section of the carbon-epoxy com-
posite after 40 passes using a diamond-coated router. The machined surface
is burr free and cut cleanly enough to enable observation of the layered
structure of the composite. It is interesting to note that the spacings between
the composite layers in Fig. 25 match the spacings between the worn notches
in the uncoated carbide tool shown in Fig. 24a. CVD diamond-coated tooling
clearly has the potential to minimize costly deburring operations in com-
posites fabrication. Even with flood coolant, the hardness and frictional heat-
ing generated by the carbon fibers clearly exceeded the performance limits
of the uncoated carbide cutting edge.
In another carbon-epoxy machining study [81], production testing of
drills, drill countersinks, and two-flute helical end mills in carbon fiber com-
posites showed that CVD diamond-coated cutting tools last up to 50 times
longer than tungsten carbide and more than twice as long as PCD tools.
This same reference reported that CVD diamond-coated tools were projected
to increase the lifetime of machine tools by as much as 50%, significant
cost savings to a machine shop.
FIBER-REINFORCED POLYMER MACHINING. Matching tests were per-
formed in a glass fiber-reinforced polymer (GFRP) using CVD diamond-
coated tungsten carbide and uncoated carbide. The specific GFRP studied
contained nominally 65% glass fibers and is, therefore, extremely difficult
to machine. SEM micrographs of the composite cross section shown in Fig.
26 depict the extremely high density of fibers having a nominal diameter of
10 ␮m. Using 6.4 mm diameter, four-flute, square-end end mills, the GFRP
was machined with no coolant at 12,000 rpm (239 m/min), a feed rate of
2438 mm/min, and an infeed of 3.2 mm. The selected machining conditions
create a feed per tooth or ‘‘chip load’’ of 0.051 mm per cutting edge.
Table 5 contains end mill diameter measurements for the CVD dia-
mond-coated tool relative to an uncoated carbide tool. The dimensional sta-
bility of the CVD diamond-coated tool is dramatically better than that of
the uncoated carbide tool. According to laser diameter measurements, the
490 Cline and Olson

Figure 24 Comparison of uncoated and CVD diamond-coated tungsten carbide


router performance in carbon-epoxy machining. (a) After only two cutting passes
less than 36 cm, optical inspection of the uncoated carbide tool showed severe tool
wear. (b) The rapid degradation of the uncoated cutting edge was further exemplified
in the spindle power data for the first pass (made in the climb direction). (c) In
contrast, the CVD diamond-coated carbide tool exhibited relatively insignificant
amounts of wear after 40 cutting passes (711 cm.). (d) The operational stability of
the CVD diamond-coated tool is shown in the spindle power data for the 17th
through 20th passes. Note: 1 division = 0.5 mm in (a) and (c). (From Ref. 2.)
CVD Diamond Solutions for Machining 491

Figure 24 Continued

diameter of the uncoated carbide was reduced by over 40 ␮m after only


62.9 cm3 of material removed, whereas the diamond-coated end mill lost
less than 2 ␮m of diameter after removing 20 times more workpiece volume
(1265.5 cm3) under the same cutting conditions. As Fig. 27 shows, structural
analysis of the wear scar verifies that the CVD diamond coating shows only
492 Cline and Olson

Figure 25 Oblique view of the cross section of a carbon-epoxy panel that was
machined in the 40th cutting pass with a CVD diamond-coated helical router. The
layer spacings of the composite material seen in this image match the spacing be-
tween the worn notches in the uncoated carbide tool shown in Fig. 24a. (From
Norton Diamond Film/Saint Gobain Industrial Ceramics, Inc.)

superficial wear of the faceted diamond peaks at this point of testing. In


contrast, the uncoated tool has significant amounts of wear after machining
less than 5% of the workpiece volume (62.9 cm3). The workpiece finish
produced by the CVD diamond-coated tool was superior and did not dete-
riorate during the testing. The test was stopped when the uncoated carbide
tool reached a point at which it had clearly worn out (62.9 cm3 of material
removed).
Table 6 contains data for the power consumption by the machine tool
spindle observed during the testing. The data confirm that the dimensional
stability of the CVD diamond-coated cutting tool results in a consistent
power draw on the spindle, whereas, the power draw on the spindle had
increased by 50–70% for the uncoated carbide tool. This excess energy is
primarily dissipated as frictional energy during cutting, which tends to over-
heat both the cutting tool and the polymer-based workpiece. The machinist
noted that the uncoated carbide tools were ‘‘too hot to touch’’ from the onset
of the test and that they started to glow ‘‘red hot’’ after about 47 cm3 of
machining. The CVD diamond-coated tools ran ‘‘cool to the touch’’ through-
out the entire test, presumably because of the high lubricity of the diamond
when in contact with the high density of glass fibers in the workpiece.
CVD Diamond Solutions for Machining 493

Figure 26 SEM images of the cross section of a glass fiber-reinforced polymer


(GFRP) component that was machined with a CVD diamond-coated end mill. The
image in (a) depicts the high fiber volume percentage characteristic of the most
abrasive GFRP materials. The image in (b) shows that the ⬃10 ␮m diameter fibers
are pulverized during the machining action of the diamond tool and clarifies the
need for abrasion-resistant cutting tool material in machining this particular work-
piece. (From Norton Diamond Film/Saint Gobain Industrial Ceramics, Inc. Test re-
sults and photographs courtesy of Walter Swann.)
494
Table 5 Laser Measurements of End Mill Diameter from GFRP Composite Machining Study

Pretest tool Posttest tool


Volume of diameter diameter
material measurements measurements Change in tool diameter due to
Tool type removed (cm3) (in.) (in.) tool wear

Uncoated WC-Co 62.9 ␾1 = 0.24859 ␾1 = 0.24692 ␾1 = ⫺0.00167 in. (⫺42 ␮m)


␾2 = 0.24862 ␾2 = 0.24694 ␾2 = ⫺0.00168 in. (⫺43 ␮m)
CVD diamond–coated 1262.5 ␾1 = 0.24963 ␾1 = 0.24960 ␾1 = ⫺0.00003 in. (⫺0.76 ␮m)
WC-Co (20 times more) ␾2 = 0.24970 ␾2 = 0.24965 ␾2 = ⫺0.00005 in. (⫺1.27 ␮m)

Source: Norton Diamond Film/Saint Gobain Industrial Ceramics, Inc. Internal test data provided courtesy of Walter T. Swann.

Cline and Olson


CVD Diamond Solutions for Machining 495

Figure 27 Performance of CVD diamond-coated carbide routers in GFRP ma-


chining. The lifetime advantage of CVD diamond-coated WC-Co end mills relative
to uncoated WC-Co was estimated to exceed 20 times based on laboratory testing.
Low-magnification optical images of a diamond-coated WC-Co end mill (a) before
testing and (b) after 1262.5 cm3 of GFRP material was machined indicated that no
measurable wear was present. Low-magnification optical images of the uncoated
WC-Co end mill (c) before testing and (d) after only 62.9 cm3 of GFRP material
was machined indicated that extensive wear was present on the cutting edge. SEM
images at 100⫻ magnification of the cutting edge taken after testing verified that
essentially no wear was observed on the CVD diamond-coated end mill after 1262.5
cm3 of machining (e), whereas the uncoated tungsten carbide tool had suffered ex-
tensive abrasive wear after machining 20 times less (62.9 cm3) workpiece material
(f ). (From Norton Diamond Film/Saint Gobain Industrial Ceramics, Inc. Test results
and photographs courtesy of Walter Swann.)
496 Cline and Olson

Figure 27 Continued

Consequently, a pungent burning odor of the polymer was noted only for
the uncoated tools. The photographs in Fig. 26 are actually the machined
surface created with a diamond-coated tool. Clearly, these conditions require
the cutting edge to pulverize the hard, abrasive glass fibers during the ma-
chining process. The coating hardness and interfacial impact resistance are
both very critical in ensuring predictable, abrasive wear with the CVD di-
amond-coated tool.
POLYCARBONATE MACHINING. In a polycarbonate milling applica-
tion, a small job shop replaced a tungsten carbide rotary tool with a 12.7
mm diameter CVD diamond-coated end mill (coating thickness of 10–15
␮m). Using an outdated milling machine, the user was able to machine over
3000 polycarbonate parts using the CVD diamond-coated end mill. By com-

Table 6 Spindle Power Consumption During GFRP Composite End Milling

Spindle power consumption (watts)


Start of testing End of testing
Climb Conventional Climb Conventional
Machining direction → cutting cutting cutting cutting

Uncoated WC-Co 80 80 120 135


CVD diamond-coated 75 80 80 80
WC-Co

Source: Norton Diamond Film/Saint Gobain Industrial Ceramics, Inc. Internal test data pro-
vided courtesy of Walter T. Swann.
CVD Diamond Solutions for Machining 497

parison, an uncoated tungsten carbide end mill typically produced 30–35


parts per tool. The machine-limited cutting conditions were 3200 rpm with
a feed rate up to 280 mm/min. The 100 times lifetime advantage of the CVD
diamond tool relative to WC-Co is attributed to the corrosion resistance of
the pure diamond composition relative to the cobalt-sintered tungsten car-
bide (discussed in Sec. II.A.8). If the binder phase of WC-Co (or PCD) is
eroded, the wear mechanism is likely to shift from a slow, abrasive wear of
the hard particle phase (WC or diamond, respectively) to a rapid, corrosion-
induced disintegration (grain pullout) of the particulate composite at the
cutting edge.
MMC DRILLING. In magnesium-matrix composite drilling tests [82],
CVD diamond coatings were shown to offer superior performance relative
to uncoated tungsten carbide and TiAlN-coated tungsten carbide. TiAlN and
CVD diamond coatings were being tested in an effort to find a lower total
cost solution than PCD. The workpiece material compositions included par-
ticle-reinforced Mg (12 vol% SiC), fiber-reinforced Mg (20 vol% Al2O3),
and hybrid-reinforced Mg (15 vol% SiC particles ⫹ 5 vol% Al2O3 fibers).
The performance advantage of the CVD diamond-coated drills was most
dramatic in the SiC-containing composites, where excessive wear was ob-
served in TiAlN-coated and uncoated carbide. Although a dramatically im-
proved performance was observed for the TiAlN coating in the Al2O3 fiber-
reinforced MMC, CVD diamond performed well in all composites tested.
The satisfactory performance of TiAlN in the Al2O3 fiber-reinforced MMC,
but not the SiC-containing composites, demonstrates the criticality of se-
lecting the appropriate cutting tool material for each specific application.
The superior performance of CVD diamond was attributed to several factors
including diamond’s higher hardness relative to both SiC and Al2O3 as well
as the higher level of adhesion of the diamond coatings relative to TiAlN
coatings. Diamond’s unmatched hardness reduces the sensitivity to changes
in the hardness of the MMC ceramic reinforcement phase.
EVOLVING PROMISE FOR FERROUS MACHINING. Although many re-
searchers believe that diamond tools are unable to machine ferrous materials
because of the chemical incompatibility discussed in Sec. II.A.8, Kanda et
al. [17] reported progress in the use of CVD diamond-coated rotary tools in
machining ferrous materials. In tests using annealed gray cast iron (FC250;
JIS G 5501), a diamond-coated, two-flute, ball-nose end mill (4 mm diam-
eter, 8 mm flute length, and 80 mm total length) was tested. Tools were
operated in both an up-cut (climb) and down-cut (conventional) machining
direction using compressed air as a coolant under the following cutting con-
ditions: 24,000 rpm, 2 m/min feed rate, with an axial depth of cut of 0.5
mm. Under these conditions, the wear of the CVD diamond-coated tool was
less than 10% of that of an uncoated tool. In another test [17], the same
498 Cline and Olson

researchers reported the relationship between the wear rate of the CVD di-
amond coating and the cutting conditions in carbon steel (S50C; JIS G 4051)
with a hardness of 200 HB. Using a ball-nose end mill (2 mm diameter, 4
mm flute length, and 60 mm total length), the carbon steel was machined
for a total cutting length of 4.0 m using various operating conditions: 8000
rpm and 16,000 rpm with an axial depth of cut of 1.5 mm and a radial
infeed of 0.1 mm. Tests were performed using both compressed air and
water-soluble emulsion. The work of Kanda et al. [17] indicates that the
selection of a proper tool design and operating conditions can suppress the
chemical corrosion of the diamond cutting edge, thus enabling superior per-
formance over traditional tooling materials.

V. OTHER MECHANICAL APPLICATIONS OF


CVD DIAMOND

Both the unique characteristics of CVD diamond and the flexibility of CVD
diamond processes allow the use of CVD diamond in mechanical applica-
tions beyond cutting tools. For decades, natural and synthetic (HPHT) sin-
gle-crystal diamond has been used not only for specialty cutting applications
as noted in Sec. I.C.1 but also for unique wear applications such as wire
dies, engraving tools, and dressing tools for grinding wheels. The advent of
thin-film and thick-film CVD diamond offers an alternative type of diamond
for these applications, and the ability to coat or laser-cut large complex
shapes of CVD diamond material opens up opportunities for the use of
diamond in new applications where diamond materials were previously un-
available or cost prohibitive. This section is intended to provide examples
of unique wear applications in which diamond coatings and freestanding
diamond offer unique cost-performance advantages. Because the specific
requirements for wear part applications vary widely, this section is organized
in unique wear part case studies including both thin-film and thick-film
product concepts. The selected case studies include diamond-coated me-
chanical seals (Sec. V.A), freestanding CVD diamond dressing tools (Sec.
V.B), and freestanding CVD diamond wire dies (Sec. V.C).

A. CVD Diamond-Coated Mechanical Seals


Cemented tungsten carbide materials such as WC-Ni are commonly used in
a wide range of mechanical seal applications. Advanced ceramic materials
such as aluminum oxide, silicon carbide, and silicon nitride are used in seal
applications that can afford the trade-off in fracture toughness and strength
relative to cemented carbide for the benefits offered by the unique properties
CVD Diamond Solutions for Machining 499

of ceramic materials. Over the last decade, there have been ongoing efforts
to develop CVD diamond coating on cemented tungsten carbide as well as
nonoxide ceramic seals for selected applications. Because many of these
efforts have been commercial in nature and performed under confidential
terms within the industry, very little performance data has been released into
the CVD diamond industry.
Cline [25] has reported a friction study of diamond-coated mechanical
seals that were engineered for a commercial aqueous pumping application.
Although ceramic seal faces are often mated to a softer, lubricious, hard-
carbon face in many applications, the study was focused on comparing the
benefits of diamond-on-diamond versus SiC-on-SiC seal face combinations.
This study was focused on unfinished, as-deposited, diamond-coated seals
produced using four different CVD process conditions. The sintered ␣-SiC
material was a commercially available grade commonly used in the seal
industry.* All seal pairs were tested in a friction tester using light seal face
pressure, a mean surface speed of 306 m/min, and a starting temperature of
25⬚C. Both lubricated (deionized water) and unlubricated (dry) operating
conditions were investigated. It is interesting to note that the surface speed
used is nearly the same as that used in the presintered ceramic machining
test summarized in Sec. IV.B.2.c.
The results of the friction study are summarized in Table 7. Because
of the proprietary nature of the results, the friction data were averaged for
the four unique, but similar, diamond coating types. The table shows the
diamond-on-diamond seal face combination was clearly superior to the SiC-
on-SiC. The diamond coatings decreased the mean steady-state friction co-
efficient during both lubricated and dry operation. The friction coefficient
measurements of the diamond-coated faces indicate that CVD diamond coat-
ing can be tailored to produce friction coefficient values comparable to those
of well-known lubricious materials such as fluoropolymers.† Because of the
added lubricity of the diamond-coated faces, the temperature rise observed
during the worst-case scenario of dry operation was reduced by over 100⬚C
to a temperature range that minimizes the risk of damage to other compo-
nents within the aqueous pump. Abusive rig testing conducted after the fric-
tion testing resulted in minimal diamond erosion compared with that ob-
served for the silicon carbide face combination. Extensive application testing
over a period of years indicated that the unique combination of properties

*Carborundum’s Hexoloy SA sintered ␣-SiC material.



According to E. I. du Pont de Nemours and Company, the coefficient of friction of Teflon is
generally in the range of 0.05 to 0.20, depending on the load, sliding speed, and particular
Teflon coating used.
500
Table 7 Dynamic Friction Test Results of CVD Diamond-Coated Mechanical Seals

Mean steady-state
Seal face material friction coefficient
combination Seal face lubrication (ring-on-ring) Seal face temperature rise

SiC-on-SiC assembly Lubricated, deionized water 0.08–0.15 6–8⬚C above bulk fluid temperature after 58
min of operation
SiC-on-SiC assembly Unlubricated, dry 0.20–0.30 >350⬚C above ambient temperature after 5
min of dry operation
Diamond-on-diamond Lubricated, deionized water 0.03–0.04 1⬚C above bulk fluid temperature after 58 min
assembly of operation
Diamond-on-diamond Unlubricated, dry 0.05–0.13 200–250⬚C above ambient temperature 14
assembly min of dry operation

Source: Test data provided by a customer of Norton Diamond Film/Saint Gobain Industrial Ceramics, Inc.

Cline and Olson


CVD Diamond Solutions for Machining 501

afforded by the CVD diamond coatings can eliminate several failure modes
common to the silicon carbide seal faces commonly used in the application.

B. CVD Diamond Dressing Tools


Dressing tools are generally used to remove dull ceramic or superabrasive
grains from grinding wheel surfaces in an effort to suppress grinding tem-
peratures, improve workpiece finish, and improve workpiece tolerances.
They are also used for ‘‘truing’’ or shaping grinding wheels. Historically,
most dressing and truing has been performed with stationary dressing prod-
ucts that are fed into a rotating wheel. These products are often made using
single-crystal or PCD diamond. Today, many high-productivity grinding op-
erations employ rotary CNC dressers, or shaped dressing rolls, which can
be used to dress one side of a grinding wheel continuously as the wheel is
simultaneously grinding a workpiece on its opposite side. CNC rotary dress-
ers may contain over 100 similar pieces of diamond that are hand-set over
the outer diameter of the dresser and can be engineered to create unique
forms in a grinding wheel. Examples of stationary and rotary diamond dress-
ing tool designs are shown in Fig. 28.
CVD diamond in a form similar to that shown in Fig. 29 offers several
unique advantages to manufacturers of dressing tools as well as dressing
tool end users. CVD diamond has been shown to offer performance en-
hancement for stationary dressing tools as well as rotary dressing tools in-

Figure 28 Examples of rotary (left) and stationary (right) diamond dressing tools
used for shaping and truing grinding wheels. (From Ref. 1.)
502 Cline and Olson

Figure 29 SEM images of a laser-cut piece of freestanding diamond typical of


that used in a dressing tool. The 100⫻ image in (a) depicts an oblique view of the
coarse-grained diamond facets common to as-deposited, freestanding CVD diamond.
The 122⫻ image in (b) shows the relatively smooth nucleation face of the diamond
(top center). The striations seen at the lower left of both (a) and (b) are the result
of the laser-cutting process. The fractured cross section seen at the right end of (a)
and front, center of (b) depicts the dense, polycrystalline structure of the diamond
deposit. Note that the fractured cross section does not have the weak grain boundary
characteristics (intergranular fracture) previously shown in Fig. 11a. (From Norton
Diamond Film/Saint Gobain Industrial Ceramics, Inc. Images courtesy of Walter
Swann; part (a) from Ref. 1.)
CVD Diamond Solutions for Machining 503

cluding CNC profiling dressers and plunge form dressers. The commercial
availability of synthetic, thick-film CVD diamond of good mechanical in-
tegrity creates a virtually unlimited supply of uniform laser-cut pieces that
can offer superior performance relative to single-crystal diamond. Otherwise
cost-prohibitive or unavailable, high-aspect-ratio pieces of diamond (such as
8 mm ⫻ 1 mm ⫻ 1 mm thick) can be cost-effectively incorporated into
CVD diamond dressing tool designs allowing longer life and better dressing
efficiency. Because of the precise shape of the laser-cut CVD diamond, the
diamond can be strategically oriented to achieve 100% diamond consump-
tion during the lifetime of the dresser. The availability of large-area CVD
diamond helps to minimize or even eliminate design challenges associated
with the crystal habit constraints and shape variability inherent in natural
diamond. This enables small, intricate geometric forms such as sharp corners
to be designed into dressing tools. The bulk structure of the CVD diamond
can be engineered to enhance fracture toughness and strength for purposes
of optimizing dressing tool life and dimensional stability beyond other forms
of diamond (see Sec. III.A). This allows plunge form dressers to be produced
with very small convex radii (e.g., 0.05 mm).

C. CVD Diamond Wire Dies


The production of metal wires is normally achieved by drawing metals such
as copper, tungsten, or stainless steel through precision-made dies composed
of hard materials such as diamond or tungsten carbide. Single-crystal dia-
mond wire dies are much more wear resistant than tungsten carbide dies,
but they have relatively poor chip resistance and are extremely difficult to
fabricate. Furthermore, the intrinsic anisotrophy of a single crystal can result
in nonuniform wear or cleavage-induced catastrophic failure under the ex-
treme pressures of the wire drawing process.
According to Wilks and Wilks [83], ‘‘the best choice of [crystallo-
graphic] direction is not too obvious because as the wire passes through the
die its circumference is abrading the diamond on a whole 360⬚ range of
planes, and the rates of wear on these planes will be somewhat different.
Hence, the originally circular hole will not only grow larger but will loose
its shape. However, 具110典 directions offer the advantage that the wire is
abrading the sides of the hole with {001} and {011} orientations in abrasion
resistant directions.’’ Over the years, efforts have been made to overcome
the anisotropic trade-offs of single-crystal diamond wire dies by using poly-
crystalline diamond compacts [84,85], but these approaches were limited by
the two-phase construction of a diamond composite and a secondary material
of inferior mechanical properties. With the advent of CVD diamond pro-
cesses, the ability to produce pure, dense layers of diamond created new
504 Cline and Olson

alternatives for the wire drawing industry. Patents by Anthony et al. [86–
89] report the advantages of CVD diamond that has been microstructurally
engineered for enhanced performance in wire drawing. Included in the
claims of these patents is a 具110典 preferred, columnar orientation of the
microstructure within the freestanding CVD diamond die. The polycrystal-
line diamond component of the wire die is oriented in a manner crystallo-
graphically similar to that described by Wilks and Wilks [83] for single-
crystal wire dies where the {001} and {011} orientations are present in
abrasion-resistant directions. Although specific performance advantages are
not presented here, the potential utility of CVD diamond synthesized in an
oriented manner is quite clear in this application.

D. Other Wear Part Opportunities


Although cutting tool performance information is frequently reported in
trade journals, trade magazines, and marketing literature, it is not feasible
to predict the true state of the technology for the full range of mechanical
applications where CVD diamond offers unique solutions. This is due mostly
to the nature of commercial competition in the market. The introduction of
commercial products for many wear part applications is likely to accelerate
as CVD diamond production costs are reduced and the industry matures.
Many wear part applications such as those described here require attention
to detail specific to the application. Although much of the activity in the
CVD diamond marketplace presently appears to be focused on larger, less
fragmented markets, it is likely that the use of CVD diamond wear products
will evolve and or be exposed in time. This trend will be driven by a nec-
essary link between (1) a more thorough understanding of the structure-
property-performance relationships of CVD diamond design concepts and
(2) commercial processes capable of reproducibly fine-tuning the necessary
characteristics of the specific application.

VI. SUMMARY

For decades, diamond has played a key role in industrial productivity. The
1950s saw the introduction of synthetic diamond grit. In the 1970s, metal-
sintered polycrystalline diamond (PCD) gained a foothold, offering unique
flat shapes previously not available. Today, the evolution of diamond for
mechanical applications continues with the emergence of CVD diamond as
a versatile industrial material for cutting tools and other wear components.
In machining applications, CVD diamond’s superlative combination of prop-
erties offers not only the promise of longer tool life under standard operating
CVD Diamond Solutions for Machining 505

conditions but also opportunities for cost-effective high-speed and reduced-


coolant operation.

A. Thick-Film CVD Diamond


The inherent purity of CVD diamond deposition processes and industrial
advances in freestanding CVD diamond manufacturing provide outstanding
machining solutions for many difficult-to-machine materials. In most appli-
cations, freestanding, thick-film, CVD diamond tips are used as an alterna-
tive to cobalt-sintered PCD or single-crystal diamond. The ability to ‘‘tailor’’
the fracture toughness, strength, and other critical properties of freestanding
CVD diamond is paramount to the ongoing development and commerciali-
zation of high-performance diamond cutting tool and wear products. Cutting
tool application results indicate that the bulk mechanical properties of thick-
film CVD diamond can meet the needs of applications ranging from sintered
tungsten carbide turning to high-silicon aluminum milling. The more re-
cently discovered utility of thick-film CVD diamond for enhancing rotary
and stationary dressing tool performance is a clear indication that the me-
chanical integrity of commercial grades of CVD diamond is suitable for
very aggressive operations. The application range for thick-film diamond
will continue to expand as diamond cutting and dressing tool fabricators
gain a greater practical understanding of the unique properties of CVD di-
amond relative to PCD, single-crystal diamond, and other superhard
materials.

B. Thin-Film CVD Diamond


As practical methods continue to be developed for harnessing CVD dia-
mond’s outstanding properties in diamond-coated products, the application
range of thin-film CVD diamond continues to expand. Now that CVD dia-
mond reactor development is reaching a level that supports commerciali-
zation and mass production, the primary technical challenge in the manu-
facture of diamond-coated carbide cutting tools and wear parts is ensuring
suitable, reproducible diamond adhesion. A broadening portfolio of industrial
adhesion technologies has led to the expanded use of diamond-coated ce-
mented carbide tools in a wide range of metals and especially nonmetals.
Depending on the vendor, the carbide grades used for diamond coating may
be stringently controlled to ensure coating quality, adhesion, and long cutting
life. As adhesion treatments become more robust, the ‘‘hidden promise’’ of
CVD diamond-coated cemented carbide will finally be universally realized
in the marketplace.
506 Cline and Olson

ACKNOWLEDGMENTS

Both authors are previous employees of the Norton Diamond Film business
unit of Saint Gobain Industrial Ceramics, Inc. in Northboro, MA. The au-
thors would like to acknowledge those who directly and indirectly contrib-
uted to this chapter including Saint Gobain coworkers, past customers of
Norton Diamond Film, as well as academic mentors at Penn State University,
Worcester Polytechnic Institute, and Bates College. Erica Olson and Heather
Cline are acknowledged for their patience and support of the respective
authors during their academic and industrial endeavors in the CVD diamond
field. Portions of this work were funded by a NIST/DOC ATP program
entitled Accelerated Commercialization of Diamond-Coated Round Tools
and Wear Parts, an R&D joint venture between Kennametal, Inc. and Norton
Diamond Film.

REFERENCES
1. B Cline. Cutting Tool Eng 52:76, 2000.
2. BL Cline. Metalwork Equipment News March:68, 1999.
3. RB Aronson. Manuf Eng January:52, 1999.
4. KJA Brookes. World Directory and Handbook of Hardmetals and Hard Ma-
terials. 5th ed. Hertfordshire, UK: International Carbide Data, 1992.
5. PL Smith. Am Mach December:74, 1999.
6. J Schneider. Manuf Eng January:66, 1999.
7. PM Stephan. Ceram Bull 71:1623, 1992.
8. RA Hay. The new diamond technology and its application in cutting tools. In:
ED Whitney, ed. Ceramic Cutting Tools. Park Ridge, NJ: Noyes, 1994, p 305.
9. DB Arnold, FJ Momper. Manuf Eng November:62, 1997.
10. DT Quinto. Int J Refractory Metals Hard Mater 14:7, 1996.
11. WR Pfouts. Manuf Eng 125:98, 2000.
12. KE Spear. J Am Ceram Soc 72:171, 1989.
13. WA Yarbrough, R Messier. Science 247:688, 1990.
14. JP Dismukes, KE Spear, eds. Synthetic Diamond: Emerging CVD Science and
Technology. New York: John Wiley & Sons, 1994.
15. BL Cline. Metalworking Equipment News January:24, 1999.
16. K Kanda, S Takehana, S Yoshida, R Watanabe, S Takano, H Ando, F Shimak-
ura. Surf Coat Technol 73:115, 1995.
17. SY Kazutaka Kanda, K Yoneshima, H Ando. Diamond Films Technol 8:93,
1998.
18. D Myers. Moldmaking Technol October:35, 1999.
19. D Myers. Moldmaking Technol November:42, 1999.
20. D Myers. Moldmaking Technol December:33, 1999.
21. RS Sussmann, JR Brandon, SE Coe, CSJ Pickles, CG Sweeney, A Wasenczuk,
CJH Wort, CN Dodge. Finer Points 10:7, 1999.
CVD Diamond Solutions for Machining 507

22. A Johnson. Cutting Tool Eng February:34, 1996.


23. EJ Oles, A Inspektor, CE Bauer. Diamond Relat Mater 5:617, 1996.
24. MN Yoder. In: RF Davis, ed. Diamond Films and Coatings: Development,
Properties, and Applications. Park Ridge, NJ: Noyes Publications, 1993, p 1.
25. BL Cline. Mechanical applications for CVD diamond. Presented at Diamond
99 Conference, Prague, Czech Republic, 1999.
26. HA Hoff, AA Morrish, WA Carrington, JE Butler, BB Rath. In: JT Glass, R
Messier, N Fujimori, eds. Diamond, Silicon Carbide and Related Wide Band-
gap Semiconductors. Mater Res Soc Symp Proc 162:279–284, 1990.
27. HA Hoff, AA Morrish, JE Butler, BB Rath. J Mater Res 5:2572, 1990.
28. JM Olson, MJ Dawes. J Mater Res 11:1765, 1996.
29. N Johnson. CVD diamond properties and products for material removal, wear
and thermal management applications. Proceedings for Diamond Films 1990,
Crans Montana, Switzerland, 1990.
30. J Wilks, EM Wilks. Abrasion and wear of diamond. In: JE Field, ed. The
Properties of Diamond. 2nd ed. London: Harcourt Brace Jovanovich, 1990, p
351.
31. BL Cline. Ceram Ind 149:51, 1999.
32. BV Tanikella, W Swann, B Cline. Machining of composite materials and
bisque ceramics using CVD diamond coated WC-Co rotary tools. Presented at
100th Annual Meeting of the American Ceramic Society as part of a Sympo-
sium on Innovative Processing and Synthesis of Ceramics, Glasses and Com-
posites, Poster Session SXIP-032-98, 1998.
33. R Komanduri. Mach Sci Technol 1:113, 1997.
34. MM Schwartz. SAMPE J 32:18, 1996.
35. KJ Gray, H Windischmann. Diamond Relat Mater 8:903, 1999.
36. D Shechtman, JL Hutchinson, LH Robins, EN Farabaugh, A Feldman. J Mater
Res 8:473, 1993.
37. BE Williams, HS Kong, JT Glass. J Mater Res 5:801, 1990.
38. VS Vavilov, EA Konorova, EB Stepanova, EM Trukhan. Sov Phys Semicond
13:635, 1979.
39. JF Prins. Appl Phys Lett 41:959, 1982.
40. VI Nepsha. In: MA Prelas, G Popovici, LK Bigelow, eds. The Handbook of
Industrial Diamond and Diamond Films. New York: Marcel Dekker, 1998.
41. AM Zaitsev. In: MA Prelas, G Popovici, LK Bigelow, eds. The Handbook of
Industrial Diamond and Diamond Films. New York: Marcel Dekker, 1998, pp
227–376.
42. AG Gontar. In: MA Prelas, G Popovici, LK Bigelow, eds. The Handbook of
Industrial Diamond and Diamond Films. New York: Marcel Dekker, 1998, pp
377–412.
43. DS Knight, WB White. J Mater Res 4:385, 1989.
44. PW May. Endeavor Mag 19:101, 1995, accessed via http://www.chm.bris.ac.
uk/pt/diamond/end.htm.
45. P Bou, L Vandenbulcke. J Electrochem Soc 138:2991, 1991.
46. RJ Nemanich, SA Solin. Solid State Commun 23:417, 1977.
508 Cline and Olson

47. W Yarbrough, R Roy. In: GG Johnson, AR Badzian, MW Geis, eds. Diamond


and Diamond-Like Materials Synthesis. Extended Abstracts No 15. Pittsburgh:
Materials Research Society, 1988.
48. RE Shroder, RJ Nemanich, JT Glass. Phys Rev B 41:3738, 1990.
49. AR Badzian, T Badzian, D Pickrell. In: Diamond Optics. SPIE 969. 1988, p
14.
50. D Tabor. In: JE Field, ed. The Properties of Diamond. 2nd ed. London: Har-
court Brace Jovanovich, 1990, p 325.
51. B Lawn. Fracture of Brittle Solids. 2nd ed. Cambridge: Cambridge University
Press, 1993.
52. DB Marshal, AG Evans. J Appl Phys 56:2632, 1984.
53. AG Evans, JW Hutchinson. Int J Solids Struct 20:455, 1984.
54. AG Evans, BJ Dalgleish, M He, JW Hutchinson. Acta Metall 37:3249, 1989.
55. JJ Dubray, CG Pantano, M Meloncelli, E Bertran. J Vac Sci Technol A 9:3012,
1991.
56. J Robertson. Diamond Relat Mater 4:549, 1995.
57. H Liu, DS Dandy. Diamond Relat Mater 4:1173, 1995.
58. Y Chakk, R Brener, A Hoffman. Appl Phys Lett 66:2819, 1995.
59. R Polini, D Gazzoli, E Molinari, V Sessa, ML Terranova, P Ascarelli, S Fon-
tana. Diamond Relat Mater 1:205, 1992.
60. JW Kim, YJ Baik, KY Eun. Diamond Relat Mater 1:200, 1992.
61. KW Allen. J Adhes 21:261, 1987.
62. PO Joffreau, R Haubner, B Lux. R&HM 1988, p 186.
63. R Haubner, A Lindlbauer, B Lux. Diamond Relat Mater 2:1505, 1993.
64. M Murakawa, S Takeuchi, H Miyazawa, Y Hirose. Surf Coat Technol 36:303,
1988.
65. M Murakawa, S Takeuchi, Y Hirose. Surf Coat Technol 39/40:235, 1989.
66. BS Park, YJ Baik, KR Lee, KY Eun, DH Kim. Diamond Relat Mater 2:910,
1993.
67. S Kubelka, R Haubner, B Lux, R Steiner, G Stingeder, M Grasserbauer. Dia-
mond Films Technol 5:105, 1995.
68. JE Field. The Properties of Diamond. 2nd ed. London: Harcourt Brace Jova-
novich, 1990, p 281.
69. N Kikuchi, T Komatsu, H Yamasita, H Yoshimura. US Patent 4,731,296, 1988.
70. JM Pinneo. European Patent Application 93308250.5, 1993.
71. ER Kupp, PhD thesis, Pennsylvania State University, 1995.
72. DS Park, PJ Diconza. European Patent Application 93307379.3, 1993.
73. K Saijo, M Yagi, K Shibuki, T Sadahiro. US Patent 5,100,703, 1992.
74. JM Olson, H Windischmann. Diamond Films Technol 8:105, 1998.
75. JP Whitacre. Hypereutectic aluminum: a piston material for modern high spe-
cific output gasoline engines. SAE Trans Vol 96, Sec 3, Passenger Car Meeting
and Exposition on October 19, 1987 in Dearborn, MI, Society of Automotive
Engineers, Warrendale, PA, 1987.
76. F Feuchtenschlager. Austrian Research Centers, data presented at commercial
seminar in Wurzburg, Germany, 1998.
77. J Sebzda Sr. EDM Today 2:24, 1999.
CVD Diamond Solutions for Machining 509

78. R Horsfall. Cutting Tool Eng August:42, 1997.


79. DT Quinto, AT Santhanam, PC Jindal. Mater Sci Eng A105/106:443, 1988.
80. DT Quinto. J Vac Sci Technol A 6:2149, 1988.
81. Diamond coating cutting. Tool Prod 65:20, 1998.
82. K Weinert, D Biermann, M Liedschulte. Drilling of magnesium-matrix com-
posites. In: Composites in Manufacturing. Newsletter of the Composites Man-
ufacturing Association of the Society of Manufacturing Engineers 15:1, 1999.
83. J Wilks, E Wilks. Properties and Application of Diamond. Oxford: Butterworth-
Heinemann, 1991, pp 505–507.
84. LC Carrison, RG Wetzel. US Patent 4,016,736, 1977.
85. RH Wentorf Jr, WA Rocco. US Patent 3,831,428, 1974.
86. TR Anthony, BE Williams. US Patent 5,377,522, 1995.
87. TR Anthony, BE Williams. US Patent 5,363,687, 1994.
88. TR Anthony, BE Williams. US Patent 5,361,621, 1994.
89. TR Anthony, BE Williams, KM McNamara. US Patent 5,465,603, 1995.
90. Introduction to CVD Diamond. Internet information from the DeBeers Indus-
trial Diamond website (http://www.debid.co.uk/), September 2000.
91. Based on information provided in April 1999 product announcements for
DeBeers Industrial Diamond Diafilm PC, RF, and TM products.
92. Properties of Diamond. DeBeers Industrial Diamond Division, Technical
brochure.
93. KJA Brookes. World Directory and Handbook of Hardmetals and Hard Ma-
terials. 5th ed. Hertfordshire, UK: International Carbide Data, 1992, p 81.
94. S Hampshire. In: SJ Schneider Jr, ed. Engineered Materials Handbook. Vol 4.
Materials Park, OH: ASM International, 1991, p 812.
95. GA Graves, NL Hecht. Effects of environment on the mechanical behavior of
high-performance ceramics. Report UDR-TR-94-136, 1995.
96. EH Hull, GT Malloy. J Eng Ind (Trans ASME) November:373, 1966.
97. Silicon nitride compressive strength data from Enceratec, Inc via http://www.
enceratec.com, September 2000.
11
Diamond Heat Spreaders and
Thermal Management

Ajay P. Malshe and W. D. Brown


University of Arkansas, Fayetteville, Arkansas

I. INTRODUCTION

Almost from the instant that active electronic devices were invented, a drive
to increase the operating speed of electronic systems containing them was
initiated. At the same time, a parallel effort focused on packing more elec-
tronics into a smaller and smaller volume. These trends continue unabated
today. However, it is becoming more and more difficult to make progress
in these areas using conventional single-chip packaging and present-day
thermal management technologies. Multichip module (MCM) packaging, in
which integrated circuits (ICs) are mounted extremely close together on a
single substrate in order to increase the operating speed of an electronic
system, only contributes to the thermal management challenge. As a con-
sequence of these continuing trends in electronic systems, there are three
main difficulties that must be addressed: how to provide for (1) the required
power at the proper locations in the system, (2) sufficient input/output (I/O)
connections to and from the system, and (3) adequate heat removal from
the system.

A. Need for Thermal Management in


Electronic Packaging
In IC technology, increasing functionality and operating speed of a chip are
accomplished by reducing the minimum feature size, thereby shrinking de-
vice size and interconnection (i.e., wire) width. The reduced device dimen-
511
512 Malshe and Brown

sions dictate a reduction in the operating voltage(s) in order that material


stress levels not be exceeded, thereby preserving the reliability of the IC. A
reduction in interconnect width is accompanied by an increase in intercon-
nect resistance, which then must be alleviated by reducing the interconnect
length. On an IC, reduced interconnect length is a direct consequence of
reducing device size. The final result of these changes is that an IC contains
more active devices in a given area operating at higher speeds. This, in turn,
means that the power consumption/dissipation in the given area also in-
creases as illustrated in Fig. 1. Thus, a higher functionality IC with its ac-
companying higher power dissipation presents a thermal management chal-
lenge. As the drive to pack more and more of these ICs into smaller and
smaller volumes without adversely affecting the operating speed continues,
thermal management of electronic systems will present formidable
challenges.
The multichip module, noted previously, is a technology presently be-
ing used to pack ICs into a smaller volume by mounting them on a single
substrate. The substrate provides both mechanical support for and intercon-
nections between the ICs. By using array I/O on the MCM substrate, both
the large I/O requirement and power insertion problems are relieved. How-
ever, thermal management becomes an even bigger problem because, as the
power dissipated in a given volume increases, conventional approaches to
dealing with the resulting heat quickly become inadequate. For example, the
size of conventional heat sinks would have to increase to handle higher

Figure 1 Trends in clock speed and power consumption. (From Ref. 95.)
Diamond Heat Spreaders and Thermal Management 513

power chips. This, in turn, would lead to a requirement for higher cooling
air velocity and flow. However, in order to maintain or even reduce the size
of a given electronic system, larger conventional heat sinks are not accept-
able because of the increased volume and weight that they present. On the
other hand, if the excess heat is not dissipated in an effective and timely
fashion, there is the danger of degrading the system, which, at the very least,
would adversely affect the long-term reliability of the system or, at worst,
would prove fatal.
Because of the increasing demands on packaging of electronic systems
and the accompanying thermal management challenges, in recent years much
attention has been directed at the development, processing, and characteri-
zation of new electronic materials and thermal management technology.
Thus, the requirements and role of heat spreaders (also referred to as thermal
spreaders) and heat sinks and the various materials and schemes used for
thermal management of electronic packages are topics of a significant
amount of research and development.

B. Thermal Heat Spreaders and Heat Sinks


A thermal heat spreader material serves as an interface between a heat-
dissipating device, such as an IC, and a heat sink. The primary function of
the heat spreader is to collect heat from the source (e.g., IC) and quickly
spread it to a larger device (e.g., heat sink) in order to eliminate heat from
an electronic system. Thus, in terms of the roles they play in removing heat
from an electronic system, the function of a heat spreader is different from
that of a heat sink. In other words, the role of the heat spreader is to extract
the heat rapidly from the source and spread it over a large area; it is the
heat sink that actually dissipates the heat.
Because of their different roles, a heat spreader needs to have a high
thermal conductivity and excellent electrical insulating properties and should
be capable of withstanding the high temperatures generated by the heat
source device. To ensure intimate contact between the heat source and the
heat spreader, the surface of the heat spreader in contact with the heat source
should be extremely flat, of the order of or exceeding 0.1 ␮m/mm, and the
material should be stress free. Stress generally causes surface curvature,
which is undesirable. Because of the requirement for an extremely flat mat-
ing surface, inexpensive processes for surface finishing, such as polishing
or planarization, should be available and procedures for dicing or cutting
should be simple and clean. Also, the definition of complex metallization
patterns using photolithography should be manufacturing transparent. Apart
from these features, the cost of the heat spreader must be sufficiently low
as to make it economically viable.
514 Malshe and Brown

The physical dimensions of a heat spreader required for a specific


application can be estimated by modeling. Usually, the thermal properties
of the material used for the heat spreader, the configuration of the system
generating the heat, and the amount of heat generated by the system are the
input parameters to such a simulation program. The results from one such
program involving the estimation of isotherms in half-cylindrical heat
spreaders of different thicknesses are shown in Fig. 2 [1].
Single-crystal, natural diamonds have excellent properties for heat
spreader applications. They have a very high thermal conductivity of over
20 W/cm K and electrical resistivities in excess of 1013 ⍀-cm. However,
natural diamonds have a number of limitations that restrict their use in elec-
tronic applications. They are scarce, making them expensive, and from a
more technical point of view, their composition is likely to vary from sample
to sample. Furthermore, their physical dimensions severely limit the area to
which they can be applied and, hence, their useful applications. To overcome
these limitations, diamond substrates can be synthesized by chemical vapor
deposition (CVD). Although CVD diamond deposition rates are reasonably
high, the costs associated with its growth and postdeposition processing are
still too high to allow it to be used routinely as a thermal management
substrate in either MCMs or single-chip packages. However, given that di-
amond will probably be a viable MCM substrate in the future, a primary
technical consideration is the minimum diamond substrate thickness required
for efficient thermal management. As seen in Fig. 3, the efficiency of dia-
mond in spreading heat decreases with increasing thickness for an edge-
cooled package geometry. This fact has important technical as well as eco-
nomic implications when determining the optimum thickness of a substrate.
Currently, approximately 1000-␮m-thick substrates are considered accept-
able for MCM applications. However, according to some simulation results
[2], thinner diamond substrates (20 to 30% thinner) can be used to achieve
similar thermal management performance. This finding suggests that the
CVD growth time and correspondingly the cost of diamond can be signifi-
cantly reduced. Thus, CVD diamond, by far the technically best material for
heat spreader applications, has the potential to become a viable contender
economically.

C. Materials and Schemes for Thermal Management of


Electronic Packages
Of the several conventional MCM substrates used for thermal management,
silver (thermal conductivity, k = 4.28 W/cm K), copper (k = 3.96 W/cm K),
beryllium oxide (k = 2.23 W/cm K), and aluminum nitride (k = 2.30 W/cm
K) are the only materials having thermal conductivities close to that of
Diamond Heat Spreaders and Thermal Management 515

Figure 2 Isotherms in half-cylindrical heat spreaders of different thicknesses.


(From Ref. 1.)
516 Malshe and Brown

Figure 3 Thermal management efficiencies of some materials. (From Ref. 2.)

diamond. Figure 3 compares the thermal management efficiencies of these


materials and CVD diamond, with k values as high as 16.0 W/cm K, al-
though it can vary over a wide range of values depending on the growth
conditions and it is anisotropic with the higher value in the direction parallel
to the growth direction. It shows that even under extreme power dissipation
conditions, the temperature rise at the center of a diamond substrate of op-
timum thickness in an edge-cooled geometry is much less than that of con-
ventional materials. Moreover, the temperature rise for diamond is well
within the acceptable limits for all practical applications (see Fig. 4).
Many different schemes for thermal management of electronic pack-
ages are possible, depending on the particular application requirements.
These schemes can be broadly classified into two categories: cooling of the
package from the back and cooling from the edges. Although diamond has
the highest thermal conductivity of any material, it has a relatively low heat
capacity. Therefore, to use diamond to its best advantage, it should be used
as a heat spreader by incorporating it as an intermediate layer between a
heat source and a heat sink. Thus, as noted previously, the primary function
of the diamond would be to spread the heat quickly from a smaller area (the
heat source) to a larger area (the heat sink) where the heat can be disposed
of more effectively and efficiently (see Fig. 5). In an application as a heat-
spreading substrate, the heat source (e.g., an IC) would be mounted on one
Diamond Heat Spreaders and Thermal Management 517

Figure 4 Temperature distribution of plot of diamond substrate—simulation re-


sults. (From Ref. 2.)

Figure 5 Placement of diamond film between the source and sink of heat. (From
Ref. 4.)
518 Malshe and Brown

flat surface of the diamond with the heat sink mounted on the other side. In
this configuration, the CVD diamond would quickly pull the heat from the
IC, spread it over a large area (the diamond heat spreader), and transfer it
to the heat sink, which is more efficient than diamond at dissipating heat.
The fact that diamond has an excellent thermal conductivity but relatively
low heat capacity reinforces the need to determine the optimum thickness
for a particular application, thereby minimizing the economic impact of us-
ing a diamond heat spreader.
Maximum performance benefits of diamond heat spreaders occur when
the lowest junction operating temperature of the device generating the heat
is achieved for a given configuration. On the other hand, minimum cost is
realized by making the diamond substrate as small as possible because the
cost of CVD diamond correlates directly with its volume. It has been ob-
served [3] that the optimum diamond heat spreader should have a thickness
that is 0.5 to 1.0 times the radius of the heat source and a radius three times
that of the heat source (see Fig. 5). It has also been found that [3] when a
CVD diamond–coated substrate is used as a heat spreader, the coating is
effective only when the heat source radius is comparable to the diamond
thickness. Unfortunately, the layer of solder used to attach the heat source
(e.g., IC) to the diamond coating can present a major thermal impedance,
thereby reducing the effectiveness of the diamond in reducing the temper-
ature of IC.
Another feasible approach to packaging electronic devices, such as
solid-state lasers, is by stacking them vertically to effect a two-dimensional
array instead of the conventional approach where devices are spread out in
the horizontal direction over a single heat spreader creating a simple one-
dimensional array. The only efficient method for removing heat from the
two-dimensional configuration is horizontally through heat spreaders placed
between the devices. The thermal management problem is then one of heat
flow in one dimension along a horizontal bar. Similarly, three-dimensional
MCMs, which offer the greatest potential for speed performance enhance-
ment of electronic systems, can be realized by taking advantage of the ex-
cellent thermal properties of CVD diamond. However, they also present the
greatest challenges to the package designer and substrate fabricator.

D. Diamond Heat Spreaders


Effective, economical thermal management is essential for satisfactory op-
eration of both single-chip and multichip electronic packages. Diamond has
an excellent thermal conductivity (k = 20.00 W/cm K for natural diamond
and in the range of 7.00–16.00 W/cm K for thermal management quality
CVD diamond), a low coefficient of thermal expansion (⬇10⫺6), high elec-
Diamond Heat Spreaders and Thermal Management 519

trical resistivity (>1013 ⍀-cm), and high mechanical strength (Young’s mod-
ulus ⬇ 1011 N m⫺2), making it an ideal choice for two-dimensional as well
as three-dimensional MCM packaging. Thus, diamond is clearly a qualita-
tively superior material, compared with its competitor materials such as sil-
icon, alumina, and beryllium oxide, for thermal management applications.
In fact, it has been shown to perform well as a substrate for specific devices,
such as high-power semiconductor laser diodes, and has been used as the
substrate in prototype MCMs. The only obstacles to more widespread use
of diamond substrates in such applications have been its limited availability
in large sizes, which are stress free and of uniform thickness, and cost.
However, advances in CVD diamond deposition technology make it possible
to fabricate fairly large area (10 cm2) diamond substrates in the laboratory
at a reasonable cost, thereby increasing its market considerably (see Fig. 6).
The superiority of diamond as a thermal management material arises
primarily from its high thermal conductivity combined with its electrical
insulation properties. The purest natural diamonds (type IIA) have a thermal
conductivity of about 20 W/cm K at room temperature. Those containing
nitrogen as an impurity (type I) have a lower thermal conductivity. CVD
diamond shows a variation in conductivity from near that of copper (4 W/
cm K) to about 16 W/cm K. Variations in the thermal conductivity are also
observed when measured along and across the plane of the substrate. This
variation arises from variations in crystalline size, chemistry, and crystal
orientation. Although the thermal conductivity is slightly more in the direc-

Figure 6 Large-area (10 cm2) diamond substrates. (Courtesy of Norton Diamond.)


520 Malshe and Brown

tion perpendicular to the substrate surface, the in-plane thermal conductivity


is still excellent in high-quality material (see Table 1), making diamond an
excellent choice for thermal management applications. Furthermore, the low
coefficient of thermal expansion is well matched to that of silicon, ensuring
that stress is minimal when used with silicon ICs. Diamond is also a low-
loss dielectric material, which is an important property for substrates used
in high-speed digital circuitry.
CVD diamond films or substrates are already competitive in price for
applications requiring ‘‘small’’ pieces. For example, a small-area diamond
submodule could be used as a drop-in module in a large electronic module.
However, there are a number of applications where thin, large-area CVD
diamond substrates could be used. Numerical calculations and simulations
have shown that depending on the application, there is an optimum thickness
for the CVD diamond film that will efficiently distribute the heat. This makes
it possible to realize some devices and configurations that have not been
possible to date. This includes multiple heat sources on a single substrate.
Each heat source may be quite small, and provided the separation of the
heat sources is sufficiently large, the required thickness of the substrate will
be determined by the size (and power dissipation) of the individual heat-

Table 1 Measured Properties of CVD Diamond

Thermal conductivity (at 25⬚C)


In-plane 13.50 to 16.10 W/cm K
Perpendicular 21.70 W/cm K
Optical transmission
␭ = 10 ␮m >70%
␭ = 2 ␮m 63%
Young’s modulus 1180 GPa
Shear modulus 514 GPa
Bulk modulus 558 GPa
Poisson’s ratio 0.148
Thermal expansion (RT 400⬚C) 2.0–2.6 ⫻ 10⫺6/K
Density 3.51 g/cm3
Specific heat at RT 0.54 J/g K
Hydrogen content 20 ppm
Dielectric constant 5.6
Loss tangent <0.001
Electrical resistivity 1013 ⍀ cm
Flexural strength 1000 MPa
Chemical resistance All acids and alkalis

Source: Ref. 4.
Diamond Heat Spreaders and Thermal Management 521

generating devices. Some prime examples of this type of assembly are laser
diode arrays and high-power amplifiers. There is a further possibility of
stacking high-power laser diode arrays to give a two-dimensional pattern to
realize a high-efficiency, high-intensity light source, which could be used
for pumping solid-state lasers.
Another potential application for CVD diamond involves substrates
that utilize microchannel cooling. In present-day microchannel cooling,
channels are etched into the back surface of a silicon substrate. Water or
some other coolant is then circulated through the microchannels. The ma-
terial between the heat-generating device and the coolant channels does not
serve as a heat spreader but simply serves as a heat conductor, so the lower
its thermal resistance, the better. Thus, if the silicon were replaced by CVD
diamond of the same thickness and with similar microchannels, the thermal
resistance of the substrate would be reduced by the ratio of the thermal
conductivities of silicon and diamond.
CVD diamond has also been utilized as the substrate material in mi-
crowave, as well as radio-frequency circuit packaging. Because microwave
systems generally require the dissipation of high power from small mono-
lithic microwave integrated circuits, the thermal impedance through a con-
ventional alumina substrate is often too high. To circumvent this problem,
large precision thermal vias are formed by drilling holes through the sub-
strate and filling them with a metal. The dies are then attached to the sub-
strates directly over the thermal vias. However, the creation of thermal vias
in a substrate adds to both the processing complexity and cost. Another
approach to packaging of such systems would utilize diamond as the sub-
strate. The packaging of a microwave system using diamond instead of alu-
mina as the substrate has been attempted [4]. A frequency divider circuit for
use in an avionics automated test system for the F-16 aircraft was built on
a CVD diamond substrate [4]. The superior heat-spreading efficiency of a
CVD diamond substrate enables denser device packaging. As a result of
using a CVD diamond substrate, the number of components used was re-
duced and the assembly process was simplified. Thus, diamond proved to
be more economical to use than alumina because it allowed fewer and sim-
pler assembly steps and it resulted in improved system reliability.
In order to achieve higher system operating speeds, MCMs are now
utilizing three-dimensional (3-D) packaging to reduce interconnect distances
between substrates. The 3-D approach then requires the use of vertical in-
terconnects between the substrates. This requires metallized signal vias
through the substrates and fuzz buttons between the substrates in order to
pass signals in the vertical direction. Because a 3-D package contains a large
number of high-speed ICs in a relatively small volume of space, it presents
a formidable thermal management problem. However, CVD diamond is an
522 Malshe and Brown

ideal thermal management material for this package configuration because


it will provide both the required mechanical support for the ICs and a lateral
path through which the heat generated by the ICs can be removed to a heat
sink at the edges of the ‘‘cube.’’ If the diamond edges are cooled effectively,
the high thermal conductivity of the diamond will maintain the dies within
their rated operating temperatures [5].
Thus, CVD diamond offers viable and reasonably cost-effective solu-
tions to the challenge of ever increasing speed and power requirements of
the single-chip and multichip IC packages. However, it remains a material
searching for applications that take advantage of its superior thermal as well
as outstanding electrical, mechanical, and chemical properties.

E. Diamond Synthesis
Understanding and controlling the heteroepitaxial growth of diamond has
been a major challenge and goal of researchers ever since CVD diamond
deposition was first demonstrated. One of the difficulties associated with this
effort is the small number of substrate materials with suitable crystal struc-
tures and lattice constants. The crystal structure, grain size, and thermal
properties of diamond are very sensitive to the chemical vapor deposition
conditions. The substrate-diamond interfacial properties are affected by the
substrate surface chemistry, atomic arrangement, and deposition conditions
such as substrate temperature and flow rates of the gases.

1. Introduction to Diamond Deposition


Diamond films can be deposited from a variety of carbon-containing gases
mixed with other reactive and inert gases. Methane, acetylene, carbon mon-
oxide, carbon dioxide, and organic compounds including methyl alcohol,
ethyl alcohol, isopropyl alcohol, acetone, and several ethers have been used
for diamond deposition. Methyl radicals and acetylene are the predominant
carbon-containing precursors detected near the growth surface. The methyl
radical has been the most frequently suggested growth species, based at first
on the fact that the diamond lattice is composed of sp3-bonded carbon and,
later, on isotopic labeling experiments reported by Chu et al. [6]. However,
a comprehensive study is needed to understand fully the competitive mo-
lecular processes and to determine which species are responsible for dia-
mond growth.
It has been shown [7] that the successful growth of diamond by CVD
methods requires a combination of carbon, hydrogen, and oxygen in appro-
priate ratios. However, variations in gas-phase composition are not sufficient
to explain the large differences in growth rate. In general, diamond depo-
Diamond Heat Spreaders and Thermal Management 523

sition has been reported between the temperatures 500 and 1200⬚C. In a few
cases, it has been deposited below 500⬚C using C-H-O gas mixtures [8–11].
The substrate temperature should always remain below 1400⬚C to prevent
graphitization of the growing film [11]. The gas phase is always rapidly
quenched from very high to relatively moderate substrate temperatures in
almost all of the deposition techniques. The substrate temperature also af-
fects the crystal orientation at the growth surface. At low temperatures and
pressures, (111) crystal phases dominate, while at higher pressures and tem-
peratures, polyhedral crystals with (111) and (100) phases dominate [12].
Badzian [13] reported that the (111) phase dominates at lower temperatures
(<900⬚C) and the (100) phase dominates at higher temperatures. Crystallo-
graphic orientation of the film-substrate has a measurable effect on the ther-
mal conductivity and, hence, on heat-spreading efficiency.
The reactor pressure affects the diamond growth rate because it deter-
mines the recombination length of the active species and, hence, its lifetime
and the drift distance of atomic hydrogen [14]. The growth rate in a low-
pressure plasma is generally small.

2. Nucleation of Diamond Growth


Nucleation during the diamond growth affects the density of the film, the
voids at the substrate interface and cavities within the substrate. Eventually,
these voids are detrimental to the efficiency of thermal spreading capabilities
(Fig. 7). There are a number of reports describing the possible mechanism(s)
leading to the synthesis of diamond [15–19]. The low nucleation density of
diamond on silicon, a commonly used substrate, may arise from the high
surface energy of diamond, the large lattice mismatch of diamond and sili-
con, the low sticking probability, or low surface mobility of growth species
[16]. The process of film nucleation is usually divided into several stages
such as the adsorption of arriving particles, surface diffusion of adsorbed
atoms, and formation of nuclei and their subsequent growth and coalescence
[17,18]. For diamond growth, continued efforts by the scientific community
have been devoted to increasing the nucleation density in order to reduce
the surface roughness of the deposited films as well as to increase the con-
centration of the diamond phase in the deposited material. Increased nucle-
ation densities also reduce the formation of voids at the substrate–diamond
film interface, leading to better adhesion of the diamond film to the substrate
[19].
Surface pretreatment plays a major role in the diamond nucleation
process. The degree of diamond nucleation is strongly dependent on the
substrate material and its surface condition as well as on the method of
deposition. Consequently, several substrate materials and nucleation tech-
524 Malshe and Brown

Figure 7 Schematic and a typical SEM micrograph of a microcavity in the sub-


strate. (From Ref. 2.)

niques have been developed to enhance growth of diamond on nondiamond


substrates. Abrasion of the substrate surface using hard materials such as
diamond powder is a widely studied method for enhancing diamond nucle-
ation [20–26]. Ultrasonic or mechanical processes are generally used to
effect the abrasion. This method yields nucleation densities in the range 107
to 109 cm⫺2. Different mechanisms, both morphological and chemical, could
be responsible for the nucleation. Generally, these mechanisms create
randomly oriented nucleation sites, which results in the growth of randomly
oriented diamond crystallites. Chakk et al. [27] used a mixture of metal
and diamond particles to abrade the substrate ultrasonically and enhance
the nucleation density. Powders of metals such as tungsten, tantalum,
molybdenum, titanium, aluminum, iron, nickel, and silicon are used and
result in a nucleation density enhancement of about two orders of magnitude.
The enhanced nucleation is found to be associated with a physicochemical
modification of the substrate surface attained through a cooperative effect
of both the metal and diamond particles during the ultrasonic abrasion
process.
Diamond Heat Spreaders and Thermal Management 525

The application of a negative bias to the substrate during deposition


is another method for enhancing diamond nucleation [28–31] and could
eliminate the abrasion techniques used at the present time. The negative bias
collects carbon ions at higher rates onto the silicon surface and increases
their bond strength with the surface silicon owing to ion mixing. This en-
hances the formation of carbon clusters, overcoming reevaporation and dif-
fusion. It is generally believed that energetic ion bombardment also plays a
critical role in bias-enhanced nucleation. Ion bombardment could increase
the mobility of surface species and help them find nucleation sites by de-
stroying the weak sp2 structure and increasing the sp3 structure, leading to
the formation of diamond nuclei precursors.
Enhancement of diamond nucleation was observed when a silicon sub-
strate was coated with a catalytic material such as iron [32]. The catalyst
plays an important role in the diffusion of carbon atoms into the substrate
and the creation of more diamond nucleation sites. A few minutes of incu-
bation time was required for the growth of nuclei, which increased with
increasing thickness of the iron film. In addition, carbon fibers [33], fullerene
clusters [34], graphitic carbon [35], and amorphous carbon [36] have been
used to enhance the nucleation density.
A novel technique referred to as electrostatic seeding has been reported
[37]. The technique utilizes electrostatically charged, nanometer-size dia-
mond particles to spray coat a substrate on which the diamond is to be
deposited. The spraying process allows a wide range of particles to be de-
posited and, when the spraying is optimum, results in films that are smooth,
dense, and relatively microcavity free (see Fig. 7). In addition to being a
relatively inexpensive process to implement, this approach to providing nu-
cleation sites for diamond growth can be used to nucleate substrates of any
shape.
Diamond growth conditions such as type and concentration of CVD
gases, source of gas activation, plasma temperature, applied substrate bias,
uniformity of the activated gas species, field or plasma uniformity, geometry
of the substrate, surface chemistry of the substrate, and substrate temperature
during deposition as well as variations in any of these conditions during
deposition greatly influence the crystal size, chemistry (i.e., purity), porosity,
and crystal orientation of the resulting diamond as well as the residual stress
and defects in the film. These factors also control the in-plane and perpen-
dicular thermal conductivities, thereby determining the thermal management
efficiency of the diamond. This is obviously of extreme importance for di-
amond that is to be used as a heat spreader. With this in mind, a limited
discussion of techniques known to be employed by some commercial CVD
diamond vendors to deposit thermal management quality diamond films and
substrates will be presented in a later section of this chapter.
526 Malshe and Brown

Finally, it is important to use the proper substrate or mandrill when


depositing a thick diamond film that is intended to be freestanding so that
it is released from the substrate or mandrill with minimal effort and damage.
If the substrate or mandrill is not properly chosen, there is the potential for
contamination of the diamond by the substrate or mandrill and/or mechanical
damage due to a large CTE mismatch. In general, vendors use a proprietary
substrate or mandrill, but the general philosophy is to use substrates that
present a ‘‘moderate’’ CTE mismatch with diamond. This allows relatively
shockless release of the diamond from the substrate or mandrill upon cooling
from the deposition temperature to room temperature. The selection of non–
carbide-forming materials for the substrate or mandrill greatly reduces the
potential for chemical contamination of the diamond and problems with
removal of the diamond from the substrate or mandrill.

F. Growth Techniques
The synthesis of diamond at low pressures by CVD techniques is of interest
both for its crystal growth science and as a process with significant com-
mercial potential. The CVD approach is the only realistic alternative to high-
pressure, high-temperature synthesis methods. Although diamond films have
been synthesized in the laboratory by a number of methods, the discussions
presented in this section, based on published literature, will be brief and
limited to three of the most commonly used techniques employed by com-
mercial vendors of thermal management quality diamond. These are the DC
arcjet discharge, hot filament, and microwave plasma-enhanced CVD
techniques.

1. Diamond Deposition Methods


The growth of diamond films at higher rates was made possible by the
development of thermal and plasma-enhanced chemical vapor deposition
methods in which a hydrocarbon gas, diluted with excess hydrogen, is used
as the carbon precursor. The various CVD methods, although slightly dif-
ferent, share a number of characteristics. Activation of the carbon-containing
gas (a hydrocarbon, CO, CO2, or an alcohol) is necessary in all processes.
A concentration of species sufficient to etch graphite and/or to suppress
graphite precursors is required. Atomic hydrogen is generally considered to
be a good agent for this purpose, but atomic and molecular oxygen, fluorine,
OH, and molecular hydrogen have also been used. The substrate surface
must support the nucleation and growth of diamond from the vapor phase
and should not include any catalysts that promote the formation of graphite.
Diamond Heat Spreaders and Thermal Management 527

Carbon-bearing species must be transported from the gas phase to the sub-
strate surface either thermally or by electric fields in the plasma.
a. DC Arcjet Discharge. The direct current (DC) arcjet discharge
technique can be characterized as a very high growth rate process. Thick,
freestanding diamond substrates can be routinely synthesized using this
method.
A DC arcjet discharge reactor for diamond deposition consists of a gas
injection nozzle, composed of a rod cathode, typically made of tungsten,
concentric with a tube anode. Gases are allowed to flow between the cathode
and anode and are sprayed out from an orifice in the anode. A high-tem-
perature discharge jet is created and sustained by a DC voltage across the
electrodes. The substrate is located downstream from the jet stream on a
water-cooled substrate stage. Carbon precursor and graphite etchant gases
could be introduced at different locations depending on the desired activation
temperature. Although this reactor is widely used because of its high growth
rates, the film can suffer from high compressive stresses, microvoids, and
high surface roughness.
b. Hot-Filament CVD. Thermally activated CVD, or hot-filament
CVD, is one of the earliest developed approaches to low-pressure synthesis
of diamond. A filament made of a refractory metal, normally tungsten, is
heated to high temperatures, around 2300⬚C, by resistance heating and is
used to activate the hydrocarbon-hydrogen gas mixture. The filament, which
is located a few millimeters above the substrate, also provides heating for
the substrate. The hydrocarbon-hydrogen gas mixture is allowed to flow
across the hot filament, where it is activated. Diamonex Inc. (Allentown,
PA) uses this type of reactor to produce CVD diamond substrates
commercially.
Materials that have been used for the filament include tungsten, tan-
talum, and rhenium. Source gases reported in the literature include meth-
ane, propane, ethane, and oxygen-containing hydrocarbons such as acetone
and ethanol. A DC bias has also been applied between the filament and
the substrate to enhance electron or ion bombardment of the substrate.
Variations of this basic reactor have been reported. For example, a tantalum
tube at 2200⬚C has been used to flow the gas mixture onto a silicon
substrate.
A hot-filament CVD reactor is relatively inexpensive and easy to con-
struct. Several filaments (i.e., a filament array) are used to perform deposi-
tions on large-area substrates. The important parameters in this process are
the filament temperature, the position of the substrate with respect to the
filament, and the gas flow dynamics. This technique, however, may have
some disadvantages including contamination of the diamond film by the
528 Malshe and Brown

filament, erosion and sagging of the filament, and a relatively slow growth
rate. It is also necessary to supply constant power throughout a deposition
using a proper power controller. It is important to note that substrate tem-
perature uniformity is difficult to achieve when using multiple filaments.
c. Microwave Plasma-Enhanced CVD. Microwave plasma-enhanced
chemical vapor deposition (MPECVD) is one of the most widely used dia-
mond deposition techniques. A magnetron is generally used to generate mi-
crowave energy at 2.45 GHz and a waveguide assembly is used to couple
the energy to a resonant cavity. Several variations of microwave reactors,
such as a tubular reactor (commercially manufactured by ASTeX Inc., Wo-
burn, MA) and a bell jar reactor (commercially manufactured by Wavemat,
Inc., MA), have been used to deposit diamond. An advantage of a microwave
plasma reactor over other reactors is that it is an electrodeless process, and
hence there is no contamination from the electrode material. The microwave
plasma excitation of hydrogen generates superequilibrium concentrations of
atomic hydrogen. The collisions of electrons with gas atoms and molecules
generate high ionization fractions.
In a tubular microwave reactor, the microwave energy is coupled to a
fused silica tube through a set of waveguides, tuners, and power monitors.
The substrate is located on a platen inside the fused silica tube. The platen
may be a susceptor that can be heated by coupling of the microwaves. The
substrate susceptor may be independently heated using resistive heating. The
use of a water-cooled substrate stage is also a common practice. The sub-
strate temperature is normally monitored using an optical pyrometer. ASTeX
Inc. currently uses a high-power, microwave plasma system to deposit free-
standing diamond substrates.
In a bell jar type of microwave reactor, the microwave energy is cou-
pled to a cylindrical cavity through a waveguide and an antenna. The process
chamber is isolated by a fused silica bell jar. The substrate is usually
mounted on a thin graphite plate. The combination of microwave coupling
and plasma bombardment heats the substrate to more than 800⬚C. The direct
coupling of microwave energy with some electrically conductive substrates,
such as silicon, may also cause some heating. Independent heating or cooling
of the substrate can be used to ensure good control of the substrate
temperature.
d. Low-Temperature Deposition. Microwave plasma-assisted CVD
of diamond has also been found to be effective in the temperature range 440
to 520⬚C [38]. The ability to deposit at low substrate temperatures allows
the use of diamond films on integrated circuit substrates as well as on bo-
rosilicate glasses. The temperature dependence shows [38] that the process
is consistent with a model that assumes the presence of radical surface site
Diamond Heat Spreaders and Thermal Management 529

pairs rather than single radical sites [39]. A schematic of the configuration
is shown in Fig. 8.

2. Specifications of Some Commercially Available CVD


Diamond Films and Substrates
Specifications of CVD diamond films and substrates supplied commercially
by various manufacturers are listed in Table 2.

G. Other Diamond-Based Thermal


Management Solutions
One of the primary objectives of diamond film synthesis is to provide a
technically and economically viable solution to thermal management of elec-
tronic systems. For a multichip module that utilizes diamond as a substrate
material, the diamond serves as the thermal link between the electronic de-
vice junctions and a heat sink. It is very important, then, to have a good
coefficient of thermal expansion (CTE) match between the electronic devices
and the diamond and between the diamond and the heat sink. This is also
a critical requirement for any other material used for thermal management.
Consequently, the synthesis of thermal management materials using carbon-
diamond, copper-diamond, or other metal matrix–based composites must
exhibit not only excellent thermal management properties but also an ac-
ceptable CTE.

1. Synthesis of Diamond-Based Composites


Because any composite material composed of diamond and a second ma-
terial essentially consists of a diamond particle matrix embedded in the sec-
ond material, it is important to consider the various modes of thermal con-
duction in materials. For example, in metals, conduction electrons are

Figure 8 Schematic of low-temperature deposition of diamond. (From Ref. 39.)


530
Table 2 Supplier Specifications for CVD Diamond

Supplier
Astex
Property Diamonex (HFCVD) (microwave plasma CVD)
a
Thermal conductivity >1300 W/m⬚C
Electrical resistivity >1011 ⍀ cm
Coefficient of thermal expansion ⬃2 ⫻ 10⫺6/⬚C (25–200⬚C)
Dielectric constant 5.7
Loss tangent <0.0005 at 15 GHz
Dielectric strength 100–300⫹ V/␮m
b
Density
Chemical resistance Inert to acids, alkalis, and solvents
Oxidation behavior Resistant to 600⬚C
Shear strength ⬃108 N/m2
b
Poisson’s ratio
b
Young’s modulus
b
Tensile strength

Malshe and Brown


b
Hardness
b
Compressive strength
a
No data available at the time of writing.
b
Data not available at the time of writing.
Diamond Heat Spreaders and Thermal Management 531

responsible for thermal conduction. However, in nonmetals such as diamond,


phonons are responsible for thermal conductivity. Although the carriers of
thermal energy are different in metals and in diamond, the fact that the
presence of discontinuities and imperfections degrades thermal conductivity
is common to both of them. Consequently, composite materials must be
carefully synthesized so that they perform well in thermal management ap-
plications. Furthermore, although the bulk thermal conductivity of a material
is size dependent, it does change as the physical dimensions of the material
begin to approach the mean free path of the heat transport mechanism:
electrons for metals and phonons for nonmetals. Consequently, the minimum
diamond powder size that should be used in a diamond composite material
has been estimated to be at least 10 times the phonon mean free path in
diamond, or approximately 1 ␮m [40].

2. Commercially Available or Reported Composite Materials


The excellent thermal properties of diamond have led to its use in combi-
nation with various other materials to form diamond-based composite ma-
terials. Such materials find applications where pure diamond heat spreaders
with their associated high costs are not necessary. This section discusses
some commercially available diamond-based composite materials and some
that have been discussed in the literature.
a. Dymalloy. Sun Microsystems and Lawrence Livermore National
Laboratory have jointly developed an alloy that they call Dymalloy [40]. It
is a copper-diamond composite material reported to have a thermal conduc-
tivity of 4.2 W/cm K, which is better than that of copper, and an adjustable
coefficient of thermal expansion that is nominally 5.5 ppm/⬚C at 25⬚C, a
value compatible with both silicon and gallium arsenide.
In order to overcome the thermal conductivity limitations of a diamond
composite material, the diamond powder used is treated in a special way to
make it suitable for composites. For example, this is illustrated by the fab-
rication process used by Davidson et al. [40] in the synthesis of the
Dymalloy copper-diamond alloy. The diamond powder is first coated with
100 Å of W-Rh using a physical vapor deposition (PVD) technique. To
ensure uniform coating of the irregular diamond grains, the powder is tum-
bled in the coating system. This is accomplished by placing the powder in
a small metal pan that is mechanically vibrated in the vertical direction by
a piezoceramic transducer. The idea behind this process is to deposit a car-
bide-forming material on the surface of the diamond. However, all carbide-
forming materials oxidize rapidly in air, and bonding to oxide surfaces is
difficult. This problem is circumvented by in situ deposition of a 1000 Å
layer of a brazable material such as pure copper onto the W-Rh coated
532 Malshe and Brown

particles. This form of diamond powder can then be packed into a form and
vacuum infiltrated to form a composite.
The major advantage of the powder technique is the ability to compress
the powder into any desired shape. Also, the coefficient of thermal expansion
can be tailored to any specification by controlling the amount of diamond
powder in the composite. The surface of the composite will have a surface
texture due to the embedded diamond particles. If a smoother surface is
required, it can be plated up and polished.
b. Polycrystalline Diamond Fibers for Metal-Matrix Composites.
While the alloy mentioned in the previous section has some attractive fea-
tures, Jyn-Ming Ting and Max L. Lake (Applied Sciences Inc., Cedarville,
OH) have suggested the use of polycrystalline diamond fibers to form metal-
matrix composites [41]. They suggest that their technique is simpler and,
because it is adaptable to continuous processing, it may have commercial
significance as a thermal management composite.
The process for synthesizing polycrystalline diamond fiber (PDF) be-
gins with the formation of vapor-grown carbon fiber (VGCF). VGCF is
produced in three stages through the pyrolysis of hydrocarbon gases in the
presence of transition metal particles. The first stage is the reduction of the
catalyst, which is supported on a substrate in a hydrogen atmosphere. In the
next stage, the gas flow is changed to a mixture of methane and hydrogen
in a linearly increasing temperature sweep to 1000⬚C. The fibers are nucle-
ated and elongated as methane decomposes on the catalyst. The catalytic
particle migrates down the reactor in the direction of gas flow. In the final
stage, the gas mixture is enriched with methane, allowing thickening of the
fiber through the CVD of carbon on the fiber surface. These fibers can be
grown to the desired diameter and size by controlling the deposition
parameters.
The VGCF fibers are then selected for suitable diameter and are pre-
conditioned before being coated with polycrystalline diamond. Precondi-
tioning consists of a treatment in an ultrasonic bath using diamond dust in
an aqueous solution. The fibers are then mounted in a microwave plasma-
enhanced chemical vapor deposition (MPECVD) reactor operating at 2.45
GHz and exposed to the plasma for a specific period of time. A gas mixture
of CH4 and H2 is used and the temperature of the substrate, on which the
graphite fibers are mounted, is maintained at approximately 1000⬚C. Figure
9 shows the cross section of a polycrystalline diamond fiber produced using
this process.
This technique offers the option of varying the diameter of the pre-
cursor graphite fiber and the thickness of the diamond coating, thereby tai-
loring its physical properties. For example, when a 1-␮m-thick diamond
Diamond Heat Spreaders and Thermal Management 533

Figure 9 A cross section of polycrystalline diamond fiber. (From Ref. 41.)

coating is grown on an 8-␮m-diameter carbon fiber (resulting in about 36%


volume diamond), the fiber is predominantly graphite and has anisotropic
properties. However, if a 9.5-␮m-thick diamond coating is applied to a 0.5-
␮m carbon fiber yielding a diamond volume of about 90%, the resulting
fiber is predominantly polycrystalline diamond with isotropic properties [41].
c. Other Diamond-Based Thermal Management Composite Materi-
als. As described previously, diamond-based composite materials are at-
tractive because of the possibility of tailoring their thermophysical proper-
ties. They are used in a variety of applications, including heat exchangers
in hypersonic vehicles operating in the temperature range 520–930⬚C [43],
cooling fins in space power systems, and, of course, in electronic packaging.
Diamond-based material can be fabricated in a variety of forms, such
as thin films, composites, or tubes with hollow cores. Diamond-based fibers
can be made by chemically depositing diamond onto metallic wires or non-
metallic fibers, and, as long as the core diameter is small compared with the
fiber diameter, the fiber properties depend primarily on the diamond prop-
erties. Hollow cores can lead to a reduction in the composite density and
may provide channels for gases or liquids for convectional cooling. In ap-
plications which demand that the thermal management material be strong
and light, yet have excellent heat conducting properties, the options could
include hollow core, diamond-based composites such that the core diameter
is limited to under about 200 ␮m to ensure sufficient mechanical strength.
534 Malshe and Brown

High-thermal-conductivity graphite-copper composites with diamond


coatings have been synthesized for thermal management packaging appli-
cations by MER Corporation [42]. The fabrication process employs a hollow
cathode sputtering process to deposit a bonding layer, followed by copper,
onto spread graphite fibers, which are then consolidated into composites of
the desired conductivity and thermal expansion. However, graphite-copper
composites are not acceptable for applications requiring electrical isolation.
For such applications, the composite is coated with an electrically insulating
diamond film that also serves as a heat spreader. It is important to note that
significant effort is being expended in thermal simulation of electronic pack-
ages that utilize diamond-based composite materials [42,43].

H. Thermal Conductivity
This section has been broadly divided into three subsections. The first ad-
dresses the need for measuring thermal conductivity, the second briefly de-
scribes the terminology used, and the third describes some of the techniques
used commercially to measure thermal conductivity.

1. Need for Thermal Conductivity Measurements


Diamond films or substrates exhibit a wide range of thermal conductivities
depending on the synthesis conditions used to deposit them. For example,
films can be grown with a variety of grain sizes. Larger grain sizes may
promote the formation of large voids between adjacent grains in the films,
which affects the thermal conductivity. On the other hand, films with smaller
grain sizes have smaller voids, but the surface area, or the grain boundary,
increases, which results in a degradation of effective thermal conductivity
because nondiamond carbon is found in the grain boundaries. Each such
interface acts as a resistance for heat transfer. Thus, deposition conditions
must be optimized to yield thermal management quality diamond films. In
particular, deposition conditions should be well controlled across the sub-
strate, particularly while growing large-area depositions, to ensure uniform
thermal properties across the substrates.
As noted previously, diamond films of very high thermal conductivity
can be grown using a variety of CVD techniques. Unfortunately, CVD rates
are generally slow, making diamond films relatively expensive. Altering the
deposition parameters in order to increase the deposition rate results in films
with a high void density and a smaller thermal conductivity. Thus, from an
economic point of view, it is important that the user of diamond not specify
a thermal conductivity higher than what is actually needed for a specific
application. Furthermore, the fact that a wide range of thermal conductivities
Diamond Heat Spreaders and Thermal Management 535

is available points out the need for simple, fast, and inexpensive methods
for measuring the thermal conductivity of diamond films.

2. Thermal Conductivity Measurement Terminology


Heat conduction in materials, including diamond, occurs primarily via pho-
nons. Unfortunately, phonons are scattered by discontinuities in materials,
such as lattice defects, voids, and interfaces, which limit heat conduction.
The diamond crystal size itself can limit thermal conductivity. Thus, the
measurement of thermal conductivity of diamond and other thermal man-
agement materials requires an understanding of the heat conduction process.
Thermal conductivity (␬) governs the steady-state temperature distri-
bution of a system while thermal diffusivity (D) governs the transient re-
sponse. They are related by
␬ = ␳CD (1)
where ␳ is the mass density of the material and C is its heat capacity per
unit mass.
The general approach to the measurement of thermal properties of
materials is to measure ␬, use the ␬ data as the input for numerical modeling,
and obtain ␬ values by carefully choosing the sample geometry and thermal
shielding. Minimizing heat loss by convection and radiation helps to im-
prove the reliability of the data.

3. Thermal Conductivity Measurement Techniques


All methods used to measure thermal conductivity employ either the static
method or the modulated heating method (also called pulsed heating). The
analysis of results obtained using either method depends on the solution of
the homogeneous heat diffusion equation. Because, in most applications, the
heat flow is either one-dimensional or three-dimensional, it is convenient to
use the cylindrical coordinate system. For a modulated heat source, the ho-
mogeneous heat equation takes the form
D1 = {(⭸2T/⭸r2) ⫹ (1/r)(⭸T/⭸r)} ⫹ D2⭸2T/⭸z2 ⫹ i␻T ⫺ hT = 0 (2)
⫺i␻T
where the heat source is assumed to have the form qe . In the 1-D case,
the radial derivative terms are, naturally, zero. Most of the treatments that
follow assume an isotropic medium, that is, the properties are direction in-
dependent. The terms that appear in Eq. (2) have the following meanings:
D1: thermal diffusivity in the radial direction (in-plane diffusivity)
D2: thermal diffusivity in the z direction (bulk diffusivity)
␻: modular angular frequency
536 Malshe and Brown

T: complex Fourier component of temperature at ␻


h: coefficient of heat loss by radiation
a. Ångstrom’s Method. A schematic of the measurement setup is
shown in Fig. 10. A bar is heated at one end at a frequency ␻ and the
temperature is measured along the bar. This then is a one-dimensional prob-
lem. Further, if loss to the surroundings due to radiation is minimal, then
the temperature [ln(Tmag)], where Tmag is the magnitude of temperature T,
and ␸ (phase angle of Tmag) are linear in z, the distance from the heating
source. The slope of the linear curve is (⫺␮⫺1). Thus, by measuring the
temperature Tmag or ␸ at two or more points along the bar, ␮ and hence D
can be calculated. If, however, the specimen is thin, there could be signifi-
cant losses to the surroundings, especially at low ␻. In this case, although
both [ln(Tmag)] and ␸ remain linear in z, their slopes have different values.
It can be shown that the product of the two slopes is ␮⫺2, which is inde-
pendent of h. Thus, D can be determined uniquely. From the knowledge of
D, it is then possible to compute h.
b. 3␻ Method. The designation 3␻ method arises from the way the
thermal signal is measured. A schematic diagram of the measurement setup
is as shown in Fig. 11. A narrow strip of metal and metal pads for electrical
contacts are deposited onto the surface of the test specimen. An ac current
I at ␻ = ␻0 , is passed through the strip, which is assumed to have negligible
thickness. By measuring the voltage, V(␻0), one can calculate the wire re-
sistance, R, which is a measure of the specimen temperature because of the
temperature dependence of the wire resistivity. The strip also acts as a line
source of heat due to the power dissipated, P = I 2R, which produces a

Figure 10 Schematic diagram of Ångstrom’s method for measuring thermal dif-


fusivity. (From Ref. 94.)
Diamond Heat Spreaders and Thermal Management 537

Figure 11 Schematic diagram of 3␻ technique for measuring thermal conductivity.


(From Ref. 94.)

cylindrical thermal wave at ␻ = 2␻0 . Thus, the strip serves a dual purpose.
The temperature in the specimen is
T = (P/L)K0(r/␮ ⫹ ir/␮)/(␲␬) (3)
where P/L is the power generated per unit length of wire and K0 is the
zeroth-order modified Bessel function. It is also assumed that the strip width
ws << ␮. In the limit, r (the radial distance from the wire) << ␮, and we
have
T = (P/L) ln(2␻0)/(2␲␬) (4)
where all terms independent of frequency have been ignored. The slope of
T versus ln(2␻0) can be used to calculate ␬. The ac component of R will
depend on 2␻0 . The measured voltage at 3␻0 provides a measure of R and,
hence, T. The dependence of R on temperature T can be calibrated by per-
forming measurements of V(␻0) as a function of temperature. Thus, the spec-
imen temperature and the thermal signal can be determined simultaneously.
c. Photothermal Radiometry. This technique consists of heating a
specimen with a modulated or pulsed heat source and measuring the thermal
signal with an infrared (IR) detector. This method has been widely used to
measure the thermal diffusivity (D) of diamond. The experimental arrange-
ment is shown in Fig. 12. The experiment can be conducted in several ways.
In the first method, a modulated laser beam of radius r0 >> w and r0 >> ␮
heats the top surface of the specimen. The large beam size allows 1-D anal-
538 Malshe and Brown

Figure 12 Schematic diagram of the photothermal radiometry method for the mea-
surement of ␬. (From Ref. 94.)

ysis. A CaF2 lens focuses the IR radiation emitted by the center of the heated
area onto an InSb detector. The thermal signal is measured as a func-
tion of ␻.
In another approach, the detector scans the thermal signal as a function
of r, which is the distance from the modulating heating beam. In this case,
the thermal signal primarily depends on the parallel component of the dif-
fusion coefficient, i.e., on D㛳. Usually, a nonlinear fitting procedure is re-
quired to obtain the thermal diffusivity from thermal radiometry measure-
ments. Obviously, the 3-D analysis is more complex than the 1-D procedure.
d. Photothermal Deflection (Mirage Effect). A schematic diagram of
the test setup for this measurement is shown in Fig. 13. The specimen is
heated by a modulated laser beam that is focused normally onto the surface.
The heating causes a thermal wave to propagate in both the specimen and
the air surrounding the specimen. The temperature gradient in the air close
to the specimen causes a change in the refractive index of the air in the
immediate vicinity of the specimen. A probe laser beam, which is made to
scan the heated surface of the specimen, is deflected by the index gradient
in the air. The deviation of the beam depends on the distance of closest
approach x between the probe beam and the heating beam. The deviation
angle is projected into two angles ␪n and ␪t, which represent the component
of the deflection normal to the specimen and the component parallel to the
specimen, respectively. These deflections are measured with a quadrant de-
tector. By measuring ␪n and ␪t as a function of x for different values of ␻
and fitting the data to theoretical functions, the thermal diffusivity can be
obtained.
Diamond Heat Spreaders and Thermal Management 539

Figure 13 Schematic diagram for the photothermal deflection method (the Mirage
effect). (From Ref. 94.)

e. Round-Robin Measurements. The National Institute of Standards


and Technology (NIST) brought people together from U.S. industries for the
purpose of discussing the need to standardize the procedures for thermally
characterizing CVD diamond. To address the issue of measuring the thermal
conductivity of CVD diamond accurately, a working group on standardiza-
tion of thermal conductivity measurement of CVD diamond was established
[44]. It was agreed that standardizing the measurement of thermal conduc-
tivity (␬) of CVD diamond was important. However, because a standardized
measurement procedure did not exist at that time, the group decided to
conduct a round-robin thermal conductivity measurement experiment in
which several laboratories agreed to perform measurements of ␬ on a given
set of specimens [43].
A considerable variation in measured values of ␬ resulted from this
effort, independent of whether the measurements were made at the same
laboratory using different techniques or at different laboratories. The varia-
tions have been attributed to various causes [45]. In some instances, a single
laboratory obtained different values at several locations on the same speci-
men, indicating substantial inhomogeneity over the surface of the specimen.
Some measurement techniques, such as the photothermal deflection and tran-
sient thermal gradient methods, have depth selectivity so that parameters
measured on one surface differ from those measured on another surface
because of differences in growth conditions at the two surfaces.
Because diamond synthesis progresses through columnar growth, the
density of voids in a sample is also a factor that affects thermal conductivity.
Consequently, if the probed depth varies from one technique to another, then
540 Malshe and Brown

the measured parameter values affecting thermal conductivity (␬) will be


averaged over that depth. As the void density is expected to vary with depth,
it is natural that the measured ␬ values would also vary if the measurement
technique has depth sensitivity.

II. POSTDEPOSITION PROCESSING OF DIAMOND


HEAT SPREADERS

As noted previously, depending on the deposition parameters, CVD diamond


films of different orientations, sizes, and thicknesses can be grown using a
variety of techniques. However, the particular parameters used to grow di-
amond films dictate, to some degree, their thermal characteristics. In fact,
diamond films are usually also nonuniform in thickness and grain size. Fur-
thermore, they are composed of randomly oriented crystallites and exhibit
intercrystal stresses unless grown in a very controlled manner [46].

A. Postdeposition Processing
The nonuniform thickness of CVD diamond films, along with their surface
roughness, can severely limit their thermal management efficiency due to
poor contact between a heat source and the diamond and between the dia-
mond and a heat sink. Photolithographic definition can also be limited by
these film parameters. Furthermore, metallization adhesion can be adversely
affected.
To overcome these potentially serious problems, CVD diamond films
must be subjected to postdeposition processing. The exact postdeposition
processing steps required depend on the specific application of the diamond.
For thermal spreader applications, it is essential that both surfaces of the
diamond are flat and clean.

B. Cutting and Drilling


The typical freestanding diamond substrate produced commercially using
state-of-the-art CVD techniques has a collar at its outer edge resulting from
diamond growth extending down the side of the mandrill on which the
diamond is grown [47]. Also, the outer portion of an as-grown substrate
generally has a nonuniform grain size/chemistry if it is a large substrate.
Consequently, the first required postdeposition processing is the removal of
the collar so that the resulting substrate is planar. Furthermore, there is a
need to cut the as-grown substrate into various sizes and shapes, as dictated
by specific thermal management applications.
Diamond Heat Spreaders and Thermal Management 541

Cutting of polycrystalline CVD diamond poses some challenges. The


hardness of diamond, along with its chemical inertness, mechanical brittle-
ness, and polycrystalline nature, presents serious challenges for precise cut-
ting using conventional methods. The problems are compounded if the di-
amond film to be cut is thin and is deposited on another substrate that has
different thermal properties. Typically, CVD diamond is cut using a pulsed
neodymium yttrium-aluminum-garnet (Nd-YAG) laser, assisted by a reactive
gas jet. The wavelength, power, and repetition rate of the laser, as well as
the chemical quality and thickness of the diamond, affect the definition of
the cut, the cutting-induced burr, contamination, melting, and microcracking
of the material. In fact, the definition of a cut corner is a function of the
laser wavelength. Laser cutting speed can be enhanced by having the right
photochemistry at the diamond surface and by introducing the proper
gas(es), such as a combination of a reactive gas, like oxygen, and an inert
gas such as argon.
Although a typical application of diamond in thermal management has
the IC mounted directly on one of its sides, the same and/or other side might
be used for high-density interconnection. Also, the edges of the substrate
may be used to extract heat, depending on the cooling scheme employed.
Furthermore, drilling of vias, which are filled with metal to provide electrical
interconnection from one substrate surface to the other, may be necessary
for some thermal management diamond substrates and requires that the di-
amond chemistry of the via surface be retained following drilling. These
vias are typically 100–150 ␮m in diameter, although other sizes are possible,
depending on the particular application. Depending on the size and design
of a diamond-based MCM, the number of vias required could vary from a
few hundred to a few thousand on one substrate.
The quality of a via is determined by its edge angle, wall contamina-
tion, and the definition of the top surface hole wall junction. Consequently,
the drilling of vias in diamond films using conventional techniques has not
had much success. Although drilling of diamond using lasers is not new,
very little literature is available on the subject because of its potential com-
mercial value. At the present time, an Nd-YAG laser operating at 1.06 or
53.2 ␮m is used in the pulsed mode for drilling via holes in CVD diamond
[47]. However, laser drilling of thousands of vias in a large-area, freestand-
ing CVD diamond substrate can cause bowing of the substrate during drill-
ing, thus making the process difficult. However, successful laser via drilling
has been accomplished by drilling from both sides of the substrate [48]. In
another novel process, fine laser drilling of diamond has been demonstrated
by laser treatment of diamond in a reactive liquid medium [49]. It was shown
that liquid chemistry plays an important role in laser machining.
542 Malshe and Brown

C. Polishing and Planarization


State-of-the-art physical and chemical methods of polishing and planariza-
tion of CVD diamond can be broadly classified as (1) nonreactive contact
methods, (2) reactive contact methods, and (3) noncontact methods. Each
method offers some advantages but also has some disadvantages.

1. Need for Polishing


The rough surface of diamond substrates is an innate limitation of state-of-
the-art diamond growth techniques [50]. Consequently, the surface must be
polished before the substrate will perform effectively in thermal management
or other applications. Polishing is typically achieved by microchipping or
dissolution or both. Hence, the factors to be considered when selecting a
polishing method for a given application include the as-deposited surface
quality, the polish rate (and hence the total time required to attain a specified
surface smoothness), the minimum achievable roughness, surface contami-
nation, as well as the equipment and operating costs. Different methods used
for polishing the diamond film are described next.

2. Polishing Techniques
For CVD diamond, the term polishing is typically used to describe material
removal using any process. However, the total polishing process actually
consists of two very different operations: lapping (coarse material removal)
and polishing (fine material removal). In the discussions that follow, unless
specified otherwise, a distinction is not made between the two operations.
a. Mechanical Polishing. The mechanical polishing of diamond
proceeds by grinding the samples on a flat metal wheel or scaife, which is
usually made of cast iron. An abrasive powder, consisting of material harder
than that to be polished, and a binder, often olive oil, are spread on or
impregnated into the wheel. For polishing diamond, diamond powder is used
as the abrasive material. The cast iron wheel is rotated at a high speed
(>2500 rpm). A load is applied to force the surface of the diamond against
the rotating wheel [51]. A coarse powder (>1 ␮m) is used in the initial stage
of polishing. This process is called lapping. Figure 14 shows an example of
a mechanically lapped diamond surface.
The primary advantages of postdeposition processing of diamond using
a process such as mechanical polishing are that the process is simple and
scalable. Also, there is no requirement for substrate heating or for reactive
gases. Furthermore, the cost of the equipment is low. Moreover, there are
virtually no limitations on the size of diamond samples that can be polished.
Finally, the chemical quality of the film is not drastically changed after the
Diamond Heat Spreaders and Thermal Management 543

Figure 14 Example of a mechanically polished diamond surface. (From Ref. 95.)

polishing process. There are, however, some limitations that should be


weighed against the advantages. The polishing time can be quite long, up
to several days, and the applied pressure on the substrates can cause micro-
cracking. The polishing rates depend on the quality of the diamond and
orientation.
b. Thermochemical Polishing. This technique is based on the atomic
dissolution of carbon into a hot metal. The technique was introduced by
Grodzinski in 1953 [52], and Yoshikawa has used it in more recent times
[53]. Yoshikawa’s setup is schematically depicted in Fig. 15. CVD diamond
substrates are polished using a hot plate made of iron [53], nickel [54],
manganese [55], or molybdenum [54]. The polishing rate depends on the
diffusion of carbon atoms from the diamond surface into the hot metal plate.
In general, it has been found that of these materials, iron yields the highest
polishing rate [56]. However, as polishing progresses, the carbon content in
the iron increases, which decreases the polishing rate. The plate temperature
is required to be maintained in the range of 700 to 950⬚C. At lower tem-
peratures, the polishing rate is low because of insufficient chemical reaction.
At higher temperatures, some voids have been observed in the diamond
surface being polished. Their number has been found to increase with tem-
perature [54]. They are formed because of selective etching of diamond in
the presence of oxygen. Thus, the polishing temperature must be optimized
544 Malshe and Brown

Figure 15 Schematic diagram of a thermochemical polishing apparatus. (From


Ref. 95.)
Diamond Heat Spreaders and Thermal Management 545

and the optimum temperature depends on the metal from which the plate is
made.
Thermochemical polishing is affected by the ambient. In vacuum, us-
ing iron, an etch rate of about 7 ␮m/hr is the maximum possible. However,
the finish is coarse. A smooth finish is possible in a hydrogen atmosphere,
but the polishing rate is only about 0.5 ␮m/hr. Consequently, it is common
practice to start the polishing in vacuum to take advantage of the higher
material removal rate and then finish the polishing in a hydrogen atmosphere
to achieve a smooth finish. Figure 16 shows an example of a thermochem-
ically polished diamond surface. Researchers at AT&T Bell Labs have used
different metals, such as manganese, cerium, and, lanthanum instead of iron
[57,58]. Under optimized conditions, etch rates as high as 50 ␮m/hr have
been realized using these materials.
The cost of equipment required for thermochemical polishing is
slightly higher than that for mechanical polishing. A vacuum chamber may
be required. The operating cost depends primarily on the cost of the metal
and the heating medium. The limitation on substrate size is similar to that
for mechanical polishing. Particles from the metal plate that remain on the
diamond surface are a major source of contamination. Also, due to the higher
processing temperatures at the diamond-metal interface, the possibility of
forming a thin nondiamond layer, such as graphite or diamond-like carbon,
on the surface of the diamond exists. Finally, the processing time is of the
order of several hours and the technique may not be suitable for thin films.
c. Chemical-Assisted Mechanical Polishing and Planarization
(CAMPP). Chemical-assisted mechanical polishing and planarization has

Figure 16 Thermochemically polished diamond surface. (From Ref. 95.)


546 Malshe and Brown

been shown to be a promising technique for fine polishing, not lapping, of


diamond substrates [59]. CAMPP uses mechanical polishing in conjunction
with chemicals to enhance the removal rate. Diamond under load comes in
contact with an alumina base plate in the presence of oxidizing chemicals
such as KOH or KNO. The diamond removal rate is directly proportional
to the speed of the polishing wheel. Hard materials, such as diamond pow-
der, may be added to the chemicals to enhance the polishing rate.
The polishing rate using this technique is higher than that for me-
chanical polishing. Surface contamination of the substrate is less than that
of either mechanical or thermochemical polishing. The technique, in prin-
ciple, is also capable of polishing nonplanar surfaces. The polishing rate is
a function of diamond chemistry. Figure 17 shows an example of a CAMPP-
polished diamond surface. The required equipment and operating costs are
only slightly higher than those for mechanical polishing.
d. Laser Polishing. Contact polishing methods, such as abrasive
polishing, apply stress to the diamond surface, which makes them imprac-
tical for polishing very thin (typically a few micrometers thick) diamond
films or substrates. Moreover, most of the contact techniques are unsuitable
for contoured surfaces or for selectively polishing small areas on larger
surfaces. It is for such polishing needs that noncontact polishing techniques,
such as laser polishing, prove beneficial.

Figure 17 Example of CAMP polished diamond surface. (From Ref. 95.)


Diamond Heat Spreaders and Thermal Management 547

Laser polishing typically uses an Nd-YAG Q-switched pulsed laser


[60], an excimer laser [61], or a combination of the two [62] to effect rapid
polishing of diamond. The polishing is accomplished by irradiating the di-
amond surface with a pulsed laser operating at a repetition rate between 1
and 100 Hz. The polishing mechanism involves transient thermal oxidation
and/or evaporative ablation of diamond [63]. A schematic diagram of a laser
polishing system is shown in Fig. 18, and Fig. 19 shows the surface mor-
phology of a diamond film following laser polishing.
Laser polishing is well suited for coarse material removal over large
areas [62]. Polishing proceeds by traversing the diamond film under a sta-
tionary laser beam. Traverse step size should be a fraction of the average
grain size to ensure homogeneous irradiation of the diamond surface and
hence a consistent surface topology after polishing. Depending on the laser
beam wavelength and power, the laser pulses will heat the diamond film to
a depth of a few submicrometers to a few micrometers. Depending on the
polishing parameters, an approximately 150-nm-thick layer of nondiamond
carbon may remain on the sample surface after polishing [64]. The polishing
rate is limited by the grain size, ambient conditions, laser spot size, and laser
power. It has been shown [65] that a high peak power (>1 GW/cm2) YAG
laser in an oxygen ambient leads to a polishing rate of about 100 nm/min.
The fastest coarse diamond polishing rate using a laser was demonstrated
using multiple lasers on a freestanding thermal management substrate [62].

Figure 18 Schematic of laser polishing of diamond thin films. (From Ref. 95.)
548 Malshe and Brown

Figure 19 Surface morphology of a diamond film after being laser polished.


(From Ref. 95.)

The time required to polish a diamond substrate depends on the size


of the sample, the wavelength of the laser, the laser beam size, ambient
conditions, and the scanning capability of the stage/laser. The surface finish
attainable is limited by the quality of the different diamond facets and grain
boundaries, which have different evaporation rates in response to the same
laser radiation [66]. Moreover, if the polishing technique is applied to thin-
film diamond, the laser can generate shock waves, resulting in microcracks
that can adversely affect the adhesion of the diamond to the substrate [67].
Laser polishing is probably the best method for rapid, coarse polishing
of diamond. The technique does, however, have limitations for fine polish-
ing. Although laser equipment costs are high, the technique is still econom-
ical because of its high polishing rates. Furthermore, as noted previously,
laser polishing is attractive because it can be used on contoured surfaces.
e. Ion Beam Polishing. Similar to laser polishing, ion beam pro-
cessing is a noncontact technique that uses a focused ion beam. The polish-
ing mechanism proceeds by sputtering of carbon atoms by the ion beam
from the diamond surface reactively and/or nonreactively depending on the
Diamond Heat Spreaders and Thermal Management 549

ion being used. A schematic of an ion beam polishing system is shown in


Fig. 20.
The diamond polishing rate depends on the orientation of the sample
with respect to the angle of incidence of the bombarding ion beam. Diamond
samples are typically heated to a temperature of about 700⬚C and bombarded
with argon or oxygen ions. At normal incidence, the yield for 500 eV O2
ions is about seven times larger than the yield for 500 eV Ar ions. Oxygen
ions oxidize the carbon, which possibly accounts for the higher rate of ma-
terial removal. An etching rate of 20 nm/sec has been reported [68] in an
oxygen atmosphere.
The equipment required for ion beam polishing is very expensive. Al-
though the sputtering rate depends on the energy of the ion beam, higher

Figure 20 Schematic of ion beam polishing setup. (From Ref. 95.)


550 Malshe and Brown

beam energies have a higher potential for producing surface damage and
may lead to surface graphitization if the beam energy is very high. However,
although the technique is expensive, it is clean and does not leave any
chemical contamination on the diamond. The sample size is limited only by
the size of the ion beam chamber.
f. Reactive Ion Etching. Reactive ion etching (RIE) of diamond
films has been reported [69] using O2 and H2 gases. The etching was per-
formed in a DC plasma at an rf power of 200–300 W and at a pressure of
65–80 mtorr. Diamond etch rates on the order of 300–400 Å/min have been
achieved using 400 eV oxygen ions. Hydrogen ions are known to produce
lower etch rates than oxygen ions. However, using oxygen, RIE etches at a
rate about three times higher than ion beam polishing. RIE can produce
surface contamination due to plasma heating and may cause anisotropic
etching of the diamond. The cost of the equipment is relatively high and
the specimen size is limited by the active plasma area.

3. Planarization
As noted previously, in state-of-the-art CVD diamond synthesis, depending
on the growth temperature and pressure conditions, favored crystal orien-
tations dominate the competitive growth process. As a result, the grown films
are columnar and have a polycrystalline structure and a rough surface mor-
phology, as seen in Fig. 21. They also contain a number of microactivities,
which are a consequence of their polycrystalline and columnar structure.
These microcavities manifest themselves as surface pits when diamond is
polished. Surface roughness and surface pits are undesirable features, pre-
dominantly because of the photolithography and via processing limitations
they pose during fabrication of a thermal management substrate (as shown
in Fig. 7 earlier). Generally, the surface roughness increases with increasing
the film thickness. For 500- to 900-␮m-thick diamond substrates, the large
surface roughness (tens of ␮m or larger) limits the thermal management
efficiency as well as the photolithographic resolution. Chemical and/or me-
chanical polishing is used to reduce the surface roughness, but polishing
does not eliminate the surface pits as seen in Fig. 22, which shows a scan-
ning electron micrograph (SEM) that compares an unpolished diamond sur-
face with a chemical-assisted mechanical polished and planarized (CAMPP)
CVD diamond substrate surface. As microcavities are a natural result of
state-of-the-art diamond deposition techniques, surface polishing will not
eliminate them because, as polishing proceeds, some surface pits are pol-
ished away, but others are created as microcavities in the bulk approach the
surface.
Diamond Heat Spreaders and Thermal Management 551

Figure 21 Atomic force microscopy (AFM) image of an unpolished diamond sub-


strate. (From Ref. 95.)

In a novel approach to achieving planarization of CVD diamond,


Malshe et al. [70,71] developed a technique that involves filling the surface
pits with a material, such as a polyimide, spin-on glass, metal paste, or
electroplated metal, that is compatible with microelectronic processing tech-
nology. It was shown that commercially available polyimides are especially
attractive for effectively planarizing a diamond surface. The ability of a
material to planarize a diamond surface is determined by its viscosity, solid
content, and flow and shrinkage during cure. If desired, the filler layer can
then be polished back to expose the diamond surface, although this polishing
step has been shown to be unnecessary from a thermal performance stand-
point [71]. The materials used as a filler material for planarization were
polyimide PI-2721, PI-2610, and PI-2611 from DuPont [72]. The first layer
was PI-2610, which has a low viscosity and, hence, is effective in filling
the microcavities. By using a low-viscosity material such as PI-2610, the
potential difficulty of air being trapped inside the microcavities is resolved,
552 Malshe and Brown

Figure 22 Scanning electron micrograph (SEM) comparing an unpolished dia-


mond surface with a chemical assisted mechanical polished and planarized (CAMPP)
CVD diamond substrate. (From Ref. 95.)

as the low-viscosity material can easily flow into the high-aspect-ratio mi-
crocavities and fill them up. This layer is partially cured and the diamond
substrate is then treated with a coat of PI-2611. PI-2611 is more viscous
than PI-2610 and completely planarizes the diamond substrate. Curing the
PI-2611, layer along with PI-2610, completes the planarizing process.
The adhesion strength of polyimide on diamond is reported [50] to be
close to 4000 psi. The polyimide films exhibited excellent adhesion of CVD
diamond even after subjecting the planarized diamond samples to thermal
shock testing, as specified in MIL-STD-883. The reduction in adhesion
strength was only about 33%, so the adhesion strength remained well above
acceptable limits for packaging applications. The surface roughness of poly-
imide planarized diamond is quite adequate for photolithographic processes.
Moreover, it was shown by simulation studies [71] that a polyimide thick-
ness of about 5 ␮m does not significantly degrade the thermal performance
of the diamond substrate.
Diamond Heat Spreaders and Thermal Management 553

D. Metallization
Metals are used in the packaging of ICs to provide electrical interconnection
paths for signals, power, and ground. The most popular metals used in pack-
aging are gold, silver, copper, and aluminum. Copper readily oxides and
cannot be deposited onto many polymers because it reacts with them. Alu-
minum is also easily oxidized, although the oxidation process is self-limit-
ing. Gold, on the other hand, is a very attractive metal for applications as a
primary conductor as it is one of the best electrical conductors known, has
good thermal conductivity, is essentially inert in most ambients, is very
bondable, and provides a low contact resistance. However, due to its high
cost, gold is normally used only as a top layer metal.

1. Requirements
Metallization of CVD diamond is essential for bonding ICs to the diamond
and providing electrical interconnects between the ICs. Bonding of ICs to
diamond using metal is necessary for electronic packaging that is intended
to take advantage of the excellent thermal and electrical properties of dia-
mond. A metal contact on diamond is generally required to be both strongly
adherent and stable at high temperature. To obtain strong adhesion, unless
the metal is a carbide former, it is necessary first to deposit a layer of metal
(which acts as the adhesion layer) that chemically reacts with diamond. It
is the stability and chemistry of this layer that essentially determine the high-
temperature thermal stability of the metallization system.
The interface layer generally must satisfy three requirements: (1) in-
terdiffusion of the interface metal into the primary conductor and the sub-
strate should be low, (2) the interface metal should be thermodynamically
stable with respect to the primary conductor and the substrate, and (3) the
interface metal should adhere well to both the primary conductor and the
substrate and should be of uniform thickness. No single metal meets all
these requirements for all substrates. Depending on the physical and chem-
ical mechanisms involved, diffusion barriers may be classified into three
categories: passive barriers, sacrificial barriers, and stuffed barriers.
In a passive barrier, the interface metal is chemically inert with respect
to the substrate and the primary conductor. In a sacrificial barrier, the inter-
face barrier reacts with the substrate and/or the primary conductor in such
a way that it will not be consumed by the reaction until the lifetime of the
product has expired. For a stuffed barrier, the grain boundaries, which pro-
vide the easiest diffusion paths, are plugged.
The top metal layer of a multilayer metallization system must provide
(1) good electrical conductivity, (2) corrosion resistance, (3) strong adher-
ence to dielectrics, and (4) compatibility with the assembly process. Gold is
554 Malshe and Brown

an excellent material for top layer metallization because of its thermody-


namic stability as well as its compatibility with die attachment and wire
bonding processes.
Two schemes have been used for metallization of diamond substrates.
In one of the approaches, the adhesion layer is chromium (Cr) or titanium
(Ti), with the overlayer metal being either gold (Au) or a gold-tin (Au-Sn)
alloy. This system will fail at high temperature because Cr and Ti are soluble
in Au and, therefore, diffuse into the gold, resulting in degradation of the
diamond-metal bond and loss of adhesion [72,73].
In another system, the adhesion layer and the overlayer are the same
material, but they are separated by a diffusion barrier. The diffusion barrier
layer is typically an amorphous film of Ti ⫹ Si ⫹ N that is reactively sputter
deposited onto the adhesion layer before depositing the overlayer. The best
results have been achieved using Ti for the adhesion layer and overlayer
with a barrier layer of Ti ⫹ Si ⫹ N [74].

2. The Metallization Process


Metallization for electronic applications is challenging because it demands
optimization and control of the process for consistency and repeatability.
Furthermore, thermal management applications require thick metallization,
which has to be economic. One of the most reliable and economic techniques
for realizing reliable and consistent metallization is electroplating [75]. How-
ever, extra effort is required when electroplating an insulating material such
as CVD diamond because insulators cannot be used as an electrode in an
electroplating bath. Hence, an electrically conducting seed layer must first
be deposited onto an insulator before it can be electroplated. Deposition of
a seed layer is generally accomplished by sputtering or by thermal evapo-
ration. Although a seed layer is required, electroplating is one of the best,
most reliable, and most cost-effective methods for metallizing CVD
diamond.
Wang et al. [76] discuss a method for metallizing plated through holes
(PTHs) using electrolytic copper. To ensure uniform plating inside PTHs
that are 13 mils or smaller in diameter, they use a very high throwing power.
This is realized by using a low copper concentration and a high acid con-
centration in the plating solution. The throwing power can be further en-
hanced by using a low current density during the electroplating. In this way,
it is reported that through holes as small as 6 mils in diameter can be plated
[76]. Baker [77] described plating baths for the alkaline gold sulfite system
in which arsenic was used as an additive to produce electroplates with hard-
ness depending on the amount of oxalic acid added to the electrolyte. Larger
amounts of oxalic acid produced lower hardness in the electrodeposit.
Diamond Heat Spreaders and Thermal Management 555

3. Thick Film Metallization


Dupont Electronics Materials (Research Triangle Park, NC) and Crystalline
Materials Corporation (San Ramon, CA) have reported on their development
of thick-film metallization for CVD diamond, specifically for MCM appli-
cations [94]. Thin-film metallization has traditionally been the approach to
providing metallization on CVD diamond. This newly developed thick-film
metallization system, which uses a unique inorganic binder, is designed for
nontraditional substrates such as diamond [92].

4. Via Filling
The use of at least one side of a diamond substrate for direct bonding of
ICs, the same and/or other side for high-density interconnections, and the
edges for heat extraction is a realistic configuration of a single high-density
substrate used in a 3-D multichip module. However, this type substrate struc-
ture requires the use of vias to provide paths for electrical interconnection
between the two sides. The material used to fill the vias obviously needs to
be a good conductor, should have a coefficient of thermal expansion close
to that of diamond, and should also have excellent adhesion to diamond.
Tungsten-copper composite via-fill material has a reasonably good conduc-
tivity and a coefficient of thermal expansion more closely matched to dia-
mond than most other conductors [48]. Raytheon Company (Sudbury, MA)
and Micro Substrates Corporation (Tempe, AZ) have reported the results of
their combined efforts to realize good via-filled diamond substrates [50]. In
order to minimize the diamond substrate polishing effort, the smoother, man-
drel side of the diamond substrate was used to form the metal interconnects
by photolithography.
A via-filled diamond substrate is realized in the following sequence:
(1) CVD diamond is synthesized on suitable mandrels, (2) the diamond is
separated from the mandrel, (3) the diamond is cut and polished, (4) vias
are drilled into the substrate, (5) an adhesion layer is deposited in the vias
because of poor adhesion between the via wall and conventional via-fill
material [50], and (6) the via-fill material is deposited. The via-filled dia-
mond substrate is then processed further to reestablish the resistivity of the
diamond substrate. The following sections provided more detail on the pro-
cess steps required to form filled vias.
a. Laser Drilling. An Nd-YAG laser, operating at the fundamental
wavelength (1.06 ␮m and 532 nm), is found to be suitable for drilling holes
in diamond, a few hundreds of micrometers thick. The process is attractive
because it is clean and good-quality circular holes can be drilled. For drilling
holes in thicker CVD diamond substrates, a process that uses laser core
drilling from both sides of the substrate results in clean, circular holes.
556 Malshe and Brown

A CO2 laser, which is often used for high-rate drilling of alumina


substrates, is not very effective for drilling holes in CVD diamond substrates
due to the very low energy absorption of the CO2 wavelength by diamond.
However, better results are obtained with CO2 lasers in the presence of
oxygen.

b. Adherent Deposits. Adhesion of the via-fill material to diamond


is generally so poor that via plugs can be pressed out of their holes very
easily. To improve the adhesion, an adherent layer of titanium-tungsten (Ti-
W) can be deposited between the diamond and the via-fill material. Other
materials, some proprietary, have also been used as adhesion promoter ma-
terials. The adhesion material is sputtered into the vias. Limiting the adhe-
sion promoter layer to the via wall is important because it eliminates the
need to repolish the diamond surface after deposition of the via-fill material.
An etch-back process, involving a simple via-fill masking process that does
not use any photomasks but uses a high-viscosity filling material, has been
developed [48]. The material fills the via to the surface of the opposite side.
A two-step fill, etch, and strip sequence provides good results. Completion
of the etch can be determined by observing conductivity using microprobing
techniques.

c. Metallizing Vias. For small vias with a large aspect ratio, low-
pressure CVD (LPCVD) techniques [79] are used to fill vias ranging from
0.001 to 0.010 in. in diameter in 0.010- to 0.030-in.-thick CVD diamond
(aspect ratios in the range 1–30) [80]. For large holes with low aspect ratios,
a hybrid sputter-plating process is used. The critical issues for a via-filling
process include adhesion and electrical conductivity. Of these, an adhesion
problem can be handled by using adhesion-promoting deposits as described
previously. For small holes with high aspect ratios, penetration into the via
and porosity of the deposit are critical. Tungsten is generally chosen for via
filling using LPCVD because it is a good carbide former and is the best
electrical conductor among the transition elements (which are known to be
very good carbide formers and hence are all potential candidates for metal-
lization of CVD diamond). Copper and aluminum are also excellent choices
for via filling but require Ti-W as an adhesion material. Heat treating a thick
(>0.5 ␮m) tungsten film on diamond to 1000⬚C results in severe adhesion
degradation. The tungsten is almost completely delaminated leaving no trace
of tungsten (W) or any of its carbide (W2C or WC) on the surface. In
contrast, a thin layer (⬃200 Å) of tungsten, when heat treated at 960⬚C for
30–60 min, maintains its excellent adhesion to diamond. Following the dep-
osition and proper heat treatment of a thin tungsten film, the diamond sub-
strate can be cooled and thick layer of tungsten can be applied.
Diamond Heat Spreaders and Thermal Management 557

d. Resistivity Restoration. This step is used to remove via-fill ma-


terial that may have adhered to the substrate surface during the via-fill pro-
cess. It is interesting to note that, although the diamond surfaces may exhibit
increased electrical conductivity following a via-fill process, the fill materials
are not responsible for the increase. The reason for the increased conductiv-
ity is the presence of graphite, which is formed when the diamond is elevated
in temperature during the various via-filling process steps. Restoration of
the diamond resistivity is achieved by placing the substrate in an oxygen
plasma. The plasma oxidizes the graphitized diamond layers, thereby re-
storing the resistivity. However, care needs to be taken to ensure that the
metallization in the filled vias and other locations on the diamond surfaces
are not adversely affected. It should be noted that neither argon sputtering
nor plasma etching is capable of restoring the preprocessing conductivity of
the diamond.

E. Die Attachment—Face-Up and Flip-Chip


In MCM technology, reliable die attachment implies that there is excellent
electrical communication between the ICs and the substrate on which they
are mounted. Two die attach technologies are presently being employed to
attach ICs to thermal management diamond substrates. One is referred to as
flip-chip die attachment and the other is the conventional face-up attachment
using wire-bonding attachment for electrical connection between the ICs and
the substrate. These two approaches are depicted schematically in Fig. 23.

Figure 23 Schematic of flip-chip and face-up (wire bonded) die attachment con-
figurations. (From Ref. 82.)
558 Malshe and Brown

Flip-chip die attachment, which was originally developed by IBM in


the 1960s and referred to as Controlled Collapse Chip Connect (C4) [81],
is now finding more widespread use due to its distinct advantages of self-
alignment, high assembly yield, and good reliability in small coefficient of
thermal expansion (CTE) packages. The configuration also offers very high
I/O capabilities and a minimum I/O signal path length for excellent electrical
performance compared with wire bonding. However, flip-chip attachment is
more expensive and it also suffers from problems such as conduction heat
dissipation in substrate-cooled packages and structural fatigue failure in ap-
plications with large CTE differences. Although the flip-chip approach places
the ICs closer to the substrate, the solder bumps present an overall bottleneck
to heat flow because they do not present a continuous interface layer. Thus,
the choice of a die attach technology must be guided by the package design
required for a given application.

1. Thermal Modeling Procedure


Three-dimensional finite element analysis (FEA) models have been devel-
oped that estimate the temperature buildup of a device. The inputs to such
a model are material parameters of the major components of the electronic
package system (i.e., die, substrate, and attachment layer). Brown et al. [82]
included the solder bumps of a flip-chip when performing a flip-chip analysis
because the localized conduction associated with each bump can result in
hot spots in the die. Further, the solder bumps were constructed using rec-
tangular elements but were given a thermal conductivity value calculated to
account for the C4’s typical truncated spherical shape. The heat load was
assumed to result from heat dissipated by ICs operating uniformly over the
surface of the substrate. Cooling was modeled as a constant temperature
plane on the bottom or the edge of the substrate, two commonly used con-
figurations to connect a diamond heat spreader to a heat sink. Parameters of
interest included the die material properties, die dimensions, solder material
properties, solder bump diameter and shape, solder bump array pitch, un-
derfill material properties, substrate dimensions, and substrate material prop-
erties. As a point of reference, a baseline case was established with the model
parameters having values as listed in Table 3. The maximum temperature
differentials between the die junction temperature (Tj) and the substrate cool-
ing temperature (Tc) as well as the heat flow paths were noted from the finite
element solutions to compare package performance as a function of the
selected parameters.
a. Die Material. The choice of die material (Si or GaAs) does not
have a large effect on either the face-up or flip-chip package thermal per-
formance. In the face-up, bottom-cooled model, the normal resistance
Diamond Heat Spreaders and Thermal Management 559

Table 3 Base Case Model Parameters

Die material GaAs (45 W/m K)


Die size 10 mm ⫻ 10 mm
Die thickness 404.6 ␮m
Die power 40 W (uniform)
Attachment material 95 Pb/Sn solder (35 W/m K)
Face-up attach thickness 25.4 ␮m
Flip-chip bump height 101.6 ␮m
Solder bump conductivity 44 W/m K (150 ␮m diameter)
Underfill conductivity 2 W/m K (high k filler)
Solder bump pitch 357 ␮m
Substrate materials Diamond (1200 W/m K)
Cu (400 W/m K)
Si (148 W/m K)
Substrate size 15 mm ⫻ 15 mm
Substrate thickness 404.6 ␮m
Cooling plane temperature 50⬚C

Source: Ref. 82.

through the die is kept small by the short conduction path and the edge-
cooled package resistance is dominated by the substrate. Although heat is
not forced through the die in a flip-chip package, it is known that heat would
flow through the higher thermal conductivity solder bumps to the substrate
rather than through the underfill. The largest temperature difference was
observed for an edge-cooled substrate, which resulted in the Tj of a silicon
die being 13⬚C cooler than that of a GaAs die.
b. Die Width. Simulation studies utilizing dies of different widths
showed that while a bottom-cooled package saw a constant thermal con-
duction path and a proportional increase in heating and cooling loads, the
edge-cooled package experienced an increasing thermal conduction path and
only a linear increase in cooling area. Thus, the bottom-cooled package
performance remained almost constant over a range of die widths, whereas
the edge-cooled package experienced significant increases in Tj ⫺ Tc , which
became more pronounced as substrate conductivity decreased. Figure 24
shows the flip-chip model results, which are very similar to the results for
the face-up bonded case. If Tj = 100⬚C is defined as the die temperature at
which device failure occurs, then a diamond substrate would be the only
edge-cooled package that would survive over the full range of die widths
considered.
560 Malshe and Brown

Figure 24 Maximum package temperature differential versus die width for flip-
chip die attachment. E-C, edge-cooled; B-C, bottom cooled. (From Ref. 82.)

c. Die Thickness. The effect of die thickness variation on the overall


package performance is negligible when the conduction paths are small.
Increasing the die thickness does increase the normal die resistance, but it
simultaneously reduces the lateral resistance. Thus, in the face-up bonding
case, the rise in the temperatures (Tj ⫺ Tc) is found to increase with increas-
ing die thickness. In the flip-chip configuration, because it is the lateral
resistance that is critical, cooler junction temperatures are achieved with
increasing the die thickness.
d. Die Power. Over the heat dissipation range of 20 to 80 W, which
represents power dissipation levels in present-day and near-future high-
speed, high-power electronics, all packages exhibit a linear increase in (Tj
⫺ Tc). Two approaches are commonly used for the cooling schemes: the
bottom-cooled and the edge-cooled approach. Despite the temperature rise,
a bottom-cooled substrate package remains at safe levels due to the short
thermal paths. The edge-cooled diamond substrate is found to handle about
400% increase in power dissipation as compared with a Si substrate [84].
e. Flip-Chip and Face-Up Die Attachment Comparison. Flip-chip
die attachment has a thermal advantage over face-up die attachment because
of the presence of two paths for heat conduction: through the substrate and
through the top of the die. Simulation results [83] show that, as the heat
sink resistance decreases, the (Tj ⫺ Tc) for an edge-cooled substrate de-
creases toward the values for a bottom-cooled substrate. A die heat sink is
Diamond Heat Spreaders and Thermal Management 561

essential for adequate cooling of an IC if a package uses a high-thermal-


resistance substrate without bottom cooling. However, using a diamond sub-
strate eliminates the necessity for a die heat sink because the junction tem-
perature would be maintained within safe operating limits. In other words,
diamond is an extremely attractive substrate material for electronic pack-
aging applications for thermal management of high-power-density ICs pack-
aged into very small spaces.

III. APPLICATIONS AND EXAMPLES OF


VARIOUS PACKAGES

This section describes some of the passive electronic applications of CVD


diamond as a thermal spreader reported in the published literature. A sig-
nificant amount of progress has been made in the utilization of diamond in
electronic packaging over the past few years, but challenges still remain.

A. Background
The U.S. Defense Advanced Research Projects Agency (DARPA) should be
recognized for its continued interest in and financial support of research and
development of synthetic diamond for electronic thermal management. There
is no doubt that it would have been difficult, if not impossible, for many
researchers to pursue diamond thermal management research at any level
were it not for DARPA support. The following sections address the appli-
cations of diamond to electronic packaging.

B. Optoelectronics
Diamond finds applications in numerous optoelectronic applications. Con-
ventional light-emitting diodes (LEDs) have a very high thermal resistance
and a low light output. This makes them impractical for high-flux applica-
tions such as automotive tail lights. Work has been carried out at the Op-
toelectronics Division of the Hewlett Packard Company (San Jose, CA) in
which LEDs were soldered to a diamond heat spreader mounted on a copper
slug. The result is an enhanced ability to drive a high-light-flux LED, a
larger LED chip at higher powers [90], resulting in a fivefold increase in
the total light output per element. Table 4 compares the characteristics of
conventional and high-light-flux LEDs. The enhanced performance achieved
by HP may allow LEDs to compete on a cost per lumen basis with incan-
descent light sources in many applications.
562 Malshe and Brown

Table 4 Comparison of Performance of Conventional and High-Light-Flux


LEDs

Thermal Maximum
resistance Light output drive power LED chip area
Device (⬚C/W) (lumen) (W/cm2) (cm2)

Conventional 300 1.8 250 0.00064


LED
High-light-flux 4 10 >316 0.00250
LED

Source: Ref. 90.

From a manufacturing standpoint, processing precut, and generally


small, diamond heat spreaders such as those used with LEDs proves to be
difficult and expensive. A possible alternative is the use of relatively large
diamond wafers after they are partially etched to define the edges of specific
heat spreader sizes. Such wafers could then be broken into individual heat
spreaders. This approach is reported [84] to have nearly 100% yield, is cost
effective, and is convenient for postdeposition processing. Furthermore,
gold-plated diamond heat spreaders have been successfully attached to sil-
ver-plated copper slugs using a thermocompression bonding technique [84].
This eliminates the need for an adhesive layer, which only adds to the ther-
mal resistance of an electronic package.
Diode lasers have already become an attractive choice for laser appli-
cations because of their low cost and high efficiency. Consequently, efforts
continue to be directed at making diode laser arrays on a commercially
viable scale that are reliable and cost effective. However, laser arrays result
in an even greater need for efficient thermal management materials and
cooling technologies. Not surprisingly, CVD diamond substrates are pres-
ently being used for thermal management of laser diode arrays. Sumitomo
Electric USA Inc. has developed a composite diamond heat spreader material
for laser diode applications [91].

C. Three-Dimensional High Density Packaging


The multichip module approach to achieving very high packaging and in-
terconnect densities in electronic packaging is of particular interest for dig-
ital system engineers. However, as the density of high-performance ICs in
an MCM package increases, the power dissipation also increases, making
thermal management a challenging task. Assuming that the cost of diamond
can be reduced, it offers a promising solution to many difficult electronic
Diamond Heat Spreaders and Thermal Management 563

packaging challenges, as can be seen from the comparison of the properties


of electronic packaging materials in Table 5 [84]. Figure 25 illustrates the
ability of diamond to quickly transport heat away from a heat source, such
as an IC.

1. Diamond for 3-D MCM Applications


There are several advantages in going to three-dimensional electronic pack-
aging, but the most important one is a significant reduction in signal path
length between contiguous 2-D multichip modules, thereby increasing the
operating speed of a system. In 3-D MCM packaging, use is made of the
fact that IC chips are thin (typically 0.6 mm or less), permitting very high
volume density packaging. Figure 26 illustrates that in a 2-D MCM there
can be only eight nearest neighbor ICs for a given IC. However, in a 3-D
MCM, the same IC would have 116 nearest neighbors within the same
distance. Assuming that the MCMs are 1.5 cm square and continuing the
example further, if the interconnect delay is 150 psec/in. and the VLSI chips
used have 10,000 gates, then the 3-D geometry places over a million gates
within a worst-case delay time of about 650 psec. If these ICs were spread
out horizontally, the worst-case delay would be in excess of 1.7 nsec, which

Table 5 Comparison of Electronic Packaging Material Properties

Thermal Electric Thermal


conductivity insulator? expansion
Material (W/m⬚C) (Y/N) (ppm/⬚C)

Diamond (natural) 2000 Y 0.8–1.0


Diamond (CVD) 700–1700 Y 1.0–1.5
Beryllia, BeO 220 Y 6.4
AlN 70–230 Y 3.3
Alumina, 99% 29 Y 6.3
GaAs 45 Semi 5.9
Silicon 149 N 2.6
Kovar (FeCoNi) 17 N 5.9
Molybdenum 146 N 5.1
Aluminum 237 N 23.8
Copper 396 N 16.8
Silver 427 N 19.6
Diamond-epoxy 8.7 Y 120
Silver-epoxy 5.8 N 120
Polyimide 0.2 Y >50

Source: Ref. 84.


564 Malshe and Brown

Figure 25 Diamond capabilities in reducing ‘‘hot spot’’ temperature rise. (From


Ref. 84.)

Figure 26 Comparison of 2-D and 3-D MCM signal interconnect lengths. (From
Ref. 84.)
Diamond Heat Spreaders and Thermal Management 565

would represent a significant performance compromise for system clock


rates in the 50–100 MHz range and virtually preclude operation at rates
above 250–350 MHz. With 3-D packaging, this is extended to nearly 1 GHz.
Diamond is attractive for 3-D MCM applications, consisting of verti-
cally stacked 2-D multiple chip modules, primarily because of its extremely
high thermal conductivity, a requirement for any substrate utilized in 3-D
packaging of high-power ICs. Furthermore, technologies exist that will allow
electrical interconnections to be made in the vertical direction (i.e., between
the 2-D multichip modules).
As shown in Fig. 27, conductive fuzz buttons can be used in spacer
boards placed between the 2-D multichip modules to provide vertical inter-
connection. Such fuzz buttons are typically 0.020 in. in diameter and extend
beyond both sides of the board by about 40 mils, thereby making solid
contact with pads on the substrates above and below when a mating force
is applied. Such an arrangement facilitates rework of defective modules.

2. Edge Cooling
One possible approach to dealing with very high power density and the
associated heat dissipation is to extend the diamond substrate and make it a
part of the heat exchanger itself. As an example, if an active 100 mm ⫻
100 mm MCM substrate is extended on the heat sink ends by 5 mm or so,

Figure 27 Cross section of 3-D diamond MCM assembly. (From Ref. 84.)
566 Malshe and Brown

then it is possible to perforate these extended ends with slots of 0.1 mm


width and 2.5 mm length on a pitch of 0.25 mm to create a total surface
area of about 50 cm2. This would reduce the power density from 250 W/
cm2 to about 50 W/cm2. This would make thermal management more effec-
tive and maintain the interface temperature at an acceptable level (see Fig.
28). The edge-cooling approach has been attempted experimentally in a joint
effort by Sandia National Laboratories (Albuquerque, NM) and Allied Signal
Corp. (Kansas City, MO) [87]. They have built and tested a single substrate
intended for a 3-D edge-cooled MCM. Their results indicate that it is pos-
sible to achieve a thermal resistance as low as 0.22⬚C/W for a single sub-
strate. The challenge is to achieve the same kind of thermal impedance for
3-D stacks of these substrates.

D. Power Packages
In recent years, there has been a trend toward higher power ICs while, at
the same time, reducing their physical size. Typical power densities are now

Figure 28 Edge cooling technique utilizing extended cooling slots. (From Ref.
84.)
Diamond Heat Spreaders and Thermal Management 567

on the order of 200 W/cm2 and rising. Power electronics poses one of the
most difficult problems for miniaturization, but it should be possible even
considering the challenges of thermal management by taking advantage of
multichip module technology called multichip power modules (MCPMs).
Although the components themselves are relatively small, often requiring
only a few electrical connections, the package size is often determined by
thermal loads and the desired thermal performance of the complete system.
Diamond is an ideal thermal management material for such applications.
However, if a layer of diamond is placed between a heat-generating device
and a copper heat sink, the thermal shear stress acting at the diamond-copper
interface far exceeds the shear strength of diamond. To overcome this prob-
lem, a 200-␮m-thick aluminum (Al, 99.995%) layer has been placed be-
tween the diamond and copper and also between the diamond and device,
as shown in Fig. 29 [88]. Pure Al is very soft compared with copper and
exhibits a plastic stress-strain behavior. The aluminum layer is thus able to
absorb the thermal stress in the module. Figure 30 shows the temperature
difference between the coolant and device surface for a module with alu-
minum oxide and diamond [89], clearly depicting the improvement in ther-
mal management by using CVD diamond substrates.
Diamond substrates are being used by Sandia National Laboratories
(Livermore, CA; Albuquerque, NM) for MCM packaging of power elec-
tronic subsystems of flight computers [89]. The flight simulator computer is
built around a radiation-hardened microprocessor, nonvolatile memory, and
analog driver circuits and has multiple responsibilities including (1) provid-
ing a digital communication interface to the airplane or missile, (2) perform-
ing signal processing as required, (3) systems status monitoring, and (4)
actuation signals to other systems (see Fig. 31). The actuation signals are
large-power, short-duration signals for activation of mechanical and pyro-

Figure 29 Schematic cross section of a power module with diamond layer for
thermal management. (From Ref. 86.)
568 Malshe and Brown

Figure 30 Photograph of working diamond MCM (dark substrate) and alumina


MCM in copper tungsten secondary package. (From Ref. 87.)

technical devices. Two typical activation signals are a 150 W, 12 msec pulse
and a 32 W, 20 Hz square wave of 3 sec duration. As the total activation
time is brief, thermal performance is dominated by the first level of pack-
aging around the active and passive devices. Temperature measurements
made as a comparative study using diamond and aluminum nitride (AlN) as
the thermal management materials revealed that in order to achieve the same
temperature difference, the surface area of AlN required was about four
times larger than that of CVD diamond substrates [89,90]. The effectiveness

Figure 31 Schematic of flight computer block diagram. (From Ref. 87.)


Diamond Heat Spreaders and Thermal Management 569

of CVD diamond in thermal management applications involving a reduction


of volume is thus obvious. The MCM version of the power electronics sub-
system occupies a little more than half the volume of a conventionally pack-
aged, through-hole PCB system. However, the shrinking of the power elec-
tronic subsystem does require the excellent thermal management capabilities
of CVD diamond.
In another approach, Vandersande et al. reported using thermoelectric
coolers and diamond films for temperature control of power electronic cir-
cuits [93]. The idea is to mount the highest power components directly onto
thin-film thermoelectric elements, which maintains the temperature of the
components from a few degrees to a few tens of degrees below that of the
diamond substrate. To maximize the efficiency of the thermoelectric cooler,
diamond films acting as thermal lenses are used to spread the heat from the
small power device to the larger coolers. However, thermoelectric coolers
are not generally useful for temperature control of high-density electronic
circuits. Passive cooling with high-thermal-conductivity CVD diamond films
is a much more realistic method for cooling of electronic circuits above
room temperature.

E. Plastic Packages
Commercial, monolithic, microwave GaAs ICs (MMICs) are usually pack-
aged in injection-molded plastic packages. When packaged in plastic, these
devices are restricted to power dissipation level of 1–2 W. If the power
dissipation exceeds these levels, more expensive ceramic packages are used.
By integrating a diamond substrate into the plastic package, the thermal
dissipation capabilities can be increased to about 15 W at about half the cost
of a ceramic package. Thus, a combination of the superior material prop-
erties of CVD diamond and the economics of plastic packaging offers a
potential solution for relatively inexpensive packaging of microwave ICs.
Norton Diamond Film’s NorCool (NorCool is a trade mark of Norton
Diamond Film) package combines the excellent thermal properties of CVD
diamond with the low cost of plastic packages. The NorCool package re-
places the die paddle of a typical copper lead frame with a diamond sub-
strate. The diamond substrate is physically attached to all the leads, thereby
providing tremendous improvement in thermal performance, yet keeping the
leads electrically isolated from each other [92]. The major problem associ-
ated with the attachment of GaAs MMICs to diamond substrates could be
the coefficient of thermal expansion mismatch, as the CTEs of GaAs and
diamond, respectively, are 5.7 ⫻ ppm/⬚C and 1.4 ppm/⬚C.
570 Malshe and Brown

F. Concluding Remarks
Recent success in the synthesis, processing, and application of CVD dia-
mond has demonstrated its potential as one of the most promising thermal
management candidates for challenging electronic applications. Significant
efforts have resulted in optimization and commercialization of synthesis and
processing technologies. Emphasis should continue to make CVD diamond
synthesis and processing more cost effective as well as manufacturing trans-
parent. Diamond films and substrates will continue to find more and versatile
applications for the ever growing thermal management needs for miniatur-
ization, increased operating speed, and cost reduction in electronic
packaging.

G. List of U.S. Patents Relevant to Diamond


Heat Spreaders
5,472,370 Method of planarizing polycrystalline diamonds, planar-
ized polycrystalline diamonds and products made therefrom
5,663,595 Diamond heat sink comprising synthetic diamond film
5,660,894 Process for depositing diamond by chemical vapor
deposition
5,653,952 Process for synthesizing diamond using combustion
method
5,650,639 Integrated circuit with diamond insulator
5,648,148 Thermal management of electronic components using syn-
thetic diamond
5,647,964 Diamond film synthesizing apparatus and method thereof
using direct current glow discharge plasma-enhanced chemical va-
por deposition
5,645,617 Composite polycrystalline diamond compact with im-
proved impact and thermal stability
5,642,779 Heat sink and a process for the production of the same
5,633,088 Diamond film and solid particle composite structure and
methods for fabricating same
5,631,046 Method of metallizing a diamond substrate without using
a refractory metal
5,628,824 High-growth-rate homoepitaxial diamond film deposition
at high temperatures by microwave plasma-assisted chemical vapor
deposition
5,624,719 Process for synthesizing diamond in a vapor phase
5,620,512 Diamond film growth from fullerene precursors
5,614,258 Process of diamond growth from C.sub.70
Diamond Heat Spreaders and Thermal Management 571

5,609,955 Diamond film and mixed diamond and nondiamond par-


ticle composite compositions
5,609,683 Apparatus for making industrial diamond
5,607,723 Method for making continuous thin diamond film
5,607,560 Diamond crystal forming method
5,567,986 Heat sink
5,560,779 Apparatus for synthesizing diamond films utilizing an arc
plasma
5,545,030 Diamond film and solid nondiamond particle composite
compositions
5,539,176 Method and apparatus of synthesizing diamond in vapor
phase
5,538,765 DC plasma jet CVD method for producing diamond
5,535,816 Heat sink
5,531,184 Method for producing synthetic diamond thin film, the thin
film and device using it
5,523,160 Highly oriented diamond film
5,523,121 Smooth surface CVD diamond films and method for pro-
ducing same
5,518,759 High-growth-rate plasma diamond deposition process and
method of controlling same
5,516,554 Cyclic hot-filament CVD of diamond
5,514,242 Method of forming a heat-sinked electronic component
5,510,157 Method of producing diamond of controlled quality
5,508,230 Method for making a semiconductor device with diamond
heat dissipation layer
5,507,987 Method of making a freestanding diamond film with re-
duced bowing
5,506,452 Power semiconductor component with pressure contact
5,505,158 Apparatus and method for achieving growth-etch deposi-
tion of diamond using a chopped oxygen-acetylene flame
5,504,303 Laser finishing and measurement of diamond surface
roughness
5,500,157 Method of shaping polycrystalline diamond
5,500,077 Method of polishing/flattening diamond
5,499,601 Method for vapor-phase synthesis of diamond
5,496,596 Methods for producing diamond materials with enhanced
heat conductivity
5,495,126 Polycrystalline diamond heat sink having major surfaces
electrically insulated from each other
5,492,770 Method and apparatus for vapor deposition of diamond
film
572 Malshe and Brown

5,491,002 Multilayer CVD diamond films


5,490,002 Multilayer CVD diamond films
5,490,963 Preparation of thin freestanding diamond films
5,488,350 Diamond film structures and methods related to same
5,488,232 Oriented diamond film structures on nondiamond sub-
strates
5,487,945 Diamond films on nondiamond substrates
5,485,804 Enhanced chemical vapor deposition of diamond and re-
lated materials
5,480,686 Process and apparatus for chemical vapor deposition of
diamond films using water-based plasma discharges
5,479,875 Formation of highly oriented diamond film
5,479,874 CVD diamond production using preheating

ACKNOWLEDGMENTS

The authors would like to acknowledge Defense Advanced Research Proj-


ects Agency (DARPA) for their financial support. Discussions with Dr. Gor-
don, Dr. Naseem, and Dr. Schaper at High Density Electronics Center
(HiDEC), University of Arkansas, Fayetteville, have been very helpful at
many stages of research and are sincerely appreciated. Finally, the authors
appreciate the efforts of Dr. Railkar of Materials and Manufacturing Re-
search laboratory (MRL) at the University of Arkansas, Fayetteville in com-
piling this chapter.

REFERENCES
1. M Seal. Passive electronic applications. Diamond Relat Mater 1:1075–1081,
1992.
2. AP Malshe, S Jamil, MH Gordon, HA Naseem, WD Brown, LW Schaper.
Diamonds for MCMs. Adv Packag September/October:50, 1995.
3. EF Borchett, G Lu. Applications of diamond made by chemical vapor depo-
sition for semiconductor laser submounts. Proceedings of SPIE OE/LASE, Los
Angeles, January 1993.
4. TJ Moravec, A Partha. Adv Packag October:8, 1993.
5. TJ Moravec, RC Eden, DA Schaefer. The use of diamond substrates for im-
plementing 3-D MCM’s. Proceedings of 1993 International Conference and
Exhibit and MCM’s, 1993, pp 85–91.
6. CJ Chu, MP D’Evelyn, RH Hauge, JL Margrave. Mechanism of diamond
growth by chemical vapor deposition on diamond (100), (111) and (110) sur-
faces: carbon-13 studies. J Appl Phys 70:1695, 1991.
Diamond Heat Spreaders and Thermal Management 573

7. PK Bachmann, D Leers, H Lydtin. Towards a general concept of diamond


chemical vapor deposition. Diamond Relat Mater 1:1, 1991.
8. A Inspektor, Y Liou, T McKenna, R Meisser. Plasma CVD diamond deposition
in C-H-O systems. Surf Coat Technol 39/40:211, 1989.
9. Y Liou, R Weimer, D Knight, R Messier. Effect of oxygen in diamond depo-
sition at low substrate temperatures. Appl Phys Lett 56:437, 1990.
10. RA Rudder, JB Posthill, GC Hudson, DP Malta, RE Thomas, RJ Markunas,
TP Humphreys, RJ Nemanich. Chemical vapor deposition of diamond
films using water:alcohol:organic-acid solutions. Mater Res Soc Proc 242:23,
1992.
11. PK Bachmann. General aspects of CVD growth of diamond and their corre-
lation. In: G Davies, ed. Properties and Growth of Diamond. Data Reviews
Series No 9. London: INSPEC, 1994, p 364.
12. S Matsumuto, Y Sato, M Tsatsumi, N Sekata. Growth of diamond particles
from methane hydrogen gas. J Mater Sci 17:3106, 1982.
13. AR Badzian. Adv X-Ray Anal 31:113, 1988.
14. TR Anthony. Methods of diamond making. In: RE Clausing, LL Horton, JC
Agnus, P Koidl, eds. Diamond and Diamond-like Films and Coatings. NATO
Advanced Study Institute on Diamond and Diamond-like Films. New York:
Plenum, 1991, p 555.
15. M Tomellini, R Polini, V Sessa. A model kinetics for nucleation at a solid
surface with application to diamond deposition from a gas phase. J Appl Phys
70:7573, 1991.
16. J Robertson, J Gerber, S Sattel, M Weiler, K Jung, H Ehrhardt. Mechanisms
of bias-enhanced nucleation of diamond on Si. Appl Phys Lett 66:3287, 1995.
17. M Tsuda, M Nakajima, S Oikawa. The importance of the positively charged
surface for the epitaxial growth of diamonds at low pressure. Jpn J Appl Phys
26:L527, 1989.
18. B Lewis, JC Anderson. Nucleation and Growth of Thin Films. New York:
Academic Press, 1978.
19. S Soderberg, A Gerendas, M Sjostrand. Factors influencing the adhesion of
diamond coatings on cutting tools. Vacuum 41:1317, 1990.
20. J Rankin, RE Boekenhauer, R Csencsits, Y Shigesato, MW Jacobson, BW
Sheldon. Nucleation and growth during the chemical vapor deposition of dia-
mond on SiO2 substrates. J Mater Res 9:2164, 1994.
21. RA Bauer, NM Sbrockey, WE Brower Jr. Quantitative nucleation and growth
studies of PACVD diamond film formation on (100) silicon. J Mater Res 8:
2858, 1993.
22. M Silviera, M Becucci, E Castelluci, FP Mattiot, V Barbarossa, R Tomaciello,
F Galluzzi. Non-diamond carbon phases in plasma-assisted deposition of crys-
talline diamond films: a Raman study. Diamond Relat Mat 2:1257, 1993.
23. T Takarada, H Takezawa, N Nakagawa, K Kato. Diamond synthesis on sub-
strates treated by collisions with particles. Diamond Relat Mater 2:323, 1993.
24. EJ Bienk, SS Eskildsen. The effect of surface preparation on the nucleation of
diamond on silicon. Diamond Relat Mater 2:432, 1993.
574 Malshe and Brown

25. H Maeda, S Ikari, S Masuda, K Kusakabe, S Morooka. Effect of surface pre-


treatment on diamond deposition. Diamond Relat Mater 2:758, 1993.
26. R Steiner, G Stingeder, M Grasserbauer, R Haubner, B Lux. Investigation of
surface preparation for diamond deposition on molybdenum substrates by sec-
ondary ion mass spectroscopy. Diamond Relat Mater 2:958, 1993.
27. Y Chakk, R Berner, A Hoffman. Enhancement of diamond nucleation by ul-
trasonic substrate abrasion with a mixture of metal and diamond particles. Appl
Phys Lett 66:2819, 1995.
28. S Yugo, T Kania, T Kimura, T Muto. Generation of diamond nuclei by electric
field in plasma chemical vapor deposition. Appl Phys Lett 58:1036, 1991.
29. X Jiang, K Schiffmann, A Wetphal, CP Klages. Atomic force microscopic study
of heteroepitaxial diamond nucleation on 具100典 silicon. Appl Phys Lett 63:
1203, 1993.
30. X Jiang, CP Klages, R Zachai, M Hartweg, HJ Fusser. Deposition and char-
acterization of diamond epitaxial thin films on silicon substrates. Appl Phys
Lett 62:3438, 1993.
31. SD Wolter, BR Stoner, JT Glass, PJ Ellis, DS Buhaenko, CE Jenkins, P South-
worth. Textured growth of diamond on silicon via in-situ carburization and
bias enhanced nucleation. Appl Phys Lett 62:1215, 1993.
32. K Kobayashi, N Mutsukura, Y Machi. Catalyst effect for diamond nucleation
in the low pressure process. Mater Manuf Processes 7:395, 1992.
33. Y Nakamura, K Tamaki, Y Watanabe, S Hirayama. Diamond nucleation by
carbon fibers on unscratched substrate by hot-filament chemical vapor depo-
sition. J Mater Res 9:1619, 1994.
34. RJ Meilunas, RPH Chang, S Liu, MM Kappes. Nucleation of diamond films
on surfaces using diamond clusters. Appl Phys Lett 59:3461, 1991.
35. JJ Dubray, CG Pantano, WA Yarbrough. Graphite as a substrate for diamond
growth. J Appl Phys 72:3136, 1992.
36. PN Barnes, RLC Wu. Nucleation enhancement of diamond with amorphous
films. Appl Phys Lett 62:37, 1993.
37. AP Malshe, A Khanolkar, WD Brown, SN Yedave, HA Naseem. Electrostatic
seeding (ESS): a novel approach to seeding substrates for CVD diamond
growth. Proceedings of International Meeting of the Electrochemical Society,
Paris, August 31–September 5, 1997.
38. J-M Ting, ML Lake. Polycrystalline diamond fibers for metal-matrix compos-
ites. JOM March:23, 1994.
39. MJ Ulczynski, DK Reinhard, M Prystajko, J Asmussen. In: S Saito, N Fujimori,
O Fukunaga, M Kamo, K Kobashi, M Yoshikawa, eds. Advances in New Dia-
mond Science and Technology. Tokyo: MYU, p 41.
40. HL Davidson, JA Kerns, D Makowiecki, NJ Colella. ISHM Advanced Tech-
nology Workshop, Beaver Creek, CO, 1996.
41. PG Patridge, G Lu, P May, JW Steeds. Potential high-strength high thermal
conductivity metal matrix composites based on diamond fibers. Diamond Relat
Mater 4:848–851, 1995.
42. CH Stoessel, C Pan, JC Withers, D Wallace, RO Loutfy. High thermal
conductivity graphite copper composites with diamond coatings for thermal
Diamond Heat Spreaders and Thermal Management 575

management packaging applications. Mater Res Soc Symp Proc 390:147,


1995.
43. L Yan, A Haji-Sheikh, JV Beck. Thermal characteristics of two-layered bodies
with embedded thin film heat source. J Electron Packaging 115:276, 1993.
44. A Feldman, C Beetz, P Klocek, G Lu. J Res Natl Inst Stand Technol 98:375,
1993.
45. A Feldmane. Round robin thermal conductivity measurements on CVD dia-
mond. In: A Feldman, Y Tzeng, WA Yarborough, M Yoshikawa, M Murakawa,
eds. Applications of Diamond Films and Related Materials: Third International
Conference, 1995, p 627.
46. LY Andrew, HC Ong, LM Stewart, RPH Chang. J Mater Res 12:1796, 1997.
47. AP Malshe, HA Naseem, WD Brown, LW Schaper. Recent advances in dia-
mond based multichip modules. In: A Feldman, Y Tzeng, WA Yarborough, M
Yoshikawa, M Murakawa, eds. Applications of Diamond Films and Related
Materials: Third International Conference, 1995, p 611.
48. WE Wesolowski, RJ DeKenipp, MPR Panicker. Proceedings of International
Conference on Multichip Modules—ICEMCM’95, 1995, p 146.
49. S Jamil, MH Gordon, GJ Salamo, HA Naseem, WD Brown, AP Malshe. Pro-
ceedings of Applied Diamond Conference 1995, Gaithersburg, MD, August
1995.
50. DG Bhat, DG Johnson, AP Malshe, HA Naseem, WD Brown, LW Schaper, C-
H Shen. Diamond Relat Mater 4:921, 1995.
51. B Watermeyer. Diamond Cutting. 2nd ed. Hohannesburg: Centour, 1982.
52. P Grodzinski. Diamond Technology. London: NAG Press, 1953.
53. M Yoshikawa. SPIE Diamond Opt III 210, 1990.
54. H Tokura, M Yoshikawa. Applications of Diamond Films and Related Mate-
rials: Third International Conference, 1995, p 253.
55. WS Lee, YJ Baik, KY Eyn. Diamond Relat Mater 4:989, 1995.
56. C-F Yang, H Okura, M Yoshikawa. In: S Saito, O Fukunaga, M Yoshikawa,
eds. Science and Technology of New Diamond. 1990, p 135.
57. S Jin, JE Graebner, TH Tiefel, GW Kammlott, GJ Zydzik. Diamond Relat
Mater 1:949, 1992.
58. S Jin, W Zhu, JE Graebner. Presented at Applications of Diamond Films and
Related Materials: Third International Conference, 1995, p 209.
59. GS Raju. Chemical assisted mechanical polishing and planarization of CVD
diamond substrate for MCM applications. MSEE thesis, University of Arkansas
Library, Fayetteville, 1994.
60. S Tezuka, M Yoshikawa. New Diamond 17:36, 1990.
61. M Rochechild, C Arnone, DJ Ehrlich. J Vac Sci Technol B4:310, 1986.
62. AM Ozkan, AP Malshe, WD Brown. Diamond Relat Mater 6:1789, 1997.
63. T Chien, C Cutshaw, C Tanger, Y Tzeng. Applications of Diamond and Related
Materials: Third International Conference, 1995, p 257.
64. U Bogli, A Blatter, SM Pimenov, AA Smolin, VI Konov. Diamond Relat Mater
1:782, 1992.
65. KV Ravi, VG Zarifis. Laser polishing of Diamond, Proceedings of the Third
576 Malshe and Brown

International Symposium on Diamond Materials: The Electrochemical Society,


Honolulu, 1993.
66. P Tosin, W Luthy, HP Weber. Applications of Diamond Films and Related
Materials: Third International Conference, 1995, p 271.
67. AP Malshe, BS Park, WD Brown. ICMC-TF’97, San Diego, CA, 1997.
68. DF Grogan, T Zhao, BG Bovard, HA MacLeod. Appl Opt 31:1483, 1992.
69. GS Sandhu, WK Chu. Appl Phys Lett 55:437, 1989.
70. AP Malshe, WD Brown, HA Naseem, LW Schaper. US Patent 5,472,370, De-
cember 5, 1995.
71. MR Pawar, WD Brown, AP Malshe, HA Naseem, SS Ang, RK Ulrich. Plan-
arization of large area CVD-diamond films using polyimide. Int J Microcircuits
Electron Packag 19:316, 1996.
72. WD Brown, HA Naseem, AP Malshe, JH Glezen, WD Hinshaw. Mater Res
Soc Symp Proc 391:59, 1995.
73. C Iacovangelo, E Jerabek. Int J Microcircuits Electron Packag 17, 1994.
74. L Gilbert. NASA Tech Briefs June:63, 1997.
75. J Glezen. Gold electroplating of CVD diamond. MS thesis, University of Ar-
kansas, Fayetteville, 1995.
76. CT Wang, D Dinella, SS Wagner. Trends in high density plating. Plat Surf
Finish 15(4):75, 1993.
77. KD Baker. US Patent 3,776,822, 1973.
78. LM Napolitano Jr, E Meeks, MR Daily, D Miller, DP Norwood, DW Peterson,
CA Reber, JE Robles, W Worobey, D Johnson. Int J Microcircuits Electron
Packag 16:271, 1993.
79. CD Iacovangelo, EC Jerabek, RH Wilson. GE Patent Appl RD23427, accepted
1993.
80. CD Iacovangelo, PJ DiConza, EC Jerabek, KP Zarnoch. Mater Res Soc Symp
Proc 337:401, 1994.
81. LF Miller. IBM J Res Dev 13:239, 1969.
82. MD Brown, AP Malshe, MH Gordon, RK Ulrich, WF Schmidt, WD Brown.
ISPS’97, sponsored by International Microelectronics and Packaging Society,
San Diego, December 2–5, 1997.
83. MD Brown, AP Malshe, MH Gordon, RK Ulrich, WF Schmidt, WD Brown.
Paper submitted to 3M.
84. DA Schaefer, RC Eden, TJ Moravec. Proceedings of the Technical Program,
Anaheim, CA, Vol 3, February 1993, p 1177.
85. DW Peterson, JN Sweet, DD Andaleon, RF Renzi, DR Johnson. ISHM Third
International Conference on MCM’s, 1994.
86. B Fiegl, M Hibler, W Kiffe, F Koch, R Kuhnert, R Messer, H Schwarzbauer.
Hybrid Circuits 35:15, 1994.
87. LM Napolitano Jr, MR Daily, E Meeks, D Miller, DP Norwood, DW Peterson,
CA Reber, JE Robles, W Worobey. ICEMM Proceedings—International Con-
ference and Exhibition on Multichip Modules, Denver, April 1993, p 92.
88. JW Vandersande, R Ewell, J-P Fleurial, HB Lyon. Applications of Diamond
films and Related Materials: Third International Conference, 1995, p 623.
89. M Gomes-Cassers, PM Fahis. IEEE MTT-S Digest, 1996, p 227.
Diamond Heat Spreaders and Thermal Management 577

90. JA Carey, D Collins, BP Loh. Abstract from the IMAPS Advanced Technology
Workshop on Thermal Spreaders and Heat Sinks, Beaver Creek, CO, April
1997.
91. M Nagai, K Tanabe, Y Kumazawa, N Fujimori. Presented at the ISHM-97
Conference, Philadelphia, 1997.
92. J Crumpton, R Koba, K Valenta, B Speck, C Roach, D Kuty, R Keusseyan.
Abstract submitted to the IMAPS Advanced Technology Workshop on Thermal
Spreaders and Heat Sinks, Beaver Creek, CO, April 1997.
93. D Tilton. Electron Packaging Prod August:71, 1995.
94. A Feldman, HPR Frederikse. Proceedings of Second International Conference
on the Applications of Diamond Films and Related Materials, Omiya Saitama,
Japan, August 25–27, 1993, p 261.
95. AP Malshe, BS Park, WD Brown, A Muyshondt, HA Naseem, Diamond Relat
Mater 8:1198, 1999.
12
Diamond Active Electronic Devices

Hiromu Shiomi
Sumitomo Electric Industries Ltd., Itami, Japan

I. INTRODUCTION

Diamond is a promising material for electronic and optical devices under


severe conditions because it has a large electron energy gap. Diamond is
also an attractive material for high-frequency and high-power devices due
to its high breakdown field, high electron and hole mobilities, and low di-
electric constant. Figures of merit for materials are useful to compare dia-
mond and other semiconductors and to consider the advantages of different
materials for certain types of electronic applications. The author shows three
figures of merit, Johnson’s figure of merit, Keyes’ figure of merit, and Bal-
iga’s figure of merit, and discusses the performance of diamond devices.
Johnson’s figure of merit [1] is usually used to compare material prop-
erties for power microwave applications. It is given by

冉 冊
2
EMvs
JFM = (1)
2␲
where vs is the scattering limited saturated velocity of carriers in the semi-
conducting material and EM is the peak electric field strength at breakdown.
Diamond’s high electron saturation velocity (vs = 2.8 ⫻ 107 cm/sec at room
temperature) [2] combined with a breakdown field EM in excess of 107 V/
cm [3] results in a high Johnson figure of merit, JFMdiamond/JFMSi = 2601.
Keyes’ figure [4] of merit is an indication of the thermal limitation on
a material’s high-frequency electrical performance. It is given by

冉 冊
1/2
cvs
KFM = ␭ (2)
4␲␧r
579
580 Shiomi

where c is the velocity of light, ␧r is the dielectric constant, and ␭ is


the thermal conductivity. Diamond’s high electron saturation velocity and
high thermal conductivity make Keyes’ figure of merit high, for example,
KFMdiamond/KFMSi = 32.
Baliga et al. [5,6] proposed a practical figure of merit for power de-
vices. Although higher values of JFM and KFM are desirable for a good
power semiconductor switch, they are not sufficient criteria. From a practical
point of view, the drift region resistance is an important parameter that needs
to be minimized for increasing the power-handling capability of a semicon-
ductor device. Baliga’s figure of merit is based on the parameter ␴A, which
can be interpreted as the on-state drift region conductance per unit area of
an abrupt one-sided p-n junction, ␴A was given by Shenai et al. [5],
q␮NB
␴A = (3)
WM
where ␮ is the low-field carrier mobility, NB is the drift region doping den-
sity, WM is the depletion layer depth at breakdown, and q is the electronic
charge. This equation was later corrected for semiconductors with deep do-
nors or acceptors by Collins [7],
q␮nc
␴A = (4)
WM
where nc is the concentration of charge carriers.
Assuming an abrupt one-sided p-n junction on uniformly doped
material, the drift region doping density can be related to avalanche break-
down as
␧sE2M
NB = (5)
2qVB
where ␧s is the permitivity of the semiconductor and EM is the peak electric
field strength at breakdown. The depletion layer depth at breakdown, WM,
is 2VB/EM.
For the calculation of ␴A in Eq. (4), nc = NB for Si and GaAs at or
above room temperature. However, this is not the case for diamond. The
ionization energy of boron acceptors in diamond is 0.37 eV [8], and only
about 0.2% of the acceptors are ionized at room temperature in a typical
natural semiconducting diamond [9]. The following expression must be used
to determine the hole concentration p:

冉 冊 冉 冊
3/2
p(p ⫹ ND) 2␲m*kT ⫺EA
= exp (6)
(NA ⫺ ND ⫺ p) h2 kT
where NA is the boron concentration, ND is the donor concentration, and m*
is the hole density-of-states effective mass [9].
Diamond Active Electronic Devices 581

The author calculated the temperature dependence of ␴A as already


described for a one-sided junction made of diamond, Si, GaAs, and 6H-SiC,
as shown in Fig. 1, using the parameters after Collins [7]. Collins used an
activation energy of 0.37 eV and a low value for the mobility of p-type
diamond, 290 cm2 V⫺1 sec⫺1, which was the typical value for homoepitaxial
diamond films. For these parameters, ␴A is larger for diamond than for GaAs
or Si at temperatures greater than about 180⬚C because of the deep level of
the acceptor. However, the actual carrier concentrations are expected to be
more because the energy level EA decreases as the doping concentration
increases [10–12]. In the temperature range investigated [10], the activation
energy changed from 0.2 to 0.37 eV due to the different acceptor concen-
trations. After modification of the growth process, mobilities between 1000
and 1430 cm2 V⫺1 sec⫺1 were achieved in the improved chemical vapor
deposition (CVD) films, which had B concentrations between ⬃5 ⫻ 1016
and 2.2 ⫻ 1018 cm⫺3 [13]. If the mobility is 1300 cm2 V⫺1 sec⫺1 with an
activation energy of 0.37 eV, ␴A is the larger for diamond than for GaAs or

Figure 1 Conductance/cm2 calculated for one-sided diodes made from diamond,


SiC, GaAs, and Si.
582 Shiomi

Si at temperatures greater than about 110⬚C. When the author uses an acti-
vation energy of 0.22 eV [10,14] and a mobility of 1300 cm2 V⫺1 sec⫺1, ␴A
is larger for diamond than for GaAs or Si in the entire temperature range
and for 6H-SiC at temperatures greater than about 70⬚C. It is concluded that
diamond-based devices offer significant advantages in high-power ap-
plications.
In the case of a high-frequency system, Trew et al. [15] examined the
frequency performance of GaAs, silicon carbide, and diamond electronic
devices, as shown in Fig. 2. Because frequency performance scales directly
with saturation velocity, diamond devices are expected to operate at higher
frequencies than GaAs or silicon carbide devices. Diamond MESFETs are
capable of producing over 200 W of X-band power as compared with about
8 W for GaAs and about 60 W for silicon carbide. Trew’s group [16] further
analyzed the output performance of diamond MESFETs and showed its

Figure 2 RF power performance versus frequency for diamond, SiC, and GaAs
MESFETs. (From Ref. 15.)
Diamond Active Electronic Devices 583

temperature dependence. Power performance of the diamond MESFETs ex-


ceeds that of GaAs MESFETs when operated at temperatures higher than
177⬚C. The author speculates that the temperature limit should be lower,
following a discussion similar to the earlier discussion of on-state drift re-
gion conductance.

II. DIAMOND TRANSISTORS


A. Introduction
Table 1 shows the pioneering works on diamond transistors. The author
categorizes the history of the development of diamond transistors into three
periods: 1982–1988 for preliminary bulk transistors, 1989–1992 for prelim-
inary field-effect transistors, and 1993– for improved FETs and circuits.

Table 1 Historical Development of Diamond Transistors

Year Topic Researchers Ref.

1982 Bipolar transistor on natural diamond F. Prins 17


1987 Point-contact transistor on HPHT W. Geis et al. 19
diamond
1988 Permeable base transistor on HPHT W. Geis et al. 20
diamond
1989 First MESFET on CVD diamond films Shiomi et al. 22
First MESFET on CVD diamond films Sh. Gildenblat et al. 25
1990 MiSFET with CVD intrinsic diamond Shiomi et al. 26
MOSFET with SiO2 A. Grot et al. 27
MESFET by rapid thermal processing Tsai et al. 30
1991 MOSFET by the selective growth Sh. Gildenblat et al. 28
method
MOSFET with ion implanted channel R. Zeisse et al. 32
MiSFET on polycrystalline diamond Nishimura et al. 34
films
1992 Gate-recessed MOSFET A. Grot et al. 29
MOSFET on polycrystalline diamond J. Tessmer et al. 37
films
1993 First diamond circuit Zeidler et al. 39
1994 MESFET using surface conductive layer Kawarada et al. 41
Self aligned gate-recessed MESFET Shiomi et al. 44
MOSFET on improved diamond films L. Dreifus et al. 45
1995 Pulse-doped (␦-doped) MESFET Shiomi et al. 47
1997 Normally-off diamond MESFET J. Looi et al. 48
1999 Transconductance exceeding 10 mS/mm Tsugawawa et al. 49
584 Shiomi

B. 1982–1988, Preliminary Bulk Transistors


The first active diamond electronic device was demonstrated in 1981 when
Prins [17] reported bipolar transistor action in ion-implanted natural dia-
mond. The device was fabricated by ion bombarding C⫹ ions onto the sur-
face of a natural p-type diamond in two regions separated by 3.2 ␮m, as
shown in Fig. 3a. The bombarded regions served as the n-type regions,
although they were actually radiation-damaged regions. The common emitter
current gain of the device is less than one, although the output I-V charac-
teristics do show complete current saturation, as shown in Fig. 3b. In 1987,
Tzeng et al. [18] reported a similar npn bipolar junction transistor, fabricated
by ion implanting arsenic in a p-type natural diamond. The current gain
obtained in the device was about 0.8.
A point-contact transistor, operational at 510⬚C, was reported by Geis
et al. [19]. This is the first report of diamond transistors that have power
gain. Point-contact transistors were fabricated on a synthetic boron-doped
diamond produced by a high-pressure, high-temperature (HPHT) process.
From room temperature capacitance-voltage measurements of Schottky di-
odes on the diamond, it was determined that the net ionized boron acceptor
concentration was on the order of 1016 cm⫺3. The base, collector, and emitter
contacts consisted of tungsten probes that formed Schottky or ohmic contacts
on the diamond surfaces, as shown in Fig. 4a. The collector current as a
function of base-collector voltage is shown in Fig. 4b. When operated at
room temperature, the small-signal current gain (the ratio of the collector to
emitter currents) varied from 2 to 25 and the calculated small-signal power
gain varied from 6 to 35, depending on the transistor and the operating
conditions. At a high temperature, 510⬚C, the current gain typically varied
from 1.6 to 0.5 and the power gain varied from 4.5 to 1.3, depending on
the devices.
In the following year, Geis et al. [20] reported the fabrication of a
permeable base transistor. An ion-beam etching technique was used to fab-
ricate the vertical structure of this device [21]. A schematic diagram of the
device structure and I-V characteristics are shown in Fig. 5. A transcon-
ductance of 30 ␮S/mm was obtained, which was limited by a parasitic re-
sistance (⬃104 ⍀ cm) in the substrate of the device, not an inherent material
property nor the structure.

C. 1989–1992, Preliminary Field-Effect Transistors


In 1989, the first diamond MESFETs were fabricated independently by two
groups on boron-doped homoepitaxial diamond films grown by the plasma-
assisted chemical vapor deposition method [22–25]. Shiomi et al. [22] ep-
Diamond Active Electronic Devices 585

Figure 3 First active diamond electronic device, an npn bipolar junction transistor
in natural p-type diamond. (a) Schematic illustration of the masking of the base area
during carbon ion implantation. (b) I-V characteristics. (From Ref. 17.)
586 Shiomi

Figure 4 Diamond point-contact transistor. (a) Schematic drawing of the transistor.


The ohmic contact was formed either by tungsten probes or by e-beam evaporated
tungsten on the (111) facets of the diamond. (b) I-V characteristics. (From Ref. 19.)

itaxially grew diamond films on an HPHT diamond (100) surface in the


selected condition of high CH4 concentration with B2H6 as dopant gas. Ti
was used for the source and drain ohmic contacts and Al was used for the
gate Schottky contacts. The gate length and width were large, 140 ␮m and
1.8 mm, respectively, because the MESFET patterns were fabricated directly
with a shadow mask without using a photolithography technique. The device
structure and the drain current versus drain voltage characteristics are shown
in Fig. 6. The gate voltage was varied from ⫺1.0 to 5.0 V when the leakage
current was less than 1 ␮A. The gate voltage controlled the drain current
Diamond Active Electronic Devices 587

Figure 5 Diamond permeable base transistor. (a) Device structure. To fabricate


this device, ion beam-assisted etching was used to produce the grating in the dia-
mond. (b) I-V characteristics. (From Ref. 20.)
588 Shiomi

Figure 6 First diamond MESFET. (a) Device structure. (b) I-V characteristics.
(From Ref. 22.)

although the ohmic property of the source to drain was not optimized with-
out annealing due to the fabrication process.
Gildenblat et al. [25] fabricated a MESFET with a circular Al gate, as
shown in the inset of Fig. 7. The leakage current remained under 50 nA at
reverse biases up to 16 V. This structure shows some degree of gate control
over the drain current ID. As can be seen in Fig. 7, a 6 V reverse gate bias
results in a reduction of the drain current.
Following the first fabrication of MESFETs, the two groups previously
mentioned made attempts to improve the device performance by reducing
the gate leakage with the incorporation of a gate insulator. A field-effect
transistor with an insulated gate is generally called an insulated-gate field-
Diamond Active Electronic Devices 589

Figure 7 First diamond MESFET; device layout in the inset and I-V characteris-
tics. (From Ref. 25.)

effect transistor (IGFET) or a metal-insulator-semiconductor field-effect


transistor (MISFET). Shiomi et al. [26] inserted a 0.23-␮m-thick intrinsic
diamond layer between the gate metal and boron-doped diamond layer. To
specify this structure in Table 1, the author calls it a metal–intrinsic semi-
conductor–semiconductor (MiS) structure. The device structure and drain
current versus drain voltage characteristics are shown in Fig. 8. The gate
voltage was varied from 0 to 20 V in 5 V steps and the drain voltage was
applied up to ⫺50 V. The drain current tends to saturate as the drain voltage
increases. The intrinsic diamond layer withstood 70 V between gate and
drain. The maximum transconductance was 2.0 ␮S/mm.
Grot et al. [27,28] used 0.10-␮m-thick SiO2 as a gate insulator. It was
formed by sputtering in an Ar (50%)–O2 (50%) plasma at 350⬚C. To specify
this device structure in Table 1, the author calls it a metal-oxide-semicon-
ductor (MOS) structure. During the fabrication of these devices, they used
a selective growth process, where boron-doped homoepitaxial diamond was
grown in the opening of sputtered SiO2. The hole mobility of selectively
grown films varied between 210 and 290 cm2/V sec for hole concentrations
between 1.0 ⫻ 1014 and 6.9 ⫻ 1014 cm⫺3. The device structure is shown in
Fig. 9a. An Au (1.25 ␮m)/Ti (50 nm) metallization was deposited on top of
the selectively grown homoepitaxial diamond island. The transistor charac-
teristics at 300⬚C are shown in Fig. 9b. High-temperature operation of the
device is made possible by incorporation of an insulating gate. The gate
leakage current remained under 10 pA even during operation at 300⬚C. To
590 Shiomi

Figure 8 First diamond MiSFET with intrinsic diamond layer between gate metal
and boron-doped diamond layer. (a) Device structure. (b) I-V characteristics, illus-
trating the tendency of drain current saturation at high positive gate voltage bias.
(From Ref. 26.)

improve the transistor characteristics, Grot et al. [29] introduced the electron
cyclotron resonance (ECR) plasma etching process and fabricated mesa-
isolated recessed gate field-effect transistors that operated at temperatures
up to 350⬚C. The maximum transconductance was 87 ␮S/mm at 200⬚C,
although they did not exhibit complete saturation or pinch-off of the channel.
In 1990, Tsai et al. [30,31] reported on the fabrication of a diamond
MESFET with the channel formed by solid-state diffusion. The device struc-
ture and the I-V characteristics are shown in Fig. 10. Boron was diffused
into type IIa diamonds and simultaneously electrically activated by a rapid
thermal annealing technique using a cubic boron nitride planar diffusion
source in an argon atmosphere. The annealing took place at ⬃1370⬚C for
20 sec. Boron concentrations as high as 3.5 ⫻ 1019 cm⫺3 were detected at
a depth of 500 Å in the diamond substrate using secondary ion mass spec-
Diamond Active Electronic Devices 591

Figure 9 First diamond MOSFET with SiO2 as a gate insulator. (a) Device struc-
ture. (b) I-V characteristics at 300⬚C. (From Ref. 27.)

trometry (SIMS). Electrical contacts of Ti/Au were made on diamond via


evaporation, and subsequent ohmic annealing was carried out for 30 min at
800⬚C. Finally another, unannealed Ti/Au bilayer 0.15 mm in length and 1
mm in width was deposited to form the Schottky gate. The MESFET was
observed to pinch off at high positive gate bias, and reverse-bias leakage
was below 10⫺12 A at 5 V.
In addition to the boron doping processes already mentioned—the ep-
itaxial growth process and the solid-state diffusion process—Zeisse et al.
[32] introduced the ion-implantation process and fabricated MOSFETs. The
device structure and the I-V characteristics are shown in Fig. 11. A p-type
conducting layer was formed in a substrate of semi-insulating natural dia-
592 Shiomi

Figure 10 Diamond MESFET with ultrashallow boron-doped layer formed by


rapid-thermal-processing (RTP) solid-state diffusion using cubic boron nitride as the
dopant source. (a) Device structure with an ultrashallow p-doped channel less than
500 Å at a boron concentration of about 3 ⫻ 1019 cm⫺3. (b) I-V characteristics,
illustrating pinch-off behavior at high positive gate bias. (From Ref. 31.)

mond (type IIa) by boron implantation. Boron ions were implanted at 80 K


using a multiple implant scheme (25 keV, 1.5 ⫻ 1014 B⫹/cm2; 50 keV, 2.1
⫻ 1014 B⫹/cm2; and 100 keV, 3.0 ⫻ 1014 B⫹/cm2) intended to provide a
uniform p-type layer 210 nm thick. After implantation, the diamond was
annealed at 1263 K in nitrogen to remove the implantation damage and

>
Figure 11 Diamond MOSFET fabricated by ion implanting boron into natural
insulating type IIa diamond. (a) Plan (top) and cross section (bottom) views of an
ion-implanted MOSFET. The thickness of the implanted layer is approximately 210
nm. The circular geometry does not require a mesa etch to contain the current flow
from source to drain. (b) I-V characteristics at room temperature. The transconduc-
tance approaches 9.5 ␮S toward saturation for a change in gate voltage from 0 V
to 2 V. (From Ref. 32.)
Diamond Active Electronic Devices 593
594 Shiomi

activate the implanted boron. The gate insulator, consisting of an SiO2 film
approximately 100 nm thick, was deposited by indirect plasma-enhanced
chemical vapor deposition [33] at a temperature of 300⬚C. Saturation and
pinch-off were both observed at room temperature. The transconductance
was 3.9 ␮S/mm and the output conductance was 60 nS/mm. This was the
first reported use of ion implantation to fabricate a field-effect device suc-
cessfully in diamond.
The fabrication of active devices on polycrystalline diamond films is
attractive because of the availability of large-area inexpensive substrate ma-
terial. Nishimura et al. [34–36] first reported field-effect transistor action in
polycrystalline diamond films. The device structure and the I-V character-
istics are shown in Fig. 12. The maximum transconductance was 5 ␮S/mm.
The device did not show saturation or pinch-off. Tessmer et al. [37,38]
improved the polycrystalline diamond FETs and obtained a larger estimated
maximum transconductance of 121 ␮S/mm, although the device did not
show the pinch-off condition.

D. 1993– , Improved FETs and Circuits


Zeidler et al. [39] fabricated a simple demonstration circuit with a voltage
gain of two using two MOSFETs. Natural type IIa diamonds were implanted
with boron at 77 K, using the multiple scheme, low-temperature boron ion
implantation procedure to produce an approximately uniformly doped p-type
layer about 200 nm thick. This layer was used to fabricate MOSFETs op-
erating in the depletion mode, with good uniformity from device to device.
Two of the devices made the first diamond electric circuit, as shown in Fig.
13a. As indicated by the labels ‘‘DOT’’ and ‘‘RING’’ in Fig. 13a, the tran-
sistors were connected in such a way as to place a parasitic resistor across
the power supply rather than across the output. The circuit was tested at
room temperature under direct-current conditions. A gain (Vout/Vin) of ap-
proximately two was measured over a wide range of VDD, as seen in Fig. 13b.
Kawarada et al. [40,41] fabricated MESFETs using a surface conduc-
tive layer of hydrogen-terminated undoped homoepitaxial diamond films.
Hydrogen-terminated films display p-type conduction [10,42,43]. These
FETs exhibited enhancement-mode effects and a high value of transcon-
ductance of 200 ␮S/mm (Fig. 14), although these operations should depend
on the environment, which controls the surface conductive layer.
Shiomi et al. [44] introduced a reactive ion etching process and fab-
ricated MESFETs with a self-aligned recessed structure. The device structure
and the I-V characteristics are shown in Fig. 15. Saturation and pinch-off
characteristics were both observed in diamond MESFETs for the first time.
Diamond Active Electronic Devices 595

Figure 12 Polycrystalline diamond transistor. (a) Top and cross-sectional views of


the MiSFET. (b) I-V characteristics of the MiSFET at room temperature. The inset
shows the I-V characteristics between source and gate. (From Ref. 36.)
596 Shiomi

Figure 13 Diamond circuit. (a) Circuit configuration with parasitic resistances


shown in dashed lines. (b) Voltage gain as a function of applied bias. (From Ref.
39.)
Diamond Active Electronic Devices 597

Figure 14 Diamond MESFET using a surface conductive layer of undoped homo-


epitaxial film. (a) Cross section of the MESFET. (b) I-V characteristics of the MES-
FET with a gate length of 10 ␮m. The transconductance obtained is 200 ␮S/mm.
(From Ref. 41.)
598 Shiomi

Figure 15 Gate-recessed structure MESFET. (a) Cross section of MESFET. (b) I-


V characteristics of the MESFET with a gate length of 4 ␮m. (From Ref. 44.)

Dreifus et al. [13,45,46] demonstrated diamond MOSFETs that exhib-


ited complete pinch-off of the active channel and a large transconductance
on the order of a mS/mm at the elevated temperature. In this research, high-
quality homoepitaxial films of semiconducting diamond were deposited on
IIa diamond substrates. The room temperature hole mobility exceeded 1400
cm2/V sec. In addition, compensation was measured as 3 ⫻ 1015 cm⫺3, which
was similar to donor levels in the best natural type IIb single crystal dia-
monds. These films were used to fabricate MOSFETs. Pinch-off and satu-
ration of the channel current were observed at temperatures up to 500⬚C.
Figure 16 shows the I-V characteristics at 400⬚C. Diamond field-effect tran-
sistors were configured in analog-amplifier and a two-input NOR digital
logic circuit. Successful logic operation has been observed up to 400⬚C.
Shiomi et al. [47] have fabricated MESFETs utilizing a boron pulse-
doped layer as a conducting channel. The layered structure of the MESFET,
as shown in the inset of Fig. 17a, consists of an undoped 150-nm-thick buffer
layer grown first, followed by a boron pulse-doped layer of 11 nm (the full
Diamond Active Electronic Devices 599

Figure 16 Diamond MOSFET with an improved epitaxial layer; I-V characteristics


at 400⬚C. Gate-to-source voltage varies from 0 to 14 V in 2 V increments. (From
Ref. 13.)

width of the half-maximum of the boron profile in Fig. 17a) and a 75-nm-
thick undoped diamond cap layer. These layers were grown by controlling
the flow of the dopant gas during the microwave plasma-assisted chemical
vapor deposition. The doping levels in the undoped layers and the boron
pulse-doped layer were found to be <1017 and >1019 cm⫺3, respectively, as
shown in Fig. 7a. The I-V characteristics in Fig. 7b showed that this field-
effect transistor with a gate length of 4 ␮m and a gate width of 39 ␮m
exhibited an extrinsic transconductance of 116 ␮S/mm with both pinch-off
characteristics and current saturation.
In 1997, Looi et al. reported the first normally off enhancement mode
MESFET structures formed with a near-surface p-type hydrogenated dia-
mond layer [48]. Such devices play an enabling role in circuit design. The
transistors were formed on free-standing polycrystalline diamond grown by
microwave plasma-enhanced CVD. Thermally evaporated gold was used for
the ohmic contacts and aluminum was used for the gate metal. With no gate
bias, the drain-to-source current was less than 1 nA, corresponding to an
off-state. By applying negative gate voltage, an on-state was established.
With a gate voltage of ⫺1.8 V, the drain-to-source current magnitude was
4.7 ␮A, with the gate current remaining less than 10 nA. Room temperature
transconductance values were 0.14 mS/mm.
On single crystalline diamond, a hydrogenated p-type layer can be
utilized to form FET’s with even higher performance figures of merit. Tsu-
gawa et al. formed both MESFET and MOSFET devices on homoepitaxial
CVD diamond deposited on a synthetic Ib diamond (100) surface [49]. By
600 Shiomi

Figure 17 Pulse-doped diamond p-channel MESFET. (a) Depth profile of boron


concentration measured by SIMS and cross-sectional drawing of a pulse-doped
MESFET in the inset. (b) I-V characteristics. (From Ref. 47.)

appropriate selection of gate metal, both normally-off (enhancement mode)


and normally-on (depletion mode) devices were achieved. In this case, lead
was used as the gate metal for an enhancement mode MESFET and copper
was used as the gate metal for a depletion mode MESFET. Transconductance
values exceeded 10 mS/mm for both enhancement mode and depletion mode
transistors.
Diamond Active Electronic Devices 601

III. SUMMARY AND DIRECTION OF FUTURE WORK

A simple analysis based on the measured peak electric field strength at av-
alanche breakdown is presented to evaluate the performance of various semi-
conducting materials for high-power electronics. The calculated results in-
dicate that diamond is superior material for fabricating power semiconductor
devices. The high saturation velocity of diamond is shown to enable dia-
mond to handle high power at high frequency. Moreover, the highest thermal
conductivity and large energy gap of diamond offer an insulating layer which
can be conveniently used for conducting the heat away from the active
region of devices.
The development of transistors is a benchmark for a new semiconduc-
tor material. It has been made clear by many researchers in the last several
years that diamond is not just a simple gemstone but also a semiconducting
material. However, researchers have now also demonstrated the feasibility
of diamond active devices. For practical application, the author thinks that
we need major breakthroughs in the following three techniques; the growth
technique, the doping technique, and the microfabrication technique.
In terms of the growth technique, the high-speed growth technique or
the heteroepitaxial growth technique should be developed in the quest to
obtain large single-crystal diamond wafers or films. For example, high-qual-
ity 6H-SiC, which is supplied as a wafer by the improved sublimation
method [50] and is grown as a film by step-control epitaxy [51], has accel-
erated the development of SiC high-power devices [52–55]. High-quality
GaN has been heteroepitaxially grown on sapphire [56,57], and soon after
the brightest blue light–emitting diode (LED) was successfully fabricated
[58]. These examples show that the production of high-quality wafers or
films is the main breakthrough for newcomer semiconductors.
In terms of the doping technique, the concentration and distribution of
dopant should be well controlled. And n-type diamond with low resistivity
should be obtained for a wide range of electrical applications. The recent
progress in improving CVD diamond may enable the growth of n-type
diamond.
In terms of the microfabrication technique, the processing tools for
diamond devices must be further developed to the point of fabricating re-
producible devices. Recent progress shows that microfabrication techniques
established for other semiconductors can be utilized for diamond.
At present, it is not clear that diamond active devices have performance
competitive with that of conventional materials. However, not a year passes
without significant progress in the material and device quality of diamond
transistors. In the next few years, high-power and high-frequency diamond
devices may surpass the performance of devices fabricated in conventional
602 Shiomi

semiconductors and SiC. And the mentioned breakthroughs will open the
way to the commercial use of diamond active devices.

REFERENCES
1. EO Johnson. Physical limitations on frequency and power parameters of tran-
sistors. RCA Rev 26:163, 1963.
2. DK Ferry. High field transport in wide-bandgap semiconductors. Phys Rev B
12:2361, 1975.
3. AV Bogdanov, IM Vikulin, TV Bogdanova. Investigation of microplasma
breakdown at a contact between a metal and a semiconducting diamond. Sov
Phys Semicond 16:720, 1982.
4. RW Keyes. Physical limits in digital electronics. Proc IEEE 63:740, 1975.
5. K Shenai, RS Scott, BJ Baliga. Optimum semiconductor for high-power elec-
tronics. IEEE Trans Electron Devices ED-36:1811, 1989.
6. BJ Baliga. Power semiconductor device figure of merit for high-frequency
applications. IEEE Electron Device Lett 10:455, 1989.
7. AT Collins. Diamond electronic devices—can they outperform silicon or
GaAs? Mater Sci Eng B11:257, 1992.
8. AT Collins, WS Williams. The nature of the acceptor centre in semiconducting
diamond. J Phys C 4:1789, 1970.
9. JE Field. The Properties of Diamonds. New York: Academic Press, 1979.
10. H Shiomi, Y Nishibayashi, N Fujimore. Characterization of boron-doped dia-
mond epitaxial films. Jpn J Appl Phys 30:1363, 1991.
11. JW Glesener. Hole capture in boron-doped diamond. Appl Phys Let 64:217,
1994.
12. M Werner, O Dorsch, HU Baerwind, E Obermeier, L Haase, W Seifert A.
Ringhandt, C Johnston, S Romani, H Bishop, and PR Chalker. Charge transport
in heavily B-doped polycrystalline diamond films. Appl Phys Lett 64:595,
1994.
13. JT Glass, DL Dreifus, RE Fauber, BA Fox, ML Hartsell, RB Henard, JS
Holmes, D Malta, LS Plano, AJ Tessmer, GJ Tessmer, HA Wynands. High
quality semiconducting diamond films and devices. Fourth International Con-
ference on New Diamond Science and Technology, MYU, Kobe, Japan, 1994,
p 335.
14. N Fujimori, H Nakahata, T Imai. Properties of boron-doped epitaxial diamond
films. Jpn J Appl Phys 29:824, 1990.
15. RJ Trew, J Yan, PM Mock. The potential of diamond and silicon carbide elec-
tronic devices for microwave and millimeter-wave power applications. Proc
IEEE, 79:598, 1991.
16. MW Shin, RJ Trew, GL Bilbro. High temperature dc and RF performance of
p-type diamond MESFET: comparison with n-type GaAs MESFET. IEEE Elec-
tron Device Lett 15:292, 1994.
17. JF Prins. Bipolar transistor action in ion implanted diamond. Appl Phys Lett
41:950, 1982.
Diamond Active Electronic Devices 603

18. Y Tzeng, TH Lin, JL Davidson, LS Lan. Fabrication and high temperature


characteristics of diamond electronic devices. Proceedings of Seventh Biennial
University/Government/Industry Microelectronics Symposium, IEEE, Roch-
ester, NY, 1987, p 187.
19. MW Geis, DD Rathman, DJ Ehrlich, RA Murphy, WT Lindley. High-temper-
ature point-contact transistors and Schottky diodes formed on synthetic boron-
doped diamond. IEEE Electron Device Lett EDL-8:341, 1987.
20. MW Geis, NN Efremow, DD Rathman. Summary abstract: device applications
of diamonds. J Vac Sci Technol A 6:1953, 1988.
21. NN Efremow, MW Geis, DC Flanders, GA Lincoln, NP Economou. Ion-beam–
assisted etching of diamond. J Vac Sci Technol B 3:416, 1985.
22. H Shiomi, Y Nishibayashi, N Fujimore. Field-effect transistors using boron-
doped diamond epitaxial films. Jpn J Appl Phys 28:L2153, 1989.
23. H Shiomi, Y Nishibayashi, N Fujimore. Diamond transistors. Fiftieth Fall
Meeting of The Japan Society of Applied Physics and Related Society, Japan,
28a-E-10, 1989, p 384.
24. N Fujimori, T Imai, H Nakahata, H Shiomi, Y Nishibayashi. Epitaxial growth
of diamond and diamond devices. Proceedings of Fall 1989 MRS Meeting,
Boston, Vol 162, 1989, p 23.
25. GS Gildenblat, SA Grot, CW Hatfield, CR Wronski, AR Badzian, T Badzian,
R Messier. Electrical properties of homoepitaxial diamond films. Proceedings
of Fall 1989 MRS Meeting, Boston, Vol 162, 1989, p 297.
26. H Shiomi, Y Nishibayashi, N Fujimori. Characterization of boron-doped dia-
mond epitaxial films and applications for high-voltage Schottky diodes and
MESFETs. Second International Conference of New Diamond Science and
Technology, Material Research Society, Washington, DC, 1990, p 975.
27. SA Grot, CW Hatfield, GS Gildenblat, AR Badzian, T Badzian. Semiconductor
device development using selectively grown thin-film diamond. Second Inter-
national Conference of New Diamond Science and Technology, Washington,
DC, 1990, p 949.
28. GS Gildenblat, SA Grot, AR Badzian. High-temperature thin-film diamond
field-effect transistor fabricated using a selective growth method. IEEE Elec-
tron Device Lett EDL-12:37, 1991.
29. SA Grot, GS Gildenblat, AR Badzian. Diamond thin-film recessed gate field-
effect transistors fabricated by electron cyclotron resonance plasma etching.
IEEE Electron Device Lett EDL-13:462, 1992.
30. W Tsai, M Delfino, LY Ching, G Reynolds, D Hodul, CB Cooper III. Boron
doping of diamond via solid state diffusion. Second International Conference
of New Diamond Science and Technology, Material Research Society, Wash-
ington, DC, 1990, p 937.
31. W Tsai, M Delfino, D Hodul, M Riaziat, LY Ching, G Reynolds, CB Cooper
III. Diamond MESFET using ultrashallow RTP boron doping. IEEE Electron
Device Lett EDL-12:157, 1991.
32. CR Zeisse, CA Hewett, R Nguyen, JR Zeidler, RG Wilson. An ion-implanted
diamond metal-insulator-semiconductor field-effect transistor. IEEE Electron
Device Lett EDL-12:602, 1991.
604 Shiomi

33. LG Meiners. Indirect plasma deposition of silicon dioxide. J Vac Sci Technol
21:655, 1982.
34. K Nishimura, R Kato, S Miyauchi, K Kobashi. Metal semiconductor field effect
transistor with polycrystalline diamond films. Japan New Diamond Forum,
Fifth Diamond Symposium, Tsukuba, Japan, 1991, p 34.
35. K Nishimura, H Koyama K, Miyata, K Suzuki, K Kobashi. Fabrication of
field effect transistors made of polycrystalline diamond films. Third Interna-
tional Symposium on Diamond Materials at the ECS Spring Meeting, Hawaii,
1993.
36. K Nishimura, K Kumagai, R Nakamura, K Kobashi. Metal/intrinsic semicon-
ductor/semiconductor field effect transistor fabricated from polycrystalline di-
amond films. J Appl Phys 76:8142, 1994.
37. AJ Tessmer, K Das, DL Dreifus. Polycrystalline diamond field-effect transis-
tors. Diamond Relat Mater 1:89, 1992.
38. AJ Tessmer, LS Plano, DL Dreifus. High-temperature operation of polycrys-
talline diamond field-effect transistors. IEEE Electron Device Lett EDL-14:66,
1993.
39. JR Zeidler, CA Hewett, R Nguyen, CR Zeisse, RG Wilson. A diamond driver-
active load pair fabricated by ion implantation. Diamond Relat Mater 2:1341,
1993.
40. N Nakamura, M Aoki, M Itoh, N Jin, H Kawarada. Fabrication of MESFET
utilizing hydrogen-terminated homoepitaxial diamond films. Fourth Interna-
tional Conference on New Diamond Science and Technology, MYU, Kobe,
Japan, 1994, p 729.
41. H Kawarada, M Aoki, M Ito. Enhancement mode metal-semiconductor field
effect transistors using homoepitaxial diamonds. Appl Phys Lett 65:1563, 1994.
42. MI Landstrass, KV Ravi. Resistivity of chemical vapor deposited diamond
films. Appl Phys Lett 55:975, 1989.
43. H Nakahata, T Imai, N Fujimore. Change of resistance of diamond surface by
reaction with hydrogen and oxygen. Second International Symposium on Dia-
mond Materials, The Electrochemical Society, Washington, DC, Vol 91, 1991,
p 487.
44. H Shiomi, Y Nishibayashi, N Toda, S Shikata, N Fujimori. Fabrication of a
gate-recessed-structure MESFET on diamond films. Fourth International Con-
ference on New Diamond Science and Technology, MYU, Kobe, Japan, 1994,
p 661.
45. DL Dreifus, AJ Tessmer, JS Holmes, C-T Kao, DM Malta, LS Plano, BR
Stoner. Diamond field-effect transistors. MRS Spring Meeting, San Francisco,
1994.
46. BA Fox, ML Hartsell, DM Malta, HA Wynands, C Kao, LS Plano, GJ Tessmer,
RB Henard, JS Holmes, AJ Tessmer, DL Dreifus. Diamond devices and elec-
trical properties. Diamond Relat Mater 4:622, 1995.
47. H Shiomi, Y Nishibayashi, N Toda, S Shikata. Pulse-doped diamond p-channel
metal semiconductor field-effect transistor. IEEE Electron Device Lett EDL-
16:36, 1995.
Diamond Active Electronic Devices 605

48. HJ Looi, LYS Pang, Y Wang, MD Whitfield, RB Jackman. High-performance


metal-semiconductor field effect transistors from thin-film polycrystalline dia-
mond. Diamond Relat Mater 7:565–568, 1998.
49. K Tsugawa, K Kitatani, H Noda, A Hokazono, K Hirose, M Tajima, H Ka-
warada. High-peformance diamond surface channel field effect transistors and
their operation mechanism. Diamond Relat Mater 8:927–933, 1999.
50. RF Davis, JW Palmour, JA Edmond. Epitaxial thin film growth, characteriza-
tion and device development in monocrystalline ␣- and ␤-silicon carbide. Dia-
mond Relat Mater 1:109, 1992.
51. H Matsunami. Progress in SiC epitaxy-present and future. Fifth SiC and Re-
lated Materials Conference. Washington, DC: IOP Publishing, 1993, p 45.
52. LG Matus, JA Powell. High voltage 6H-SiC p-n junction diodes. Appl Phys
Lett 59:1770, 1991.
53. M Bhatnagar, PK McLarty, BJ Baliga. Silicon carbide high-voltage (400V)
Schottky barrier diodes. IEEE Electron Device Lett EDL-13:501, 1992.
54. T Kimoto, T Urushidani, S Kobayashi, H Matsunami. High-voltage (>1 kV)
SiC Schottky barrier diodes with low on-resistances. IEEE Electron Device
Lett EDL-14:548, 1993.
55. CE Weitzel, JW Palmour, CH Carter Jr, KJ Nordquist. 4H-SiC MESFET with
2.8 W/mm power density at 1.8 GHz. IEEE Electron Device Lett EDL-15:406,
1994.
56. S Nakamura. GaN growth using GaN buffer layer. Jpn J Appl Phys 30:L1705,
1991.
57. S Nakamura, M Senoh, T Mukai. Highly p-typed Mg-doped GaN films grown
with GaN buffer layers. Jpn J Appl Phys 30:L1708, 1991.
58. S Nakamura, M Senoh, T Mukai. High-power InGaN/GaN double-heterostruc-
ture violet light emitting diodes. Appl Phys Lett 62:2390, 1993.
13
Diamond Film Optics

D. K. Reinhard
Michigan State University, East Lansing, Michigan

I. INTRODUCTION

The optical properties are among the most interesting of the physical prop-
erties of diamond. These include the widest spectral optical transmission
range of all known solid materials [1] and, for a transparent material, an
unusually high index of refraction. Such attributes, combined with extreme
hardness, high thermal conductivity and chemical resistance, make diamond
a material of obvious interest for optical applications. However, optical ap-
plications of diamond have traditionally been very limited because of the
notoriously high cost of quality diamond, even for samples of modest di-
mensions. In spite of this, early experiments brought convincing evidence
of the potential of diamond for optical uses. A case in point is the diamond
window used as the optical port for an infrared radiometer experiment on
the Pioneer Venus probe launched in 1978. This 18.2 mm diameter by 2.8
mm thick diamond window survived earth atmosphere on launch, the cold
and vacuum of space, and the Venus atmosphere (largely carbon dioxide
with various acids, including sulfuric acid, at temperatures of 800 K and
pressures of 90 atm) [1]. Other important demonstrations included the use
of single-crystal diamond as high-power laser windows. By virtue of low
optical absorption combined with high thermal conductivity, the windows
successfully passed CO2 laser beams with continuous wave (CW) power
densities in excess of 1 MW/cm2 [2]. Such experiments demonstrated unique
optical capabilities for diamond; remaining as a significant barrier for more
widespread use was the prohibitive economic cost.

607
608 Reinhard

The development of chemical vapor deposition (CVD) methods to syn-


thesize diamond dramatically altered the issue of material availability. CVD
technology has demonstrated the ability to create diamond structures of sizes
that would be prohibitively expensive or simply not available in the tradi-
tional single-crystal form. For example, CVD has been used to form free-
standing diamond structures in a variety of shapes including domes, tubes,
and plates [3] as well as to form thin-film diamond coatings on a variety of
substrates with diameters up to 20 cm and larger. Consequently, optical
applications not previously feasible are now within consideration. Such ap-
plications include freestanding optical elements as well as coatings of lenses
and other optical elements and devices.
Nevertheless, there are several nontrivial issues associated with the
application of CVD diamond for optical purposes. The intrinsic optical prop-
erties of diamond are based upon high-purity, single-crystalline samples.
CVD samples, however, are generally polycrystalline and, depending on the
deposition processes, may or may not contain significant defects and im-
purities. An additional concern with CVD material is mechanical strength
and, of particular issue for thin-film applications, the integrity of a diamond
coating in terms of film stress and adhesion to the substrate.
This chapter is concerned primarily with the optical properties asso-
ciated with polycrystalline CVD diamond and with related optical applica-
tion issues of both thick films and thin films. The optical properties of single-
crystal diamond are first reviewed briefly in order to provide a frame of
reference. Next, optical properties of freestanding polycrystalline films are
considered, followed by a discussion of diamond film–coated substrates.
Finally, electro-optic applications of diamond are briefly considered, includ-
ing photodetection, photoconductive switching, and photon emission. The
scope of the chapter is primarily concerned with the ultraviolet, visible, and
infrared, with only passing reference to other portions of the electromagnetic
spectrum.
Regarding the illustrations and data presentation in this chapter, it is
noted that the spectrally dependent optical properties of materials are vari-
ously presented as a function of wavelength, ␭, or as a function of wave-
number (that is, ␭⫺1, or inverse wavelength), or as a function of photon
energy, E, which is related to the free-space wavelength as E = hc/␭, where
h is Planck’s constant and c is the velocity of light in free space.* All three
methods of data presentation are in common use in the literature and are
used in this chapter.

*If the energy is expressed in electron volts and the free-space wavelength in micrometers,
then E = 1.24/␭.
Diamond Film Optics 609

II. OPTICAL PROPERTIES OF SINGLE-CRYSTAL


DIAMOND
A. Reflection and Absorption
Optical phenomena associated with single-crystal diamond have been a topic
of study for hundreds of years. Such studies have been motivated in signif-
icant part by the fact that diamond gem commerce is based on the optical
properties of naturally occurring single-crystal diamond as obtained from the
earth. These properties have been extensively reviewed, for example, by
Davies [4] and by Clark [5]. They are discussed here briefly as a prerequisite
to the discussion of polycrystalline CVD diamond.
Consider an optical beam of intensity I0 in air normally incident on
the surface of a diamond sample that is characterized by an optical absorp-
tion coefficient ␣. The optical intensity at some depth d in the sample,
neglecting reflections from the back of the sample, is
I(d) = I0(1 ⫺ R)exp(⫺␣d) (1)
where R is the reflection coefficient at the front surface given by

冉 冊
2
na ⫺ nd
R= (2)
na ⫹ nd
na and nd being the refractive indices of air and diamond, respectively. The
refractive index of diamond is given by the Sellmeier equation [6],
4.3356␭2 0.3306␭2
n2d ⫺ 1 = 2 ⫹ (3)
␭ ⫺ (0.1060)
2
␭ ⫺ (0.1750)2
2

where ␭ is the wavelength expressed in ␮m. Figure 1 illustrates the wave-


length dependence of the refractive index. There is clearly considerable dis-
persion in the visible portion of the spectrum, with nd ranging from near
2.47 in the violet to 2.40 in the red, a property that is also enhanced by the
cut of diamond gems—light is refracted into a spectrum of colors when it
passes out into the air and leads to the ‘‘fire’’ of diamond. Diamond, in fact,
shows the largest color dispersion of the gems. The large refractive index
of diamond causes a large normal incidence reflection value, approximately
17%, and also results in a small critical angle for total reflection, approxi-
mately 24 degrees.*
Equation (3) is valid from the infrared to the onset of band-to-band
absorption in the ultraviolet. The room-temperature diamond electronic band

*This is taken advantage of by gem cutters. Facets in the so-called brilliant cut are arranged
such that it is not possible for light to fall on the lower facets at lessor angles, so no light
is refracted out the back.
610 Reinhard

Figure 1 Wavelength dependence of the refractive index according to the Sell-


meier equation.

gap, or separation between the valance-band maximum and conduction-band


minimum [5], is 5.47 eV (␭ ⬇ 0.23 ␮m), which effectively establishes an
upper limit on photon energy for transmission.* Because the energy gap in
diamond is indirect, photon excitation of an electron from the valence band
to the conduction band must be accompanied by phonon absorption or emis-
sion in order to conserve momentum. For an indirect gap semiconductor
with energy gap EG the absorption coefficient corresponding to transitions
involving absorption of a photon of energy E and absorption of a phonon
of energy EP is of the general form [7]
A(E ⫺ EG ⫹ EP)2
␣a = (4)
exp(Ep/kT) ⫺ 1
for E > EG ⫺ EP and zero for E < EG ⫺ EP and where A is a slowly varying

*According to Clark [5], the energy gap decreases at a rate of 5.4 ⫻ 10⫺5 eV/K.
Diamond Film Optics 611

function of photon energy. For the emission of a phonon the absorption


coefficient is
A(E ⫺ EG ⫺ EP)2
␣e = (5)
1 ⫺ exp(⫺Ep /kT)
for E > EG ⫹ EP and zero for E < EG ⫹ EP. When the photon energy is
sufficient to cause phonon emission, ␣e dominates ␣a. The actual situation
is somewhat more complex in that more than one type of phonon must be
considered* and excitons can also play a role. Clear from the general theory,
however, is the fact that for photon energies greater than the energy gap,
the absorption increases sharply. Experimental results for diamond show that
the absorption coefficient increases from approximately 15 cm⫺1 at 5.5 eV
to 5000 cm⫺1 at 5.96 eV [4,5].
In addition to the fundamental absorption described by Eqs. (4) and
(5), many semiconductors are observed experimentally to exhibit an expo-
nential increase in absorption with energy near the band gap. The absorption
coefficient associated with this observation is modeled empirically by Ur-
bach’s rule according to the expression [8]

␣U = ␣0 exp 冋 ␴(T)(E ⫺ E0)


kT 册 (6)

where T is the temperature in kelvins and k is Boltzmann’s constant. Ex-


perimental observation of such absorption has been reported for high-purity
single-crystal diamond. Thomas and Tropf [9] reported Urbach tail param-
eters at room temperature to be ␣0 = 4.23 ⫻ 1011 cm⫺1 and ␴ = 0.585 with
E0 being the value of the direct energy gap in diamond, 6.5 eV. Figure 2
illustrates the wavelength dependence of the Urbach edge absorption coef-
ficient which contributes an exponential absorption edge tail below the band
gap. In addition to the Urbach tail, a temperature-independent weak absorp-
tion tail is experimentally observed that extends farther into the band gap,
from approximately 0.23 to 0.35 ␮m. The magnitude of the weak tail varies
somewhat from sample to sample [5] but on a representative high-purity
single-crystal sample has been reported to be modeled as [9]
␣w = 0.28 exp(0.45E) (7)
where E is in eV and the absorption coefficient is in cm⫺1. The weak tail
absorption is primarily an ultraviolet phenomenon—the value of ␣w be-
comes on the order of 1 cm⫺1 by the blue portion of the visible spectrum.

*Phonon energies at high-symmetry points in the Brillouin zone range in energy from 70 to
165 meV [4].
612 Reinhard

Figure 2 Wavelength dependence of the absorption coefficient near the band edge
as calculated by an Urbach edge model.

For longer wavelengths, throughout the visible and into the infrared,
where the absorption coefficient as modeled in Eqs. (4)–(7) becomes zero
or vanishingly small, high-purity diamond should exhibit essentially no op-
tical absorption until excitation of vibration modes of C — C bonds occurs
in the range of approximately 2 to 7 ␮m. Diamond in the absence of sig-
nificant defects or impurities has no infrared-active single-phonon absorption
because diamond is a symmetric covalent material with no dipole moment
to interact with the electric field of light. However, even in pure diamond,
combinations of phonons can create weak dipole moments and two-phonon,
three-phonon, and four-phonon infrared absorption peaks have been ob-
served [9]. This multiphonon absorption is not strong; the absorption coef-
ficient associated with the largest peak is about 12 cm⫺1. At higher wave-
lengths, the intrinsic absorption becomes negligible again.
Diamond Film Optics 613

In summary, pure diamond has an optical window spanning the ultra-


violet, visible, infrared, millimeter, and microwave regions of the electro-
magnetic spectrum, with slight absorption in the infrared due to phonon
absorption and an ultraviolet cutoff due to band-to-band optical excitation.
These properties are referred to as the intrinsic optical properties of diamond,
that is, those associated with carbon atoms arranged on a perfect diamond
lattice.

B. Impurities and Diamond Types


Few natural single-crystal diamonds approach the intrinsic perfection. Im-
purities may give rise to additional electronic absorption levels and to vi-
bration-induced absorption not found in pure diamond. Also, defects and
impurities break the lattice symmetry, allowing one-phonon infrared absorp-
tion [10], which is forbidden in pure diamond. In fact, the effects of im-
purities on the optical properties of diamond are primarily responsible for
the classification of natural diamond into four main types: Ia, Ib, IIa, and
IIb [11]. Type I diamonds contain significant nitrogen impurities. Types Ia
and Ib differ in that for Ib diamonds the nitrogen is present in substitutional
sites and contributes to an electron paramagnetic resonance (EPR) signal.
Type Ia is not EPR active, and at least in some cases the nitrogen forms
aggregates or platelets within the crystal. Substitutional nitrogen gives rise
to absorption in the higher energy portion of the visible spectrum, which
causes the diamond to have a yellow appearance. When the nitrogen is not
EPR active, the effect on color is less. For example, a type Ib diamond with
50 ppm atomic nitrogen has a pronounced yellow-gold color, whereas a type
Ia diamond with 50 ppm atomic nitrogen may have only a slight tinge of
color [11]. However, type Ia diamonds can have nitrogen concentrations as
high as 2500 ppm atomic, and such crystals do show pronounced absorption
in the visible portion of the spectrum.
Type II diamond differs from type I in that type II does not contain
appreciable nitrogen. Type IIa is ‘‘pure’’ diamond although purity is a matter
of degree, varying from stone to stone. It has been suggested that a good
specification for dividing between types I and II would be at the nitrogen
level of 1 to 5 ppm atomic [11]. Type IIb is also nitrogen free but contains
boron. Substitutional boron gives rise to strong infrared absorption that has
a tail extending into the lower energy portion of the visible spectrum. As a
result, a boron concentration of only 10 ppm produces a deep blue color in
the crystal. Figure 3 illustrates comparative transmission plots for a colorless
type IIa diamond, a pale yellow type Ia diamond, a gold-yellow type Ib
diamond, and a blue boron-doped type IIb diamond. The type Ia in this
figure is a natural stone; the others are single crystals grown synthetically
614 Reinhard

Figure 3 The single-crystal transmission spectra of (A) a type IIa colorless dia-
mond, (B) a blue type IIb diamond, (C) a pale yellow type Ia diamond, and (D) a
golden yellow type Ib diamond [11].

by the high pressure General Electric process [11]. Of note for electronic
purposes, substitutional boron acts as an acceptor, introducing holes in the
valence band such that type IIb diamond is semiconducting. All four types
of diamond occur naturally, with the greatest preponderance being type Ia.
In one study of natural diamond, 98% were type Ia, 0.1% were type Ib, and
the remainder were type II.
Diamond Film Optics 615

Figure 3 Continued

Because nitrogen is so commonly found as an impurity, most natural


diamonds exhibit various shades of yellow and brown. Colorless diamonds
are comparatively rare. The largest by far colorless diamond discovered to
date is the Cullinan diamond found in South Africa in 1905 and weighing
3106 carats as a rough stone. It was subsequently cut into smaller stones,
the largest being 530 carats. Large type IIb diamonds are quite rare, the
largest being the Hope diamond, which is approximately 45 carats. Although
there are several much larger diamonds, this stone, which originated in India,
616 Reinhard

is famous for its color, a deep sapphire blue.* Also rare, but occasionally
found, are diamonds of other colors including orange, pink, mauve, green,
red, and black. These also result from impurities or defects. For example,
manganese is associated with a broad absorption at 0.55 ␮m that produces
a pink or mauve color. Color may also be induced synthetically by creating
optical centers using radiation-induced damage. Lattice damage caused by
electron, neutron, and gamma ray irradiation produces an absorption band
centered near 2 eV in the visible and also an ultraviolet band extending from
approximately 3 eV to the absorption edge. The net effect of irradiation in
a type IIa diamond is a change in appearance from colorless to green, blue-
green, or blue, depending on the irradiation [11]. Further information on
color centers in diamond may be found in Chapter 3 of this book and in
Refs. 4 and 5 of this chapter.

III. OPTICAL PROPERTIES OF POLYCRYSTALLINE


CVD DIAMOND
A. Scattering Effects in CVD Diamond
The very best CVD polycrystalline diamond optical samples do in fact ex-
hibit many optical properties quite comparable to those of type IIa single-
crystal diamond [12]. For example, in a review report by Harris [13] that
summarizes the results of a multigroup effort funded by the U.S. Navy to
produce optical quality CVD diamond, clear (from the band gap cutoff in
the ultraviolet to the phonon absorption in the infrared) windows with thick-
nesses of 0.3 to 1.0 mm and diameters† up to 25 mm were described. How-
ever, much CVD diamond does not have this level of optical quality. Free-
standing samples in the as-grown state are often opaque and show a color
ranging from a gray to a black appearance. One factor that limits the optical
performance of polycrystalline diamond films is scattering at the rough
growth surface of the polycrystalline films.
First, however, consider the case where scattering effects are neglected.
For wavelengths below the band gap and not in the portion of the infrared
where absorption occurs, a freestanding, optically smooth diamond sample
should show the transmission spectra of a transparent plate in air. For such

*One of several diamonds of historical interest, this gem was acquired by the royal family in
France in the 17th century, disappeared in the turmoil of the French Revolution in the 18th
century, appeared again in England in the 19th century, and in the 20th century became part
of the Smithsonian collection in Washington D.C.

In subsequent years, the achievable diameter for high-quality optical samples of CVD dia-
mond increased significantly.
Diamond Film Optics 617

cases, assuming that the incident light is a transverse electromagnetic (TEM)


wave (which is valid except for cases of rapidly diverging or converging
light), the optical power transmission for normal incidence on a diamond
plate with front and back air interfaces is given by the expression [14]
(1 ⫺ r2ad)(1 ⫺ r2da)
T= (8)
1 ⫹ r2adr2da ⫹ 2radrda cos(2␦)
where the Fresnel coefficients are
na ⫺ n d
rad = (9)
na ⫹ n d
and
nd ⫺ n a
rda = (10)
na ⫹ n d
at the top and bottom interface, respectively, and
2␲
␦= ndt (11)

is the change in phase of the beam on traversing the diamond film of thick-
ness t. The constructive and destructive interference resulting from multiple
reflections at the two interfaces results in a transmission oscillating between
100% and approximately 50% with peaks separated in wavenumber by in-
teger multiples of (ndt/2)⫺1. This is illustrated in Fig. 4A, in which T is
plotted as a function of wavenumber using Eq. (8). Also shown for reference
is T plotted as a function of ␭ in Fig. 4B. Such oscillatory behavior can be
observed experimentally throughout the spectrum if scattering is eliminated
by polishing the diamond surface to optical smoothness. It is also observed
on nonpolished samples if the optical wavelength is much larger than the
surface roughness [15,16]. For example, Fig. 5 shows the transmission spec-
trum for a freestanding 76.2-␮m-thick film with grain size in the range 2–
8 ␮m. The measured transmission [16] is shown as a function of wave-
number, from 20 to 350 cm⫺1, corresponding to wavelengths from 500 to
approximately 29 ␮m. In the longer wavelength portion of the transmission
spectrum, the transmission behavior approximates the ideal case of Fig. 4.
However, for shorter wavelengths, the transmission drops off because of
scattering at the rough surface.
Scattering at a rough surface affects both transmitted and reflected
light. For light entering a rough diamond surface from air, the roughness of
the surface causes phase differences in transmitted light. This introduces a
correction factor for power transmission which, following Filinski [17], may
be written as
618 Reinhard

Figure 4 Ideal transmission for a 75-␮m-thick freestanding, optically smooth di-


amond plate. (A) The regularly spaced peaks when plotted as a function of wave-
number; (B) the same information plotted as a function of wavelength.
Diamond Film Optics 619

Figure 5 Measured transmission for a 76.2-␮m-thick film with grain size in the
range of 2 to 8 ␮m. (From Ref. 16.)

冋冉 冊册
2
2␲␴ (nd ⫺ na
ST = exp ⫺ (12)

Here ␭ is the free-space light wavelength and ␴ is the root mean square
(rms) of the surface roughness, assuming a Gaussian distribution of surface
height about the mean and ␴ small compared with the wavelength [18].
Additional models have been developed for scattering of shorter wavelengths
from rough surfaces [19].
The rough surface also affects the interference term due to multiple
reflections within the diamond film. The internal power reflection at a rough
surface is multiplied by a correction term [17]

冋 冉 冊册
2
4␲␴ nd
SR = exp ⫺ (13)

Again, the reduction of intensity is caused by the phase fluctuations ap-
pearing in the reflected beam. Generally on CVD diamond films, the top
surface, or growth surface, is much rougher than the bottom surface. Con-
sidering only the top surface to be rough and assuming that the electric field
reflection coefficient is corrected by a factor (SR)1/2, then the expression for
T is modified to account for the rough surface to become TR, where [20]
(1 ⫺ r2ad)(1 ⫺ r2da) ST
TR = (14)
1 ⫹ SRr2adr2da ⫹ 2radrda兹SR cos(2␦)
Figure 6 shows the theoretical transmission for a 75-␮m-thick freestanding
plate with an rms surface roughness of 2 ␮m on the top surface. Comparing
Fig. 6 with Fig. 4, significant loss in transmission is observed in both the
620 Reinhard

Figure 6 Theoretical transmission for a 75-␮m-thick freestanding diamond film


that has an rms surface roughness of 2 ␮m on one side and is optically smooth on
the other side. Results may be compared with the theoretical transmission for a
smooth diamond film in Fig. 4 and the experimental results of Fig. 5.

experimental and theoretical cases as the wavelength decreases. For ␭ in the


visible portion of the spectrum, the transmission would be quite small in
both cases. Partly as a result of scattering, as-grown polycrystalline CVD
diamond samples often have both reflectance and transmittance values less
than 1%, far different from the high values associated with gem quality
single-crystal diamonds. The resulting optical appearance has caused more
than one diamond researcher to be faced with incredulity when presenting
CVD samples to the public for inspection.
The general conclusion to be drawn from the literature regarding op-
tical transmission through, and reflection from, polycrystalline diamond is
that both are often limited by the surface roughness of the growth surface
[10,21–24]. In the far infrared, where the wavelength is large compared
with surface roughness, the transmittance approximates that of a transparent
plate in air. At smaller wavelengths the transmittance drops off due to scat-
tering at the rough surface. The smoother the surface, the shorter the wave-
length at which appreciable transmittance can occur. Consequently, surface
roughness can be a major impediment for applications. Methods for reducing
Diamond Film Optics 621

this problem by growing smooth surfaces and by postprocessing are ad-


dressed in Secs. IV and V of this chapter.

B. Impurity-Induced Absorption in CVD Diamond


CVD diamond films often contain chemical impurities that decrease optical
transparency in portions of the optical spectrum. Some of these impurities
are also found in natural diamond and play optical roles similar to those in
single-crystal diamond. Examples of these are nitrogen (due, for example,
to air contamination in the CVD vacuum system or in the feed gases) and
boron (intentionally introduced as a p-type dopant). Nitrogen introduces
states in the energy gap reported variously to be between approximately 1.6
and 1.9 eV below the conduction band. These states cause absorption at
photon energies below the intrinsic energy gap of diamond, in the ultraviolet
and blue portion of the spectrum, such that a yellow coloration results. Boron
introduces states in the gap at approximately 0.4 eV above the valance band.
These states cause absorption that peaks at approximately 2.5 ␮m wave-
length but tails to approximately 0.5 ␮m in the visible, causing a blue
coloration [10]. However, CVD diamond often has additional impurities or
defects not commonly associated with natural single-crystal diamond. If the
CVD system contains metallic electrodes or filaments, metallic contaminants
in the film can result. Likewise, if the CVD system involves a discharge
contained within silica walls, both silicon and oxygen may be incorporated
in the film. Feed gases are also sources of impurities. For example, hydrogen
is commonly incorporated in feed gases, both directly and as a component
of the carbon-containing molecule. As a result, hydrogen is incorporated in
the growing film. When the CVD chemistry involves oxygen-containing
species, the CVD diamond film also contains oxygen. In addition to chem-
ical impurities, diamond films contain structural defects. In particular, de-
pending on growth conditions, non-sp3 carbon bonds may be present, cor-
responding to nondiamond carbon, giving rise to additional allowed energy
levels and correspondingly additional optical transitions. Other structural
defects include crystallographic defects (twins, stacking faults, and dislo-
cations) as well as grain boundary regions between crystallites.
A variety of analytical methods have been used to assess defect and
impurity concentrations in diamond films including nuclear magnetic reso-
nance (NMR) [25], x-ray photo-electron spectroscopy (XPS) and x-ray dif-
fraction [26], electron paramagnetic resonance (EPR) [27], photothermal de-
flection spectroscopy (PDS) [28], transmission electron spectroscopy (TEM)
[29,30], cathodoluminescence [31,32], Raman spectroscopy [33,34], Auger
electron spectroscopy, secondary ion mass spectrometry (SIMS), and elec-
tron energy loss spectroscopy (EELS) [35]. An example of an impurity anal-
622 Reinhard

ysis of CVD diamond by McNamara et al. [26] with regard to hydrogen,


oxygen and nitrogen is shown in Table 1. Considering the nitrogen content,
it is noted that amounts in this table range from 20 to 100 ppm, which,
following the discussion in Sec. II, corresponds to type I diamond. Addi-
tional information concerning the characterization of impurities and defects
in diamond films may be found in Chap. 3 of this book.
Various impurities cause absorption beyond that of the intrinsic optical
absorption of diamond by allowing either additional photon-induced elec-
tronic transitions or additional photon-induced lattice vibrations. In the case
of hydrogen it is principally the latter, such that the effect of carbon-hydro-
gen bonds in diamond is to cause additional absorption in the infra-red. This
added absorption is particularly pronounced in the CH stretch region (2750–
3050 cm⫺1 wavenumbers or approximately 3.5 ␮m wavelength); however,
additional absorption due to hydrogen incorporation is also observed in other
parts of the infrared spectrum. In the study reported by Harris [13], which
included diamond samples grown by various methods including hot-fila-
ment, microwave plasma, and dc arcjet CVD processes, hydrogen contents
ranged from 16 ppm to fractions of an atomic percent depending on the
growth method and gas feed composition. At the higher hydrogen concen-
trations, C — H stretching absorption bands dominated the infrared absorp-
tion spectra with a strong absorption peak near 3.5 ␮m. At the lower range
of hydrogen content in the film, the effect of hydrogen on absorption is
negligible.
Figure 7 illustrates infrared absorption spectra in hydrogen-contami-
nated polycrystalline diamond [11]. Not only is additional optical absorption

Table 1 Example of Impurity Content Analysis of Diamond


Films Deposited by Microwave Plasma-Assisted CVDa

Sample Hydrogen (%) Nitrogen (%) Oxygen (%)

A 0.085 0.0048 0.0091


B 0.180 0.0047 0.0075
C 0.027 0.0020 0.0053
D 0.045 0.0035 0.0157
E 0.021 0.0018 0.0034
F 0.043 0.0088 0.0832
G 0.020 0.0024 0.0132
H 0.015 0.0039 0.0032
J 0.092 0.0101 0.1970
a
Results are expressed in atomic percent.
Source: Ref. 26.
Diamond Film Optics 623

Figure 7 Absorption spectra showing the effect of hydrogen impurities in poly-


crystalline diamond. The impurities activate one-phonon absorption and also cause
absorption due to C — H bonds [11].

present due to C — Hx stretching modes, but also the presence of hydrogen


impurities activates one-phonon absorption, which is forbidden in pure di-
amond. Consequently, samples with strong C — Hx absorption also exhibit
strong one-phonon absorption. This single-phonon absorption is also illus-
trated in Fig. 7 in the form of absorption in the range 8–10 ␮m. Most
materials transparent in the region 8–10 ␮m are soft, making diamond an
attractive alternative for infrared applications. To the extent, therefore, that
hydrogen is incorporated into CVD diamond, this issue can be a perfor-
mance-limiting concern for CVD diamond. Based on the results shown in
Fig. 7, if the hydrogen content is reduced to less than 0.02% atomic, such
absorption is negligible even for thick films. For thinner films the effect on
percent transmission will, of course, be correspondingly less. However, ex-
perimental observation of infrared absorption due to hydrogen impurities
624 Reinhard

has also been reported on thin freestanding membranes [15,36]. For example,
Johnson and Weimer [15] removed the silicon substrate from diamond films
by etching to study the transmission of a 3-␮m-thick film and observed small
but detectable impurity absorption peaks at 2925–2830 cm⫺1 and 3325 cm⫺1,
which were attributed to C — Hx groups. Significantly larger peaks were ob-
served on a 13-␮m-thick film. Fortunately, if appropriate means are taken
in choosing deposition parameters, the hydrogen content of CVD diamond
can be reduced to a point at which the effect on absorption is negligible.
Unfortunately, this generally involves the use of relatively low methane con-
centrations, which results in a trade-off between optical quality and growth
rate.
The other elements in Table 1, oxygen and nitrogen, also play major
roles in the optical properties of CVD diamond. The effect of nitrogen with
regard to causing increased absorption in the near UV and visible portion
of the spectrum has been previously noted. EPR data suggest that most
nitrogen in CVD diamond is present as point-defect substitutional impurities
rather than aggregates [27], which in terms of traditional diamond classifi-
cation corresponds to type Ib rather than type Ia. In terms of oxygen, this
element is sometimes added to the gas flow directly as O2, or in the form
of CO or CO2, in order to reduce the graphitic content of the diamond film
and thereby improve optical transmission in the visible [37]. However, both
oxygen and nitrogen also cause increased absorption in the infrared. IR
spectroscopy has identified absorption due to a variety of oxygen- and ni-
trogen-containing groups, including O — CH3 and N — CH3 [26] which intro-
duce absorption in the 8–12 ␮m wavelength region. Therefore, adding ox-
ygen in order to improve visible transmission may be accompanied by a
trade-off in terms of decreased transmission in the far infrared. Also noted
is that even small leaks in CVD diamond systems can lead to observable
oxygen and nitrogen incorporation.
In addition to hydrogen, oxygen, and nitrogen, optical effects due to
other chemical impurities have been reported. Lithium, of interest as an n-
type dopant, has been reported to cause increased absorption in the vicinity
of 1.5 eV [28]. The incorporation of silicon has been reported to be asso-
ciated with absorption at 1.68 eV [31].

C. Defect-Induced Absorption in CVD Diamond


Structural defects other than chemical impurities are also strongly correlated
with optical properties of CVD diamond. Principal among these is the effect
of graphitic carbon or sp2 bonds. An early and instructive study in this regard
was reported by Collins et al. [31] in which absorption and cathodolumi-
nescence spectra of polycrystalline diamond were investigated for different
Diamond Film Optics 625

growth conditions. The diamond films were deposited on silicon using mi-
crowave plasma-assisted CVD systems and methane-hydrogen feed gas
mixtures with methane concentrations varying from 0.3 to 3.0%. In order to
avoid scattering effects on the optical absorption measurements, the rough
growth surface of the diamond was mechanically polished. The central re-
gion of the silicon wafer was then etched away, leaving a supported diamond
film that was smooth on both sides. In addition to the CVD films, isolated
CVD crystals were investigated by growing individual crystals about 100
␮m across on sparsely seeded substrates. These individual crystals were
detached from the substrate and mounted on optical sample holders.
As shown in Fig. 8, the results of Collins et al. [31] showed that
absorption in the films was a strong function of methane concentration and
of a type not attributable simply to C — H bonds. All samples exhibited an
almost monotonically increasing absorption with increasing photon energy.
The band gap absorption edge is clearly visible in Fig. 8 for the 0.3%
methane film; however, sub–band gap absorption is appreciable with ␣

Figure 8 Absorption spectra of CVD diamond films grown with varying methane
concentrations as noted, as well as the absorption spectrum of an isolated CVD
crystal. (From Ref. 31.)
626 Reinhard

reaching approximately 500 cm⫺1 prior to the onset of band-to-band gen-


eration. The color of this film was described as pale yellow, consistent with
appreciable absorption in the higher energy portion of the visible. For the
higher methane concentrations, the film samples were too strongly absorbing
to extend the measurements into the ultraviolet region. The 1.5% methane
film shows an absorption coefficient of over 2000 cm⫺1 in the blue portion
of visible spectrum and exhibited a dark brown color to the eye. Based on
Raman analysis, evidence suggested that the absorption evident in Fig. 8
was due to nondiamond carbon in the form of sp2 bonds.
The importance of nondiamond carbon bonds in causing appreciable
sub–band gap absorption was further confirmed and quantified in later stud-
ies. In an investigation by Rosa et al. [38] of microwave plasma-assisted
CVD diamond, a set of diamond films were deposited on silicon under
variable growth conditions such that films ranged from white polycrystalline
films to brown nanocrystalline films. The value of ␣ at 2.41 eV ranged from
a low of 3 cm⫺1 for the least absorbing films to as high as 500 cm⫺1. Films
were also characterized by a Raman quality factor defined as
ID
␤= (15)
ID ⫹ IN
where ID and IN are the integrated intensities of the diamond and nondiamond
Raman signals, respectively. From ␤, a volume fraction of the nondiamond
component was calculated and correlated with the absorption coefficient at
2.41 eV with an approximately one-to-one correlation.
When the absorption coefficient is nonnegligible, the expression for
transmission is modified as shown in Eq. (16), which accounts for both
scattering due to a rough surface and absorption within the film to arrive at
a transmission, TRA, given by [22,39]
(1 ⫺ r2ad)(1 ⫺ r2da)exp(⫺␣t)
TRA = (16)
1 ⫹ S r r exp(⫺2␣t) ⫹ 2radrda兹SR exp(⫺␣t)cos(2␦)
2 2
R ad da

The importance of absorption clearly depends on the diamond thickness. For


an absorption coefficient of 500 cm⫺1 and a 1-␮m-thick film, the transmis-
sion loss resulting from absorption is approximately 5%, which may be
negligibly small for many applications. However, for a 500-␮m-thick free-
standing diamond sample, the transmission loss would be 99.3%. Because
the optical properties of CVD diamond samples may depend on both wave-
length-dependent scattering and various wavelength-dependent absorption
mechanisms, separation of optical constants is nontrivial. The procedure in-
volves best-fit solutions to the optical equations using floating values of the
free parameters [22,39–41].
Diamond Film Optics 627

IV. FREESTANDING DIAMOND AND DIAMOND


DIAPHRAGMS
A. Application and Growth Considerations
One class of optical applications of diamond involves the use of either free-
standing diamond or diamond diaphragms as optical elements. This section
discusses a variety of application-related issues associated with such struc-
tures. Various applications for diamond windows have been reviewed by
Seal and van Enckevort [1], most of which involve operation in difficult
environments. Diamond windows have been used in spectroscopic instru-
mentation for various spacecraft including the Nimbus and aforementioned
Pioneer series as well as the Galileo Jupiter probe. On earth, diamond win-
dows are used in the chemical industry for spectroscopic quality control of
various caustic, high-temperature processes and also in laboratories.
Because of diamond’s resistance to scratching and ease of cleaning,
diamond components are used in instrumentation by the food industry for
contact analysis by optical means. In the field of medicine, diamond optical
elements have found use as miniature endoscope windows. The transmissive
properties of diamond have also been employed for medical use in diamond
blades (which have superb cutting edges) in that laser energy can be piped
to the cutting edge, where it is used to cauterize blood vessels. In some
cases, laser energy at the blade edge also seems to facilitate the cutting of
certain tissues. Freestanding diamond has also been used for high power
light transmission windows [42,43]. The most common optical material, sil-
ica-based glass, is not well suited for high-power windows because its ther-
mal conductivity is so low, typically on the order of 0.01 W/cm deg. The
thermal conductivity of diamond is over a thousand times higher and the
optical absorption coefficient of diamond can be as low as or lower than
that of glass. Consequently, the optical power transmission of diamond is
considered to be at least 1000 times higher than that of glass. This is relevant
to the designers of high-power lasers, where limits on power are set by the
damage limits to laser optics rather than limitations of the laser medium or
pumping mechanisms. It is also relevant to high-power gyrotrons in the mm
wave portion of the spectrum.
A major limitation to optical diamond applications has historically
been size limitation imposed by cost. Whereas the cost of a 0.5-mm-diameter
window of single-crystal diamond is measured in tens of dollars, increasing
the diameter by an order of magnitude to 5 mm causes the price to be
measured in thousands of dollars. To increase the diameter another order of
magnitude to 50 mm is essentially to leave the realm of availability of single-
crystal diamond. It is for this reason that CVD capabilities offer the potential
to greatly increase the applicability of diamond to optics. In fact, by the
628 Reinhard

Figure 9 Measured transmission for a polished, 350-␮m-thick film (solid line) and
a 275-␮m-thick type IIa crystal (dashed line). The data are smoothed so as not to
show the interference oscillations. Evident in both cases are the intrinsic multiphonon
absorption in the infrared between 4 and 7 ␮m and the onset of band gap absorption
in the UV [13].

early 1990s, the ability to grow freestanding diamond of significant size


with transmission characteristics throughout the entire optical range com-
parable to intrinsic diamond had been demonstrated. Figure 9 shows trans-
mission results for a 350-␮m-thick CVD diamond sample, fabricated at Ray-
theon in 1992 [13]. Data are plotted in direct comparison with transmission
results for a 275-␮m-thick type IIa single crystal, and essentially identical
properties are observed.* Evident in both samples is the onset of band gap
absorption at 0.23 mm and the multiphonon absorption inherent to the dia-
mond lattice in the infrared. Absent are any significant effects due to defects
and impurities as discussed in Sec. III of this chapter. Within 2 years, such

*The data in Fig. 9 are smoothed so that interference effects are not seen. Also, the CVD
sample was polished to optical smoothness after growth.
Diamond Film Optics 629

visually transparent samples were available from the same company at 60


mm diameter [44] using microwave plasma-assisted CVD. Gray et al.
[45,46] at Norton Co. reported 100-mm diameter CVD ‘‘white diamond’’
wafers, 1 mm thick, with infrared transmission and Raman characteristics
comparable to those of type IIa diamond in approximately the same time
frame, 1992.
In fact a variety of CVD methods have been used to deposit freestand-
ing or supported diaphragm diamond for optical applications or investiga-
tions, including hot filament [47], arc plasma jet [45,46,49], and microwave
plasmas [16,50–52]. Regardless of deposition method, an important practi-
cal consideration for freestanding diamond elements is that of the available
deposition rate. Unsupported diamond layers intended for optical applica-
tions must be of sufficient thickness to provide necessary robustness. Gen-
erally such films are hundreds to several hundreds of micrometers thick.
High deposition rates are therefore desired for reasonable production times.
However, high deposition rates do not necessarily yield the best quality
diamond. In the previously mentioned U.S. Navy–funded study [13], it was
found for all production methods used in the investigation (hot filament,
arcjet, and microwave) that maximum growth rates were limited to 1 to 5
␮m/hr for optical quality diamond. Higher growth rates were obtainable by
increasing the methane concentration, but this approach to high deposition
rates yielded lower optical quality. Therefore, one challenge for freestanding
CVD optical diamond is to achieve high deposition rates while maintaining
little or no sp2-bonded carbon and a low concentration of C — H bonds,
thereby maintaining the low absorption coefficient necessary for high trans-
missivity in thick films. Issues specifically related to increasing growth rates
are addressed in other chapters in this book that are related to deposition
methodologies.

B. Diamond Surface Considerations


Another important consideration for applications is the diamond surface con-
dition. As previously noted, the rough growth surface of a polycrystalline
diamond film presents an important performance limitation due to optical
scattering. Generally, the surface roughness of diamond films increases as
the thickness increases. In the course of film growth, growth competition
between the individual crystallites results in more and more crystallites being
buried and a decreasing number of surviving crystallites at the surface with
the size of the crystallites increasing as growth continues. Consequently the
growth surface of an as-grown CVD diamond sample with a thickness of
500 ␮m may show well-faceted crystallites with average sizes of 50 ␮m
and larger [45]. Such a surface can easily have an average surface roughness
630 Reinhard

Ra value of several micrometers. For such samples, except for the far infra-
red, optical transmission losses due to scattering are appreciable and it is
necessary to polish the diamond surface in order to achieve good transmis-
sion in the visible and ultraviolet.
Because diamond is the hardest known material, polishing a rough
diamond surface is a nontrivial task. However, a variety of methods have
been developed. Drawing from experience in the gem industry, a traditional
method is to rub the rough diamond surface against a cast iron surface. One
version of this approach is to rotate an iron wheel (called a scaife) at high
speed (2000 rpm) and press the diamond against the rotating surface.* Sig-
nificant heat is developed by the friction between it and the diamond. An-
other approach is to heat the iron to approximately 350⬚C and use slow
rotation [50]. The polishing in either case is believed to be due to a chemical
reaction between the diamond carbon and the iron to form iron carbide,
which is subsequently oxidized in air, due to the high temperature, and is
easily removed by mechanical polishing. This method can take several
weeks to achieve an optically smooth surface. If polishing is too aggressive,
the diamond piece can be shattered. Alternatively, the surface can be pol-
ished with high-speed lapping using diamond grit as the abrasive [53].
Surface smoothing and diamond removal have also been achieved by
using diffusional reactions with hot metal plates. In this approach, the dia-
mond workpiece is placed in static contact with iron, manganese, cerium,
lanthanum, or La-Ni eutectics at 600–900⬚C in an argon atmosphere, under
which conditions diamond dissolves in the metal [54–58]. For example, as
reported by Jin et al. [55], a freestanding CVD diamond piece (1 cm ⫻ 0.5
cm ⫻ 250 ␮m thick) was sandwiched between metal sheets with compres-
sive stress of 3000 psi for iron and 200 psi for manganese for 48 hr at
920⬚C. The rough growth facets were reported to be mostly removed and
the diamond thickness was reduced by approximately 50 ␮m. Using rare
earth metals, removal rates were more than five times higher because of the
large liquid solubility of carbon at high temperatures.
Noncontact methods including ion beams, plasmas and laser treatment
have also been investigated for improving the diamond surface [59–64].
This approach can be facilitated by first applying a sacrificial planarizing
layer to the diamond surface and then using a material removal process that
removes the diamond and planarizing layer at comparable rates. For exam-
ple, Bovard et al. [62] have described the use of photoresist/titanium-silica
as a planarizing layer followed by reactive ion beam etching with 500 eV

*Iron is the most common scaife material but not the only possibility. Nickel, for example,
can also be used.
Diamond Film Optics 631

oxygen ions to achieve optical quality smoothness. Starting with a 5-cm-


diameter CVD sample with a 1-␮m peak-to-valley surface roughness, the
reactive ion beam polishing procedure reduced the peak-to-valley distance
to 55 nm and resulted in an rms surface roughness of 5.5 nm [62].
In some cases freestanding diamond is grown to a thickness greater
than that needed for the final product so that postgrowth diamond removal
can be used to correct for nonuniform thickness or sample bowing. Non-
contact methods, such as electron-cyclotron-resonance (ECR) oxygen plas-
mas, have been shown to be much faster than conventional scaife or lapping
methods for bulk removal of diamond from workpieces [65]. For example,
Chakraborty et al. [66] have reported the use of ECR plasmas to etch 10-
cm-diameter CVD freestanding diamond, 1 mm thick, with O2/SF6/Ar plas-
mas. Diamond removal rates of 7 ␮m/hr with ⫾10% uniformity across the
substrates were reported. The etch mechanism in such cases is primarily
highly anisotropic ion-assisted reactive etching by diamond. To first order,
the etch rate increases linearly with increasing ion energy. Therefore, high
etch rates are facilitated by substrate bias. The role of SF6 is to avoid the
formation of a residual black film on the diamond surface [66,67]. Such
etching erodes the rough crystal facets, but it does not produce an optically
smooth finish, which requires further processing.
Although it is possible to postprocess CVD diamond surfaces to op-
tically smooth surfaces by various polishing means, it is clearly of advantage
to grow the films with smooth surfaces such that the need for polishing is
greatly reduced or eliminated. One approach, described by Wild et al.
[51,68,69], is to grow textured diamond films on silicon substrates with a
preferred orientation of directional growth such that a relatively flat growth
surface is covered with {100} faces results. After growing a thick diamond
film, the silicon substrate is removed, leaving a freestanding diamond sam-
ple. By this growth method, 150-␮m-thick films were deposited with surface
roughness values of 150 nm. This Ra value is still an order of magnitude
higher than that needed for lossless transmission throughout the entire visible
spectrum, but it is substantially less than for polycrystalline films grown by
conventional means. Such orientation is achieved by adjusting growth chem-
istry such that the ratio of growth rates on the {100} and {111} faces is
slightly below 兹3. In this case, cubo-octahedral nuclei with small {100}
faces develop. The direction of fastest growth is perpendicular to these faces,
and as the film grows thicker the sizes of these {100} faces increases.
Consequently, growth techniques that result in preferred growth ori-
entations are useful for optical applications. Table 2 summarizes a specific
method as described by Maeda et al. [70] for growing diamond that is tex-
tured in the 具100典 direction and results in highly oriented {100} faces on
silicon substrates in a microwave plasma. This approach uses a three-step
632 Reinhard

Table 2 Three-Step Microwave Plasma CVD Conditions for Growing Highly


Oriented {100} Diamond Films on Silicon

Parameters Carburization Bias treatment Growth

CH4 (sccm) 2 2 0.5–2


H2 (sccm) 98 98 98–100
Bias voltage (V) 0 ⫺150 0
Treatment time (min) 15–120 1–15 >120
Microwave power (W) 570 830 460–670
Substrate temperature (⬚C) 830 830 800–900
Pressure (kPa) 2.65 2.65 4

Source: Ref. 70.

growth sequence in which the first step carburizes the surface, the second
step adds an electrical bias to the substrate which creates a textured growth
surface, and the third step involves the growth of the bulk of the film. Film
texture has also been correlated with hydrogen incorporation and therefore
with infrared absorption. Haq et al. [71] investigated infrared absorption for
various film textures and observed that the lowest C — H absorption was
associated with 具110典-oriented films, followed by 具100典 and then 具111典. Sin-
gle-phonon absorption was also found to be highest in the case of 具111典
textured films and lowest for 具110典 and 具100典 with no significant difference
between the latter two.
An alternative approach used to achieve smooth surfaces that works
for relative thin films is to deposit very fine grain films [69,72,73]. If the
nucleation density is very high, on the order of 1010 cm⫺2 or higher, and the
film thickness is kept sufficiently small that large grains do not have a chance
to form, then quite small surface roughness values can be achieved. Yang
et al. [74] reported nucleation densities as high as 1011 cm⫺2 and a mean
surface roughness of 30 nm for 1-␮m-thick films. The role of fine grain
films is discussed further in this chapter in the context of substrate coatings
in Sec. V.B.

C. Mechanical Considerations for Plates


and Diaphragms
For several optical applications of freestanding diamond, mechanical
strength is an important figure of merit as well as optical transparency.
Whereas it is possible to make freestanding diamond pieces of significant
size that essentially have the optical transmission of intrinsic diamond, the
Diamond Film Optics 633

same cannot be said of mechanical strength. The mechanical strength of


optical quality 0.5- to 1-mm-thick CVD diamond has been reported to be
significantly less than that of natural diamond [53]. Optical quality diamond
has been reported to grow in a highly stressed state that can lead to mac-
roscopic and microscopic cracks [13].* It has been suggested that the in-
corporation of voids and defects arises as a result of random in-plane grain
orientations coupled with the overgrowth of twin boundaries and that this is
relatively independent of reactor design [75]. Such cracks and defects play
a critical role in mechanical strength because the strength of polycrystalline
CVD diamond is governed by flaws.
One way to measure mechanical strength is by means of the ring-on-
ring test, in which one side of a diamond plate is supported on a metal ring
and the other side is contacted by a metallic load ring of smaller radius. The
load magnitude is gradually increased until the point of fracture. In one study
of ring-on-ring load testing of CVD diamond plates produced by a variety
of deposition methods, measured strengths were reported to be an order of
magnitude less than would have been expected for single-crystal diamond
[13]. The fracture origins in the CVD diamond plates corresponded to rel-
atively large flaws, on the order of 100–300 ␮m in size for 800-␮m-thick
disks. In a study by Valentine et al. [76], the bursting strength of diamond
plates with thicknesses in the range of 179–319 ␮m and diameters in the
range of 14–25 mm were measured by applying gas pressure to diamond
disks supported at the disk periphery. In this case, the CVD diamond strength
was reported to be about half that of natural diamond. Fine polishing of the
surface seems to have no effect on strength [13].
For some optically related applications, it is possible to consider the
use of diamond membranes, or diaphragms. For these structures the me-
chanical strength of CVD diamond does not necessarily differ significantly
from that of single-crystal diamond, perhaps because the thinner films cannot
have flaws as large as those found in the thicker structures [53]. Diamond
diaphragms subject to loads can, however, show considerable deflections,
resulting in distorted optical surfaces. The load deflection behavior of point-
loaded CVD diamond thin-film diaphragms was investigated by Glime et al.
[77]; circular diaphragms consisting of diamond film 1.5 ␮m thick and 2–
4 mm in diameter adhering to a silicon rim were prepared by mechanically
grinding and chemically etching the single-crystal substrate. A nanoidentor
was used to provide load versus deflection data. The maximum deflections

*It should be noted that natural diamonds are also occasionally found that are in highly stressed
states. There are reports of large stones of considerable potential value spontaneously shat-
tering when brought to the surface of the mine because of temperature changes.
634 Reinhard

were observed to be up to five times the film thickness before failure. When
the central deflection of a plate exceeds about one half the plate thickness,
classical plate theory relating load and deflection to the mechanical material
properties is no longer valid and nonlinear models must be used [78]. The
relationship between applied central point load, P, deflection at the center
of the diaphragm, w, and diamond thickness, t, is reported to be of the form

冋 冉 冊册
3
4␲Et4 w w
P= ⫹A (17)
3(1 ⫺ ␯2)r2 t t

where r is the radius, E is Young’s modulus, ␯ is Poisson’s ratio, and A is


a constant equal to about 0.43 for a point-loaded circular plate loaded in the
nonlinear region and diamond diaphragm deflection data agrees well with
this equation [77,79].
Diamond diaphragm structures often contain internal stresses. Berry et
al. [80] have used vibrating membrane methods to measure internal stresses
in CVD diamond deposited on silicon using microwave plasma deposition.
Central regions of the substrates were back etched to leave the diamond film
as a taut membrane, with net tensile stress, supported circularly at the edges.
Film stresses ranging from 9.4 to 139 MPa were measured, depending on
growth temperature. Flowers et al. [81] found the burst pressure for diamond
diaphragms to increase linearly as a function of a parameter R, where R is
the square root of the film thickness divided by the diaphragm diameter. In
some cases, compressive internal stresses in diamond diaphragms have re-
sulted in film buckling, causing wrinkling and nonplanar surfaces [77]. The
issue of internal stresses in diamond films is discussed farther in the context
of film coatings in Sec. V.C.
Mechanical strength is also of interest for shapes more complex than
simple plates. Of particular interest for certain airborne applications has been
the fabrication of freestanding CVD diamond domes such as could be used
to protect nose cone infrared sensing instrumentation where aerodynamic
considerations are crucial [53,82]. Near net shape domes have been reported
by depositing on nonplanar growth surfaces. Potential applications of such
window structures involve speeds as high as mach 4.* Consequently, sig-
nificant differential pressures and complex strain patterns must be considered
[83].
Another mechanical strength–related figure of merit particularly rele-
vant for airborne applications is the erosion resistance to liquid impact. In

*The limit on speed is imposed by temperature considerations. At mach 6, stagnation tem-


peratures are such that diamond may convert to graphite.
Diamond Film Optics 635

a study by Jilbert et al. [84], the rain erosion resistance of diamond was
investigated by using high-velocity jets, 0.8 mm in diameter, designed to
simulate the effects of spherical raindrop impact. Using 1-mm-thick samples,
the threshold velocity for catastrophic failure was evaluated for both type
IIa natural single crystals and CVD diamond. For the type IIa samples, the
threshold velocity for catastrophic failure was 515 m/sec and for CVD di-
amond the threshold velocity for one sample was 325 m/sec and for another
was 375 m/sec. For sand erosion, the CVD diamond was again found to be
considerably weaker than its natural counterpart, as illustrated in Fig. 10.
Although CVD diamond is inferior to single-crystal diamond in regard
to mechanical strength, it should be noted that competing infrared-transmit-
ting windows all suffer to some degree from resistance to erosion and other
issues related to mechanical strength. A bursting strength that is half that of
single-crystal diamond is still approximately an order of magnitude higher
than those of other materials transparent at an IR wavelength of 10.6 ␮m,
such as GaAs, Ge, and ZnS [76]. Also, figures of merit for a given appli-
cation generally involve multiple material parameters that can further favor
diamond. For example, CVD diamonds offer a useful combination of optical
transmission and resistance to erosion and thermal shock. Harris [53] noted
that even with a relatively low mechanical strength, the extremely high ther-

Figure 10 The exposure time required to form cracks in natural and CVD dia-
mond upon exposure to sand erosion with a flux of 10.5 kg m⫺2 sec⫺1. (From Ref.
84.)
636 Reinhard

mal conductivity of CVD diamond coupled with its low thermal expansion
provides a thermal shock resistance that is two orders of magnitude greater
than that of other optical window materials.
Many other aspects of CVD diamond windows compare well with
single-crystal diamond. It is noted that the hardness and modulus of CVD
diamond are found equivalent to those of type IIa natural diamond [53].
Also, high optical quality and high thermal conductivity go hand in hand
for CVD diamond. In fact, optical absorption measurements have been pro-
posed as an inexpensive technique for estimating the thermal conductivity
of CVD diamond [85]. Optical quality CVD diamond thermal conductivity
is reported to have room temperature conductivities of approximately 2000
W/m deg. Combined with low absorption over most of the optical spectrum
and a low thermal expansion coefficient, 1 ppm/K at room temperature, the
same as for type IIa diamond, CVD diamond is capable of providing the
attributes required for high-power-transmitting windows.

D. Effects of Oxidizing Environments


Finally, whether diamond is in the form of single-crystal or CVD polycrys-
talline, unprotected diamond is not suitable for use at high temperatures in
oxidizing environments. Diamond maintains its unique transmissivity at high
temperatures in nonoxidizing atmospheres and the refractive index has been
measured up to at least 1200⬚C [86]. However, it is oxidized in air at tem-
peratures above approximately 700⬚C. The resilience of polycrystalline CVD
diamond varies considerably with film quality. Bachmann et al. [87] noted
that some samples survive 20 min in oxygen at 700⬚C while others disappear
completely within only 5 min [87]. Raman and SEM analysis indicated that
defective diamond and nondiamond carbon composites are preferentially
etched. Nitrogen-doped films and films grown in deposition systems with
air leaks showed poor resistance to oxygen etching because nitrogen induces
the decoration of diamond grains with highly defective or nondiamond
carbon.
The operating temperature range of diamond in oxidizing environments
can be increased by applying protective coatings. An added advantage of
such coatings would be to act as an antireflection layer. Moran et al. [88]
have considered a variety of materials for high-temperature coatings includ-
ing selected oxides, hydrides, carbides, fluorides, and nitrides of yttrium,
hafnium, cerium, aluminum, silicon, and boron. In nonoxidizing environ-
ments, an upper limit for diamond applications would be 1600⬚C, at which
diamond transforms to graphite, which is the stable phase of carbon at at-
mospheric pressure [13].
Diamond Film Optics 637

V. DIAMOND-COATED OPTICAL SUBSTRATES


A. Optical Considerations
The attractive surface properties of diamond—extreme hardness and chem-
ical resistance—can be transferred to optical substrates that lack these fea-
tures by means of thin-film diamond coatings. This section considers the
optical properties of a system consisting of a diamond film on a transparent
substrate. Consider, for example, a diamond film of thickness t on a glass
substrate as shown in Fig. 11. For the ideal case of zero absorption in the
diamond and of smooth surfaces such that there is no scattering, the trans-
mission is limited only by specular transmission at the interfaces. Consid-
ering light again in the form of a TEM electromagnetic wave incident on
the diamond, the light in the glass substrate is determined by a modification
of Eq. (8) as
(1 ⫺ r2ad)(1 ⫺ r2dg)
TG = (18)
1 ⫹ radrdg ⫹ 2radrdg cos(2␦)
2 2

where the coefficients rad and ␦ are given in Eqs. (9) and (11) and
nd ⫺ n g
rdg = (19)
nd ⫹ n g
Equation (18) does not account for reflection at the glass-air interface or for
multiple reflections in the glass. The observed transmission for the total
structure, defined as TT, is related to TG as

Figure 11 Considerations for the ideal transmission of a diamond film on glass.


638 Reinhard

TT = TG(1 ⫺ rga
2
)[1 ⫹ r2ga(1 ⫺ TG)] ⫹ higher orders (20)
where
ng ⫺ n a
rga = (21)
ng ⫹ n a
The higher order terms typically contribute on the order of 0.1% and may
be neglected for most purposes. Equation (20) is based on the assumption
that the light reflected within the glass substrate is not sufficiently mono-
chromatic to produce interference effects over the width of the glass sub-
strate. Consider, for example, the case where the glass is 1 mm thick and
the incident light is from a monochromator with a bandwidth of 10 nm. For
these conditions the wavelength separation of maximum in transmission due
to multiple reflections within the glass is much smaller than the bandwidth
of the monochromator—the light behaves incoherently as far as multiple
reflections in the glass are concerned.
Figure 12 shows the ideal transmission resulting from a 1-␮m-thick
diamond film on glass using Eq. (20) and refractive index values of 1.54
and unity for glass and air, respectively, where Eq. (3) is used to generate
refractive index values for diamond. Note that the glass, whose refractive

Figure 12 Calculated transmission for a diamond film on glass with zero scattering
and zero absorption.
Diamond Film Optics 639

index is between those of diamond and air, acts as an antireflection layer,


increasing the average power transmission. For a diamond plate with air
interfaces on both sides, the average power transmission is given by the
average of Eq. (8), which is approximately 67% for a diamond refractive
index of 2.4. For a diamond-glass combination with air interfaces on top
and bottom, the average power transmission is given by the average of Eq.
(20), which is approximately 75%. For semiconductor infrared detector sub-
strates, a diamond overlayer acts as an antireflection coating. For example,
for a semiconductor with a refractive index of 3.6, a diamond coating would
increase the fraction of light entering the semiconductor from 68 to 79%.
As has been previously noted, a rough top surface will cause scattering
losses due to phase differences in both transmitted light and internally re-
flected light. Following the treatment of Sec. III.A, the light entering the
glass substrate under conditions of a rough diamond surface, defined here
as TGR, is given by a modification of Eq. (14) such that
(1 ⫺ r2ad)(1 ⫺ r2dg)ST
TGR = (22)
1 ⫹ SRr2adr2dg ⫹ 2radrdg兹SR cos(2␦)
and the expression for transmission through the entire structure, accounting
for reflection loss at the glass-air interface, defined here as TTR is given by
TTR = TGR(1 ⫺ r2ga)(1 ⫹ r2ga(1 ⫺ TGR)) ⫹ higher orders (23)
Figure 13 illustrates the calculated transmission using Eq. (23) for rms
surface roughness of 40, 25, and 10 nm throughout the same visible/near-
infrared spectral region used to illustrate the ideal (no scatter) case of Fig.
12. For the case of 40-nm surface roughness, the effect on scattering in the
visible range is nonnegligible, with the transmission value dropping to less
than 40% in the blue portion of the spectrum. However, for the case of 10-
nm surface roughness the transmission compares very closely to the results
of Fig. 12 for the ideal film without scatter.

B. Diamond-Coated Substrate Examples


One approach to applying diamond to an optical substrate is to form the
two materials separately and then bond them together. For example, Partlow
et al. [89] have described an ‘‘optical brazing’’ process that results in the
thermal bonding of diamond to infrared-transmitting windows of ZnSe and
ZnS. In this method, diamond is deposited on silicon by microwave plasma-
assisted CVD. A chalcogenide bonding glass, whose refractive index is
closely matched to diamond, is used as an interlayer between the diamond
and, for example, ZnSe. A sandwich is made of the II-VI compound, the
chalcogenide, and the diamond-coated substrate, with the diamond facing
640 Reinhard

Figure 13 Calculated transmission for a diamond film on glass for rms surface
roughness values of 10, 20, and 40 nm.

the chalcogenide. The sandwich is then warm pressed, during which most
of the chalcogenide is squeezed out, leaving a thin film that bonds the rough
diamond surface to the ZnSe. Finally, the silicon is etched away, leaving the
smooth side of the diamond film exposed and the rough side of the diamond
film immersed in the bonding glass. As another example, Fuentes et al. [90]
have described a method to bond diamond films anodically to glass sub-
strates. Diamond was found not to bond anodically directly to glass rings,
so an interfacial layer of SiC, 1000 Å thick, was sputtered on the surface
of diamond films, which had been previously deposited on silicon substrates.
The Si-diamond substrate was then placed with the diamond against glass,
and a combination of heat and electrical bias was used to accomplish the
bonding. Subsequently, the silicon was removed by etching, leaving diamond
membranes attached to glass rings. Also, a method for attaching diamond
to infrared-transmitting glass using a commercial optical glue has been re-
ported by Ran et al. [91]. The majority of studies of diamond-coated optical
substrates, however, have involved deposition of the diamond onto the sub-
strate in a CVD growth chamber. The rest of this section is concerned with
examples that use this direct growth approach.
CVD methods have been used to deposit diamond films on a variety
of substrates of optical interest including quartz [92,93], fused silica [94–
Diamond Film Optics 641

96] sapphire [93,96,97], soda-lime glass and lead glass [98], borosilicate
glasses [99–101], germanium [96], MgO [98], and ZnS [97]. Silicon, one
of the most common substrates used for CVD diamond deposition, is also
of interest for certain optical applications including detectors.
For some optical substrates, CVD of diamond is problematic because
of (1) low temperature requirements, (2) coefficient of thermal expansion
(CTE) mismatches with diamond, or (3) the substrate being damaged by the
deposition plasma. All three of these concerns are present, for example, with
ZnS and ZnSe. These materials would be severely etched by the atomic
hydrogen present in typical diamond deposition plasmas. Also, chemical
considerations require that the substrate temperature during deposition be
less than 650⬚C. Finally, the CTE of these substrates is several times higher
than that of diamond. In spite of these concerns, successful diamond depo-
sition on these substrates can be accomplished by first applying a protective
interlayer, as described by Costello et al. [97] which protects the substrate
from the deposition plasma [102]. In contrast, silicon exhibits none of these
concerns to a serious degree. A silicon substrate is compatible with direct
contact with deposition plasmas, temperatures over 1000⬚C can be tolerated,
and although the CTE of silicon is not identical to that of diamond, the
differences are such that good adhesion can be obtained over a wide range
of deposition conditions. Most optical substrates fall somewhere between
the extremes of ZnS and Si, with one or more of the preceding three con-
cerns being of issue.
An optical substrate of considerable interest for diamond coating is
silicate-based glass. Such glass, arguably the most common optical material,
lacks the resistance to erosion and abrasion exhibited by diamond. Conse-
quently, direct CVD of diamond on common commercial glass is of interest
for enhancing resilience to hostile environments. Issues associated with such
deposition depend on the glass type. Table 3 shows the composition of
several common glasses, including soda-lime, borosilicate, and lead glass,

Table 3 Comparison of Commercial Silicate Glasses Showing Composition by Weight


%, Strain Temperature Ts, and Room-Temperature Coefficient of Thermal Expansion

CTE
Glass type SiO2 B2O3 Al2O3 CaO MgO PbO Na2O K2O Ts (⬚C) (10⫺7/⬚C)

Fused silica 99.9 956 5.5


Soda lime 72.6 0.8 1.7 4.6 3.6 15.2 473 92
Borosilicate 81.0 13.0 2.0 4.0 510 33
Alkali lead 77.0 1.0 8.0 9.0 5.0 390 93

Source: Refs. 103 and 104.


642 Reinhard

as well as the CTE in each case* [103,104]. Soda-lime glass is commonly


used for windows, containers, and lamps; borosilicate glass is used for cook-
ware, labware, and headlamps; and alkali lead glass is used for lamp tubing
and sealing applications. Of principal interest is the CTE, which ranges from
a value somewhat below that of diamond for the case of fused silica to
values an order of magnitude higher than diamond for the case of soda-lime
and lead glasses. Also varying greatly with glass composition is the effect
of temperature on the mechanical properties, with the glass changing grad-
ually from an elastic solid at room temperature to a viscous liquid at high
temperatures. A temperature of relevance to deposition applications is the
strain temperature, which corresponds to a viscosity of 1014.5 poise. Gener-
ally speaking, internal strain cannot be introduced into the glass at temper-
atures below this value. In order to minimize internal strain in the diamond
film on the cool-down after growth, the deposition temperature should be
less than the strain temperature of the glass. Considering the compositions
in Table 3, the strain temperatures vary from 956⬚C for fused silica to less
than 500⬚C for the soda-lime and lead glasses.
In any case, for silicate-based glasses the principal matrix seen by the
diamond film is that of SiO2. The interface between CVD diamond films
and SiO2 and between CVD diamond films and Si has been studied by
Pickrell et al. [95] by analyzing the interface side of flakes of diamond film
removed from the substrate. In the case of conventional silicon substrates,
several groups have reported that an essentially continuous SiC layer forms
during the initial growth [95,105,106]. However, in the case of SiO2 sub-
strates, silicon carbide was observed only in discrete, particulate-like areas.
Both Si and SiO2 will react with C to form SiC at elevated temperatures.
However, in the reaction of C with SiO2 a gas, CO, is evolved. The silicon
carbide can also react with the SiO2 substrate to form more gases, as [95]
2SiO2 ⫹ SiC = 3SiO ⫹ CO (24)
where both products are gases. The extent of reaction between arriving car-
bon and silica to form silicon carbide will be limited by the diffusion of
gaseous species away from the interface, a process that will slow down as
the diamond film grows, eventually reaching a local equilibrium. As sug-
gested by Pickrell et al. [95], the crystals of silicon carbide can act as nu-
cleation sites for diamond. However, gas evolution may impede the growth
of diamond parallel to the substrate, which produces a noncontinuous, par-

*In terms of commercial codes, the glasses in Table 3 correspond to the following Corning
numbers: fused silica (Corning 7940), soda lime (Corning 0080), borosilicate (Corning 7740,
also called ‘‘娃Pyrex’’), alkali lead (Corning 0010).
Diamond Film Optics 643

ticulate type of intermediate SiC layer at the interface. Other potential nu-
cleation sites on silica surfaces include residual diamond crystals from seed-
ing procedures, surface scratches, and possibly a ternary Si-O-C phase [107].
In spite of the evidence for a patchy SiC interface, block-on-ring tribotests
have indicated that diamond films adhere well to fused silica substrates [94].
Diamond deposition on fused silica can be accomplished using the
normal range of CVD diamond deposition temperatures, typically between
approximately 700 and 900⬚C. However, for the more common glasses, it
has been necessary to develop lower temperature deposition systems. In the
case of microwave plasma-assisted CVD, for example, lower substrate tem-
peratures have been accomplished by a variety of methods including
[92,94,99,100,108–118]: decreasing the microwave input power and low-
ering the pressure such that the size of the plasma increases, thereby de-
creasing the plasma power density; cooled substrates; pulsed power systems;
and magnetoactive plasmas, including electron-cyclotron-resonance (ECR)
plasmas. Although most low-temperature deposition reports have involved
microwave plasma CVD systems, other deposition systems have been
adapted to low-temperature deposition, including hot-filament systems [119],
combination ECR/filament systems [120], and rf plasma systems [121].
Input gas mixtures that have been optimized for high-temperature dep-
osition are not generally optimum for low-temperature deposition. In many,
but not all, of these cited cases, oxygen-containing gases were added to
hydrogen-methane mixtures, including CO, CO2, and O2. In some cases, H2
has been eliminated from the input gases [114], and in other cases alternate
sources of carbon, including fullerenes, have been investigated [122]. A hin-
drance to low-temperature deposition is the fact that abstraction of hydrogen
from growth sites is a temperature-activated process, generally involving
hydrogen atoms. As a result, growth rates at low temperatures exhibit an
experimental activation energy of approximately 0.6 eV [99], consistent with
a model by Harris and Weiner [123] in which radical surface site pairs are
involved in the incorporation of new carbon species on the surface. Con-
sequently, low-temperature (less than 500⬚C) CVD diamond deposition that
results in uniform coverage of areas of significant size show rather low
growth rates, on the order of 0.1 ␮m/hr. Also of interest as feed gases for
low-temperature deposition are halogens [124]. The dissociation of molec-
ular fluorine into fluorine radicals occurs at rather low temperatures and
fluorine may be beneficial in abstracting hydrogen from the growth surface
at low temperatures.
As would be expected from the discussion in Secs. III.A and V.A, high
transparency of diamond-coated substrates requires a smooth diamond sur-
face. Two examples of diamond-coated borosilicate glass are shown in Fig.
14. Both samples in this figure consist of polycrystalline CVD diamond
644 Reinhard

Figure 14 Photograph of two diamond-coated borosilicate glass samples in the


as-grown state. The sample on the left is a large-grain film with appreciable scatter
and low transmission in the visible. The sample on the right is a highly transparent,
small-grain diamond film [100].

deposited on 5-cm-diameter glass substrates by microwave plasma-assisted


deposition and both samples are in the as-grown, nonpolished state [99]. The
difference in visible transmission between the two samples is striking. Light
transmission through the sample on the right-hand side of the photograph
allows good visibility of the optical bench. Holes in the bench that are
approximately a meter distant from the sample are clearly noted. In contrast,
the sample on the left has sufficient scattering that the holes in the optical
bench cannot be seen. Both samples are of comparable thickness—1.4 ␮m
for the sample on the right and 1.7 ␮m for the sample on the left. However,
they differ in terms of the initial seeding method and therefore the final
grain size and surface roughness. The sample on the left is representative of
films with grain sizes on the order of a micrometer and with surface rough-
ness rms values on the order of 100 nm. As a result of the surface roughness,
there is strong scattering of visible light and the sample displays a frosted
glass appearance. Such samples appear translucent rather than transparent;
Diamond Film Optics 645

objects are clearly visible only if they are directly adjacent to the diamond
film. The sample on the right is representative of finer grain films where the
average grain size is substantially less than a micrometer and the surface
roughness is measured in tens of nanometers. For the particular sample il-
lustrated, the surface roughness was experimentally measured to be in the
range of 20 to 30 nm. Although the grain size is small, the Raman spectrum,
shown in Fig. 15, shows the characteristic diamond peak at 1332 cm⫺1 and
does not indicate appreciable nondiamond carbon content.
Figure 16 shows the measured transmission through the two samples
shown in Fig. 14, with a 1-cm-diameter detector located 2 cm behind the
sample and a 0.4-cm-diameter beam incident on the sample. For the large-
grain film, the measured transmission is less than 10% throughout the vis-
ible. For the small-grain film, the transmission falls from about 70% in the
red portion of the visible spectrum to approximately 40% in the blue. Com-
paring these results with the calculated transmissions in Fig. 14, the fall-off
in experimental transmission with decreasing wavelength is consistent with
a surface roughness value of approximately 35 nm, slightly larger than the
surface roughness as measured by a stylus. The calculated transmissions of
Fig. 14 predict that a surface roughness of 10 nm or less should result in
high transmission throughout the entire visible range. Such transmission is,
in fact, achievable for as-grown polycrystalline CVD diamond films on
glass, as shown in Fig. 17 for a 0.43-␮m-thick diamond film deposited on
Corning 7059 borosilicate glass [125].*

C. Stress and Adhesion Considerations


Film adhesion can be an important issue for CVD diamond films on optical
substrates because of stress in the films. This is particularly true for sub-
strates whose thermal expansion coefficients are poorly matched to that of
diamond. For example, Raman analysis of diamond films on soda-lime glass
have shown a shift in the diamond peak from 1332 to 1340 cm⫺1 [98] with
the shift to higher wavenumber indicating that compressive film stress is
present. Large stresses can cause sample bowing and facilitate film delam-
ination from the substrate. In fact, the phenomenon has been proposed as a
means of forming freestanding diamond films, based on a study in which
diamond deposited by hot-filament CVD onto Corning 7059 glass was ob-
served to separate spontaneously from the substrate [101]. For most appli-

*Corning 7059 is a low sodium content borosilicate glass, otherwise similar to 娃Pyrex. It is
used in electronic applications, including flat panel displays.
646 Reinhard

Figure 15 Raman spectrum for the transparent diamond film shown on the right-
hand side of Fig. 14.

cations, however, it is desired that the film remain intact to the optical
substrate.
The dependence of stress in diamond films has been studied by Win-
dischmann et al. [126] as a function of gas composition and deposition
temperature. If diamond is deposited on a substrate whose CTE is higher
than that of diamond, a compressive contribution to the total stress in the
film is developed as the film-substrate combination is cooled from the dep-
osition temperature to room temperature. In addition to the thermally in-
duced stress caused during cool-down, diamond films have an intrinsic ten-
sile stress that depends on methane concentration, among other things. Its
origin is explained in terms of a grain boundary relaxation model due to a
fine-grained porous microstructure [126]. Consequently, diamond films may
be under either tensile stress or compressive stress, depending on the sub-
strate and on deposition conditions. If thermally induced compressive stress
and intrinsic tensile stresses cancel, the resulting films have very low net
stress.
For numerical calculation purposes, the thermal compressive stress
may be estimated by breaking the temperature into i intervals and calculating
a stress for each interval according to [126]

␴i = 冉 冊
E
1⫺␯
(␣F ⫺ ␣S)⌬Ti (25)

where E/(1 ⫺ ␯) is the biaxial modulus and (␣F ⫺ ␣S) is the average dif-
ference in CTE values for the film, ␣F, and the substrate, ␣S, at the midpoint
of the ith temperature interval, ⌬Ti. The total thermal stress, ␴th, is calculated
by summing the ␴i. An estimate of the internal tensile stress, ␴in, is given
by Windischmann et al. as
Diamond Film Optics 647

Figure 16 Measured transmission in the visible and near infrared for the two
samples shown in 14. (a) The large-grain film and (b) the small-grain film [125].
648 Reinhard

Figure 17 Measured optical transmission for a 0.43-␮m-thick diamond film on


borosilicate glass (Corning 7059) that shows high transmission throughout the visible
and near infrared [125].

␴in = 冉 冊冉 冊
E
1⫺␯

d
(26)

where d is the grain size of the polycrystalline film and ␦ is estimated to be


0.077 nm for diamond [126]. The total stress, ␴tot, is equal to the difference
between ␴th and ␴in and either compressive or tensile, depending on the sign.
For silicon, the thermal stress is always compressive. Although the
CTE of silicon drops below that of diamond at high temperatures, the dif-
ference is not enough to counteract the larger CTE of silicon at low tem-
peratures. For diamond films on silicon with grain sizes of the order of 0.1
␮m and larger the combined stress is compressive, based on both calcula-
tions and experiments that measured the bowing of diamond diaphragms
produced by back etching the silicon. In this regime, as the grain size in-
creases, other things being equal, the net strain would be expected to in-
crease. This is consistent with a report by Gamlen et al. [127], who inves-
tigated diamond film adhesion on silicon by measuring delamination after
Vickers indentation and found the delamination diameter to increase with
increasing grain size. For very fine grain diamond films on silicon, however,
the net stress is generally tensile.
Diamond Film Optics 649

Figure 18 shows the CTE values for diamond and Corning 7059 glass
as a function of temperature. The glass CTE value is fairly constant until
near the strain point of 593⬚C, at which it increases sharply. Consider, as an
example, a diamond film on 7059 glass cooling down from 475 to 25⬚C.
Taking the biaxial modulus for diamond to be 1345 GPa [128], the thermally
induced strain may be estimated from Eq. (25) to be approximately 1.7 GPa
compressive, using a ⌬Ti value of 100⬚C. Consequently, from Eq. (26), films
with grain sizes larger than approximately 70 nm would be in a state of
compressive stress and films with smaller grain sizes would be in a state of
tensile stress. Grain size depends most directly on seeding density; however,
for a given seeding density, grain size generally increases with increasing
film thickness.
Equations (25) and (26) are useful for determining trends. Neglected,
however, are effects such as structural relaxation in the substrate [129] and
compressive stress due to residual hydrogen and sp2 carbon [126]. In ex-
perimental studies of diamond on glass, some films have shown good long-
term adhesion with, for example, diamond films on both Corning 7059 and
7040 substrates that pass the tape test for adhesion several years after dep-
osition and after repeated thermal cycling to 200⬚C. Other films, however,
have shown spontaneous delamination after times ranging from several
minutes to several days after removal from the deposition chamber. Adhesion

Figure 18 The coefficient of thermal expansion coefficients for diamond and


Corning 7059 glass.
650 Reinhard

Table 4 Film Thickness Dependence


for Diamond Film Adhesion to Glassa

Thickness (␮m) Adhered?

0.7 Yes
1.0 Yes
1.7 Yes
2.5 No
a
Substrates are 5-cm-diameter Corning 7040
(娃Pyrex) glass.

trends for translucent diamond films on borosilicate glass such as pictured


on the left side of Fig. 14 are shown in Tables 4 and 5 with adhesion
becoming more problematic as both substrate temperatures increase and as
film thickness increases. These trends are consistent with general expecta-
tions from Eqs. (25) and (26), given the CTE values of the glass substrates.
Long-term adhesion of such films on 7059 glass was reported when diamond
film thicknesses were less than 3 ␮m and deposition temperatures were less
than 480⬚C [100].

VI. PHOTON DETECTION AND EMISSION

This section briefly considers diamond’s role in electro-optics. Both radia-


tion-induced electrical conductivity within diamond and photon emission
from diamond have been used extensively for diagnostics of diamond elec-
tronic states. The diagnostic use of such phenomena is not within the scope

Table 5 Substrate Temperature Dependence and Thickness


Dependence of Diamond Adhesion to Glassa

Pressure Temperature Thickness


(torr) (⬚C) (␮m) Adhered?

15 505–510 2.3 No
13 480–483 2.1 No
11 445–455 1.3 Yes
9 440 1.0 Yes
a
Substrates are 5-cm diameter Corning 7059 glass. The substrate temper-
ature was measured by optical pyrometry.
Diamond Film Optics 651

of this chapter but is treated in Chap. 3. The focus here is on application-


related issues.
To the extent that photon absorption in diamond involves electronic
transitions, the electric properties of diamond are altered. Photons with en-
ergies greater than the band gap, that is,with energies greater than 5.47 eV,
will with some probability generate free carriers in diamond that cause a
photoconductive effect. Electronic transitions involving states in the gap can
also contribute to photoconductivity in diamond for photons of lessor energy.
Likewise, electronic transitions between states, whether band to band or
involving gap states, can with some probability involve photon emission.
Consequently, both photon detection and photon emission applications are
of interest.
The use of single-crystal diamond for photon detection has a long but
not particularly extensive history with early work on diamond photocon-
ductivity being reported in 1923 [130]. However, as is the case for many
other applications, the advent of CVD diamond provided an impetus for
renewed interest. The wide band gap of diamond makes it of particular
interest for UV detection [131–135]. An ultraviolet detector should prefer-
ably have no sensitivity to visible light, a large sensitivity to UV light, and
a small dark current. A useful figure of merit is the quantum efficiency, QE,
taken as the total number of electrons collected at the contacts divided by
the number of incident photons, or as
Iphh␯
QE = (27)
Poptq
where Iph is the photo current, h␯ is the photon energy, and Popt is the optical
power. The simplest UV detector structure is a two-terminal device consist-
ing of diamond between two metal electrodes, an example of the so-called
metal-semiconductor-metal (MSM) structure. The quantum efficiency for an
MSM device is related to diamond material properties and the electrical bias
according to [136]
␩F
QE = (␮␶) (28)
L
where F is the electric field applied between the metal contacts, L is the
separation between the contacts, ␮ is the carrier mobility, ␶ is the carrier
lifetime, and ␩ is the fraction of incident power absorbed in the diamond,
␩ = (1 ⫺ R)(1 ⫺ e⫺␣t) (29)
In an early study of CVD diamond MSM UV detectors by Binari et
al. [133], a comparison was made between the performance of a natural
diamond type IIa detector and that of a CVD diamond detector. In both
652 Reinhard

cases, the MSM structure consisted of two evaporated aluminum stripes


separated by 350 ␮m on the surface of the diamond. Both devices showed
an acceptable dark current, approximately 10⫺12 A, and both showed a sub-
stantially larger UV response than visible response. The quantum efficiency
of the type IIa detector at a UV wavelength of 200 nm was approximately
three orders of magnitude higher than the quantum efficiency in the blue
portion of the visible spectrum (400 nm) and the quantum efficiency of the
CVD diamond detector was approximately two orders of magnitude higher
at 200 nm than at 400 nm. However, the two detectors differed significantly
in the UV 200-nm quantum efficiency, which, when biased at 100 V, was
39% for the type IIa diamond and 0.09% for the CVD diamond.
As is evident from Eq. (28), the quantum efficiency of an MSM pho-
todetector is strongly dependent on the ␮␶ product of the sensor material.
Polycrystalline materials in general tend to exhibit lower mobilities and
lower lifetimes than their single-crystal counterparts and this is also the case
for diamond. Therefore, a materials challenge for CVD diamond photode-
tectors is the achievement of higher ␮␶ products [137]. For a given bias
voltage, the QE will also improve with decreasing electrode spacing. Using
MSM structures with 20-␮m inter-electrode spacing, L, Chan et al. [134]
have fabricated devices with five orders of magnitude difference in sensitiv-
ity between deep UV light and visible light.
Another materials-related issue for CVD diamond UV detectors is per-
sistent photoconductivity, which results in a memory effect that can have
significant time constants, on the order of 1000 sec or longer. The origin of
this effect is that electrons excited by the UV irradiation are trapped in deep
traps that have long release times. Detectors can be reset, or bleached, by
heating them sufficiently to release the trapped carriers. This phenomenon
can be put to use, as proposed by Gonon et al. [138] for dosimetry, by
measuring thermally simulated currents after high-energy photon absorption.
However, for typical UV detection applications a persistent photoconductiv-
ity is undesirable. Chan et al. [134] reported a postgrowth methane-air treat-
ment that reduces the duration of the persistent photoconductivity from in
excess of 500 sec to less than 5 sec. Diamond electronic device structures
other than the MSM, for example, Schottky barrier diamond photodiodes,
are also applicable for photon detection [139,140].
A related application is photoconductive switching. Single-crystal type
IIb diamond has been evaluated as a detector for several pulsed laser sources
by Young et al. [141] and shown to have subnanosecond response times.
Subnanosecond, kilovolt switching of microjoule optical pulses has been
reported in insulating single-crystal diamond [142]. In the range of 0.22–
0.6 ␮m, defect and impurity photoabsorption provides the switching mech-
anism. As noted by Angus et al. [131], CVD diamond may have significant
Diamond Film Optics 653

Figure 19 Typical waveform of a CVD diamond photoconductive switch. (From


Ref. 143.)

advantages over natural diamond because of reproducible levels of vacancy


and nitrogen defect complexes as well as increased simplicity of doping.
Using CVD diamond, Aikawa et al. [143] demonstrated subnanosecond de-
tection of light pulses from a KrF laser as shown in Fig. 19.
With regard to electro-optic applications that involve photon emission,
band-to-band recombination events in diamond would not be efficient
sources of photons because diamond has an indirect band gap. As discussed
by Yoder [144], however, another approach may be considered for diamond
in that impurities may be intentionally added in order to add states within
the large forbidden energy gap so as to provide optical transition energies.
In fact, visible-light lasting has been demonstrated in single-crystal diamond
by external pumping of electrons into such color centers [145]. Also, dia-
mond based p-n diodes and Schottky diodes have demonstrated electrolu-
minescence (EL) upon forward bias [146–148]. In these cases, the EL and
cathodoluminescence spectrum coincide and reflect the impurity and defect
content of the diamond. Fahrner et al. [149] used nitrogen implantation into
natural type IIa single-crystal diamond and showed a modification of the EL
spectrum.

VII. SUMMARY

The unique intrinsic optical properties of diamond are realizable in poly-


crystalline CVD diamond in both thick- and thin-film form, so the material
is of interest for optical applications involving photon transmission, photon
654 Reinhard

detection, and photon emission. With regard to transmission, special care is


needed to avoid scattering caused by rough surfaces. Smooth surfaces are
achieved either by polishing, by fine grains, or by oriented growth. Also
necessary for high transmission are growth conditions that contribute neither
appreciable impurities nor appreciable nondiamond carbon [150]. For thick
films containing large grains, the mechanical strength is significantly less
than for single-crystal diamond because of the large flaw sizes inherent in
such structures. However, the strength is still greater than that of many other
contending materials. The attractive surface attributes of diamond, including
hardness and chemical resistance, may be added to more common optical
substrates by thin-film diamond coatings [151]. For many substrates, stress
in such films is controllable by balancing compressive thermal stress and
tensile internal stress. As an indirect band gap material, photon emission
heavily involves impurity and defect states. Such impurities can be inten-
tionally added to provide color centers for the enabling of optical transitions.
The large band gap allows ultraviolet photon sensing with essentially visible-
blind response. Viability of CVD diamond for optical applications is tied to
(1) deposition technology and the ability to achieve simultaneously useful
growth rates and the necessary optical properties, (2) surface roughness val-
ues, (3) mechanical strength considerations, and (4) compatibility between
CVD diamond and optical substrates.

REFERENCES
1. M Seal, WJP van Enckevort. Applications of diamond in optics. SPIE Dia-
mond Opt 969:144–152, 1988.
2. R Seitz. The end of the beginning. SPIE Diamond Opt 969:124–137, 1988.
3. T Obata, S Morimoto. Free-standing diamond films—plates, tubes, and
curved diaphragms. SPIE Diamond Opt 1146:208–216, 1989.
4. G Davies. The optical properties of diamond. In: PL Walker Jr, PA Thrower,
eds. Chemistry and Physics of Carbon. Vol 13. New York: Marcel Dekker,
1977, pp 2–143.
5. CD Clark. Optical properties of natural diamond. In: R Berman, ed. Physical
Properties of Diamond. Oxford: Clarendon Press, 1965, chap 11.
6. F Peter. Über Brechungsindizes und Absorptionkonstanten des Diamanten
zwischen 644 und 226 m␮. Z Phys 15:358–368, 1923.
7. RA Smith. Semiconductors. 2nd ed. Cambridge: Cambridge University Press,
1978.
8. F Urbach. Phys Rev 92:1324, 1953.
9. M Thomas, WJ Tropf. Optical properties of diamond. Johns Hopkins APL
Tech Dig 14:16–22, 1993.
10. A Feldman, LH Robins. Critical assessment of optical properties of CVD
diamond films. In: Y Tzeng, M Yoshikawa, M Murakawa, A Feldman, eds.
Diamond Film Optics 655

Applications of Diamond Films and Related Materials. Amsterdam: Elsevier


Science, 1991, pp 181–188.
11. RM Chrenko, HM Strong. Physical Properties of Diamond. General Electric
Technical Information Series, Report 75CRD089, October 1975.
12. MP D’Evelyn, EB Stokes, PJ Codella, BE Williams. Properties of CVD di-
amond for optical applications. In: A Feldman, WA Yarbrough, M Murakawa,
Y Tzeng, M Yoshikawa, eds. Applications of Diamond Films and Related
Materials: Third International Conference. NIST Special Publication 885,
1995, pp 547–550.
13. DC Harris. Development of Chemical-Vapor-Deposited Diamond for Infrared
Optical Applications. Status Report and Summary of Properties, Naval Air
Warfare Center Weapons Division, China Lake, CA, Report NAWCWPNS
TP 8210, 1994.
14. OS Heavens. Optical Properties of Thin Solid Films. New York: Academic
Press, 1955.
15. CE Johnson, WA Weimer. Optical properties of microwave-plasma-assisted
CVD diamond film. Diamond Opt II, SPIE 1146:188–191, 1989.
16. AJ Gatesman, RH Giles, J Waldman, LP Bourget, R Post. Optical properties
of polycrystalline diamond in the far-infrared. SPIE Diamond Opt 1325:170–
177, 1990.
17. I Filinski. The effects of sample imperfections on optical spectra. Phys Status
Solidi (b) 49:577–588, 1972.
18. H Davies. Proc Inst Elec Engr 101:209, 1954.
19. HE Bennet, JO Porteus. Relation between surface roughness and specular
reflectance at normal incidence. J Opt Soc Am 51:123–129, 1961.
20. XX Bi, PC Eklund, JG Zhang, AM Rao, TA Perry, CP Beetz Jr. Optical
transmission and reflection of freestanding filament assisted CVD diamond
films. SPIE Diamond Opt 1146:192–200, 1989.
21. T Feng. Correlation of optical properties and surface roughness of polycrys-
talline diamond films. SPIE Diamond Opt 1146:159–165, 1989.
22. LH Robins, EN Farabaugh, A Feldman. Determination of the optical constants
of thin chemical-vapor deposited diamond windows from 0.5 to 6.5 eV. SPIE
Diamond Opt 1534:105–115, 1991.
23. C-F Chen, S-H Chen, T-M Hong, J-S Leu. Optical characterization of dia-
mond synthesized using CH4-CO2 mixtures without supplying hydrogen gas.
Thin Solid Films 253:162–167, 1994.
24. H-W Ko, SE Hsu, SJ Yang, MS Tsai, YH Lee. Characterization of diamond
films for optical coatings. Diamond Relat Mater 2:694–698, 1992.
25. KM McNamara, KK Gleason, CJ Robinson. Quantitative correlation of infra-
red absorption with nuclear magnetic resonance measurements of hydrogen
content in diamond films. J Vac Sci Technol A 10:3143–3148, 1992.
26. KM McNamara, BE Scruggs, KK Gleason. Effects of impurities on the IR
absorption of chemically vapor deposited diamond. Thin Solid Films 253:
157–161, 1994.
27. M Hoinkis, ER Weber, MI Landstrass, MA Plano, S Han, DR Kania. Para-
656 Reinhard

magnetic nitrogen in chemical vapor deposition diamond thin films. Appl


Phys Lett 59:1870–1871, 1991.
28. M Nesladek, M Vanecek, LM Stals. Defect-induced optical absorption in
CVD diamond films. Phys Status Solidi (a) 154:283–303, 1996.
29. BE Williams, HS Kong, JT Glass. Electron microscopy of vapor phase de-
posited diamond. J Mater Res 5:801–810, 1990.
30. W Zhu, AR Badzian, R Messier. Structural imperfections in CVD diamond
films. J Mater Res 4:659–663, 1989.
31. AT Collins, M Kamo, Y Sato. A spectroscopic study of optical centers in
diamond grown by microwave-assisted chemical vapor deposition. J Mater
Res 5:2507–2514, 1990.
32. R Heiderhoff, R Spitzl, M Maywald, V Raiko, LJ Balk, J Engemann. Char-
acterization of MPCVD-diamond films grown on porous silicon. Diamond
Film Semiconductors, SPIE Proceedings, Vol 2151, 1995.
33. SA Solin, AK Ramdas. Raman spectrum of diamond. Phys Rev B 1:1687–
1698, 1970.
34. DS Knight, WB White. Characterization of diamond films by Raman spec-
troscopy. J Mater Res 4:385–393, 1989.
35. BE Williams, JT Glass. Characterization of diamond thin films: diamond
phase identification, surface morphology, and defect structures. J Mater Res
4:373–384, 1989.
36. P John, DK Milne, IC Drummond, JIB Wilson, MG Jubber, JA Savage. At-
tenuated total reflectance infrared absorption in CVD diamond films. pp 209–
217, SPIE Diamond Opt V 1759:209–217, 1992.
37. C Zheng, J Ran, Z Yuan. Decrease in surface roughness of diamond film
synthesized by MWPCVD. In: M Yoshikawa, M Murakawa, Y Tzeng, WA
Yarbrough, eds. 2nd International Conference on the Applications of Diamond
Films and Related Materials. Tokyo: MYU, 1993, pp 745–750.
38. J Rosa, M Vanecek, M Nesladek, LM Stals, V Vorlicek. Quantitative study
of Raman scattering and defect optical absorption in CVD diamond films.
Diamond Relat Mater 6:704–707, 1997.
39. X Ying, Y Shen, H Xue, L Jianhai, Z Xing, J Xu, F Zhang. Determination
of optical constants of thin films. Diamond Opt V SPIE 1759:218–223, 1992.
40. O Stenzel, R Petrich, S Roth, B Mainz, W Scharff. Determination of the
optical constants of fine grained diamond layers on silicon substrates using
curve-fitting procedures. Diamond Relat Mater 2:704–707, 1992.
41. R Petrich, O Stenzel. Spectrophotometric characterization of rough diamond
layers on silicon. In: S Saito, N Fujimori, O Fukunaga, M Kamo, K Kobashi,
M Yoshikawa, eds. Advances in New Diamond Science and Technology. To-
kyo: MYU, 1994, pp 387–390.
42. JR Seitz. Laser systems with diamond optical elements. US Patent 3,895,313,
July 15, 1975.
43. S Singer. The potential of diamond as a very high average power transmitting
optical material. Diamond Opt SPIE 969:168–177, 1988.
44. DC Harris. Properties of diamond for window and dome applications. SPIE
2286:218–228, 1994.
Diamond Film Optics 657

45. KJ Gray. Electromagnetic window properties of CVD diamond. SPIE Dia-


mond Opt V 1759:203–208, 1992.
46. G Lu, KJ Gray, EF Borchelt, LK Bigelow, JE Graebner. Free-standing white
diamond for thermal and optical applications. Diamond Relat Mater 2:1064–
1068, 1992.
47. Q Lichang, P Xin, Y Peichun, X Zhenwu, L Erkai, H Li. Preparation and
characterization of optically high quality diamond film. In: Y Tzeng, M Yosh-
ikawa, M Murakawa, A Feldman, eds. Applications of Diamond Films and
Related Materials. Amsterdam: Elsevier, 1991, pp 213–216.
48. L Rongzhi, S Hailiang, Y Zhen, T Sen, Z Hesun. Transparent diamond film
deposited by optimized dc arc plasma jet. In: Y Tzeng, M Yoshikawa, M
Murakawa, A Feldman, eds. Applications of Diamond Films and Related Ma-
terials. Amsterdam: Elsevier, 1991, pp 207–212.
49. A Hirata, M Yoshikawa. Optical properties of diamond plates synthesized in
arc discharge plasma jet chemical vapor deposition. In: S Saito, N Fujimori,
O Fukunaga, M Kamo, K Kobashi, M Yoshikawa, eds. Advances in New
Diamond Science and Technology. Tokyo: MYU, 1994, pp 379–382.
50. XH Wang, L Pilione, W Zhu, W Yarbrough, W Drawl, R Messier. Infrared
optical properties of CVD diamond films. J Mater Res 5:2345–2350, 1990.
51. C Wild, W Müller-Sebert, T Eckermann, P Koidl. Polycrystalline diamond
films for optical applications. In: Y Tzeng, M Yoshikawa, M Murakawa, A
Feldman, eds. Applications of Diamond Films and Related Materials. Am-
sterdam: Elsevier, 1991, pp 197–205.
52. RLC Wu, AK Rai, A Garscadden, P Kee, HD Desai, K Miyoshi. Synthesis
and characterization of fine grain diamond films. J Appl Phys 72:110–116,
1992.
53. DC Harris. Diamond optics: status for infrared applications. In: A Feldman,
WA Yarbrough, M Murakawa, Y Tzeng, M Yoshikawa, eds. Applications of
Diamond Films and Related Materials: Third International Conference. NIST
Special Publication 885, 1995, pp 539–546.
54. S Jin, JE Graebner, GW Kammlott, TH Tiefel, SG Kosinski, LH Chen, RA
Fastnacht. Massive thinning of diamond films by a diffusion process. Appl
Phys Lett 60:1948–1950, 1990.
55. S Jin, LH Chen, JE Graebner. Thinning, shaping and patterning of CVD
diamond by diffusional reactions. In: M Yoshikawa, M Murakawa, Y Tzeng,
WA Yarbrough, eds. 2nd International Conference on the Application of Dia-
mond Films and Related Materials. Tokyo: MYU, 1993, pp 189–196.
56. S Jin, JE Graebner, M McCormack, TH Tiefel, A Katz, WC Dautremont-
Smith. Shaping of diamond films by etching with molten rare-earth metals.
Nature 362:882, 1992.
57. M Yoshikawa. Development and performance of a diamond film polishing
apparatus with hot metals. Diamond Opt III SPIE 1325:210–221, 1990.
58. SK Choi, SK Jung, YH Jin, YS Park, SH Kim, JW Lee. Microstructure control
and surface polishing of CVD diamond thin film for optical application. In:
S Saito, N Fujimori, O Fukunaga, M Kamo, K Kobashi, M Yoshikawa, eds.
658 Reinhard

Advances in New Diamond Science and Technology. Tokyo: MYU, 1994, pp


383–386.
59. A Hirata, H Tokura, M Yoshikawa. Smoothing of chemically vapor deposited
diamond films by ion beam irradiation. Thin Solid Films 212:43, 1992.
60. A Boudina, E Fitzer, G Wahl, H Esrom. Improvement in IR properties of
chemically vapour-deposited diamond films by smoothening with KrF exci-
mer radiation. Diamond Relat Mater 2:678–682, 1992.
61. Z Tianji, DF Grogan, BG Bovard, HA Mcleod. Diamond polishing with argon
and oxygen ion beams. Diamond Opt III SPIE 1325:142–151, 1990.
62. BG Bovard, T Zhao, HA Macleod. Oxygen-ion beam polishing of a 5-cm
diameter diamond film. Appl Opt 31:2366–2369, 1992.
63. DF Grogan, T Zhao, BG Bovard, HA Mcleod. Planarizing technique for ion-
beam polishing of diamond films. Appl Opt 31:1483–1487, 1992.
64. KV Ravi, VG Zarifis. Laser polishing of diamond. Proceedings 3rd Interna-
tional Symposium on Diamond Materials, Electrochemical Society, Penning-
ton, NH, 1993, p 861.
65. SJ Pearton, A Katz, F Ren, JR Lothian. ECR plasma etching of chemical
vapour deposited diamond thin films. Electron Lett 28:822–924, 1992.
66. RN Chakraborty, DK Reinhard, PD Goldman. Etching of diamond wafers
with electron-cyclotron-resonance plasmas. Electrochem Soc Extended Abstr
95-1:396–397, 1995.
67. O Dorsch, M Werner, E Obermeier. Dry etching of undoped and boron doped
polycrystalline diamond films. Diamond Related Mater 4:456–459, 1995.
68. C Wild, N Herres, R Locher, W Müller-Sebert, P Koidl. Control of twin
formation: a prerequisite for the growth of thick oriented diamond films. In:
S Saito, N Fujimori, O Fukunaga, M Kamo, K Kobashi, M Yoshikawa, eds.
Advances in New Diamond Science and Technology. Tokyo: MYU, 1994, pp
149–152.
69. P Koidl, C Wild, R Locher, R Kohl. Smooth diamond films for optical ap-
plications. In: M Yoshikawa, M Murakawa, Y Tzeng, WA Yarbrough, eds.
2nd International Conf. on the Applications of Diamond Films and Related
Materials. Tokyo: MYU, 1993, pp 87–94.
70. H Maeda, M Irie, K Kusakabe, S Marooka. Nucleation of diamond by chem-
ical vapor deposition in bias-enhanced microwave plasma. In: S Saito, N
Fujimori, O Fukunaga, M Kamo, K Kobashi, M Yoshikawa, eds. Advances
in New Diamond Science and Technology. Tokyo: MYU, 1994, pp 153–156.
71. S Haq, DL Tunnicliffe, JA Savage. Optical characterization of textured mi-
crowave CVD diamond. Diamond Relat Mater 3:593–597, 1994.
72. RLC Wu, AK Rai, A Garscadden, P Kee, HD Desai, K Miyoshi. Synthesis
and characterization of fine grain diamond films. J Appl Phys 72:110–116,
1992.
73. AV Khomich, PI Perov, VI Polyakov, IG Teremetskaya, VP Varnin, VI Konov,
SM Pimenov, ED Obraztsova. Polycrystalline CVD diamond films with op-
tical properties close to those of type II diamonds. In: A Feldman, WA Yar-
brough, M Murakawa, Y Tzeng, M Yoshikawa, eds. Applications of Diamond
Diamond Film Optics 659

Films and Related Materials: Third International Conference. NIST Special


Publication 885, 1995, pp 589–592.
74. GS Yang, M Aslam, KP Kuo, DK Reinhard, J Asmussen. Effect of ultrahigh
nucleation density on diamond growth at different growth rates and temper-
atures. J Vac Sci Technol B 13:1030–1036, 1995.
75. GD Barber, WA Yarbrough, K Gray. Microstructural evolution and flaws in
CVD diamond. In: A Feldman, WA Yarbrough, M Murakawa, Y Tzeng, M
Yoshikawa, eds. Applications of Diamond Films and Related Materials: Third
International Conference. NIST Special Publication 885, 1995, pp 551–556.
76. TJ Valentine, AJ Whitehead, RS Sussmann, CJH Wort, GA Scarsbrook. Me-
chanical property measurements of bulk polycrystalline CVD diamond. Dia-
mond Relat Mater 3:1168–1172, 1994.
77. WH Glime, ED Case, DK Reinhard, J Mattavi. Deflection behavior of point
loaded CVD diamond thin film diaphragms. Proceedings of the American
Society for Composites 8th Technical Conference on Composite Materials.
Lancaster, PA: Technomic Publications, 1993, pp 921–930.
78. S Timoshenko, S Woinowsky-Krieger. The Theory of Plates and Shells. 2nd
ed. New York: McGraw Hill, 1959.
79. B Banerjee. Large deflection of a circular plate under a concentrated load—
a new approach. J Ind Math Soc 33:57, 1983.
80. BS Berry, WC Pritchet, JJ Cuomo, CR Guarnieri, SJ Whitehair. Internal stress
and elasticity of synthetic diamond films. Appl Phys Lett 57:302–303, 1990.
81. LED Flowers, ED Case, RM Brown, DK Reinhard. Burst pressure of diamond
thin film diaphragms as a function of film diameter and thickness. Proceedings
of the American Society for Composites 8th Technical Conference on Com-
posite Materials, Technomic Publications, Lancaster, PA, 1993, pp 911–920.
82. CJH Wort, JR Brandon, BSC Dorn, JA Savage, RS Sussmann, AJ Whitehead.
Properties of CVD diamond domes. In: A Feldman, WA Yarbrough, M Mu-
rakawa, Y Tzeng, M Yoshikawa, eds. Applications of Diamond Films and
Related Materials: Third International Conference. NIST Special Publication
885, 1995, pp 569–572.
83. CA Klein. Diamond windows for IR applications in adverse environments.
Diamond Relat Mater 2:1024–1031, 1992.
84. GH Jilbert, CSJ Pickles, EJ Coad, JE Field. Diamond: an erosion resistant
aerospace material. In: A Feldman, WA Yarbrough, M Murakawa, Y Tzeng,
M Yoshikawa, eds. Applications of Diamond Films and Related Materials:
Third International Conference. NIST Special Publication 885, 1995, pp 561–
564.
85. JE Graebner. Inexpensive technique for estimating the thermal conductivity
of CVD diamond from the optical absorption. In: A Feldman, WA Yarbrough,
M Murakawa, Y Tzeng, M Yoshikawa, eds. Applications of Diamond Films
and Related Materials: Third International Conference. NIST Special Publi-
cation 885, 1995, pp 557–560.
86. RE Rawles, MP D’Evelyn. Optical properties of diamond at elevated tem-
peratures. In: A Feldman, WA Yarbrough, M Murakawa, Y Tzeng, M Yoshi-
660 Reinhard

kawa, eds. Applications of Diamond Films and Related Materials: Third In-
ternational Conference. NIST Special Publication 885, 1995, pp 565–568.
87. PK Bachmann, D Leers, DU Wiechert. Post-depositional diamond etching.
Diamond Relat Mater 2:683–693, 1993.
88. MB Moran, KA Klemm, LF Johnson. Antireflection/antioxidation coatings
and polishing results for PECVD diamond optics. In: Y Tzeng, M Yoshikawa,
M Murakawa, A Feldman, eds. Applications of Diamond Films and Related
Materials. Amsterdam: Elsevier Science, 1991, pp 233–240.
89. WD Partlow, RE Witkowski, JP McHugh. CVD diamond coatings for the
infrared by optical brazing. In: Y Tzeng, M Yoshikawa, M Murakawa, A
Feldman, eds. Applications of Diamond Films and Related Materials. 1991,
pp 163–168.
90. R Fuentes, LM Trolio, JE Butler. Anodic bonding of diamond to glass. In: A
Feldman, WA Yarbrough, M Murakawa, Y Tzeng, M Yoshikawa, eds. Appli-
cations of Diamond Films and Related Materials: Third International Confer-
ence. NIST Special Publication 885, 1995, pp 581–584.
91. JG Ran, CQ Zheng, XS Li, P Fan. Study on infrared glass window adhering
to diamond film. In: A Feldman, WA Yarbrough, M Murakawa, Y Tzeng, M
Yoshikawa, eds. Applications of Diamond Films and Related Materials: Third
International Conference. NIST Special Publication 885, 1995, pp 577–580.
92. Y Muranaka, H Yamashita, H Miyadera. Low temperaure (⬃ 400⬚C) growth
of polycrystalline diamond films in the microwave plasma of CO/H2 and CO/
H2/Ar systems. J Vac Sci Technol A 9:76–84, 1991.
93. A Joseph, Y Tzeng. Diamond coated quartz and sapphire optical windows.
In: M Yoshikawa, M Murakawa, Y Tzeng, WA Yarbrough, eds. Second In-
ternational Conference on the Applications of Diamond Films and Related
Materials. Tokyo: MYU, 1993, pp 429–432.
94. TP Ong, RPH Chang. Low-temperature deposition of diamond films for op-
tical coatings. Appl Phys Lett 55:2063–2065, 1989.
95. DJ Pickrell, W Zhu, AR Badzian, RE Newnham, R Messier. Near-interface
characterization of diamond films on silica and silicon. J Mater Res 6:1264–
1277, 1991.
96. AB Harker. Properties of low temperature plasma CVD diamond films. Dia-
mond Opt II SPIE 1146:152–158, 1989.
97. MC Costello, DA Tossell, DM Reece, CJ Brierley, JA Savage. Diamond pro-
tective coatings for optical components. Diamond Relat Mater 3:1137–1141,
1994.
98. Y Liou, A Inspektor, D Knight, R Weimer, D Pickrell, AR Badzian, R Messier.
Low temperature diamond deposition on glass. Diamond Opt II SPIE 1146:
12–20, 1989.
99. MJ Ulczynski, DK Reinhard, M Prystajko, J Asmussen. Low temperature
deposition of thin film diamond. In: S Saito, N Fujimori, O Fukunaga, M
Kamo, K Kobashi, M Yoshikawa, eds. Advances in New Diamond Science
and Technology. Tokyo: MYU, 1994, pp 41–44.
100. M Ulczynski, DK Reinhard, M Prystajko, J Asmussen. Thin film diamond
coatings on glass. In: A Feldman, WA Yarbrough, M Murakawa, Y Tzeng, M
Diamond Film Optics 661

Yoshikawa, eds. Applications of Diamond Films and Related Materials: Third


International Conference. NIST Special Publication 885, 1995, pp 573–575.
101. KE Nariman, DB Chase, HC Foley. Growth of free-standing diamond films
on glass. Chem Mater 3:391–394, 1991.
102. T Hartnett, R Miller, D Montanari, C Willingham, R Tustison. Intermediate
layers for the deposition of polycrystalline diamond films. J Vac Sci Technol
A 8:2129–2136, 1990.
103. RH Doremus. Glass Science. New York: John Wiley & Sons, 1973.
104. CTL Babcock. Silicate Glass Technology Methods. New York: John Wiley &
Sons, 1977.
105. DN Belton, SJ Harris, SJ Schmieg, AM Weiner, TA Perry. Appl Phys Lett
54:416, 1988.
106. R Meilunas, MS Wong, KC Sheng, RPH Chang. Appl Phys Lett 54:2204,
1989.
107. DJ Pickrell, PhD thesis, Pennsylvania State University, University Park, 1990.
108. WL Hsu, DM Tung, EA Fuchs, KF McCarty, A Joshi, R Nimmagadda. Low-
temperature diamond growth in a microwave discharge. Appl Phys Lett 55:
2739–2741, 1989.
109. Y Liou, R Weimer, D Knight, R Messier. Effect of oxygen in diamond dep-
osition at low substrate temperatures. Appl Phys Lett 56:437–439, 1990.
110. R Ramesham, T Roppel, C Ellis, DA Jaworske, W Baugh. Selective and low
temperature synthesis of polycrystalline diamond. J Mater Res 6:1278–1286,
1991.
111. H Kawarada, KS Mar, A Hiraki. Large area chemical vapor deposition of
diamond particles and films using magneto-microwave plasma. Jpn J Appl
Phys 26:L1032–L1034, 1987.
112. S Jin, TD Moustakas. Growth of diamond thin films by ECR plasma-assisted
CVD at low pressures and temperatures. Diamond Relat Mater 2:1355–1359,
1993.
113. CR Eddy Jr, DL Youchison, BD Sartwell. Comparison of (CH4-O2)-H2 and
CO-H2 plasmas for low temperature diamond film deposition by electron cy-
clotron resonance plasma-assisted chemical vapor deposition. Diamond Relat
Mater 3:105–111, 1993.
114. C-F Chen, S-H Chen, H-W Ko, SE Hsu. Low temperature growth of diamond
films by microwave plasma chemical vapor deposition using CH4 ⫹ O2 gas
mixtures. Diamond Relat Mater 3:443–447, 1994.
115. TD Mantei, JJ Chang. Diamond deposition in a permanent magnet microwave
electron cyclotron resonance discharge. J Vac Sci Technol A 10:1423–1425,
1992.
116. Z Ring, TD Mantei, S Tlali, HW Jackson. Plasma synthesis of diamond at
low temperatures with a pulse modulated magnetoactive discharge. Appl Phys
Lett 66:3380–3382, 1995.
117. T Yara, H Makita, A Hatta, T Ito, A Hiraki. Low temperature fabrication of
diamond films with nanocrystal seeding. Jpn J Appl Phys 34:L312–L315,
1995.
662 Reinhard

118. A Hatta, K Kadota, Y Mori, T Ito, T Sasaki, A Hiraki, S Okada. Pulse mod-
ulated electron cyclotron resonance plasma for chemical vapor deposition of
diamond films. Appl Phys Lett 66:1602–1604, 1995.
119. M Ihara, H Maeno, K Miyamoto, H Komiyama. Diamond deposition on
silicon surfaces heated to as low as 135⬚C. Appl Phys Lett 59:1473–1475,
1991.
120. W Tsai, GJ Reynolds, S Hikido, CB Cooper III. Electron cyclotron resonance
plasma-enhanced filament-assisted diamond growth. Appl Phys Lett 60:1444–
1446, 1992.
121. I Watanabe, H Matsumoto. Low temperature synthesis of diamond films by
rf plasma CVD. Jpn Soc Promot Sci 6:197–202, 1993.
122. R Csencsits, CD Zuiker, DM Gruen, AR Krauss. Grain boundaries and grain
size distributions in nanocrystalline diamond films derived from fullerene pre-
cursors. Solid State Phenom 51–52:261–270, 1996.
123. SJ Harris, AM Weiner. Pressure and temperature effects on the kinetics and
quality of diamond films. J Appl Phys 75:5026–5032, 1994.
124. DE Patterson, CJ Chu, BJ Bai, ZL Xiao, NJ Komplin, RH Hauge, JL Mar-
grave. Diamond Relat Mater 1:768, 1992.
125. MJ Ulczynski, B Wright, DK Reinhard. Diamond coated glass substrates. Dia
Rel Mat 7:1639–1646, 1998.
126. H Windischmann, GF Epps, Y Cong, RW Collins. Intrinsic stress in diamond
films prepared by microwave plasma CVD. J Appl Phys 69:2231–2237, 1991.
127. CA Gamlen, ED Case, DK Reinhard, B Huang. Adhesion of polycrystalline
diamond thin films on single-crystal substrates. Appl Phys Lett 59:2529–2531,
1991.
128. JE Field. The Properties of Diamond. London: Academic Press, 1979.
129. SE Rosenberg, PY Wong, IN Miaoulis. Analysis of depositions stress during
thin-film growth on a relaxing substrate. J Appl Phys 77:6273–6277, 1995.
130. B Gudden, R Pohl. Z Phys 17:331, 1923.
131. JC Angus, CC Hayman, RW Hoffman. Diamond and ‘diamond-like’ phases
grown at low pressure: growth, properties and optical applications. Diamond
Opt SPIE 969:2–13, 1988.
132. DR Kania, MI Landstrass, MA Plano, LS Pan, S Han. Diamond radiation
detectors. Diamond Relat Mater 2:1012–1019, 1992.
133. SC Binari, M Marchywka, DA Koolbeck, HB Dietrich, D Moses. Diamond
metal-semiconductor-metal ultraviolet photodetectors. Diamond Relat Mater
1:1020–1023, 1992.
134. SSM Chan, RD McKeag, MD Whitfield, RB Jackman. UV photodetectors
from thin film diamond. Phys Status Solidi (a) 154:445–454, 1996.
135. VV Tokiy, VI Timchenko, VA Soroka, N Tokiy, BV Spitsyn, LL Bouilov.
Diamond film metal-semiconductor-metal photodetector. In: A Feldman, WA
Yarbrough, M Murakawa, Y Tzeng, M Yoshikawa, eds. Applications of Dia-
mond Films and Related Materials: Third International Conference. NIST
Special Publication 885, 1995, pp 145–148.
136. MA Karim. Electro-Optical Devices and Systems. Boston: PWS-Kent, 1990.
Diamond Film Optics 663

137. LS Pan, DR Kania, S Han, JW Ager III, M Landstrass, OL Landen, P Pianetta.


Electric transport properties of undoped CVD diamond. Science 255:830–
833, 1992.
138. P Gonon, S Prawer, Y Boiko, D Jamieson. Electrical conduction in polycrys-
talline diamond and the effects of UV irradiation. Diamond Relat Mater 6:
860–864, 1997.
139. M Marchywka, JF Hochedez, MW Geis, DG Socker, D Moses, RT Goldberg.
Ultraviolet photoresponse characteristics of diamond diodes. Appl Opt 30:
5011–5013, 1991.
140. G Popovici, MA Prelas, S Khasawinah, T Sung, VI Polyakov, PI Perov, NM
Rossukanyi, AI Rukovishnikov, AV Khomich, AI Krikunov, IG Termetskaya,
VP Varnin. Diamond photovoltaics: characterization of CVD diamond film
based heterostructures for light to electricity conversion. In: A Feldman, WA
Yarbrough, M Murakawa, Y Tzeng, M Yoshikawa, eds. Applications of Dia-
mond Films and Related Materials: Third International Conference. NIST
Special Publication 885, 1995, pp 99–102.
141. JF Young, LA Vermuelen, DJ Moss, HM van Driel. Subnanosecond time-
resolved photoconductive response of semiconducting diamond. Appl Phys
Lett 42:434–436, 1983.
142. PS Panchhi, HM van Driel. Picosecond optoelectronic switching in diamond.
IEEE J Quantum Electron QE-22:101–107, 1986.
143. Y Aikawa, K Baba, N Shohata. Characteristic of photoconductive switch with
diamond thin film. In: S Saito, N Fujimori, O Fukunaga, M Kamo, K Kobashi,
M Yoshikawa, eds. Advances in New Diamond Science and Technology. To-
kyo: MYU, 1994, pp 679–682.
144. MN Yoder. Artifact diamond. Its allure and significance. SPIE Diamond Opt
969:106–113, 1988.
145. S Rand, L Deshazer. Visible color-centered laser in diamond. Opt Lett 10:
481–483, 1985.
146. JF Prins. Mater Sci Rep 7:271, 1992.
147. N Fujimori, Y Nishibayashi, H Shiomi. Jpn J Appl Phys L30:1728, 1991.
148. Y Taniguchi, K Hirabayashi, K Ikoma, N Kurihara, M Matsushima. Jpn J
Appl Phys 28:1848, 1989.
149. WR Fahrner, B Burchard, AM Zaitsev, AA Melnikov, AV Denisenko, VS
Varichenko. Optoelectronic Devices Based on Diamond. In: S Saito, N Fu-
jimori, O Fukunaga, M Kamo, K Kobashi, M Yoshikawa, eds. Advances in
New Diamond Science and Technology. Tokyo: MYU, 1994, pp 737–740.
150. AM Zaitsev. Optical properties. In: MA Prelas, G Popovici, LK Bigelow, eds.
Handbook of Industrial Diamonds and Diamond Films. New York: Marcel
Dekker, Inc. 1998.
151. DK Reinhard, JR Kleindienst. Diamond films as optical coatings for silicon.
New Diamond Frontiers Carbon Tech 10:13–23, 2000.
Index

Ablation, laser, 333–335, 354–358 AES (see Auger electron spectroscopy)


Abrasive wear, 439, 440 AFM, 551
Absorption, optical Allemanna Svenska Elektrisia Aktie-
band-to-band, 609 bolaget, 18, 20
defect induced, 624–626 Alloys
due to C — H bonds, 622, 632 high-silicon aluminum, 425, 444,
impurity related, 613–616, 621–624 475, 482, 484
infrared, 612, 622–624, 632 low-silicon aluminum, 478, 484
intrinsic, 613 ␣ parameter, 38, 81, 207, 252–260,
multiphoton, 336 264, 265, 266
resonant, 376 Alumina, 519
ultraviolet, 609 Aluminum (see also Alloys)
Urbach tail, 611 as electric contact, 586, 599
weak tail, 611 as via fill, 556
Accademio del Cimento, 18 Aluminum nitride, 568
Acceptors (see Boron) Amorphic diamond, 354, 355
Acetylene, 66, 67, 109, 164, 165, 214, Amorphous carbon, 342, 354, 462
247, 269–272, 288, 296, 304 Angstrom’s method, 536
Acoustic applications, 12 Anharmonic pumping, 380
Actinometry, 93, 273 Annealing, 590, 59
Activation energy, 250 Antireflection
Adhesion diamond, as, 634
diamond to substrate, 437, 458–475, layers, on diamond, 636
645–650 Applications of diamond
metal to diamond, 556 acoustic, 12
treatments, 476 cold cathode emitter, 12

665
666 Index

[Applications of diamond] Auger spectroscopy, 35, 78, 343, 364,


cutting tools, 10, 137, 141 (see also 621
Tools, cutting)
detectors, 12, 651, 652 Bachmann diagram, 242, 243, 248
diodes, 13, 580, 652 Balagia’s figure of merit, 579, 580
electro chemical, 13 Band gap (see also Energy gap)
electrodes, 10 direct gap, 611
electron and photon sources, 11 indirect gap, 610
electronic Bell Laboratories, 21
active devices, 10, 579–602 Beryllium oxide, 519
passivation layers, 10 Bias enhanced nucleation, 237, 238,
microelectronics, 14 524
packaging, 10, 561–566 Binder, metallic, 426, 460, 470, 474
gyrotron windows, 10, 11, 627 Bipolar transistor, 585
heat sinks, 10 Blackbody radiation
lasers, 10, 11, 607, 627 subtraction of, 413
nuclear particle dectors, 10 Boltzmann’s constant, 374
optical coatings, 10, 11, 637–648 Boltzmann distribution, 380
optical windows, 10, 11, 627 Boron
sensors, 10, 12 activation energy of, 582
speakers, 10 as acceptor, 580, 586, 589–592,
surface acoustic wave, 10, 12 concentrations of, 590
thermal management, 11, 137, 141, implantation of, 592
513–522 in Hope diamond, 615
thermistors, 1, 10 in type IIb diamond, 614
transistors, 13, 579–602 ionization energy, 580
transmission (see also Transmission, optical effects of, 613, 621
optical), 11 Boudouard reaction, 389
ultraviolet dectors, 10, 12, 651, 652 Boundary layer, 156–159
vacuum microelectronics, 12 Brazing, 430, 450
wear surfaces, 10, 439–441 Breakdown, electric field, 579
x-ray masks, 11 Bulk modulus, of diamond, 520
Applied Science and Technology (see
12
ASTek, Inc.) C (see Carbon-12)
13
Applied Sciences Inc., 532 C (see Carbon-13)
Arcjet CVD (see also D-c arcjet CVD), C — H stretch modes, 622
5, 56, 59, 66, 93, 72, 141–210, C2, 96, 97, 160, 161, 162, 269, 389
527 C2H2 (see Acetylene)
Arrhenius, 249 Carat
Arsenic volume, 5
as dopant, 584 weight, 5
ASTeX Inc., 229 Carbon, non-diamond
Atomic density amorphous, 454, 525
of diamond, 5 atomic, 106, 108, 143, 164
Atomic Force Microscopy (see AFM) carbon black, 353, 362
AT&T Bell Laboratories, 545 fullerene, 525
Index 667

[Carbon, non-diamond] [CO]


glassy, 352 laser transitions, 378, 379
graphitic, 525 optical pumping of, 386
phase diagram, 2, 19, 338, 350 single crystal, 410
Carbon-12, 352, 364, 412 vibrational mode, 388
Carbon-13, 352, 364, 412 Cobalt, 426, 460, 463
Carbon conversion efficiency, 142, 184– as crystalline substrate, 343
187, 223, 225, 250, 251 Coherence length, 331
Carbon tool steels, 426 Coherence time, 330
Carbonaceous precursors, 341, 364 Coherent anti-Stokes Raman spectros-
Carrier copy, 89, 91, 95, 132, 133, 275,
concentration, 581 276, 278, 288
mobility, 9, 581 Coherent light, 328–332
CARS (see Coherent anti-Stokes Raman Color, of diamond
spectroscopy) blue, from boron, 613
Case Western Reserve University, 22, brown, from nitrogen, 615
23 brown, from sp2 bonds, 626
Cast iron green, from irradiation, 616
milling, with diamond, 497 pink, from manganese, 616
polishing diamond with, 630 yellow, from nitrogen, 613–615
Catalysts, 350, 525, 532 Color centers, 653
Cathodeluminescence, 34, 653 Combustion CVD, 5, 56, 68, 96–98,
Cemented carbides, 426 109, 303–323
Ceramic Competitive growth, 76
bisque, 442 Composites
green, 442, 447 carbon-epoxy, 488
CH3 (see Methyl) graphite-copper, 534
CH4 (see Methane) metal-matrix, 425, 444, 479, 532, 533
Chemical resistance, of diamond, 439, Compressibility of diamond, 6
520, 530 Conduction band, 610
Chemical vapor deposition (see also Conductivity
Arcjet, Combustion, Laser, Hot electrical of diamond (see also Elec-
filament, Microwave), 19, 22 tronics, diamond), 7, 49
high-pressure, 18 thermal (see Thermal conductivity)
laser excited, 5, 378 Contacts (see also Schottky)
low-pressure, 73 ohmic, 584, 591, 599
thermal plasma, 141 Contamination
Chlorine, 267, 268 by filament, 621
C/H/O diagram, 242, 243 in feedgas, 621
Circuits, diamond, 594–598 Conversion factors, energy, 361
Cleavage strength, of diamond, 7 Copper
Cleavage, through grains, 457 as crystalline substrate, 343
CO as electrical contact, 600
as carbon source, 270, 288, 364, 365 as heat sink, 567
energy levels of molecules, 378 as via fill, 556
laser spectrum, 387 Correlation function, 329
668 Index

Cost, of diamond, 341 [Diamond properties]


Crack density, 5, 520, 530
propagation, 464 electrical conductivity, 7, 49, 581
velocity, 464 energy gap, 39, 579, 610–613
CRDS (see Ring-down spectroscopy) Hall mobility, 8
Crystalline Materials Corp, 555 hardness, 6, 433, 434, 530
CTE (coefficient of thermal expansion), optical transmission (see also Trans-
529 mission, optical), 8, 9
of diamond, 7, 436, 437, 518, 530, refractive index, 8, 609
649 resistivity, 7, 514, 519, 520, 530
of glass, 641, 649 specific heat, 7, 520
Cubic boron nitride, 429 thermal conductivity (see also Ther-
Cubo-octohedral diamond, 368 mal conductivity), 7, 9, 13, 43,
Cullinan diamond, 615 45, 46, 49
Cutting, of diamond, 450, 540, 541 thermal diffusivity, 45
Cutting tools (see Tools) Diamond seeds, 352
CVD (see Chemical vapor deposition) Diamond synthesis (see Hot-filament
CVD, Arcjet CVD, Combustion
DARPA, 561 CVD, Microwave CVD, rf
D-c arcjet plasma CVD, Laser-assisted
anode, 149, 152 CVD)
cathode, 149, 152, 153 Diamonex, 137
CVD, 59, 68, 70, 92, 98, 106 Diaphragms, diamond, 627–629, 631–
instabilities, 158 636
nozzle, 154–156, 160 Diatomic molecule
DeBeers, 449 energy storage, 326, 376
Defects, in diamond single-quantum processes, 382
absorption spectra effects, 624–626 Dielectric, diamond
interfacial, 464 breakdown (see Electrical breakdown)
Degree of mutual coherence, 329 constant, 520, 530, 579
Delamination, 460, 468, 645, 648–650 loss tangent, 520, 530
Density, of diamond, 5, 520, 530 strength, 530
Detectors Diffusion
ultraviolet, 651, 652 of boron, 590
Diamond classification of carbon, 345
Type Ia, 613–615 Dipole moment, 382
Type Ib, 613–615 DLC (see Diamond like carbon)
Type IIa, 592, 613–615 Domes, diamond, 608
Type IIb, 613–615 Doner, 584
Diamond grit, 365 Dopant Electronics Materials Research,
Diamond like carbon, 338, 342, 486 555
Diamond properties Doping, of diamond, 586, 590, 598–600
band-gap, 13, 610, 611 Drilling, of diamond, 540, 541, 555,
boron doped (see also Boron), 13 556
cleavage strength, 7 Drilling tools (see Tools, rotary)
compressibility, 6 Dymalloy, 531–531
Index 669

EEDF (see Electron energy distribution Failure modes of tools, 448


function) Ferrous, machining by diamond, 497
EELS (see Electron loss spectroscopy) FET (see Field effect transistor)
Effective mass, 580 Field effect transistor, 582–599
Electric breakdown field, 530 Filament
Electro-chemical, 13 carburization, 124
Electroluminescence, 653 contamination, 125
Electron energy distribution function materials
(EEDF), 256–288 rhenium, 122, 128
Electron density, 278, 293, 294 tantalum, 122, 128
Electronics, diamond, 10, 141, 579– tungsten, 58, 119, 122, 128
602 poisoning, 101, 102, 129
Electron loss spectroscopy (see Film sooting, 129
characterization) Film characterization, 21–54
Electron-photon interactions, 344 Auger electron spectroscopy, 35, 78,
Electro-optics (see Optoelectronics) 343, 364, 621
Emission spectrum, 399 cathodoluminescence, 34
End mills (see Tools) electron loss spectroscopy, 30, 33, 34,
Energy, conversion factors, 361 78
Energy cost (see Joules per carat) high-resolution electronmicroscopy,
Energy dispersion spectrum, 392, 395, 33
402 laser microscope mass analysis spec-
Energy distribution troscopy, 35
low-energy electron diffraction
Boltzmann, 380
(LEED), 21, 35
Treanor-Rich-Rehm, 380
Raman spectroscopy (see also Ra-
Energy gap, 39, 579, 610–613
man), 28, 148, 150
Energy transfer (see also Vibrations)
Rutherford backscattering, 36, 78,
Epitaxial
127
heteroepitaxial, 79, 80, 461
scanning electron microscopy (see
homoepitaxial, 1, 75, 148, 581, 589,
also SEM), 28, 30, 40, 78, 180,
594 182, 184, 201
Erosion scanning force microscopy, 47–49
rain resistance, 634, 635 scanning probe measurements, 47, 48
sand resistance, 635 scanning thermal microscope, 49, 50
Etching, of diamond scanning tunneling microscopy, 47,
ECR plasma, 631 48
ion beam, 584 secondary ion mass spectrometry, 35,
mesa, 592 36, 37
reactive ion, 550, 594 thermal conductivity measurements
Ethylene, 339, 362 (see also Thermal conductivity),
Excimer (see Lasers) 46
Excitation conventional method, 47
electronic, 326 thermo-optical method, 47
rotation, 326 transmission electron microscopy, 28,
vibration, 326 30, 32, 33, 78, 340, 342
670 Index

[Film characterization] Growth rate, 71, 72, 141, 142, 147,


X-ray diffraction, 28, 36, 37, 40, 41, 148, 173, 174, 176, 181, 185,
78, 264 186, 190, 201, 207, 211, 225,
Finite element analysis, 288, 558 242–247, 249–252, 260–265,
Flame temperature, 98 341
Flip-chip, 557–560 calculation of, 373, 374
Flourine, 267–268, 526 Gyrotron (see Windows, diamond)
Fourier analysis, 332
Fourier transform infrared analysis, 95,
98, 132, 269 Hall mobility, of diamond, 8
Fracture, modes of, 457 Hard coatings, 440
Fracture toughness, of diamond, 435, Hardness, of diamond, 6, 433, 434,
438–441 530
Freestanding diamond, 177, 627–629 Harvard University, 18
Friction, coefficient for diamond, 433, Heat capacity
434, 499 of diamond, 7, 516
FTIR (see Fourier transform infrared Heat exchangers, 533
analysis) Heat sink, 10, 512, 516, 518, 529, 540,
Fullerene, 525 565
Heat spreader, 513–522
Hewlett Packard, 561
Gallium arsenide, 569
High-pressure, high temperature dia-
Gas chromatography, 88
Gem diamond, 609 mond, 3, 18, 326, 349, 352, 586
General Electric, 2, 17, 18, 20, 326, High-resolution electron microscopy, 33
352 Hole
Germanium, as substrate, 354, 355 concentration, 580
Glass (see also Substrates) mobility, 581
alkali lead, 641, 642 Homoepitaxy, 1, 67, 75, 79, 80, 148,
borosilicate, 641–650 266
TM
Pyrex, 650 Hope diamond, 615
soda lime, 641, 642 Hot-filament
Gold CVD, 5, 24, 56, 58, 66, 67, 68, 70,
as electric contact, 590, 591, 599 75, 88, 89, 98, 99–105, 119–
Grain boundaries, in diamond, 458, 140, 368, 527, 528
553 HREM (see High-resolution electron
Grains microscopy)
cleavage through, 457 Hydrogen
in PCD, 427 abstraction, 64, 65, 67, 69, 238, 239,
Graphite 240, 268
disordered, 340 atomic, 59, 60, 64, 65, 71, 72, 87,
machining, 486 89, 93, 95, 100, 101, 104, 105,
microcrystalline, 343 108, 142, 143, 146, 160, 161,
transformation of diamond to, 636 170, 213, 214, 238, 239, 240,
Growth, of diamond 242, 247, 262, 273, 274, 276,
on diamond seed, 405–409 356, 363
Index 671

[Hydrogen] Langmuir probe, 279


dissociation, 58, 102, 106, 121, 128, Laser chemical vapor deposition, 366–
143, 149, 157, 213, 238, 291, 369
292 Laser driven reactions, 327, 370
in polycrystalline diamond, 622 Laser excited chemical vapor deposition
molecular flow rate, 370 (see also Optical pumping)
optical effects, 621 CO lasing lines used in, 392
p-type diamond, 594, 599 experimental setup, 391
recombination, 105, 125 Laser-induced fluorescence, 89, 91, 96,
surface passivation, 369 97, 110, 132, 160, 161, 273,
275, 276, 278
IBM, 558 Laser microscope mass analysis spec-
Impurities troscopy, 35
absorption spectra effects, 621–624 Laser physical vapor deposition (see
in microwave CVD diamond, 622 Ablation)
Incoherent light, 328–332 Laser-plasma technique, 341
Infrared absorption (see Optical Laser pumping, 336, 337
absorption) Laser pyrolysis, 333
Infrared diode laser absorption spectros- Lasers
copy, 88 argon ion, 352
Infrared laser absorption, 130
CO (see also CO), 379
Infrared spectrum
CO2, 348
gas phase, 396
excimer, 348, 371, 547
Insulated gate, 588
for diamond synthesis, 325–417
Interface (see also Adhesion,
high power, 607, 627
Microstructure)
Nd-YAG, 342, 354, 547, 555
chemical bonding, 462
polishing with, 546–550
degradation, 476
Q-switched, 342, 354, 386, 547
liquid-solid, 352
types of, 337
Interference fringes, 331
YAG, 348
Ion implantation, 343, 585, 591,
592 Lawrence Livermore National Labora-
Ionization energy tory, 531
of boron acceptors, 580 Lead
of molecules, 359 as electrical contact, 600
Iron, as catalyst, 350 LEED (see Film characterization)
LIF (see Laser-induced fluorescence)
Johnson’s figure of merit, 579, 580 Liquid immersion of substrates, 350–
Joules per carat, 341, 350, 376, 408, 353
416 Liquid-solid interface, 352
Loss tangent (see Dielectric)
Kennametal, Inc., 506 Low-energy electron diffraction (see
Key’s figure of merit, 579 Film characterization)
Knoop hardness Low-temperature, deposition, 408, 528,
of several optical materials, 360 529, 643
Knoop indenter, 6 Lubricity, of diamond, 437
672 Index

Mandrill (see also Substrate), 526 Mirage Effect (see Photothermal


Manganese deflection)
optical effects, 616 Mobility
Mass spectroscopy, 88, 97, 131 of holes in diamond, 581, 582
Maxwell-Boltzmann distribution, 374 Modeling
MCM (see Multichip module) numerical, 285–296
Membranes (see Diaphragms) thermal, of packaging, 558–561
MESFET, 588 Molecular beam mass spectroscopy, 88,
Metallization, of diamond, 553–557 92, 100, 131, 271
Metal matrix (see Composites) Morphology, of diamond, 80–82, 86,
Methane, 362 207, 223, 365, 452, 453
bending mode of, 394 MOSFET, 591
emission from, 401 MPD (see Multiphoton dissociation)
energy levels, 397, 404 Multichip module, 511, 512, 514, 521,
hot bands, 400 557, 562–569
stretch mode of, 393 Multiphoton absorption, 335
Methyl radicals, 62, 64, 67, 70, 71, 87, Mutual coherence function, 329
89, 93, 95, 100, 104, 106, 107,
109, 120, 130, 131, 134, 136, Nanocrystalline diamond, 268, 389
146, 164, 214, 238, 242, 247, Nanoindentor, 633
268, 269, 271, 273, 287, 288, National Institute for Research in Inor-
296, 363, 407 ganic Materials (see NIRIM)
Michigan State University, 227 National Institute of Science and Tech-
Microcrystalline diamond, 456 nology (NIST), 539
Microcrystalline graphite, 343 Navy, U.S., 629
Microporosity, in diamond, 454 Nickel
Microstructure, of diamond, 444 as catalyst, 350, 352
interfacial, 463 as crucible, 351
Microsubstrates, Corp., 555 as single crystalline substrate, 343
Microwave (see also Packaging) NIRIM, 24, 226
plasma enhanced assisted CVD, 5, Nitrogen
24, 56, 75, 92, 95, 99, 108, 126, aggregates of, 613
211–301, 528 effect on synthesis, 265
power density, 279, 280, 292, 293 effect on thermal conductivity, 519
Microwave applicators, 215, 221 ESR active, 613
Microwave reactor types in polycrystalline diamond, 622
ASTeX, 229–231, 271 optical effects, 613, 621
Berkeley, 231, 232 NorCool, 569
cavity, 227–229, 266, 277, 278, 280 Norton Diamond Film, 227, 448, 506,
France bell jar, 232, 233, 273 569, 629
ellipsoidal, 233, 234 n-type diamond, 601
jet torch, 234, 235 Nuclear particle dectors, 10
magneto/ECR, 235–237 Nucleation, 37, 55, 73–79, 82–84, 110,
surface-wave, 234 136
tubular, 226, 227, 266, 269, 271, 273, by abrasion, 83, 524
286 by carbon fibers, 525
Index 673

[Nucleation] [Packaging]
by fullerenes, 525 radiofrequency, 521
by metallic powder, 524 three-dimensional, 521, 562–566
by substrate bias, 83–85, 136, 237, PCD tools, 427, 428
238, 524 Permeable base transistor, 587
carbide layer, 78 Phase diagram (see Carbon)
density, 73, 74, 78, 82, 84, 86, 461, Phase stability, 438
523, 524 Phonon absorption
homogeneous, 74 multiphoton, 612, 623
incubation period, 75, 76 single photon, 623
rates, 76, 77, 82 Phonon-phonon interactions, 344
three-dimensional, 75, 76 Photochemistry, 359
ultrasonic, 524 Photoconductivity
in diamond, 651–653
OES (see Optical emission switching, 652, 653
spectroscopy) Photodissociation, 362
Ohmic (see Contacts) Photolysis, 327, 339, 358–376
Optical (see Absorption, Reflection, Photon
Substrates, Transmission) detection in diamond, 650–652
Optical absorption (see Transmission) emission from diamond, 653
Optical coatings, 10, 21, 355, 637–648 infrared dissociation, 339
Optical emission spectroscopy, 92, 95, multiphoton dissociation, 336,
96, 97, 136, 276, 278, 288 358
Optical materials, properties, 360 single photon absorption, 376
Optical pumping, 336, 337, 376–415 Photon-electron interactions, 344
for diamond growth, 385–409 Photon-phonon interactions, 344
of liquids, 409–415 Photothermal
Optical reflection (see Reflection) deflection, 538
Optical transmission (see Transmission) radiometry, 537, 538
Optics, diamond, 607–654 Physical Chemistry Institute, 23
Optoelectronic (see also Packaging) Planarization, of diamond, 550–552
uses of diamond, 650–653 Plasma plume, 335
Overtone spectrum, 414 p-n junction, 580
Oxidation Poisson’s ratio, 520, 530, 634
of diamond, 438, 530, 636 Polarization, 329
Oxyacetylene, 340, 342 Polishing, of diamond
Oxygen chemical assisted, 545–546
growth, 260–265 for optics, 629, 630
optical effects, 624 ion beam, 549
Oxygen, in polycrystalline diamond, laser, 546–550
622 mechanical, 542,543
Ozone, 336 thermochemical, 543–545
Polycarbonate, 496
Packaging (see also MCM) Post deposition finishing, 447, 449
microwave, 521, 569 Precursors, for diamond, 364, 373–375
optoelectronic, 561, 562 p-type diamond, 590, 594
674 Index

Pyrolysis, 327, 339, 353 Saint Gobain Industrial Ceramics, 448,


Pyrometry, 650 506
Sandia National Laboratories, 567
QQC process, 347–349, 353, 417 Saturation velocity, 580
Quantum efficiency, 651 SAW device (see Surface acoustic wave
Quantum number, vibrational, 382, 396 device)
Quantum yield, 362 Scanning electron microscopy (see
Quench rate, 351 SEM)
Scanning force microscopy (see SFM)
Scanning tunneling microscopy (see
Radiometry (see Photothermal) STM)
Raman Scattering
diamond peak, 340 optical effects, 616–620, 626, 629,
D peak, 340, 341, 357 639, 640
G peak, 340, 357 Schmidt number, 144
micro, 351, 357 Schottky
quality factor, 626 contacts, 584, 586
spectra, 28, 180, 181, 190, 193, 203, photodiodes, diamond, 652
248, 340, 357, 358, 367, 394, Seals, diamond coated, 498
455, 456, 646 test results, 500
spectroscopy, 28, 148, 150, 343, 454 Secondary ion mass spectroscopy (see
Rate constants SIMS)
for energy transfer, 411 Seeding (see Nucleation)
of elementary reactions, 371 Sellmeier equation (see Refractive
Raytheon, 555, 628 index)
RBS (see Rutherford backscattering) SEM, 28, 30, 40, 78, 180, 182, 184,
Reactor scale-up, 98, 99, 119, 137, 141, 201, 261, 267, 351, 356, 367,
170–173 393, 428, 448, 451, 453, 458,
Recrystallized diamond, 351 467, 469, 470, 474, 476, 478,
Reflection, optical, 609 493, 552
Refractive index Semiconductor
of diamond, 8, 609 diamond as, 580, 598
of several optical materials, 360 Sensors, 12
Sellmeier equation, 609 SFM, 47, 48, 49
Relaxation time, 344, 345 Shear modulus, diamond, 520
REMPI (see Resonance-enhanced multi Silane, 339
photon ionization) Silica (see Substrates)
Resistivity, electrical Silicon (see Substrates)
of diamond, 7, 514, 519, 520, 530 optical effects, 624
Resonance defect, 383 Silicon carbide
Resonance-enhanced multiphoton ion- diamond interface, 642
ization, 89, 90 SIMS, 35, 36, 37, 591
Rf plasma CVD, 68, 98, 108, 141, 160, Sooting, 109, 129
171, 176, 198 sp2 bonds, 4, 27, 28, 29, 71, 73, 170,
Rhenium, 122, 128 239, 242, 260, 263, 454
Ring-down spectroscopy, 133 optical effects, 624, 625
Rutherford backscattering, 36, 78, 127 sp3 bonds, 4, 28, 29, 73, 148, 239, 452
Index 675

Spalling, 460 Temperature


Specific energy, 141 distribution, 345–347
Specific heat, of diamond, 7, 520 gas, 275–278, 291, 292–294, 348,
Stagnation flow, 347 385
Stainless steel, as substrate, 348 gradient, 345
Stark-Einstein Law, 362 substrate, 85, 213, 214, 217, 218,
STM, 49, 50 219, 223, 240
Strain temperature vibrational, 380
of glass, 641 Tersoff potential, 63, 64
Strength of diamond Textured growth, 37, 80, 81, 252–260,
burst strength, 520 631, 632
compressive strength, 435 Thermal conductivity
flexural strength, 633 measurement methods, 535
mechanical strength, 519, 633–636 of aluminum nitride, 514
rupture strength, transverse, 435 of beryllium oxide, 514
shear strength, 530 of copper, 514
tensile strength, 434, 530 of diamond, 7, 9, 13, 43, 45, 46, 49,
Stress 435, 437, 514, 520, 530, 580,
due to CTE mismatch, 437, 646–649 636
in films, 645–650 of silver, 514
Substrate bias, 196–200 Thermal diffusivity, 45
Substrate holder, 217–219 Thermal expansion (see CTE)
Substrate temperature, 85, 213, 214, Thermal management, 11, 137, 141
217, 218, 223, 240, 249, 250, Thermionic, 152, 155
262 Thermistors, 10
Substrates Thick film cutting tools, 430
boron nitride, 75 Thin film cutting tools, 432
diamond, 518–522 Thorium oxide, 152
germanium, 355 3␻ method, 536, 537
glass, 641–650 Titanium
optical, 637–650 as electrical contact, 589, 590
silica, 641, 643 as filament material, 122, 128
silicon, 78, 641, 642 Tools, cutting
applications, 10, 137, 141, 441–
tungsten carbide, 432
444
ZnS, 639
coated, thin film, 432
ZnSe, 639
conventional, 426, 427
Sun Microsystems, 531
design of, 445–452
Surface acoustic wave, 10, 12
diamond adhesion to (see Adhesion)
Surface roughness, 447, 550, 629, 632
inserts, 475–478, 481–484
optical effects of (see Scattering)
PCD, 427, 428
performance of, 441–445, 452–458,
Tantalum, 122, 123, 128 475–498
TEM, 28, 30, 32, 33, 78 thick film, 430–432
bright field, 340 tungsten carbide, 426, 427
of diamond, 340, 342 Tools, dressing, 501–503
of graphite, 340 Tools, rotary, 480, 481, 485–498
676 Index

Transistors, diamond, 13 Ultraviolet (UV) (see also Absorption)


bipolar, 583 diamond detector, 10, 12, 651
frequency, 582 vacuum, 359
history of, 583 Union Carbide Corp., 19
IGFET, 589
Vacuum microelectronics, 12
MESFET, 582
Valence band, 610
MISFET, 589
Velocity, distribution, 374
MOSFET, 594–599
Via, filling of, 555
permeable base, 586, 587
Vibrational pooling, 389
point-contact, 584
Vibration electronic energy transfer,
power, 582
377, 388
Translational energy, 327, 359
Vibration-rotation energy transfer, 404
Transmission electron microscopy (see Vibration-rotation-translation energy
TEM) transfer, 340
Transmission, optical Vibration-translation energy transfer,
diamond, 8, 9, 11, 520, 608 340, 377, 382–384, 404
diamond coated substrates, 637–648 Vibration-vibration energy transfer, 377,
germanium windows, 355 382–384, 404
of polycrystalline diamond, 616–621, Vicker’s indentation, 6, 648
628
Treanor minimum, 381 Wavelength, 359, 608
Tungsten, Wavenumber, 359, 608
as cathode material, 152 Wear resistance, of diamond, 439–441,
as electrical contact, 584 479, 504
as filament, 58, 119, 122, 125, 527 Wear surfaces, 10
as via fill, 556 Windows, diamond
melting point, 123 endoscope, 627
Tungsten carbide (see Tools) gyrotron, 10, 11, 627
Turning (see Tools) optical, 10, 11
Type I, 517 Wire dies, 503–504
Type Ia diamond X-band, 582
color of, 613 XPS (see X-ray photoelectron
nitrogen in, 613 spectroscopy)
transmission spectra, 615 X-ray
Type Ib diamond initiated reactions, 359
color of, 613 X-ray diffraction (XRD), 28, 36, 37, 40,
nitrogen in, 613 41, 78, 264, 457
transmission spectra of, 614 X-ray masks, 11
Type II, 5, 7, 43 X-ray photo electron spectroscopy, 88
Type IIa diamond X-RD (see X-ray diffraction)
Cullinan diamond, 615
Young’s Modulus, 6, 9, 433, 434, 520,
nitrogen in, 613
530, 634
Type IIb diamond
biaxial, 646
boron in, 613
Hope diamond, 615 ZnS (see Substrates)
transmission spectra of, 14 ZnSe (see Substrates)

You might also like