6 ใบเนื้อหา

You might also like

Download as pdf or txt
Download as pdf or txt
You are on page 1of 5

ใบเนื้อหา

ชื่อ......................................................................................เลขที่....................................ชัน้ ..............................
วิชา....................................................................................วันที่........................................................................

ตารางความจริง
ความหมายของวงจรเขารหัส
วงจรเขารหัส (Encoder) คือวงจรที่ทำหนาที่
เปนตัวกลางในการเปลี่ยนรหัสสัญญาณจากรหัสหนึ่ง
ไปเปนอีกรหัสหนึ่ง…………………....................................
...................…………………................................
....................................................................
โครงสรางของวงจรเขารหัส
หลักการออกแบบวงจรเขารหัส
2𝑛𝑛
จากตารางความจริง นำคาทาง Output
DATA Encoder มาทำการหาสมการบูลีน ………………….................................
N =DATA
Input ................................................................................
Circuit Output
Enable
AB
C 00 01 11 10
0
เมื่อ คือ ................................
1
N คือ ..................................

ตัวอยางที่ 1 กลุม X

X=
X=
1. การจายอินพุตแบบ Active High หรือเรียกอีก
กลุม Y
อยางวา Pull up โดยจะตอสายไวหลังสวิตช ใน
AB กรณีที่สวิตชยังเปดอยู Y จะเทากับ 0 แตถาสวิตช
C 00 01 11 10
ปดก็จะทำให Y เทากับ …………………......................
0
................................................................................
1 ................................................................................
................................................................................
Y=
Y=

จากสมการบูลีน สามารถเขียนเปนวงจรลอจิกเกต
ไดดังนี้

2. การจายอินพุตแบบ Active Low หรือเรียกอีก


X = ……. อยางวา Pull Down โดยจะตอสายไวกอนหนา
สวิตช ในกรณีที่สวิตชยังเปดอยู Y จะเทากับ 1 นั่น
แสดงวาวงจรจะทำงานตลอดเวลา แตถาสวิตชปด
ก็จะทำให Y เทากับ 0 .......................................
................................................................................
................................................................................
Y = …….

การใชงานไอซีเขารหัส
ไอซีเขารหัส …………………............................
................................................................................
................................................................................
ตัวอยางที่ 2 ตารางความจริงของ ไอซีเบอร 74147
การตอวงจรเขารหัสเลขฐาน 10 ใหเปน BCD
8421..............................................................
.............................................................................

ตัวอยางไอซี เบอร 74LS147

ลักษณะการตอวงจรเขารหัสเลขฐาน 10 ใหเปน
อุปกรณจริง วงจรภายใน IC BCD 8421 (จากโปรแกรมจำลอง)
*Datasheet

ความหมายของวงจรถอดรหัส
วงจรถอดรหัส (Decoder) คือ ..................
................................................................................
................................................................................
................................................................................
................................................................................

แหล่งอ้างอิง
โครงสรางของวงจรถอดรหัส กลุม A
X
Y 0 1
0 A = .............
1
กลุม B
X
Y 0 1
ตัวอยางที่ 3 0 B = ..............
1
กลุม C
X
Y 0 1
0 C = ...............
1

ตารางความจริง กลุม D
X
Y 0 1
0 D = ...............
1

จากสมการบูลีน สามารถเขียนเปนวงจรลอจิกเกต
ไดดังนี้

หลักการออกแบบวงจรถอดรหัส
จากตารางความจริง นำคาทาง Output
มาทำการหาสมการบูลีน ……….......…...................
................................................................................
A = …….
...............................................................................
B = …….
C = …….
D = …….
การใชงานไอซีถอดรหัส
การถอดรหัส จะแบงได 2 แบบ คือ
1 ......................................................................
2 .......................................................................
ตัวอยางที่ 4
การตอวงจรถอดรหัส BCD 8421 ให
แสดงผลลัพธที่ 7-Segment ……….......…...............
................................................................................
................................................................................ แหล่งอ้างอิง
...............................................................................
ตารางความจริงของ ไอซีเบอร 74LS47
ตัวอยางไอซี เบอร 74LS47

อุปกรณจริง วงจรภายใน IC
* Datasheet

ลักษณะการตอวงจรถอดรหัส BCD 8421 ใหแสดง


ผลลัพธที่ 7-Segment (จากโปรแกรมทดลอง)

You might also like