Download as pdf or txt
Download as pdf or txt
You are on page 1of 12

DRAMs size.

Since eDRAM memory blocks are approximately


4–8 times smaller than SRAM memory blocks, repla-
Dynamic random access memory (DRAM) primarily cing SRAM-based cache with eDRAM-based cache
serves as the main memory for all modern computing may mitigate the problem to a large extent.
devices. The largest customers for DRAM are the Embedded DRAM can be implemented in both a
personal computer and server markets. However, DRAM and a logic technology. There are key
fueled by the popularity of hand-held computing compromises that need to be made in either case.
devices and organizers to access the Internet and the When proceeding from a DRAM base, one retains the
World Wide Web, the Semiconductor Industry As- advantage of a small commodity DRAM-like cell size.
sociation (SIA) projects the DRAM market to grow However, there is a significant loss in performance for
from an estimated US$29 billion in 2000 to US$44 logic devices. This performance penalty can be equiv-
billion in 2002 (SIA 2000). A second independent alent to going back a couple of logic generations.
market research source projects the DRAM market to Additionally, the lower drive capability of the devices
reach US$76 billion in 2002 (Dataquest 2000). in DRAM technology means that the devices need to
Embedded DRAM (eDRAM) is a newer—and still be made large to drive any reasonable type of load.
developing—technology that is largely based on Ironically, while the DRAM-based technology yields
DRAM know-how but serves markets that are more a smaller DRAM array, it actually is a generation
driven by the rate and amount of information flow to behind in logic density! Furthermore, DRAM-based
a processor—more commonly referred to as band- logic devices require custom libraries for logic im-
width. The presence of memory—and lots of it—close plementation. For these reasons, DRAM-based
to a processor allows information to be processed eDRAM is used mainly in DRAM-intensive appl-
more quickly and efficiently effectively enhancing the ications in which logic performance and large library
performance of a system. The largest market for availability are of secondary importance. Where logic
eDRAMs is in graphic accelerators for personal performance is at a premium and where the appl-
computers and server boxes. However, driven by the ications require compatibility with a high-speed logic
presence of the Internet, which drives information library with numerous standard cell selections, it is
speed and immense storage requirements, eDRAM necessary to proceed from a logic base. Within the
technology is widely anticipated to play a major role in context of logic-based eDRAM, a slightly larger cell
four key hardware areas: size will have to be tolerated. In both cases, however,
(i) wired microelectronics (e.g., network router the overall process complexity and costs are essentially
systems); similar.
(ii) wireless microelectronics (e.g., hand-held tele- This article describes the present and future chal-
phones and computers); lenges of fabricating DRAM and eDRAM based on
(iii) storage systems (as part of the read\write trench capacitor technology—or more formally
electronics in hard-disk controllers); and known as substrate plate trench (SPT) technology (Lu
(iv) microprocessor unit (MPU) systems. et al. 1985). The objective of this article is twofold.
The worldwide revenue for eDRAM is projected to be First, to have the reader appreciate the complexities in
US$7.5 billion in 2002 (IBM press release, February processing DRAM, and second, to understand the
22, 1999). materials challenges that exist in developing present
One of the more intriguing areas in which eDRAM and future DRAM and eDRAM technologies. How-
is envisioned to make a big impact is in its use as an on- ever, before the material challenges are delved into,
chip memory of an MPU—typically referred to as some DRAM and eDRAM ‘‘basics’’ are briefly
cache. MPUs cannot process data continuously and described. Therefore, background sections are pro-
must store data on a temporary basis in these cache vided in this article that describe: (i) how a DRAM cell
(memory) blocks. An MPU commonly contains three (bit) works, (ii) the technological drivers (and dif-
levels of on-chip memory in which to store data ferences) between DRAM and eDRAM, and (iii) a
temporarily: (i) L1 (for level 1 cache), (ii) L2 (for level process flow describing the steps in the front-end-of-
2 cache), and (iii) L3 (for level 3 cache). The des- line (FEOL) fabrication of trench-based DRAM and
ignation L1, L2, etc., is based on the hierarchical eDRAM. Following the background information
methodology of data storage and data access. The first sections three key areas of materials research in
place where a processor unit will store and look for DRAM and eDRAM are then described: (i) capacitor
data is the L1 cache. If the data cannot be found in the dielectrics, (ii) insulator materials, and (iii) integration
L1 cache, the processor will look in the L2 cache and of low-resistive materials such as copper for wires in
so on. This role is exclusively filled by static random the back-end-of-line (BEOL) and metal silicides.
access memory (SRAM). However, as the MPU
requirement of on-chip memory increases from several 1. How Does DRAM Work?
hundred kilobits (Kb) to several tens of megabits (Mb),
the size of SRAM memory blocks presents severe All modern DRAM is based on the one transistor–one
manufacturing constraints owing to the resultant die capacitor (1T–1C) cell concept (Dennard 1968) (see

1
DRAMs

Fig. 1). Cells are arranged in arrays; array blocks of (iii) However, as the word ‘‘dynamic’’ suggests,
1 Mb, 4 Mb, or larger are typical. Accessing a cell in an charge does leak away from the capacitor. After
array is accomplished through a set of orthogonal several tens or hundreds of milliseconds, the bitline
wiring structures called bitlines and wordlines. Wires and wordline are turned on again to read and refresh
and contacts that write and read charge from a the capacitor. The bitline potential changes by only a
capacitor are called bitlines and bitline contacts. By small fraction (" 20%) of the capacitor level, and
convention, bitlines take on a column address. Long typically this voltage is only several tens of millivolts.
transistor gates (wires) run perpendicular to the bitline The process begins by transferring the voltage level left
direction and allow charge to be written into or read in the capacitor onto the bitline. This reduced voltage
out of a capacitor; these gates are known as wordlines. level is fed into a circuit outside of the array which can
By convention, wordlines take on a row address. For sense this change in voltage (also known as a sense
the purposes of this article, the basic operation is amplifier). Once the amplifier senses the change, the
described of writing and reading a signal (e.g., a logical bitline voltage is amplified to its previously high level.
‘‘1’’) into and out of a DRAM cell as shown in Fig. 1: The capacitor is then refreshed by turning the wordline
(i) A potential is applied to the bitline by a circuit on to allow charge to flow back into the capacitor.
outside the array and the array transistor is turned on
to allow charge to be written into the capacitor. A
bitline contact, which lands on the active area that the
2. DRAM and eDRAM Technology Drivers
capacitor resides, holds the voltage level and transfers
the voltage onto the active area and the charge flows Although DRAM and eDRAM share similar proces-
into the capacitor. ses and design concepts, there are also considerable
(ii) After writing a charge into the capacitor, the differences. Key DRAM technology drivers are the
bitline and transistor are turned off; a junction (e.g., following:
simple n+\p) that is tied to the capacitor is held in a $ Maintaining and extending deep UV (DUV)
reverse bias condition to hold charge in the capacitor. lithography to smaller and smaller dimensions.

Figure 1
Schematic of 1 transistor—1 capacitor cell (Dennard 1968). Shown within the schematic is the current flow when ‘‘writing’’
a logical ‘‘1’’ into the capacitor.

2
DRAMs

Figure 2
(a) SRAM cell vs. (b) eDRAM cell (offered in 0.18 µm IBM SA-27E Logic Library).

$ Reducing cell size and maximizing array ef- cessor. Indeed, as previously mentioned, it is widely
ficiency. Minimizing cell area entails reducing ca- anticipated that eDRAM will replace some, if not
pacitor area and incorporating borderless contacts in most, of the on-chip memory now in the form of
the array (i.e., contacts that immediately abut the SRAM. An SRAM cell is usually configured as six
transistor). transistors (6T layout)—four n-type field effect tran-
$ Maximizing retention time. Because charge leaks sistors (NFETs) and two p-type FETs. A logical ‘‘1’’
away from the node junction that is tied to the state, for example, is held in a simple transistor latch
capacitor, the DRAM capacitor needs to be constantly condition. Since SRAM cells are logic-based devices,
refreshed—typically in the range of tens to hundreds accessing the logical state is very fast (read access times
of milliseconds. Refreshing often, however, means of 5 ns or less are not uncommon). In order to access
more power consumption, and for portable devices information from an eDRAM cell, a read and a
battery life will be compromised. In order to provide rewrite operation must be performed (the rewrite
femptoamp (10−"& A) leakage in a cell, graded junction operation is not required in SRAMs). This makes the
profiles are purposely formed in the array. replacement of SRAM cache with eDRAM cache not
$ Minimizing cost. Devices in DRAM chips are completely transparent.
purposely made to be simple and cheap. Devices tend However, it is quite clear that one can save signifi-
to be single-oxide type and the number of devices cant amounts of silicon real estate if cache systems
necessary to operate the chip is kept at a minimum. shift from SRAM based to eDRAM-based. In many
Diffusions need not be silicidized, wordlines consist modern microprocessors, SRAM cache can take up to
of a stack of doped polysilicon with a silicide (also 50–70% of the entire real estate of the microprocessor,
known as polycide), and metal wires are composed of depending on the amount of cache present on the chip
aluminum. (Iyer and Kalter 1999). One method of compressing
As the motivation for making eDRAM is to have the microprocessor die size is to replace SRAM cache
the memory arrays as close as possible to the processor, with eDRAM cache. Figure 2(a) shows a top-down
the thinking here is to make eDRAM a ‘‘performance- schematic of a 6T–SRAM cell and Fig. 2(b) shows a
driven’’ DRAM that is embedded next to the pro- top-down schematic of the 1T–1C cell that is offered in

3
DRAMs

the 0.18 µm logic family of IBM. The eDRAM cell size (a)
is " 6–8 times smaller than SRAM at the same
lithographic dimensions (Crowder et al. 1998). Thus,
Bitline
one can easily see the benefit of replacing SRAM cache
with eDRAM cache—for the same memory space on a N+ Poly Si
Contact
microprocessor chip, one can place more eDRAM Wordline
memory than SRAM memory. Since now the pro- N Junctions STI

cessor has a larger memory space to temporarily store Buried


Strap
data, the effect on the processor and system is much P-Well
Oxide Collar

improved performance. Buried N-Well


Needless to say, eDRAM technology tends to Cell Features:
accentuate performance such that assimilation into 8 lm deep trench
the logic world can be as seamless as possible. eDRAM ON node dielectric (Teq = 5.5 nm) N+ Poly Si
self-aligned (maskless) buried strap
must operate at logic voltages, which, unlike DRAMs, shallow trench isolation (STI)
tend to be unregulated and lower (e.g., power supply 8.2 nm gate oxide
polycide gate conductor
voltages for eDRAM are (will be) 1.8V (1.2V) whereas self-aligned/borderless bitline contact
metal bitline
DRAM supply voltages are (will be) 3.3V (2.5V)). To
this end, eDRAM parts use many features that logic
parts tend to possess:
$ dual work function, thin oxide surface channel
(b)
FETs to maximize transistor drive currents;
$ scaled devices that operate at reduced voltages
and shorter channel lengths; Copper m 2

$ cobalt salicide technology in the logic circuitry of


the eDRAM chip to decrease parasitic resistances; Dielectric Cu
Contact
Stud

$ bordered tungsten contacts (i.e., contacts that do Cu


Bitline
not land next to transistors and are set some distance Bordered bitline contact
BPSG
Poly AWL Poly PWL

away so as to minimize effects of the heavy dose STI


Shallow trench
Isolation
Trench
implant required for ohmic contact formation) to Buried Strap
P-Well
Oxide Poly-Si
Collar
reduce contact resistances; and Buried N
Plate N+ Poly-Si
$ copper metallurgy for metal wiring.

3. Process Flow for DRAM


The process methodology of trench-based DRAM is
to first form the capacitor in the silicon substrate as Figure 3
opposed to on or above the silicon substrate (i.e., Cross-section schematics of trench-based capacitor cells in;
stacked capacitor). From a processing standpoint, (a) DRAM, (b) eDRAM.
capacitor formation in the silicon creates a very planar
surface—this eases the fabrication of transistor devices node dielectric is an oxide collar (30–40 nm thick) and
and contact levels. From an operation standpoint, the wraps around the upper portion of the trench. This
trench capacitor provides better noise immunity and structure is used to prevent a vertical parasitic
higher soft error rate (SER) resistance than stacked leakage—a MOSFET that is gated by the trench-
capacitors. fill—that can develop along the trench sidewall.
The FEOL process flow of creating a trench Located at the very top of the trench is a structure
capacitor cell in the 0.25 µm DRAM technology— called a ‘‘buried’’ strap (‘‘buried’’ because the strap sits
developed by IBM, TOSHIBA, and Siemens Micro- below the silicon surface). The strap is the conduit
electronics (now INFINEON)—is described below allowing charge to be written into and read out of the
(also refer to the cross-section of the trench capacitor capacitor. This is also referred to as the node junction
cell in Fig. 3(a) for reference) (Bronner et al. 1995). as this is the junction that is held in the reverse bias
condition to minimize charge leakage. The conducting
material of the trench is an arsenic-doped silicon fill.
3.1 Deep Trench Module
This fill serves as one of the two plates needed to
Trenches are lithographically defined and reactive ion charge the silicon nitride dielectric. The second plate
etched (RIE) into the silicon substrate. Depths of the lies outside of the trench in the silicon substrate. The
deep trench are typically 6–7 µm. Aspect ratios of 30: 1 plate, formed prior to the deposition of the node
(depth:width) are typical for trench capacitors. A dielectric, is a heavily doped arsenic diffused layer
silicon nitride node dielectric sits along the sidewalls created by outdiffusion of arsenic from an arseno-
and bottom surface of the deep trench. Above the silicate glass (ASG).

4
DRAMs

BPSG. This wiring level completes the formation of


3.2 Shallow Trench Isolation Module
the structures that are required for basic memory
Following capacitor formation is the shallow trench operation. Typically, two additional levels of metal
isolation (STI) module. Here, isolation areas are wires (aluminum-based metallurgy) are added beyond
defined by a masking process and a shallow silicon the bitline, principally for circuits that drive signals
etch is conducted. The depth of the isolation is into the array such as wordline and bitline drivers.
typically 250–350 nm below the silicon surface. After The process flow for eDRAM is very similar to that
an oxidation step, the isolation trenches are filled by a of the base DRAM process but with some exceptions
deposited oxide and planarized (via polishing) back to (see Fig. 3(b)):
the silicon surface. (i) The gate conductors in the eDRAM array are
solely n+ polysilicon and do not consist of the DRAM
stack of n+ poly\WSi \Si N . The reason for this
3.3 DeŠice Well Module design is in the tight #tolerances
$ % for the conductor
channel lengths necessary for consistent logic per-
After completion of the STI process, device wells or
formance. The presence of the thick nitride film and
tubs are formed in the chip. Wells are formed to isolate
WSi can bias the gate poly etch, causing variations in
NFETs and PFETs from one another and are created #
transistor channel dimensions across the wafer.
via high-energy (" 100–600 keV) but low-dose
(ii) The higher resistances in the eDRAM array are
(" 10"$–10"%) implants. In the DRAM array, a cell sits
offset by designing or ‘‘stitching’’ a metal wire onto the
in a retrograde p-well. Here, implant energy and dose
gate conductor. ‘‘Stitching’’ metal wires onto the gate
conditions are chosen to minimize vertical leakage
conductor is present more in eDRAM than in
along the trench sidewall surfaces and lateral leakage
DRAMs.
between cells. In addition, the threshold voltage
(iii) Logic circuits use a cobalt salicide process to
implant for the array device is also conducted in this
lower diffusion and gate conductor resistances. The
process.
silicide is prevented from forming in the array by
masking.
(iv) The bitline contacts in the array are formed with
3.4 Gate Conductor Module
tungsten and typically use a high-dose contact implant
Following the well formation is the gate conductor prior to the tungsten deposition for ohmic conduction.
module. Here, transistors in the DRAM array, as well The presence of the high-dose implant necessitates the
as in the supporting logic circuitry, are defined on the bordered contact process.
wafer. A gate oxide (6–8 nm) is grown on the surface (v) The bitline and metal wiring in eDRAM is
and a heavily (phosphorus) doped poly layer is composed of copper instead of tungsten and alumi-
deposited followed by a low-resistive tungsten silicide num, conventionally used for DRAM. The substi-
(WSi ) layer. A thick silicon nitride film is deposited tution of copper for tungsten and aluminum helps
on top# of the poly-WSi gate stack—the nitride is used reduce parasitic resistances.
to protect the stack #during the array (borderless)
contact etch. The entire gate conductor stack is then
defined by a mask step and reactively ion etched down
4. Future Trends of DRAM and eDRAM Materials
to the gate oxide.
Technology
Table 1 outlines the dimensions used for the trench
3.5 Borderless Contact Module capacitor cell in DRAM generations starting from the
0.25 µm node and onto the 0.14 µm node. Note the
A boron phosphosilicate glass (BPSG) is deposited on
significant size difference between the 0.25 µm cell and
the wafer to fill the spaces between the gate conductors
0.14 µm cell (Fig. 4). From the data presented in Table
and is annealed to improve structural integrity. After
1, one can anticipate some of the material challenges
polishing once again, contact vias are lithographically
that will have an impact on DRAM and eDRAM yield
defined and reactively ion etched to active area
and retention. Three key areas of materials
diffusions and gate conductors. To minimize cell area,
research are highlighted below.
the contacts in the DRAM array are borderless.
Node capacitors. As the cell area decreases for each
Contact holes are filled with heavy n+ (phosphorus)
new generation, one also observes the natural re-
polysilicon and are planarized.
duction of capacitor area—this tends to drive down
capacitance. Since the charge (Q) written into a cell is
equal to CV (where V is the bitline voltage l supply
3.6 Bitline Wiring Module
voltage, Vdd), the effective charge in the cell will be
After contact formation, the bitline wiring level is lower if nothing is done to counteract the capacitance
defined lithographically and etched into the BPSG. decrease. Furthermore, designers of future DRAMs
Tungsten is then deposited and planarized off the are looking to lower the supply voltage, Vdd, to

5
DRAMs

Table 1 However, efforts to increase surface area can only


Trench cell dimensions and approximate cell areas of go so far. As the spacing between capacitors becomes
0.25 µm node to 0.14 µm node. closer, increasing the lateral surface area may create
Ground rule (i.e., minimum isolation problems between capacitors. Moreover,
feature size in and Cell area etching deeper trenches will be more time consuming
minimum spacing between cells) (µm#) and will increase the cost. Thinning the nitride
dielectric also has its limitations. At 3 nm or less, which
0.25 0.605
0.20 0.380
is an approximate thickness for the 0.10 µm node, the
0.18 0.260 leakage through the nitride film may be too high—even
0.14 0.160 at applied voltages of 1.0 V. At this thickness di-
mension tunneling of electrons through the film will be
a source of concern. Hence, at the 0.10 µm node,
emphasize low-power markets. Hence, providing the
changes in the dielectric film may be necessary to boost
necessary charge into a DRAM cell will be of
capacitance.
paramount concern in the near future.
Parasitic interleŠel\intraleŠel insulators. As metal
line pitch decreases, parasitic oxide capacitance be-
(a) Metal oxide capacitors. Research on ‘‘higher’’
comes a major problem. Since charge can be ‘‘lost’’ or
epsilon dielectrics in stacked capacitors, and their use
shaved by oxide, a signal written into a 0.25 µm node is
as a node capacitor film, has been very active since
more likely to be stronger than one written into a
the mid-1990s. Table 2 is a list of dielectric materials
0.14 µm node even though the applied voltage is
that have been targeted for use in future DRAM
equivalent (i.e., 1.8 V)
technology.
Lower resistance materials. In eDRAM chips, it is
imperative that charge be written into and read out of
the capacitor quickly—even at low temperatures. A
(b) PeroŠskite structures. The titanates (e.g., SrTiO )
and lead-based perovskite structures (PbZrTiO$)
commodity DRAM part is required to function at
0 mC; an eDRAM part is required to operate at k40 mC $
have received the most attention as ε of these par-
as this is a requirement of logic circuit operation.
ticular films lies between 400 and 1000. However,
Thus, resistances in eDRAM parts should be as low as
there are serious limitations to the use of perovskite
possible.
materials in DRAM:
(i) Leakage. Perovskite structures tend to be one to
two orders of magnitude higher in leakage than oxide
4.1 Node Capacitance Issues
and nitride dielectrics.
Silicon nitride (Si N ) is the most common dielectric (ii) Reliability. With perovskite structures, a leakage
$ % and stacked DRAM capacitors
material used in trench current acceleration occurs through the film after
(i.e., DRAMs with capacitors defined above the silicon extended operation. This degradation effect could pose
substrate). Nitride replaced oxide as the dielectric problems on perovskite-based DRAMs as DRAMs
towards the end of the 1980s as its dielectric constant must often meet an operating lifetime specification of
is higher than that of oxide (ε of nitride " 7 vs. ε of 10 years or greater.
oxide " 4) and its leakage as a thin film is similar to (iii) Electrode materials. In order to contact the
that of oxide thin films. The nitride dielectric has been, dielectric, one must choose materials that do not
and will most likely be, the dielectric of choice for the destroy the integrity of the dielectric. Thus far,
0.18 µm and 0.14 µm node. Nitride is a very robust platinum and RuO show the most promise. The main
material and, more importantly, its material properties #
issue with using platinum or RuO materials appears
can withstand high-temperature processing. For #
to be in the patterning of the materials. Processing
trench capacitors the quality of the node dielectric, i.e., tools in many fabricators do not have the capability
maintaining its dielectric properties while providing for etching these materials.
low leakage, is extremely important as temperatures (iv) Incompatibility in trench-based DRAMs. The
can approach " 1000–1100 mC. Since capacitance is perovskite structures tend to be unstable under high
proportional to the surface area of the capacitor and temperatures that preclude their use as dielectrics in
inversely proportional to the dielectric thickness, trench capacitors.
processes to increase surface area and thin the di-
electric have been actively pursued. Two methods of
increasing surface area are etching deeper trenches or (c) High-dielectric materials for trench capacitors.
depositing thin polysilicon islands (known as ‘‘hemi- Studies of dielectric materials that can withstand
spherical grains’’ (HSGs)) on the trench sidewalls. high-temperature processing are limited and the
Polysilicon grains nucleate on the silicon surface amount of research devoted to new trench dielectrics
without forming a continuous layer, resulting in is much less than research on stacked capacitor di-
increased surface area (Watanabe et al. 1995). electrics. There are, perhaps, three or four materials

6
DRAMs

that have shown some promise as a replacement to wires becomes an increasingly difficult problem to
Si N : solve owing to the ‘‘charging’’ of the insulator.
$(i)% Ta O , HfO , ZrO . Of the three dielectrics Coupling between metal lines increases the probability
mentioned # &here, Ta
# O is# the material that is now of charge imbalances leading to subtle device failures
being or closest to being# & used in stacked capacitors. As to whole system failures. Because operating voltages
for possible use as a dielectric in trenches, early studies are decreasing as well, a higher percentage of the signal
have shown that Ta O may be unstable with respect running on a metal line may be transferred to
# &
to silicon at high temperatures, forming TaSix and the insulator material rather than to the devices
SiO . In addition, it has been observed that oxygen can themselves.
#
diffuse through the material during oxidation to In order to lower the amount of charge that is
stabilize and reduce the film leakage. Stability and absorbed by the insulator between metals, materials
leakage issues need to be addressed before implement- research has focused on developing lower dielectric
ing Ta O in trench capacitors. Studies of HfO and constant insulators for BEOL. Typical insulator ma-
ZrO are # &only now coming up to speed. Early studies
# terials are deposited oxides; for BEOL applications,
#
indicate that they may be compatible as a gate silane oxides—deposited via plasma-enhanced chemi-
dielectric as these materials have been reported to cal vapor deposition (PECVD)—are the most com-
show stability with respect to silicon surfaces; the next mon. Table 3 is a list of the dielectrics that are being
logical step is to determine whether these materials looked into as a replacement to the conventional
could be used as node dielectrics for DRAM capaci- silane oxide.
tors. Issues such as possible reaction with silicon,
leakage, and electrode material compatibility need to
be addressed.
(a) Fluorinated oxides. One method of lowering the
(ii) TiO . Unlike Ta O , TiO is very robust and,
#
more importantly, tends# to
& be stable
# with respect to
dielectric constant of deposited oxides is to in-
corporate fluorine into them. By adding fluorine to
silicon at high temperatures. This stability with silicon
deposited oxides, a decrease of 10–20% in dielectric
is its main attraction as a dielectric in trench-based
constant has been achieved (ε " 3.2–3.5). The reduc-
DRAMs. At higher temperatures, TiO can exist as
one or both of the following phases: (i)# anastase (an
tion in dielectric constant is due primarily to the re-
duction in hydroxyl content of the silane oxide.
orthorhombic structure) and (ii) rutile (a tetragonal
There are some reliability issues regarding the use of
structure). Studies indicate that TiO in the anastase
phase exhibits a dielectric constant of# " 76. If TiO is
fluorinated oxides:
formed in the rutile structure, the dielectric constant# is
(i) Moisture absorption leading to fluorine desorp-
tion and enhanced hydroxyl absorption.
" 86 (as measured parallel along the optic axis) and
(ii) Fluorine incorporation into metals. Fluorine
" 180 (as measured perpendicular to the optic axis).
interaction with metals has been commonly observed
The principal problems with TiO are leakage through
thin films and the susceptibility of#O diffusion through
and is believed to be a primary source of metal
the film. The leakage is thought to arise# from oxygen-
corrosion. Moreover, fluorine is known to be a fast
diffuser in aluminum metallurgy. A pile-up of metal at
deficient formation of TiO , as these phases are known
#
to be conductive. The best reported leakage is of the
the metal–insulator interface may weaken the ad-
hesion between thin films and lead to metal blistering.
order of 100 times greater than that of Si N or SiO at
an equivalent thickness. Oxygen penetration $ % is# a
Prevention of fluorine desorption from silane through
surface treatments or the addition of barrier materials
concern if one tries to maintain the high dielectric
has been extensively studied.
capacitance—formation of an underlying SiO , for
example, will severely affect the overall capacitance.#
(iii) Alumina (Al O ). This is perhaps the most
promising metal oxide # for
$ use as a dielectric in trench (b) Hydrogen silsequioxane (HSQ). A second oxide
capacitors. Alumina has a dielectric constant of " 10 that has been actively studied is HSQ. This oxide is
and exhibits high thermal stability. Moreover, alumina reported to have a dielectric constant of " 3.0 and is
appears to be stable with respect to silicon at high found to be less dense than the silane oxides. HSQ is
processing temperatures, which is a definite advantage deposited much like a resist; thus, it is often referred
for use in trench capacitors. Studies in the development to as a ‘‘spin-on’’ or ‘‘flowable’’ oxide. As the oxide
of Al O as a replacement for gate dielectrics show is flowable, it requires a cure at temperatures of
highly# encouraging
$ results of low dielectric leakage " 400 mC to increase its thermal and mechanical in-
without sacrificing its high dielectric constant. tegrity. The primary concern with HSQ is its propen-
sity to absorb moisture, and then release the moisture
during the metal deposition process. The primary
4.2 Interconnect Insulation
source of moisture is from the resist processing dur-
As metal wiring spacings decrease (more commonly ing lithography. Moisture absorption into metals
referred to as ‘‘pitch’’), interaction between metal inevitably causes high resistances (e.g., contact resist-

7
DRAMs

Figure 4
Top-down TEM micrographs of (A) 0.25 µm cells and (B) 0.14 µm cells taken at the same magnification.

ance) and can drastically degrade chip yield. Surface to metal deposition have been shown to be effective
treatments (e.g., using NH plasmas) of HSQ prior in eliminating moisture absorption.
$
8
DRAMs

Table 2
Dielectric constants of metal oxide capacitors.
Usable for trench
Capacitor ε capacitors? Reference
Perovskite, 400–600 Not possible Kingon et al. 1996,
titanantes (e.g., Jones et al. 1984,
SrTiO , BaTiO ) Bornand et al. 2000,
$ $ Kotecki 1997
Lead-based 400–1000 Not possible Kingon et al. 1996,
perovskites (e.g., Jones et al. 1984,
PbZrTiO , Bornand et al. 2000,
PbLaZrTiO$ ) Kotecki 1997
$
TiO (anatase) 76 Possible Fukuda et al. 1999,
# Lee et al. 1999a
TiO (rutile) 86 (parallel to optic Possible Fukuda et al. 1999,
# axis), 186 Lee et al. 1999a
(perpendicular to
optic axis)
Ta O , Al O , 25 (Ta O , ZrO ), Possible Oehrlein et al. 1984,
# & , ZrO
HfO # $ 10 #(Al& O ), # Lee et al. 1999b,
# # 30 (HfO# $) Ma et al. 1999,
# Kukli et al. 1997,
Manchanda et al. 1998

(c) Amorphous carbon. A newer form of insulation— of the conductor allowing signal propagation and C is
an organic polymer that is carbon based—has been the parasitic capacitance that surrounds the conduc-
considered as a replacement for silane oxides and low tor. As the minimum feature sizes decrease, the RC
K oxides. One material that is being actively explored time delay naturally increases. In the section above, we
by a number of semiconductor manufacturers is a have focused on ‘‘newer’’ insulators that will help in
material developed by Dow Corning that has the reducing parasitic capacitance loss surrounding con-
trade name of SiLK. The dielectric constant of these ductive materials. In this section, the focus is on the
organic materials has been reported to be approxi- resistances of conductive materials and a glimpse is
mately 2–2.5. Hence, use of SiLK represents a much provided into the materials that may be required in
larger step in reducing parasitic insulator charging future memory technologies.
from modern silane oxides. However, the first concern In DRAM and eDRAM, the three main areas
of the semiconductor industry with implementing where resistances can affect the performance of the
SiLK lies in its mechanical stability in common BEOL chip are (i) resistance of wordlines, (ii) resistance of
processes such as ‘‘dual damascene’’ with aluminum bitlines and other metal levels, and (iii) resistance of
and\or copper metallurgies. (Dual damascene refers the material used to charge the capacitors.
to a BEOL process whereby (i) a via and metal line
are lithographically patterned and etched into an insu-
lator, (ii) the via and line are filled in one step, and
(iii) the metal is polished by a chemical–mechanical (a) Wordline and diffusion resistances. In a typical
polishing (CMP) process.) Since the structural integ- DRAM or eDRAM array, wordlines can often run
rity of the organic insulator is usually less than that to several hundreds of micrometers in length. There-
of the oxides, the SiLK insulator is much more prone fore, significant voltage drops can occur if doped poly-
to surface and mechanical damage. A second concern silicon is used as the principal wordline material.
is the interaction of the SiLK layers after thermal cycl- (Typical sheet resistances of highly doped polysilicon
ing. Owing to its inherent softness, thermal expan- for 0.2 µm ground rules are approximately 300–400 Ω
sion of SiLK is reported to be " 5 times that of sq−".) One can circumvent the resistance of poly-
oxides. Shear strains between SiLK and metals may silicon wordlines by ‘‘stitching’’ or ‘‘shunting’’ the
lead to contact and metal wire delamination. wordlines to low-resistance metal wires. ‘‘Stitching’’
is a design procedure whereby a metal level residing
above the wordline (usually the second level of metal)
is used to help transfer the signal along a wordline.
4.3 Resistance Issues
In order to accomplish this, one must design contacts
Propagation delay of electrical signals is proportional from the metal wire to land on the polysilicon word-
to a RC time delay constant, where R is the resistance line. This procedure, however, adds length to the

9
DRAMs

Table 3 in silicon consumption with NiSi, coupled with its


Dielectric constants of BEOL insulators. ability to maintain comparable sheet resistance, will
Interconnect insulation ε allow shallower FET source and drain diffusions in
future logic generations. Shallower junctions produce
Silane oxide 4.0 lower junction capacitances of source and drain
Fluorinated oxide 3.2–3.6 diffusions, thereby increasing transistor current
(Kim et al. 1998, drive—this becomes especially important as voltage
Gomi et al. 1999) supplies are reduced.
HSQ (Gomi et al. 1999, 3.0–3.5
Meynen et al. 1998)
Amorphous carbon based 2.0–2.5 (b) Resistance of metal wiring. One of the largest re-
(e.g., SiLK) (Thiel 1999, sistance components of the total chip resistance is the
Waeterloos et al. 1999) BEOL metal wiring. In typical logic and eDRAM
offerings, it is not uncommon to have three to six
BEOL wiring levels—this can amount to several
wordline as one needs to allow for contacts to land
meters of metal wire per chip. Since the cross-
on the wordline. For applications requiring large
sectional areas are decreasing with the introduction
memory, e.g., standalone DRAM, the amount of
of every new logic generation, wiring resistance will
stitching can impose a serious area penalty. In many
only increase if there is no change in the conductor
DRAM chips, a tungsten silicide (WSi )\doped-
polysilicon structure (or polycide) is used #for array
material.
Aluminum metallurgy for BEOL metal wiring has
wordlines. The sheet resistance of WSi is " 25 Ω
sq−" or approximately 100–200 times lower # than that had a long and very successful history in semi-
conductor processing. However, as the 0.18 µm node is
of doped polysilicon.
approached, and especially for future logic genera-
The absence of a polycided wordline in eDRAM
tions, the usefulness of aluminum is being questioned.
technology requires that the wordlines in eDRAM be
A number of companies have turned to copper
stitched more frequently than standalone DRAMs (32
metallurgy as a replacement for aluminum (room
or 64 cells stitch−" for eDRAM vs. 256 cells stitch−" for
temperature resistivity of copper is 1.7 µΩ cm whereas
DRAM). The area penalty in chip size is of the order
that of aluminum is 3 µΩ cm) (Stamper et al. 1998,
of a few percent for eDRAM. For eDRAM designs in
Streetman 1980). The introduction of copper into
which larger memory blocks are present on chip,
silicon semiconductor processing is not trivial. New
wordlines may need to be composed of lower sheet
BEOL integration schemes need to be developed; one
resistance materials such as WSi or other silicides
such as CoSi . # key area is the integration of a barrier film to prevent
#
Use of CoSi has been avoided in DRAMs owing to
copper migration into the surrounding dielectrics and
#
a number of process issues such as: (i) agglomeration
into silicon. Copper is a notoriously fast diffuser
in silicon and is found to cause deep level donor
on doped polysilicon after high-temperature proces-
(0.24 eV) and acceptor traps (0.49 eV) in silicon.
ses, (ii) silicide bridging of diffusions and gate elec-
A more problematic scenario, however, is the ac-
trodes, and (iii) possible reaction of cobalt with
cumulation of copper on crystal defects that can form
dielectrics (Nguyen et al. 1993, 1996). Moreover,
during the course of processing (e.g., dislocations
previous experiences with salicide processing using
formed as a result of ion implantation for well
CoSi in DRAMs (i.e., diffusions and doped poly-
# gate electrodes silicided simultaneously) re-
silicon
isolation). If copper should accumulate on these
crystal defects, these defects become quite conductive
sulted in excessive node junction leakage (Takato et al.
(essentially resistors) causing early junction break-
1999, Xu et al. 1998).
down and destroying any well isolation between
Other studies on silicides have focused on NiSi as a
disparate circuits. A second area requiring study is in
replacement to CoSi in the near future. NiSi forms at
temperatures as low# as " 300 mC and is stable to
CMP of copper, especially for dual damascene proces-
sing. New polishing slurries and polishing pads are
temperatures as high as " 700 mC (Sarcona et al. 1999,
required. Only a handful of companies have qualified
Hu and Harper 1997). The temperature restriction of
copper for the BEOL wiring; most of the major
NiSi suggests that metal reaction with dielectrics will
semiconducting manufacturers will convert from
be limited. Benefits of integrating NiSi include (i)
aluminum to copper in the coming years.
comparable resistivity to CoSi (" 15–20 Ω sq−") and
(ii) no agglomeration behavior# on narrow lines. The
primary advantage of NiSi over CoSi is that less
silicon is consumed in making NiSi than # CoSi . For (c) Resistance of doped polysilicon. For trench-based
every angstrom of nickel deposited, 2.2 AH of NiSi # is DRAM and eDRAM, highly doped silicon (typically
produced. However, for every angstrom of cobalt n type) is used as an electrode to charge the Si N
deposited, 3.5 AH of CoSi is produced. This reduction node. As the cross-sectional area of the capacitor$ %
#
10
DRAMs

Table 4 based DRAMs, three key areas of materials research


Projected resistance of trench fill from 0.25 µm node to need to be actively addressed:
0.14 µm node at 25 mC (depth assumed as 6 µm). $ High capacitor materials to replace Si N as the
node dielectric; $ %
Resistance, assuming Resistance assuming
Node 2.5i10#! doping 10#! doping $ low dielectric materials in the BEOL; and
(µm) concentration (kΩ) concentration (kΩ) $ integration of low resistive materials such as
copper and silicides.
0.25 3.50 9.42
0.20 6.55 17.68
The success in this business is predicated on con-
0.18 11.16 30.17 tinued research and development of materials.
0.14 11.84 31.97
Bibliography
decreases from generation to generation, it is not too
Bornand V, Trolier-McKinstry S, Takemura K, Randall C A
surprising to see resistance increasing as well. If we
2000 J. Appl. Phys. 87, 3965
assume that the doping level for n-type silicon is Bronner G, Aochi H, Gall M, Gambino J, Gernhardt S,
constant from the 0.25 µm generation to the 0.14 µm Hammerl E, Ho H, Iba J, Ishiuchi H, Jaso M, Kleinhenz R,
generation, the decrease in cross-sectional area alone Mii T, Narita M, Nesbit L, Neumueller W, Nitayama A,
will result in a 340% increase in resistance (see Table Ohiwa T, Parke S, Ryan J, Sato T, Takato H, Yoshikawa S
4). Moreover, the temperature range in which the 1995 VLSI Symp. Proc. 15
DRAM or eDRAM must operate is extremely wide. Crowder S, Hannon R, Ho H, Sinitsky D, Wu S, Winstel K,
For eDRAM applications, the array must operate at Khan B, Stiffler S R, Iyer S S 1998 IEDM Tech. Digest 1017
k40 mC. Since resistivity of n-Si is proportional to Dataquest 2000 Projection as reported in Semiconductor Business
News (www.semibiznews.com)
T #/$, an additional 20–25% increase in resistance is
Dennard R H 1968 Field-effect transistor memory. US Pat. 3,
projected to occur from 25 mC to k40 mC. 387 286
In addition to the area problems presented by each Fukuda H, Namoika S, Miura M, Ishikawa Y, Yoshino M,
new and smaller generation, there are also a number of Nomura S 1999 Jpn. J. Appl. Phys. 38, 6034
processing-related drawbacks to using polysilicon Gomi H, Kishimoto K, Usami T, Koyanagi K, Yokoyama T,
trench fills. First, there is always the probability that Oda N, Matsubara Y 1999 Mater. Res. Symp. Proc. 564, 509
one may inadvertently fill some trenches with poly- Ho V Q, Poulin D 1987 J. Vac. Sci. Technol. A5, 1396
silicon having low carrier concentrations. For ex- Hu C K, Harper J M E 1997 VLSI Tech. Systems Appl. 18
ample, if the doping level of the trench fill is decreased Iyer S, Kalter H 1999 IEEE Spectrum, p. 56
Jones Jr. R E, Maniar P D, Campbell A C, Moazzami R, Mogab
by 2.5 times, the resistivity of the polysilicon fill
C J 1984 Integ. Ferroelec. 5, 235
increases by 2.7 times (see Table 4). If this should Kim S E, Steinbruchel C, Kumar C, Bakhru A 1998 Mater. Res.
occur, resistances of the trench may approach resist- Symp. Proc. 511, 191
ances of " 30 kΩ in the 0.14 µm generation. Second, Kingon A I, Streiffer S K, Basceri C, Summerfelt S R 1996 MRS
oxide interfaces within the trench are extremely prob- Bull. 21, 46
lematic for low-resistance control. It is not uncommon Kotecki D E 1997 Integ. Ferroelec. 16, 1
to see thin oxide residuals lying in the polysilicon fill; Kukli K, Ritala M, Leskela M, Jokinen J 1997 J. Vac. Sci.
oxide films within the trench often lead to low chip Technol. A15, 2214
yield at low operation temperatures. Lee B H, Jeon Y, Zawadzki K, Qi W-J, Lee J 1999a Appl. Phys.
Lett. 74, 3143
For the 0.18 µm generation of trench DRAMs the
Lee B H, Kang L, Qi W-J, Nieh R, Jeon Y, Onishi K I, Lee J C
resistance of the trench fill does not appear to present 1999b IEDM Tech. Digest 133
a problem at low operating temperatures. It is unclear Lu N, Cottrell P, Craig W, Dash S, Critchlow D, Mohler R,
whether the resistance of the trench-fill imposes a low- Machesney B, Ning T, Noble W, Parent R, Scheuerlein R,
temperature reliability problem at the 0.14 µm node. Sprogis E, Terman L 1985 IEDM Tech. Digest 771
Certainly, beyond the 0.14 µm node, it is envisioned Ma Y, Ono Y, Stecker L, Evans D R, Hsu S T 1999 IEDM Tech.
that lower resistive materials will be explored. Thus, Digest 149
the use of silicides or thermally robust metals for the Manchanda L, Lee W H, Bower J E, Baumann F H, Brown
trench fill will most likely be key areas of research in W L, Case C J, Keller R C, Kim Y O, Laskowski E J, Morris
M D, Opila R L, Silverman P J, Sorsch T W, Weber G R 1998
the future.
IEDM Tech. Digest 605
Meynen H, Uttecht R, Gao T, van Hove M, Vanhaelemeersch S,
Maex K 1998 Electrochem. Soc. Proc. 98–3, 29
5. Conclusions Nguyen T, Ho H L, Kotecki D E, Nguyen T D 1993 J. Mater.
Res. 8, 2354
Arguably, the impact of materials science in semi-
Nguyen T, Ho H L, Kotecki D E, Nguyen T D 1996 J. Appl.
conductor processing has been significant. As future Phys. 79, 1123
generations drive towards increased density with lower Oehrlein G S, d’Heurle F M, Reisman A 1984 J. Appl. Phys. 55,
supply voltages, maintaining yield and retention of 3715
DRAM and eDRAM chips will be the key challenges. Sarcona G T, Stewart M, Hatalis M K 1999 IEEE Electron
In order to meet these challenges, especially for trench- DeŠices Lett. 20, 332

11
DRAMs

Semiconductor Industry Association 2000 Projection as reported Waeterloos J, Simmonds M, Achen A, Meier M 1999 Eur.
in Semiconductor Business News (www.semibiznews.com) Semicond. 21, 26
Stamper A K, Fuselier M B, Tian X 1998 Int. Interconnect Tech. Watanabe H, Tatsumi T, Ikarashi T, Sakai A, Aoto N, Kikkawa
Conf. Proc. 62 T 1995 IEEE Trans. Electron DeŠices 42, 295
Streetman B G (ed.) 1980 Solid State Electronic DeŠices, 2nd Xu D-X, Das S R, Peters C J, Erickson L E 1998 Thin Solid
edn. Prentice-Hall, p. 106 Films 326, 143
Takato H, Koike H, Yoshida T, Ishiuchi H 1999 VLSI Tech.
Systems Appl. Proc. 239 H. L. Ho and S. S. Iyer
Thiel J A 1999 J. Vac. Sci. Technol. B17, 2397

Copyright ' 2001 Elsevier Science Ltd.


All rights reserved. No part of this publication may be reproduced, stored in any retrieval system or transmitted
in any form or by any means : electronic, electrostatic, magnetic tape, mechanical, photocopying, recording or
otherwise, without permission in writing from the publishers.
Encyclopedia of Materials : Science and Technology
ISBN: 0-08-0431526
pp. 2340–2351

12

You might also like