Download as pdf or txt
Download as pdf or txt
You are on page 1of 9

Vacuum 71 (2003) 407–415

Mo/Si multilayers for EUV lithography by ion beam


sputter deposition
T. Chasse! a,*, H. Neumanna, B. Ockerb, M. Schererc, W. Franka, F. Frosta,
D. Hirscha, A. Schindlera, G. Wagnera, M. Lorenzd, G. Ottoe,
M. Zeunere, B. Rauschenbacha
a
. Oberflachenmodifizierung
Leibniz-Institut fur . (IOM), Permoserstr. 15, D-04318 Leipzig, Germany
b
Singulus Technologies AG, D-63796 Kahl, Germany
c
Leybold Optics GmbH, D-63755 Alzenau, Germany
d
. Leipzig, Linn!estr. 3, D-04103 Leipzig, Germany
Universitat
e
IOT GmbH, Permoserstr. 15, D-04318 Leipzig, Germany

Received 16 April 2002; accepted 4 October 2002

Abstract

Mo/Si multilayers for applications in extreme ultraviolet (EUV) lithography have been prepared on Si wafer
substrates using ion beam deposition. The multilayers were characterised by transmission electron microscopy,
secondary ion mass spectroscopy, atomic force microscopy, photoelectron spectroscopy, X-ray reflectometry at grazing
incidence, and EUV-reflectivity measurements at nearly normal incidence. The surface and the interfaces of the
multilayers are rather smooth with only small roughness. The material properties of the layers are characterised by
some intermixing and silicide formation at the Mo–Si interfaces and a polycrystalline grain structure of the Mo layers,
which is in agreement with prior studies. Appearance of multilayer diffraction spots, well-resolved Kiessig fringes and
other diffraction evidence indicate very good coherence of the wave fields and in this manner a good reproducibility of
the multilayer period of 6.7 nm. Normal incidence peak reflectivities of 64–65% in the EUV spectral range were
routinely obtained at 13.4 nm wavelength. This reflectivity value and the formation of an EUV standing wave field are
confirmed using photoelectron spectroscopy, and an application for defect particle analysis is proposed. The obtained
results are discussed in comparison to literature data of multilayers prepared by other deposition techniques and
considering new attempts of interface engineering.
r 2003 Elsevier Science Ltd. All rights reserved.

Keywords: Multilayers; Mo; Si; EUV reflectivity; X-ray reflectivity; Ion beam sputter deposition; SIMS; TEM; Photoemission; Soft
X-ray standing waves

1. Introduction

Multilayers with high normal incidence reflec-


*Corresponding author. Tel.: +49-341-235-3294; fax: +49-
341-235-2313. tivity in the extreme ultraviolet (EUV) spectral
E-mail address: chasse@rz.uni-leipzig.de (T. Chass!e). region are important as focusing and imaging

0042-207X/03/$ - see front matter r 2003 Elsevier Science Ltd. All rights reserved.
doi:10.1016/S0042-207X(02)00768-6
408 T. Chass!e et al. / Vacuum 71 (2003) 407–415

elements for EUV lithography (EUVL) [1], syn- 2. Experimental—multilayer deposition


chrotron applications [2] EUV microscopy [3], and
X-ray astronomy [4]. The recent success in the A dual ion beam deposition (DIBD) arrange-
development of such multilayers has been mainly ment as shown in Fig. 1 was used to prepare the
driven by the demands of the EUV lithography, Mo/Si multilayers. The sputter source provides the
which is one of the leading candidates for next ion flux for the sputtering of the target material
generation lithography [5–7]. EUVL is expected to onto the substrate (sputter mode). The so-called
cover several device generations down to structur- assist source may be used for sputter cleaning or
al widths of 30 nm. For this application, reflective structuring of the substrate prior to the deposition,
coatings are necessary for wavelengths of about ion beam thinning of deposited layers (etch mode)
13.4 nm. or to support the ion beam deposition process in
Particular challenges are the requests for high order to affect the physical, chemical, and crystal-
reflectivity, high homogeneity, low surface and lographic layer properties by an ion flux directly
interface roughness, low stress, high temperature impinging on the substrate surface during deposi-
and photon flux stability, and low contamination tion (assist mode). The commercial Cyberite
influence for EUVL applications. In case of DIBD System from Unaxis Deutschland GmbH
multilayers for EUVL mask blanks, the particle used for the depositions permits in situ target
contamination level has also to be taken into change and cooling as well as substrate rotation
account [8]. Very few material combinations are and tilting. Electron cyclotron resonance (ECR)
known, which may provide a high near-normal ion guns mounted on CF 250 flanges permitting
reflectivity. Promising results reported so far were variation of the ion impact angles by definite tilt
based on multilayers with molybdenum (Mo) and were used for both assist and sputter ion sources.
silicon (Si) [9,10]. Deposition techniques like A triple plasma bridge neutraliser set for each ion
electron beam evaporation [10,11], magnetron gun was applied in order to balance possible
sputtering [9,12,13], pulsed laser deposition
(PLD) [13], and ion beam sputter deposition
(IBD) [14] have been used to produce Mo/Si
multilayers. Tremendous success has recently been
achieved using these technologies. EUV reflectiv-
ities up to 70% have been reported in case of
interface engineered Mo/Si layer stacks [15].
However, one of the challenges for EUVL
technology and a potential ‘‘show stopper’’ is the
need for extremely low defect densities on the
reflective EUV masks. IBD has been considered to
be the most favoured deposition technology for
EUVL masks because of the potential advantages
compared to other deposition technologies like
conventional magnetron sputtering [16]. There-
fore, we have started a program to investigate the
properties of such ion beam sputtered reflective
Mo/Si multilayers. Here we will report on the
preparation of Mo/Si multilayers by ion beam
assisted deposition. The results of the character-
isation of the multilayers using microscopy,
spectroscopy, and diffraction techniques will be
presented and discussed in comparison to data on
Mo/Si mirrors from other deposition technologies. Fig. 1. Schematic presentation of a DIBD arrangement.
T. Chass!e et al. / Vacuum 71 (2003) 407–415 409

Base Flange
Auxiliary Anode
Iron Yoke with Gas Supply
Quartz Cup
Screen Grid
Accelerator Grid

Resonance Region
λ/4 Antenna
Electric Field
Magnetic Field
Aluminium Gasket
Grid Insulation
Breakthrough Protection
Permanent Magnets

Ceramics Lining

Fig. 2. ECR source as developed for ion beam assisted deposition and ion beam figuring.

charging effects due to the positive ions by an 100 nm


appropriate electron flux. A particularly compact
design was developed for the ECR sources as
shown in Fig. 2 [17]. A high-frequency field from a
l=4 antenna is coupled into the discharge area via
a ceramic beaker in close contact to a permanent
magnet, which allows to fulfil the ECR condition
in order to generate the required plasma well
separated from the extraction grid system consist-
ing of three grids. The Mo/Si multilayers were
deposited onto 6 in Si wafers without any special
pretreatment of the substrates. Mo and Si layer
depositions were performed using Xe and partly
Ar sputter ions at beam energies between 400 and
1000 eV. Optimum layer properties as deduced
from film conductivities of Mo test layers were Fig. 3. TEM bright field image of a Mo/Si multilayer on Si
consisting of 50 double layers.
achieved for Xe sputter ions at beam energies 600–
1000 eV, which were finally used for the multilayer
depositions. The total beam currents of 60 (30/ layer thicknesses were controlled by the deposition
45) mA for Xe ions resulted in Mo deposition rates time considering the predetermined rates of
in the range between 1.7 (400 eV Xe) and 4.2 nm/ deposition.
min (1000 eV Xe) and about 10% higher rates for
Si. Reproducibility and stability of the ion beam
was thoroughly checked and confirmed. The 3. Results—characterisation of the multilayers
process pressure was 2.9  10 5 mbar at a total
gas flow of 1.9 sccm for Xe gas. The thickness 3.1. TEM
homogeneity of the deposited layers was deter-
mined to be 0.63% (or 1.3% for 3s) over a Fig. 3 shows a TEM bright-field image of such a
diameter of 120 mm for a shaper-assisted deposi- multilayer stack. The picture was taken with a
tion. Several hundred samples with Mo/Si Phillips CM 200 TEM running at 200 keV. Dark
multilayer stacks, which consist of a 4 nm Si layer, lines represent the Mo layers while the bright ones
a superlattice of 50 double layers of 2.7 nm Mo/ are due to Si. The clearly evident periodicity and
4 nm Si, and a Si finish layer were produced. The homogeneity of the multilayer structure reveals the
410 T. Chass!e et al. / Vacuum 71 (2003) 407–415

002
-
111 Mo
α-Si
000 - Si
220

(a)
5 nm

S
(a)

0.22

(b)
Fig. 5. (a) High-resolution TEM picture (200 kV) of an Mo/Si
multiayer on a Si wafer, showing the Mo and Si layers near the
c-Si substrate. Arrows indicate regions of Mo–Si intermixing,
which results in an interface transition zone. (b) HR-TEM
picture showing the crystalline grain substructure of Mo layers
within a Mo/Si multilayer. The layers and the layer spacing in
crystalline Mo grains are indicated.
(b)
Fig. 4. (a) Diffraction pattern from a Mo/Si multilayer on due to the deposited multilayer structure. Please,
Si(0 0 1) obtained by TEM. (b) Zoom of the pattern from (a) note that such a sequence of spots can only be
near the (0 0 0) reflex of the c-Si substrate showing the resolved in case of a highly reproducible film
diffraction spots from the multilayer.
thickness and thus a good periodicity of the
diffracting system. The spot distance in reciprocal
excellent control of the individual layers and the space allows to trace back to the multilayer period
smooth interfaces. Fig. 4 displays related diffrac- in real space, which gives us about 6.7 nm, in good
tion patterns of the transmitted high-energy accordance to the complementary data as revealed
electrons. The crystalline structure of the Si from the layer spacings in high-resolution TEM
substrate is easily recognised. However, near the pictures like in Fig. 5. The first picture in Fig. 5a
(0 0 0) reflex of the Si wafer in Fig. 4a, a series of shows part of the substrate together with the
smaller spots extend towards the (0 0 2) reflex. nearest Si and Mo layers. The crystalline structure
These smaller spots occur much more clearly in of the Si wafer provides the appropriate length
Fig. 4b, which displays a zoom to the former one scale to estimate the layer thicknesses. The dark
(Fig. 4a). This sequence of spots near (0 0 0) of Si is Mo layers appear broader than expected from the
T. Chass!e et al. / Vacuum 71 (2003) 407–415 411

preadjusted thickness values. While the Mo/Si interface compared to the other one. Traces of B
interfaces within the field of view appear rather and Cr contaminants were also observed, but due
smooth, nevertheless transition regions (dark grey) to the oxygen probing ions no reliable information
occur between the centre of the Mo layers (dark) could be obtained regarding oxygen content within
and the Si layers (bright), which indicate an the multilayer.
intermixing of Mo and Si, most probably due to
silicide formation. The width of this intermixing 3.3. XRR
region seems to be larger at the Mo on Si interface
than at the Si on Mo interface, as may be revealed, X-ray reflectivity (XRR) measurements at graz-
e.g. by looking at the first Mo layer from substrate. ing incidence were performed using Cu Ka
The Si layers clearly lack any features of crystalline radiation. Fig. 7 shows the experimental low-angle
structure and are therefore amorphous. However, XRR curve of a Mo/Si multilayer in comparison
detailed look at the Mo layers in the Fig. 5b to a simulation. The relative intensity of the first
demonstrates presence of crystalline grain struc- Bragg peak corresponds to a high reflectivity of
ture, and thus the Mo layers may be regarded to be 96.2% at this wavelength of 0.154 nm, again
essentially polycrystalline. indicating a low interface roughness of the layers.
The appearance of the fine structure between the
3.2. SIMS Bragg peaks due to the Kiessig fringes further
demonstrates the excellent periodicity within the
Secondary ion mass spectroscopy (SIMS) has layer stack [18]. The Darwin-type shape of the first
been used for elemental depth profiling. A SIMS Bragg peak is another direct hint for the high
depth profile performed with 1 keV O2 ions using coherence of the wave field within the layer stack.
an ATOMIKA SYS 4500 instrument is shown in The simulation result correlates very well with the
Fig. 6. The complete multilayer of about 340 nm measured data. However, certain important con-
thickness may be investigated with comparable clusions may be drawn from the fits. First, a four-
maximum to minimum intensity contrast ratio, layer structure consisting of Mo, Si, and two Mo–
demonstrating the excellent resolving power. The Si intermixing layers at the interfaces rather than a
relative thicknesses of Mo and Si layers also seem simple two-layer structure (Mo–Si) had to be
to be inverted but note that corrections for included in the fits [19]. Typical thickness data
material-dependent sputter rates were omitted from the fits are 2.9 nm Mo, 3.3 nm Si, and a total
here. An evident shoulder in the individual Mo of 0.5 nm Mo–Si, while interface roughness values
layer profiles at the Mo on Si interface indicates of less than 1.2 nm were determined. This results in
(a) an intermixing of both elements and (b) a multilayer period of 6.7 nm, again in good
also a broader intermixing zone at this particular agreement to the above mentioned HR-TEM and
TED data.
92
100 Mo
3.4. AFM

AFM measurements were performed on the Si


10 substrates as well as on the top surfaces of the
multilayers using a Digital Instruments Nano-
30
Si Scope IIIa equipped with a Si tip of nominally
50× (Mo/Si) on Si(100) 10 nm radius in the tapping mode. The root-mean-
1
0 100 200 300 square roughness on the multilayer surfaces was
Nominal depth [nm] found to be less than 0.18 nm, which was in the
Fig. 6. SIMS depth profile of a Mo/Si multilayer stack on Si roughness range measured at the Si substrate
consisting of 50 double layers performed using O2 ions at 1 keV surfaces. An AFM picture representing 256  256
and normal ion incidence. pixels (1  1 mm) is shown in Fig. 8. The small
412 T. Chass!e et al. / Vacuum 71 (2003) 407–415

High Resolution X-Ray Reflectometry

Cu Kα radiation
5 multilayer 1286 (50 Mo/Si layers)
10

4
10
Intensity [cps]

3
10

2
10
Fit
1
10

0.5° 1.0° 1.5°


Angle θ
Fig. 7. XRR curves recorded from a Mo/Si multilayer using Cu-Ka radiation at grazing incidence.

250 nm 3 nm 250 nm 3 nm

0 nm 0 nm

(a) (b)

Fig. 8. AFM pictures taken from different Mo/Si multilayers. The height scale and characteristic roughness parameters are also
provided.

number of white hillocks indicates only local pro- 12.5–14 nm are shown in Fig. 9. Data were
trusions as expressed by typical peak-valley rough- recorded at an incidence angle of 1.51. The
ness values of only 2–3 nm. There is no evidence for maximum reflectivity of 64.8% is achieved at
larger protrusions in these small-area pictures. 13.4 nm, and the half width of the reflectivity curve
is about 0.52 nm in this case. We have routinely
3.5. EUV reflectivity obtained peak reflectivities between 64% and 65%
for different investigated Mo/Si multilayer sam-
One of the most important multilayer properties ples. The two reflectivity curves shown in Fig. 9
is the near-normal incidence reflectivity. This has represent different analysis spots on the same
been measured at the PTB beamline at BESSY II multilayer. The observed slight shift of the
in Berlin using an EUV reflectometer [20]. reflectivity peaks indicates that the lateral homo-
Representative reflectivity curves for the range geneity still needs some further optimisation.
T. Chass!e et al. / Vacuum 71 (2003) 407–415 413

0.7

0.6

0.5

0.4
R

0.3

0.2

0.1

0.0
12.50 12.75 13.00 13.25 13.50 13.75 14.00 14.25
λ [nm]

Fig. 9. EUV-reflectivity curves measured from a Mo/Si multilayer using the PTB equipment at BESSY II. The incidence angle is 1.51.

3.6. Photoemission and soft X-ray standing waves

Multilayer reflectivity relies on the constructive


interference of the outgoing waves reflected from
all the internal interfaces. However, inside the
multilayer or close to it the outgoing waves will
interfere with the incoming wave field resulting in
a standing wave due to the fixed phase relations
[18]. A check for this standing wave was performed
by photoemission experiments at the U49/-PGM-2
beamline of the BESSY II storage ring. The Mo/Si
multilayer was sputtered in order to clean it from
contaminations and to get a Mo layer on the
surface. Photoelectron spectra were measured in a
UHV chamber at photon energies near 100 eV and
electron emission direction 901 from the incoming
photon direction using an Omicron hemispherical
analyser in an angle-integrating mode. Fig. 10
shows the variation of the intensity of a Mo-
derived valence feature with photon energy re-
corded in the important region close to the Si 2p
absorption at an electron emission angle of 751
after appropriate flux normalisation. The enhance-
ment of the intensity at an energy of 97 eV by a
factor of B3.2–3.4 compared to the baseline Fig. 10. Photoemission intensity measured from a Mo/Si
multilayer demonstrating the intensity enhancement due to
intensity confirms the appearance of the EUV (or formation of a soft X-ray standing wave field in the multilayer.
soft X-ray) standing wave and fits excellently to
the optically determined reflectivity of B0.65 or
65%. This is a further independent confirmation of taking into account the multilayer period of
these reflectivity data. Moreover, the observed 6.7 nm.
shift of the peak maximum with photon incidence So far, no attempts have been made to analyse
angle can be fully accounted for by Bragg’s law the particle contamination level of our multilayers,
414 T. Chass!e et al. / Vacuum 71 (2003) 407–415

mainly because of the unavailability of adequate induced smoothing [23]. Nevertheless, the peak
equipment for particle identification and analysis reflectivities R reported above for IBD in fact
significantly below 100 nm particle size. However, belong to the highest values reported so far and, in
we suggest, that the concept of using a secondary particular, for IBD. They nearly meet the current
signal like photoemission intensity excited by requirements of EUV lithography, which have
the standing wave field as described above in been announced by ITRS [8,15] for 2001 with
combination with an imaging technique like, RB65% but need to be improved to B70% soon.
e.g. PEEM may be extremely useful for locat- Slightly superior peak reflectivities of up to 68–
ing and identifying defects or particle contamina- 69% have already been achieved using PLD,
tions of the multilayers just in the interesting magnetron sputtering, and electron beam deposi-
length scale down to 30 nm. This could become a tion [9–13].
benefit for contamination inspection of mask The recent results with up to 70% peak
blanks. reflectivity in the EUV range have taken advantage
of interface engineering. In particular, ultrathin
interface layers of, e.g. B4C [15] or Mo2C [24] or
4. Discussion substructuring of the Mo layer [14] have been used
to improve interface roughness, suppress intermix-
Finally, our results will be compared to the data ing at the interface, reduce stress within the
from literature, which mostly relate to multilayers multilayer structures, and improve the tempera-
deposited using electron beam evaporation or ture (or life time) stability of the multilayers.
magnetron sputtering. Regarding material proper- Insertion of ultrathin EUV-transparent interface
ties, our results fit quite well into these data. The layers in order to prevent silicide formation
formation of Mo–Si intermixing layers at the resulted in significant improvements of thermal
interfaces with an asymmetric thickness as de- stability of the multilayers but at the expense of
scribed above is observed quite generally and slightly lower reflectivities in most cases. For
evidently occurs independent of the deposition instance, Mo2C interface layers and a complete
technique. Recently, a transition from amorphous substitution of the Mo layer have been used in
to polycrystalline Mo layers has been detected, order to accomplish this purpose [24]. However,
which takes place at a Mo thickness of about 2 nm application of boron carbide (B4C) interface layers
[21]. This also fits well to the polycrystalline grain resulted in an improvement of the peak reflectivity
structure observed in our and also other TEM to 70% at 13.5 nm [15]. Boron carbide is a low Z
data from Mo/Si multilayers designed for peak material that reduces the silicide formation and
reflectivity at 13.4 nm, which have Mo thicknesses increases the reflectivity but also reduces the heat
above this limit, typically. While this general resistance of the multilayers.
picture of the material properties of the layers Such interface engineering attempts have not
and their interfaces is quite consistent, some been included in our IBD technology yet. There
difference in the reported intermixing and interface are still plenty of opportunities to optimise the
roughness remain, which may be due to the IBD process for the Mo and Si depositions, e.g. by
different deposition techniques and the reached application of the assist source for ion beam
state of the related deposition technologies [9–15]. polishing or a special temperature regime, which
For instance, in situ control of the deposition may permit further improvement of the Mo/Si
process by Cu–K reflectivities and ion beam multilayer properties obtained by IBD. In sum-
polishing steps following the deposition of indivi- mary, our results clearly demonstrate that high-
dual layers permit efficient growth control result- quality Mo/Si multilayers can be prepared by IBD.
ing in improved reflectivities [19,22]. Further The technology for the IBD process is going to
improvements regarding layer smoothness may close up remaining gaps to other multilayer
be expected from a temperature control during deposition techniques and will surely be able to
deposition in order to benefit from diffusion- compete successfully.
T. Chass!e et al. / Vacuum 71 (2003) 407–415 415

5. Summary [4] Golub L, Herant M, Kalata K, Kovas I, Nustrom G,


Paardo F, Spiller E, Wilczynski J. Nature 1990;
344:842.
Mo/Si multilayers for applications in EUV
[5] Bjorkholm JE. Int Technol J 1998;1–8:Q3.
Lithography were prepared on Si wafer substrates [6] Montcalm C, Bajt S, Mirakami PB, Spiller E, Weber FJ,
using IBD. The multilayers were characterised by Folta JA. In: Emerging lithographic technologies, II, Proc
several experimental techniques as HR-TEM, SPIE 1998;33341:42.
TED, XRR, AFM, SIMS, PES, normal incidence [7] Benschop JPH, VanDijsseldonk AJJ, Kaiser WM, Ockwell
reflectivity. The surface and the interfaces of the DC. J Vac Sci Technol B 1999;17:2978.
[8] Tong WM, Taylor JS, Hector SD, Shell M. 19th Annual
multilayers appeared rather smooth with compar- BACUS Symposium on Photomask Technology and
ably small roughness. Intermixing and silicide Management, 1999, Preprint UCRL-JC-135884, 1999;
formation at the Mo–Si interfaces and a poly- Tong WM, Taylor JS, Hector SD, Shell M. International
crystalline grain structure of the Mo layers were Technology Roadmap for Semiconductors (ITRS), Litho-
typically detected. Well-resolved multilayer dif- graphy, 2000, in press.
[9] Folta JA, Bajt S, Barbee JT, Grabner RF, Mirakami PB,
fraction spots, Kiessig fringes and other diffrac- Nguyen T, Schmidt MA, Spiller E, Walton CC, Wedowski
tion evidence indicated very good coherence of the M, Montcalm C. Proc SPIE 1999;3676:702.
wave fields and in this manner a good reproduci- [10] Louis E, Yakshin AE, Gorts. PC, Oestreich S, Stuik R,
bility of the multilayer period of 6.7 nm. Normal .
Maas ELG, Kessels MJH, Bijkerk F, Haidl M, Mullender
S, Mertin M, Schmitz D, Scholze F, Ulm G. Proc SPIE
incidence peak reflectivities of 64–65% in the EUV
2000;3997:406.
spectral range were routinely obtained at 13.4 nm [11] Lim YC, Westerwalbesloh T, Aschentrup A, Wehmeyer O,
wavelength. The formation of an EUV or soft Haindl G, Kleineberg U, Heinzmann U. Appl Phys A
X-ray standing wave field was further confirmed 2001;72:121.
using photoelectron spectroscopy. The results were [12] Feigl T, Lauth H, Yulin S, Kaiser N. Microelectron Eng
discussed in comparison to other deposition 2001;57–58:3.
.
[13] Braun St, Dietsch R, Haidl M, Holz T, Mai H, Mullender
techniques and considering new attempts of inter- S, Scholz R. Microelectron Eng 2001;57–58:9.
face engineering. [14] Murakami K, Shiraishi M. Proc SPIE 2001;4506:56.
[15] Bajt S, Alameda J, Barbee T, Clift WM, Folta JA,
Kaufmann B, Spiller E. Proc SPIE 2001;4506:65.
Acknowledgements [16] Vernon SP, Kearney PA, Tong W, Prisbrey S, Larson C,
Moore CE, Weber F, Cardinale G, Yan P-Y, Hector S.
18th Annual BACUS Symposium on Photomask Technol-
We gratefully acknowledge contributions of ogy, 1998, Preprint UCRL-JC-131546, 1998.
further researchers to this project. M. Haidl and [17] Scholze F, Neumann H, Zeuner M, Mai A. Surf Coat
.
S. Mullender (Carl Zeiss Oberkochen) provided Technol 1997;97:755–8.
EUV-reflectivity data. TOF-SIMS data were taken [18] Spiller E. Soft X-ray optics SPIE. Bellingham: Optical
at TASCON GmbH, Munster, . Germany. J.-D. Engineering Press, 1994.
[19] Yakshin AE, Louis E, Gorts PC, Maas ELG, Bijkerk F.
Hecht and Zhang Lei (Leipzig) took part in the Physica B 2000;283:143.
photoemission studies at BESSY II and P. [20] Scholze F, Beckhoff B, Brandt G, Fliegauf R, Gottwald A,
Hofmann (BTU Cottbus) supported us in setting Klein R, Meyer M, Rost D, Schwarz UD, Thornagel R,
up the measurement equipment in Berlin. .
Thummler J, Veldkamp M, Weser J, Ulm G. Proc SPIE
2001;4344.
[21] Bajt S, Stearns DG, Kearney PA. Appl Phys 2001;
90:1017.
References [22] Kleineberg U, Westerwalbesloh T, Wehmeyer O, Sunder-
.
mann M, Brechling A, Heinzmann U, Haidl M, Mullender
[1] Stearns DG, Rosen RS, Vernon SP. Appl Opt 1993; S. Proc SPIE 2001;4506:113.
32:6592. [23] Anopchenko A, Jergel M, Majkova E, Luby S, Holy V,
[2] Ziegler E. Opt Eng 1995;34:445. Aschentrup A, Kolina I, Cheol Lim Y, Haindl G,
[3] Artiuoukov IA, Vinogradov AV, Asadchikov VE, Kasya- Kleineberg U, Heinzmann U. Physica B 2001;305:14.
nov YuS, Serov RV, Fedorenko AI, Kondratenko VV, [24] Feigl T, Yulin S, Kuhlmann T, Kaiser N. Proc SPIE
Yulin SA. Opt Lett 1995;20:1. 2001;4506:121.

You might also like