Download as pdf or txt
Download as pdf or txt
You are on page 1of 6

/RZ3RZHU9/6,$UFKLWHFWXUHIRU/7([

%LQDU\WR*UD\&RQYHUWHUV


85DJDYHQGUDQ05DPNXPDUUDMD 05DPDFKDQGUDQ

 
03670(10,06 'HHPHGWREH8QLYHUVLW\ ,QGLD
&RLPEDWRUH,QVWLWXWHRI(QJLQHHULQJDQG7HFKQRORJ\,QGLD

GUXUDJDYHQGUDQ#JPDLOFRPUDPNXPDUUDMDYOVL#JPDLOFRP VZHHWHVWFKDQGUDQ#JPDLOFRP


$EVWUDFW $ PRGXODU DSSURDFK WR UHDOL]H WKH XOWUDIDVW PLQG 7KHVH GHVLJQ UXOHV DQG WKHLU FRQFHUQV UHGXFH WKH NLQN
TXDQWXPGRW FHOOXODU DXWRPDWD 4&$  JHQHULF ELQDU\ WR JUD\ SUREDELOLW\WRRFFXU
FRQYHUWHU LV SUHVHQWHG LQ WKLV SDSHU 7KH QRYHO GHVLJQV KHUH 7KH 4&$ LV DQ HPHUJLQJ QDQRWHFKQRORJ\ LQ ZKLFK WKH
YDOLGDWHGIXOO\H[SORLWWKHLQWULQVLFUHSHWLWLYHFDSDELOLWLHVRIWKH LQIRUPDWLRQLVVWRUHGDVWKHFRQILJXUDWLRQVRIWKHHOHFWURQSDLUV
/D\HUHG7([FOXVLYH25 /7([ PRGXOHLQWKH4&$GRPDLQ LQ D TXDQWXP FHOO 7KH TXDQWXP FHOO LV D VTXDUH VWUXFWXUH
$QHIILFLHQWORJLFIRUPXODWLRQRI4&$GHVLJQPHWULFVOLNH2 ZKLFK FRQVLVWV RI IRXU TXDQWXP GRWV ORFDWHG DW WKH IRXU
&RVW DQG GHOD\ LV SURSRVHG IRU WKH QELW 4&$ ELQDU\ WR JUD\ FRUQHUV 7KH WZR HOHFWURQV ZLWKLQ D VTXDUH ZRXOG
FRQYHUWHU GHVLJQV 7KH 4&$ LPSOHPHQWDWLRQ RI QELW /7([ DFFRPPRGDWH WKHPVHOYHV DW WKH IXUWKHVW FRUQHUV GXH WR WKH
ELQDU\ WR JUD\ FRQYHUWHU LV FRPSDUHG ZLWK WKH FRQYHQWLRQDO HOHFWURVWDWLF UHSXOVLRQV EHWZHHQ WKHP 7KHVH HOHFWURQV DUH
FRQYHUWHUV$QDWWHPSWKDVEHHQPDGHWRHQKDQFHWKHVSHHGRI FRQILQHG ZLWKLQ WKH TXDQWXP FHOO E\ KLJK SRWHQWLDO EDUULHUV
PRGXODU ELQDU\ WR JUD\ FRQYHUWHU GHVLJQV 7KH SURSRVHG DQG KHQFH WKH HOHFWURQV FDQQRW EH WXQQHOHG WR WKH QHLJKERU
OD\RXWV RIIHU WKH VPDOOHU IHDWXUH VL]H UHGXFHG FLUFXLW TXDQWXP FHOOV 7KH FRQILJXUDWLRQV RI HOHFWURQ SDLUV DUH
FRPSOH[LW\H[SORLWLQJWKHPRGXODUEDVHGGHVLJQDSSURDFK7KH LQWHUSUHWHGDVHLWKHUµ¶RUµ¶GHSHQGLQJXSRQWZRSRVVLEOH
VLPXODWLRQ UHVXOWV KDYH EHHQ FDUULHG RXW LQ WKH HVWHHPHG RULHQWDWLRQVRIHOHFWURQSDLUVZLWKLQDFHOODVJLYHQLQILJXUH
FRPSXWHUDLGHGGHVLJQWRRO QDPHO\4&$'HVLJQHUZLWK 
JDOOLXPDUVHQLGHKHWHURVWUXFWXUHEDVHGSDUDPHWHUHQYLURQPHQW 

.H\ZRUGV4XDQWXPGRW&HOOXODU$XWRPDWD/D\HUHG7*DWH
2&RVW%LQDU\WR*UD\&RQYHUWHU'HVLJQ,QWURGXFWLRQ

7KH %LQDU\ WR *UD\ &RQYHUWHUV DUH VLJQLILFDQW TXDQWXPGRW
FHOOXODUDXWRPDWD 4&$ FLUFXLWFRPSRQHQWVEHFDXVHWKH\DUH 
OLNHO\ WR EH XVHG IRU *UD\ &RGH $GGUHVVLQJ >@ DQG (UURU )LJXUH D 4XDQWXP&HOO3RODUL]DWLRQ3 E 3  F 3 
&RQWURO FRGLQJ VFKHPHV >@ 6HYHUDO 4&$ %LQDU\ WR *UD\
FRQYHUWHUGHVLJQVH[LVWEXWQRQHRIWKHPDUHDFWXDOO\PRGXODU 
)RU WKH ILUVW WLPH WKLV ZRUN SURSRVHV D PRGXODU EDVHG 7KH 4&$ FDQ EXLOG PXOWLOHYHO GLJLWDO FLUFXLWV LQ WKH IRUP RI
DSSURDFK RI QELW %LQDU\ WR *UD\ FRQYHUWHUV )LUVWO\ D ELW TXDQWXP DUUD\V >@ ,QVWHDG RI FRQYHQWLRQDO YROWDJH DQG
H[FOXVLYHRU PRGXOH LV GHYHORSHG XVLQJ IRXU HOHPHQWDO FXUUHQWIORZ4&$FRQVLGHUVWKHLQIRUPDWLRQIORZE\PHDQVRI
/D\HUHG71$1'*DWHV>@KHQFHWKHWHUP/7([PRGXOHLV FROXPELF LQWHUDFWLRQV RI WKH HOHFWURQV RI FDVFDGHG TXDQWXP
JLYHQ 7KHQ D ELW %LQDU\ WR *UD\ &RGH &RQYHUWHU LV EXLOW FHOOV>@7KHTXDQWXPWXQQHOLQJHIIHFWPDNHV4&$WKHEHWWHU
FDXWLRXVO\ XVLQJ WKH SURSRVHG /7([ PRGXOH VR WKDW WKH DOWHUQDWLYH WR FRQYHQWLRQDO &026 &RPSOHPHQWDU\
PHWKRGRORJ\ EHFRPHV VFDODEOH XS WR QELW %LQDU\ WR *UD\ 026)(7V LQWHUPVRIVL]HSRZHUGLVVLSDWLRQDQGVSHHG>@
&RQYHUWHU 6SHFLILF LPSRUWDQFH KDV EHHQ JLYHQ WR WKH OD\RXW $OO PXOWLOHYHO DUFKLWHFWXUHV DQG GLJLWDO FLUFXLWV KDYH EHHQ
GHVLJQ VWDELOLW\ E\ NHHSLQJ WKH GHVLJQ UXOHV RI 4&$ >@ LQ LPSOHPHQWHGVRWKDWRQHFHOOLQIOXHQFHVWKHSRODUL]DWLRQRILWV

978-1-5386-1144-9$31.00 2017
c IEEE 104
LPPHGLDWH QHLJKERU 7KH UHOHYDQW OLWHUDWXUH VXUYH\ UHSRUWV WZRELW LPSOHPHQWDWLRQ RI 4&$ H[FOXVLYH RU JDWH EHFRPHV
VHYHUDOORJLFJDWHVVXFKDVELQDU\ZLUHPDMRULW\JDWH>@$2, LQHYLWDEOH>@$KLJKOHYHOV\QWKHVLVRIHOHPHQWDOWZRLQSXW
*DWH >@ 84&$/* *DWH >@ /D\HUHG 7 *DWH >@ DQG ([FOXVLYH25PRGXOHXVLQJ/D\HUHG7*DWHLVVKRZQLQILJXUH
FRPSXWDWLRQDOGHYLFHVOLNHDGGHUV>@>@PXOWLSOLHUV>  $V WKH H[FOXVLYH RU JDWH LV LPSOHPHQWHG XVLQJ /D\HUHG 7
@ PXOWLSOH[HUV >@ FRPSDUDWRUV >@ ([FOXVLYH 25 *DWH VR WKH WHUP /D\HUHG 7 ([FOXVLYH25 /7([  KDV EHHQ
*DWH>@PHPRULHV>@DQGDULWKPHWLFORJLFXQLWV>@ RSWHG
7KH4&$ILQGVIRXUZD\VIRULWVSUREDEOHLPSOHPHQWDWLRQVDV 7KH/7([PRGXOHRIILJXUHDLQFOXGHVIRXU/D\HUHG71$1'
6HPLFRQGXFWRU 4&$ >@ 0ROHFXODU 4&$ >@ 0DJQHWLF /71$1' JDWHV7KH/71$1'WDNHVWZRLQSXWV$DQG
4&$ >@ DQG PHWDOOLF 4&$ >@ )URP LWV LQYHQWLRQ >@ $ WR SURGXFH LQWHUPHGLDU\ RXWSXW 7 DW FORFN  7KH LQSXWV
4&$UHPDLQVDWWKHIRFXVDVLWKDGEHHQRSHUDWHGDWFU\RJHQLF $ $ FRXSOHG VHSDUDWHO\ ZLWK WKH LQWHUPHGLDU\ RXWSXW 7
WHPSHUDWXUH%XWWKHUHFHQWDGYHQWRIVHPLFRQGXFWRU4&$KDV KDYH EHHQ FRQQHFWHG WR WKH LQSXW SRUWV RI /7 1$1' /7
GHPRQVWUDWHGWKDWVHPLFRQGXFWRUEDVHG4&$FDQRSHUDWHDWD 1$1' UHVSHFWLYHO\ WR SURGXFH VHFRQG OHYHO LQWHUPHGLDU\
URRPWHPSHUDWXUH>@0RUHRYHUWKH;36DQGVSHFWURJUDSKLF RXWSXWV7DQG7DWFORFN/DVWO\WKHLQWHUPHGLDU\RXWSXWV
VWXGLHV RI PDJQHWLF 4&$ PROHFXODU 4&$ DUH SHUIRUPHG WR 7DQG7DUHJLYHQWRJHWILQDORXWSXW=7KHFORFNVLJQDOV
VXSSRUWWKHURRPWHPSHUDWXUHRSHUDELOLW\7KH ODVWRILWVW\SH DUH DSSOLHG WR TXDQWXP FHOOV LQ RUGHU  VR WKDW WKH
ZKLFK FRQILQHV LWV ZRUNDELOLW\ DW DEVROXWH ]HUR WHPSHUDWXUH LQWHUPHGLDU\ RXWSXWV JHW HYDOXDWHG DW FORFN  IRU SURSHU
>@ LV PHWDOOLF 4&$ $V WKH VHPLFRQGXFWRU 4&$ DQG ([FOXVLYH25RXWSXWJHQHUDWLRQ
PROHFXODU 4&$ DUH PRUH SURPLVLQJ VR WKH 4&$ ELQDU\ WR 
JUD\FRQYHUWHUFRXQWHUSDUWVFDQEHSURSRVHGLPSOHPHQWHGDQG
YHULILHG XVLQJ VHPLFRQGXFWRU 4&$ DQG PROHFXODU 4&$ DV
ZHOO
7KH RUJDQL]DWLRQ RI WKH SDSHU LV JLYHQ DV IROORZV VHFWLRQ 
SUHVHQWV WKHGHVLJQRIHOHPHQWDOELW /7([ PRGXOH IRFXVHG
RQHQGXUDQFHUHSURGXFLELOLW\DQGUREXVWQHVVLQRUGHUWRXVHLW
IRU JHQHULF QELW  ELQDU\ WR JUD\ FRQYHUWHU LPSOHPHQWDWLRQV
7KHELWELWDQGELWELQDU\WRJUD\FRQYHUWHUVEDVHGRQ 
GHVLJQRIVHFWLRQKDYHEHHQSURSRVHGLQWKHVHFWLRQ,QWKLV )LJXUH D %ORFNGLDJUDPDQGE4&$/D\RXWRI7ZRLQSXW
VHFWLRQ WKH RXWSXWV RI WKH PRGXODU 4&$ FRQYHUWHUV DUH /7([0RGXOH
VSHFLILHGWRYHULI\WKHRSHUDELOLW\RIWKHSURSRVHGGHVLJQV7KH 
PRGXODUEDVHGGHVLJQVRI JUD\FRGH FRQYHUWHUVDUH FRPSDUHG 7KH GHWDLOHG PDWKHPDWLFDO LQWHUSUHWDWLRQV RI /7([ EORFN DUH
ZLWK WKH H[LVWLQJ GHVLJQV >@ VXPPDUL]HG WKH 4&$ JLYHQLQHTXDWLRQ  DVIROORZV
GHVLJQ PHWULFV DQG KLJKOLJKWHG WKH LPSURYHPHQWV RYHU 
SUHYLRXV GHVLJQV LQ WKH VHFWLRQ  )LQDOO\ WKH FRQFOXGLQJ 7 / 7 $ $  
UHPDUNVKDYHEHHQSURYLGHGLQVHFWLRQ  
7 / 7 $ / 7 $ $  

/7([0RGXOH  
7 / 7 $  / 7 $ $  


$V WKH GDWDWUDQVPLVVLRQ RQ FRPSXWHU QHWZRUNV VHHPV WR = /7([ $$ / 7 7  7  
DWWDLQ LWV SHDN YDOXHV LQ FRPLQJ GHFDGHV VR WKH UHVHDUFKHUV     
IRFXV WKHLU DWWHQWLRQV LQ WKH GHVLJQ RI QDQRGHYLFHV IRU XOWUD / 7 / 7 $ / 7 $ $   / 7 $  / 7 $ $     
IDVW FRGH FRQYHUWHU FLUFXLWV ,Q WKH QDQRFRQYHUWHU GHVLJQ 
SDUDGLJPDELQDU\WR JUD\FRQYHUWHUV ILQGWKHLU VLJQLILFDQFHV 7KH /7([ 4&$ OD\RXW LV GHVLJQHG DQG VLPXODWHG E\
LQ SURFHVVRU EDVHG DUFKLWHFWXUH )RU H[DPSOH WKH HUURU 4&$'HVLJQHUWRROZLWKWKHQP;QPFHOOGLPHQVLRQQP
GHWHFWLRQ DQG FRUUHFWLRQ RI FHQWUDO SURFHVVLQJ XQLW HPSOR\V GRW GLDPHWHU DQG QP LQWHUFHOO GLVWDQFH 7KH ; JULG RI
ELQDU\WRJUD\FRQYHUWHUVDVLWVIXQGDPHQWDOSDUW,QJHQHUDOD ILJXUH E DFTXLUHV  FHOOV QP HIIHFWLYH DUHD DQG
%LQDU\ WR *UD\ &RQYHUWHU WDNHV ELQDU\ QXPEHUV DV LQSXW SURYLGHV RXWSXW DW QHJDWLYH HGJH RI FORFN  7KH RXWSXW = RI
SURFHVVHVLWDQGJHQHUDWHVWKHHTXLYDOHQWJUD\FRGHDV RXWSXW WZRLQSXW /7([ PRGXOH ZKLFK EHFRPHV ORJLF µ¶ XSRQ DQWL
7KHPDLQREMHFWLYHRIWKLVZRUNLVWRGHYHORSDPRGXODUEDVHG FRLQFLGHQFH RI WKH LQSXWV $ DQG $ LV HYLGHQW LQ ILJXUH 
DSSURDFKWKDWFDQEHXVHGIRUWKHGHVLJQRIQELW4&$ELQDU\ 7KHUHGFRORUHGER[RIRXWSXW=LVVWDUWXSWLPH
WRJUD\FRQYHUWHU7REXLOGDQ QELWELQDU\WR JUD\FRQYHUWHU 

International Conference on Applied and Theoretical Computing and Communication Technology 105
7KHEORFNGLDJUDPLVEDVHGRQWKHVDPHORJLFDQGLVFRPSRVHG
RI WKUHH XQLWV RI /7([ PRGXOHV DV JLYHQ LQ ILJXUH  7KH
ELQDU\ VLJQDOV %   %   %   DQG %   DUH WKH IRXU LQSXW
VLJQDOV WR WKH ELW %LQDU\ WR *UD\ &RQYHUWHU SURFHVVHG DW
FORFNWRJHQHUDWHWKHRXWSXWV*  *  *  DQG*  7KH
PRVW VLJQLILFDQW ELW RXWSXW *   HTXDOV %   ZKHUHDV
*  %  †  %   *   %  †  %   DQG *   %  † 
 %   >@ )URP WKH ILJXUH  LW LV REVHUYHG WKDW /7([
)LJXUH2XWSXWRIWZRLQSXW/7([0RGXOH PRGXOH WDNHV %   DQG %  DQG SURGXFHV WKH RXWSXW *   DW
FORFNDQGWKH/7([PRGXOHSURFHVVHVWKHLQSXWV%  DQG
 %   WR JHQHUDWH WKH RXWSXW *   7KH UHPDLQLQJ /7([
7KH VWDELOLW\ RI 4&$ GHVLJQ LV YHU\ LPSRUWDQW IRU WKH PRGXOHSURGXFHV*  E\WDNLQJWKHWZRLQSXWV%  DQG%  
VFDODELOLW\ UHGHVLJQ DELOLW\ DQG UHSURGXFLELOLW\ RI WKH 4&$ 7R VDWLVI\ WKH GHVLJQ FRQVLGHUDWLRQV DV VSHFLILHG LQ WKH
FLUFXLWV0DQ\WLPHVWKHVPDOOHUGHVLJQEHFRPHVDGYDQWDJHRXV SUHYLRXV VHFWLRQ WKH KLJK OHYHO EORFN GLDJUDP RI WKH ELW
EXWIDLOVWRRSHUDWHDVDSDUWRIODUJHUGHVLJQ,QWKDWFDVHWKH ELQDU\WRJUD\FRQYHUWHURXWSXWV*  *  *  DQG*  DW
PDQXIDFWXUHU KDV WR WZHDN WKH VLPXODWLRQ SDUDPHWHUV $V D WKHFORFN7KHFRORUHGEORFNVIURPLQSXWVLGH OHIW WRRXWSXW
FRQVHTXHQFH WKH 4&$ GHVLJQV EHFRPH LQHIIHFWLYH 6RPH VLGH ULJKW  LOOXVWUDWH WKH 4&$ SLSHOLQH RSHUDWLRQ LQ
GHVLJQ FRQFHUQV DUH WR EH FRQVLGHUHG DOO WKH WLPH IRU WKH LQIRUPDWLRQIORZWKURXJKWKHFLUFXLW
RSHUDEOH4&$FLUFXLWVDVJLYHQEHORZ 7KH ILJXUH D GHSLFWV WKH OD\RXW OHYHO V\QWKHVLV RI WKH ELW
D 7KHLQSXWDQGRXWSXWTXDQWXPFHOOVDUHWREHSODFHGDWWKH %LQDU\WR*UD\FRQYHUWHU7KH OD\RXWRIELW%LQDU\WR*UD\
ERUGHURIWKHFLUFXLWVWRDYRLGWKHUHTXLUHPHQWRIXQQHFHVVDU\ &RQYHUWHU LV LPSOHPHQWHG XVLQJ VDPH 4&$'HVLJQHU
LQWHUFRQQHFWLQJZLUHV>@ HQYLURQPHQWDVPHQWLRQHGEHIRUH
E  0LQLPXP WZR FHOOV >@ XQGHU D VLQJOH FORFN ]RQH LV 
HVVHQWLDO LQ ORQJ ELQDU\ ZLUHV 7R DYRLG WKH ODUJH DPRXQW RI $FFRUGLQJWRWKH4&$'HVLJQHUWRROWKHFLUFXLWFRQVLVWVRI
ZDVWHG DUHD ZLWKLQ D ODUJH 4&$ FLUFXLWV WKH HPSOR\PHQW RI TXDQWXP FHOOV PDUNLQJ WKH YDOXH RI 2&RVW >@ DV  7KH
VLQJOHFORFN]RQHZLWKPDQ\FHOOVKDYHWREHDYRLGHG ;JULGFRQVXPHVWKHHIIHFWLYHDUHDRIQPJHQHUDWHV
F 7KHXVHRIIL[HGSRODUL]HGTXDQWXPFHOOPXVWEHLQFUHDVHG WKH RXWSXWV DW WKH QHJDWLYH HGJH RI WKH FORFN  DV JLYHQ LQ
WRKDYHWKHEHWWHUVWDELOLW\RIWKH4&$OD\RXWV ILJXUH E 7KH FRKHUHQFH YHFWRU PRGHO LV XVHG GXULQJ WKH
G 7KHRXWSXWVPXVWEHREWDLQHGDWWKHVDPHFORFNLQJ]RQHWR VLPXODWLRQRIFRQYHUWHUZLWKUHOD[DWLRQWLPHVVWHSWLPH
DYRLGWKHUDFHDURXQGLQIRUPDWLRQIORZZLWKLQWKHOD\RXWV VDQGUDGLXVRIHIIHFWQP
7KH /7([ PRGXOH KDV EHHQ GHVLJQHG DQG VLPXODWHG ZLWK WKH 7KH FRKHUHQFH YHFWRUVLPXODWLRQHQJLQH KDYH EHHQLQLWLDOL]HG
VSHFLILF GHVLJQ SRLQWV LQ PLQG 7KH SURSRVHG OD\RXW KDV WKH ZLWK WKH %LQDU\ LQSXW YHFWRU ^%  %  %  %  ` DV ^
LQSXWRXWSXWFHOOVDWWKHERUGHUVDPHFORFNLQJ]RQHVDUHJLYHQ `%\LWVFHUWDLQRSHUDELOLW\WKH
WRPLQLPXPWZRFHOOVDQGIRXUIL[HGSRODUL]HGTXDQWXPFHOOV ELW /7([ ELQDU\ WR JUD\ FRQYHUWHU FRQILUPV WKH RXWSXW DV
KDYH EHHQ HPSOR\HG WR EXLOG WKH SURSRVHG OD\RXW ,Q ^*  *  *  *  ` ZLWK WKH RXWSXW YHFWRU ^ 
VXEVHTXHQWVHFWLRQVWKH/7([PRGXOHLVUHXVHGLQWKHGHVLJQV `7KHRXWSXWKDVEHHQGHPRQVWUDWHGLQ
RIELWELWDQGELWELQDU\WRJUD\FRQYHUWHUFLUFXLWV ILJXUHE7KHILUVWDUURZRIILJXUHELQGLFDWHVILUVWYDOLGJUD\
 FRXQWHUSDUW
 0RGXODU 'HVLJQ RI *HQHULF %LQDU\ WR *UD\ &RGH 
&RQYHUWHUV ELW%LQDU\WR*UD\&RQYHUWHU
 
ELW%LQDU\WR*UD\&RQYHUWHU 7KHKLJKHURUGHU4&$%LQDU\WR*UD\FRQYHUWHUFDQEHGHVLJQHG
 XVLQJ WKH PRGXODU DSSURDFK SUHVHQWHG LQ WKH SUHYLRXV VHFWLRQV
7KHLQSXW/7([PRGXOHKDVEHHQXVHGLQWKHGHVLJQRIKLJK +HUHDQELW4&$%LQDU\WR*UD\FRQYHUWHUFLUFXLWLVSURSRVHG
IDQLQELQDU\WRJUD\FRGH FRQYHUWHUFLUFXLWV&RQVLGHULQJWKH VLPXODWHGDQG YHULILHG7KH PRGXODU LPSOHPHQWDWLRQUHSRUWV WKH
VXSHULRULW\ LQ WKH GHVLJQ RI /7([ PRGXOH SURSRVHG LQ WKH QHHG RI VHYHQ LQSXW /7([ PRGXOHV DV JLYHQ LQ ILJXUH  7KH
SUHFHGLQJ VHFWLRQ D QRYHO FLUFXLW RI D ELW %LQDU\ WR *UD\ EORFNV SUHVHQWHG LQ ILJXUH  DUH LGHQWLFDO WR WKH ELW %LQDU\ WR
&RGH FRQYHUWHU LV SUHVHQWHG LQ WKLV VHFWLRQ 7KH KLJK OHYHO *UD\FRQYHUWHUSUHVHQWHGLQVXEVHFWLRQ
EORFN GLDJUDP RI WKH SURSRVHG FLUFXLW LV VKRZQ LQ ILJXUH  7KH LQIRUPDWLRQIORZ WKURXJK WKH FLUFXLW LV LQ SURSHU

106 International Conference on Applied and Theoretical Computing and Communication Technology
V\QFKURQL]DWLRQLQHYHU\ EORFNE\WKHFORFNVLJQDOV7KHRXWSXW FRPSDUHV LW ZLWK JHQHULF /7([ FRXQWHUSDUWV 7KH UHVHDUFKHU
JUD\ YHFWRU ^*` LV OLNHO\ WR JHW LWV YDOXH DW WKH IDOOLQJ HGJH RI FDQ ILQG RXW WKH JXLGHOLQHV WR GHVLJQ PRGXODU QELW ELQDU\ WR
FORFN7KHELWELQDU\WRJUD\FRQYHUWHUWDNHVWKHELQDU\LQSXW JUD\ &RQYHUWHU GHVLJQ WKH /7([ GHVLJQ EHFRPHV KLJKO\
YHFWRU ^%  %  %  %  %  %  %  %  ` DSSOLHV WKH PRGXODU 7KH QELW ELQDU\ WR JUD\ FRQYHUWHU FDQ EH HDVLO\ EH
H[FOXVLYH RU RSHUDWLRQV RQ WKH OLWHUDOV DQG SURGXFH LWV JUD\ H[WHQGHGWRDKLJKHURUGHUFLUFXLWE\ D WDNLQJ Q QXPEHUV
FRXQWHUSDUW^*  *  *  *  *  *  *  *  ` RI FDVFDGHG /7([ PRGXOHV E  DUUDQJLQJ WKH GHOD\ RI WKH
 PRGXODUEORFNVVRWKDWHDFKRXWSXWVJHWLWVYDOXHDIWHUFORFN
7KH 4&$ LPSOHPHQWDWLRQ RI ELW ELQDU\ WR JUD\ FRQYHUWHU LV DQG F  WDNLQJ WKH LQWHUFRQQHFWLQJ ZLUHV IURP WKH PRVW
UHSRUWHGLQILJXUHD7KHOD\RXWFRQVXPHVQPHIIHFWLYH VLJQLILFDQWLQSXWWRJHQHUDWHPRVWVLJQLILFDQWELWRIWKHRXWSXW
DUHD KDV WKH YDOXH RI 2&RVW DV  DQG DFKLHYHV WKH GHOD\ RI 7KLVPHFKDQLVPFDQEHUHDOL]HGE\DVVRFLDWLQJWKHILJXUHV
 DQGLQPLQG
7KH FLUFXLW VLPXODWLRQV DUH VKRZQ LQ ILJXUH E 7KH LQSXW DQG ,IWKHPDQXIDFWXUHUVZLVKWRLQVWDQWLDWHWKHQELWELQDU\WRJUD\
RXWSXW EXVHV DUH LQGLFDWHG E\ WKH ORZHU DQG XSSHU ZDYHIRUPV FRQYHUWHUGHVLJQPRUHSUHFLVHO\WKH\UHDOL]HWKDWWKHPRGXODU
UHVSHFWLYHO\LQWKHILJXUHE DSSURDFK RI WKH /7([ FRQYHUWHU FRQVLVWV RI Q  WZRLQSXW
7KHELW/7([FRQYHUWHULVWHVWHGZLWKELQDU\YHFWRU^ /7([PRGXOHVZKLFKDUHFROOHFWLYHO\ Q /71$1'JDWHV
  ` DV JLYHQ LQ ILJXUH E 7KH 7KH OD\RXW LV UHFHLYLQJ LWV QELW LQSXWV WR SURGXFH  Q 
DUURZ KLJKOLJKWV WKH YDOLG JUD\ FRXQWHUSDUW DV ^ LQWHUPHGLDU\RXWSXWV$VFRQFXUUHQWLQIRUPDWLRQIORZWKURXJK
  ` ZKLFK FRQILUPV WKH SURSHU WKH EORFN LV SUHGLFWHG VR DOO WKH RXWSXWV ZLOO JHW LWV YDOXH
IXQFWLRQDOLW\RIWKHELQDU\WRJUD\FRGHFRQYHUWHUFLUFXLW H[DFWO\DIWHUFORFNSXOVH
 
ELW%LQDU\WR*UD\&RQYHUWHU &RQFOXVLRQ
 
6WDUWLQJIURPWKHELW%LQDU\WR*UD\&RGHFRQYHUWHUWKLVZRUN $ QRYHO DSSURDFK RI HIILFLHQW PRGXODU EDVHG QELW ELQDU\ WR
GHPRQVWUDWHVELWDQGELWELQDU\WRJUD\FRQYHUWHUVXVLQJWKH JUD\ FRQYHUWHU KDV EHHQ SUHVHQWHG ,W LV EDVHG RQ WZRLQSXW
LQSXW /7([ PRGXOH DV WKH EDVLF EXLOGLQJ EORFN 7KH ELW /7([ PRGXOH OHDGLQJ WR PRGXODU VWUXFWXUHV DEOH WR DFKLHYH
%LQDU\WR*UD\FRQYHUWHUKDVEHHQGHFRPSRVHGLQWRVPDOOHUSDUWV LQFUHDVHGVSHHGSHUIRUPDQFHVDQGUHGXFHVL]HFRPSDUHGWRWKH
DVJLYHQLQILJXUHD7KHQWKHVHVPDOOHUSLHFHVDUHVXEVWLWXWHGE\ H[LVWLQJFRQYHUWHUV
FDVFDGHG WZRLQSXW /7([ PRGXOHV WR FRPSOHWH WKH 4&$ 
LPSOHPHQWDWLRQ RI ELW /7([ FRQYHUWHU FLUFXLW 7KH PRGXODU 7KHKLJKOHYHOV\QWKHVLVDQGGHVLJQOD\RXWRIWZRLQSXW/7([
DSSURDFKVKRZVWKDWWKHRXWSXWYHFWRU^*  *  «*  *  ` PRGXOH LV SURSRVHG RQ WKH EDVLV RI 1$1' UHDOL]DWLRQ RI
LV SURGXFHG DW WKH FORFN  PDNLQJ WKH QHDW LQSXWWRRXWSXW H[FOXVLYHRUJDWH$VPLQLPXPIRXU1$1'JDWHVDUHUHTXLUHG
SURSDJDWLRQGHOD\DV,WLVREYLRXVWRQRWHWKDWWKHPRGXODU WR LPSOHPHQW H[FOXVLYH RU JDWH VR IRXU /7([ PRGXOHV KDYH
V\QWKHVLV RI ELW /7([ FRQYHUWHU UHTXLUHV   QXPEHUV EHHQ LQVWDQWLDWHG WR IRUP /D\HUHG 7 ([FOXVLYH 25 *DWH
RIWZRLQSXW/7([PRGXOHDVZHOO QDPHO\ /7([ PRGXOH 7KH /7([ PRGXOHV DUH UHFXUVLYHO\
 FDVFDGHGWREXLOGELW%LQDU\WR*UD\FRQYHUWHUDVVKRZQLQ
7KH 4&$ LPSOHPHQWDWLRQ RI ELW ELQDU\ WR JUD\ FRGH ILJXUH D7KH OD\RXW RI ELW FRQYHUWHU KDV EHHQ FRPSDUHG
FRQYHUWHU LV UHSUHVHQWHG LQ ILJXUH E 7KH OD\RXW FRYHUV WKH ZLWK WKH H[LVWLQJ GHVLJQV LQ WHUPV RI 4&$ PHWULFV DV
HIIHFWLYH DUHD DV QP UHSRUWV 2&RVW DV  ZLWK WKH PHQWLRQHG LQ WDEOH  7KH SURSRVHG ELW %LQDU\ WR *UD\
GHOD\ RI  7KH VLPXODWLRQ UHVXOW RI ILJXUH  FRQIRUP WKH &RQYHUWHU QHHGV a OHVV 2&RVW  OHVV HIIHFWLYH
IXQFWLRQDOLW\RIWKHELWELQDU\WRJUD\FRQYHUWHU DUHD DV FRPSDUHG WR WKH EHVW UHSRUWHG GHVLJQ RI .DUNDM
7KH SRVWLPSOHPHQWDWLRQ GHVLJQ VXPPDU\ RI WKH FRQYHUWHUV &RQYHUWHU7KHSURSRVHGFRQYHUWHUVKRZVOHVVQXPEHU
ZLWKQ ZKHUHQLVQXPEHURILQSXWV KDVEHHQ RIJDWHUHTXLUHPHQWDVFRPSDUHGWRWKH5DR&RQYHUWHU
VXPPDUL]HG LQ WDEOHV   9DULRXV 4&$ GHVLJQ PHWULFV OLNH 
2&RVWHIIHFWLYHDUHD LQQP DQGWKHQXPEHURI*DWHFRXQWV )RU WKH LQVWDQFHV RI QELW %* FRQYHUWHUV WKH SHUFHQWDJH
KDYH EHHQ QRWHG 7KH FRPSDULVRQV ZLWK WKH SUHYLRXVO\ LPSURYHPHQW FDQ EH QRWHG LQ HYHU\ DVSHFW RI 4&$ GHVLJQ
H[LVWLQJELWGHVLJQV>@KDYHEHHQGUDZQLQWDEOH7KH SDUDPHWHUV FRPSDUHG WR $KPDG &RXQWHUSDUWV >@ ,W LV
OLWHUDWXUH VXUYH\ LQ WKH UHOHYDQW ILHOG SD\V DQ DWWHQWLRQ WR WKH LQWHUHVWLQJ WR QRWH WKDW WKH SHUFHQWDJH LPSURYHPHQW LQ
$KPDG &RQYHUWHU >@ WKDW ILUVWO\ LQWURGXFHG WKH SDWKZD\ WR HIIHFWLYH DUHD LQ —P  LQFUHDVHV ZLWK WKH KLJK IDQLQ
GHVLJQ DQ QELW FRQYHUWHU GHVLJQV 7KH WDEOH  KLJKOLJKWV WKH FRXQWHUSDUWV RI /7([ %LQDU\ WR *UD\ &RQYHUWHU VWUXFWXUHV
VWDWLVWLFVRIWKHH[LVWLQJQELWELQDU\WRJUD\FRQYHUWHU>@DQG 8WPRVW  LPSURYHPHQW KDV EHHQ QRWHG GXULQJ WKH

International Conference on Applied and Theoretical Computing and Communication Technology 107
HIIHFWLYH DUHD FRPSDULVRQ RI ELW /7([ &RQYHUWHU DV &HOOXODU $XWRPDWD ,((( 7UDQVDFWLRQV RQ
UHSRUWHG LQ WDEOH  $GGLWLRQDOO\ WKH ELW /7([ FRQYHUWHU 1DQRWHFKQRORJ\    
UHSRUWVXSWRLPSURYHPHQWLQ2&RVWFRPSDUHGWRWKH '2,71$12
ELW FRXQWHUSDUW RI $KPDG &RQYHUWHU >@ $V WKH GHOD\  0RPHQ]DGHK0+XDQJ-7DKRRUL0%/RPEDUGL
UHPDLQV LQGHSHQGHQW ZLWK WKH QXPEHU RI LQSXWV IRU WKH QELW )  &KDUDFWHUL]DWLRQ7HVWDQG/RJLF6\QWKHVLV
/7([LQYHUWHUVRLPSURYHPHQWKDVEHHQUHSRUWHGIRU RI $QG2U,QYHUWHU $2,  *DWH 'HVLJQ IRU 4&$
ELW OD\RXW FRPSDULVRQ ZLWK $KPDG &RQYHUWHU FRXQWHUSDUW ,PSOHPHQWDWLRQ ,((( 7UDQVDFWLRQV RQ &RPSXWHU
>@ $LGHG 'HVLJQ RI ,QWHJUDWHG &LUFXLWV DQG 6\VWHPV
     ± 
7KH IRUPXODWLRQ RI 2&RVW DQG GHOD\ IRU D JHQHULF /7([ '2,7&$'
ELQDU\ WR JUD\ FRQYHUWHU KDV EHHQ GRQH 7KH 2&RVW  6PLWK &*   &RPSXWDWLRQ ZLWKRXW &XUUHQW
UHTXLUHPHQW LV Q IRU DQ QELW ELQDU\ WR JUD\ FRQYHUWHU 6FLHQFH 9RO  '2,
ZKLFK HVWLPDWHV OLQHDU GHSHQGHQF\ RQ LQSXW ELW Q DV VFLHQFH
GHPRQVWUDWHG LQ ILJXUH  7KH GHOD\ RI QELW ELQDU\ WR JUD\  6HQ % 6HQJXSWD $ 'DOXL 0 6LNGDU % .  
FRQYHUWHUVUHPDLQVFRQVWDQWDWLQGHSHQGHQWRQWKHLQSXW 'HVLJQ RI 7HVWDEOH 8QLYHUVDO /RJLF *DWH 7DUJHWLQJ
ELWUHVXOWLQJDQXOWUDIDVWPRGXODUDSSURDFKIRUJHQHULFELQDU\ 0LQLPXP :LUH&URVVLQJV LQ 4&$ /RJLF &LUFXLW
WRJUD\FRQYHUWHUGHVLJQSDUDGLJP WK (XURPLFUR &RQIHUHQFH RQ 'LJLWDO 6\VWHP
 'HVLJQ $UFKLWHFWXUHV 0HWKRGVDQG7RROVSS
5HIHUHQFHV '2,'6'
  0HKWD + 2ZHQV 5 0 ,UZLQ 0 -   6RPH
 $EHGL ' -DEHULSXU *   'HFLPDO )XOO $GGHUV LVVXHV LQ JUD\ FRGH DGGUHVVLQJ 6L[WK *UHDW /DNH
6SHFLDOO\ 'HVLJQHG IRU 4XDQWXP'RW &HOOXODU 6\PSRVLXP RQ 9/6, SS  '2,
$XWRPDWD ,((( 7UDQVDFWLRQV RQ &LUFXLWV DQG */6
6\VWHPV ,, ([SUHVV %ULHIV 33   '2,  0XNKHUMHH & 6XNOD $ 6 %DVX 6 6 &KDNUDEDUW\
7&6,, 5.KDQ$'H'  /D\HUHG7IXOODGGHUXVLQJ
 6DVDPDO 7 1 6LQJK $ . *KDQHNDU 8   4XDQWXPGRW &HOOXODU $XWRPDWD ,((( ,QWHUQDWLRQDO
'HVLJQ RI QRQUHVWRULQJ ELQDU\ DUUD\ GLYLGHU LQ &RQIHUHQFH RQ (OHFWURQLFV &RPSXWLQJ DQG
PDMRULW\ ORJLFEDVHG 4&$ (OHFWURQLFV /HWWHUV &RPPXQLFDWLRQ 7HFKQRORJLHV &21(&&7 
  ±'2,HO SS'2,&21(&&7
 0XNKHUMHH & 6XNOD 6 6 %DVX 6 6 &KDNUDERUW\  'U.'XUDLVDP\ 85DJDYHQGUDQ ³$ /RZ 3RZHU
5 'H '   /D\HUHG 7 )XOO $GGHU XVLQJ 'HVLJQ RI 6LQJOH 3UHFLVLRQ )ORDWLQJ 3RLQW 0XOWLSOLHU
4XDQWXPGRW &HOOXODU $XWRPDWD ,((( ,QWHUQDWLRQDO IRU&RPSXWLQJ7HFKQLTXHV´,QWHUQDWLRQDO5HYLHZRQ
&RQIHUHQFH RQ (OHFWURQLFV &RPSXWLQJ DQG &RPSXWHUV DQG 6RIWZDUH ,5(&26  9ROXPH 
&RPPXQLFDWLRQ 7HFKQRORJLHV SS  '2, ,VVXH_-XO\
&21(&&7  :DMH 0 * 'DNKROH 3 .   $QDO\VLV RI
 /LX : /X / 2¶1HLOO 0 6ZDUW]ODQGHU -U ( ( YDULRXV DSSURDFKHV XVHG IRU WKH LPSOHPHQWDWLRQ RI
  'HVLJQ 5XOHV IRU 4XDQWXPGRW &HOOXODU 4&$ EDVHG IXOO DGGHU FLUFXLW ,QWHUQDWLRQDO
$XWRPDWD,(((,QWHUQDWLRQDO6\PSRVLXPRI&LUFXLWV &RQIHUHQFH RQ (OHFWULFDO (OHFWURQLFV DQG
DQG 6\VWHPV ,6&$6  SS  ±  '2, 2SWLPL]DWLRQ 7HFKQLTXHV ,&((27  SS  ±
,6&$6 '2,,&((27
 1LHPLHU 0 7 .RJJH 3 0   2ULJLQV DQG  /LQ6&RVWHOOR-U'-  (UURU&RQWURO&RGLQJ
0RWLYDWLRQV IRU 'HVLJQ 5XOHV LQ 4&$ 1DQR 3HDUVRQ(GXFDWLRQ,QGLD,6%1
4XDQWXP DQG 0ROHFXODU &RPSXWLQJ SS   /LX:/X/2
1HLOO06ZDUW]ODQGHU((  
.OXZHU$FDGHPLF3XEOLVKHUV1HWKHUODQGV 0RQWJRPHU\ PRGXODU PXOWLSOLHU GHVLJQ LQ TXDQWXP
 /HQW&7RXJDZ3  $GHYLFHDUFKLWHFWXUHIRU GRW FHOOXODU DXWRPDWD XVLQJ FXWVHW UHWLPLQJWK
FRPSXWLQJZLWKTXDQWXPGRWV3URFHHGLQJVRI,((( ,((( ,QWHUQDWLRQDO &RQIHUHQFH RQ 1DQRWHFKQRORJ\
3,,6  ; SS±'2,1$12
 =KDQJ5:DOXV.:DQJ:-XOOLHQ*$    $EHGL'-DEHULSXU*  &RSODQDU4&$ VHULDO
$0HWKRGRI0DMRULW\/RJLF5HGXFWLRQIRU4XDQWXP DGGHUDQGPXOWLSOLHUYLDFORFN]RQH EDVHGFURVVRYHU
WK &6, ,QWHUQDWLRQDO 6\PSRVLXP RQ &RPSXWHU

108 International Conference on Applied and Theoretical Computing and Communication Technology
$UFKLWHFWXUHDQG'LJLWDO6\VWHPV &$'6 SS±  ,PUH $ &VDED * -L / 2UORY $ %HUQVWHLQ *+
'2,&$'6 3RURG :   0DMRULW\ ORJLF JDWH IRU PDJQHWLF
 'U.'XUDLVDP\85DJDYHQGUDQ  /RZ3RZHU TXDQWXPGRW FHOOXODU DXWRPDWD 6FLHQFH  
$QDORJ 0XOWLSOLHU 8VLQJ 0,)*026 -RXUQDO RI '2,VFLHQFH
&RPSXWHU 6FLHQFH -&6  9ROXPH  ,VVXH  3DJH  ,TEDO-.KDQGD\) $6KDK1$  (IILFLHQW
1XPEHU± 4XDQWXP 'RW &HOOXODU $XWRPDWD 4&$ 
 3HUUL 6 &RUVRQHOOR 3 &RFRUXOOR *   'HVLJQ ,PSOHPHQWDWLRQ RI &RGH &RQYHUWHUV
RI (IILFLHQW %LQDU\ &RPSDUDWRUV LQ 4XDQWXPGRW &RPPXQLFDWLRQV LQ ,QIRUPDWLRQ 6FLHQFH DQG
&HOOXODU $XWRPDWD ,((( 7UDQVDFWLRQV RQ 0DQDJHPHQW(QJLQHHULQJ
1DQRWHFKQRORJ\    '2,  $KPHG)%KDW*0  1RYHO&RGH&RQYHUWHUV
71$12 %DVHG RQ 4XDQWXPGRW &HOOXODU $XWRPDWD 4&$ 
 :DMH 0 * 'DNKROH 3 .   'HVLJQ DQG ,QWHUQDWLRQDO-RXUQDORI6FLHQFHDQG5HVHDUFK
6LPXODWLRQ RI 1HZ ;25 *DWH DQG &RGH &RQYHUWHUV 3DSHU,'
XVLQJ 4XDQWXP 'RW &HOOXODU $XWRPDWD ZLWK UHGXFHG  7KDNXU * *XPSH $ 6DUYDJ\D 0 6KDUDQ 3
QXPEHU RI ZLUH FURVVLQJV ,((( ,QWHUQDWLRQDO   $Q DUHD HIILFLHQW PXOWLSOH[HU IRU FURVVEDU
&RQIHUHQFH RQ &LUFXLW 3RZHU DQG &RPSXWLQJ DUELWHU GHVLJQ XVLQJ TXDQWXP GRW FHOOXODU DXWRPDWD
7HFKQRORJLHV SS  '2, ,((( ,QWHUQDWLRQDO &RQIHUHQFH RQ 5HFHQW 7UHQGV LQ
,&&3&7 (OHFWURQLFV ,QIRUPDWLRQ  &RPPXQLFDWLRQ
 5R\ 6 6 0XNKHUMHH & 3DQGD 6 0XNKRSDGK\D\ 7HFKQRORJ\ 57(,&7  SS  ±  '2,
$.0DML%  /D\HUHG7&RPSDUDWRU'HVLJQ 57(,&7
XVLQJ 4XDQWXPGRW &HOOXODU $XWRPDWD SUHVHQWHG LQ  85DJDYHQGUDQ9LUDO0HKWD9LVKDO)HJDGHDQG0
QG LQWHUQDWLRQDO FRQIHUHQFH RQ 'HYLFHV IRU 5DPDFKDQGUDQ   '\QDPLF $QDO\VLV RI 6LQJOH
,QWHJUDWHG&LUFXLW 'HY,& $UWLFOH,' )ROG 6\PPHWULF &RPSRVLWH /DPLQDWHV ,QWHUQDWLRQDO
 0XNKHUMHH&3DQGD60XNKRSDGK\D\$.0DML -RXUQDO RI &LYLO (QJLQHHULQJ DQG 7HFKQRORJ\
%   6\QWKHVLV RI 6WDQGDUG )XQFWLRQV DQG 9ROXPH,VVXH1RYHPEHUSS±
*HQHULF ([25 *DWH XVLQJ /D\HUHG 7 *DWH  $KPDG ) 0G %KDW * $KPDG 3 = .KDQ + $
,QWHUQDWLRQDO -RXUQDO RI +LJK 3HUIRUPDQFH 6\VWHPV )DURRT 5   'HVLJQ RI 1%LW &RGH &RQYHUWHU
$UFKLWHFWXUH LQ SUHVV   $EVWUDFW $YDLODEOH DW 8VLQJ 4XDQWXP'RW &HOOXODU $XWRPDWD 4&$ 
KWWSZZZLQGHUVFLHQFHFRPLQIRLQJHQHUDOIRUWKFRP $GYDQFHG6FLHQFH(QJLQHHULQJDQG0HGLFLQH
LQJSKS"MFRGH LMKSVD '2,DVHP
 +HLNDODEDG 6 5 1DYLQ $ + +RVVHLQ]DGHK 0  5DR1*6ULNDQWK3&6KDUDQ3  $QRYHO
 &RQWHQWDGGUHVVDEOHPHPRU\FHOOLQTXDQWXP TXDQWXP GRW FHOOXODU DXWRPDWD IRU ELW FRGH
GRW FHOOXODU DXWRPDWD 0LFURHOHFWURQLFV (QJLQHHULQJ FRQYHUWHUV 2SWLN,QWHUQDWLRQDO -RXUQDO RI /LJKW
 '2, (OHFWURQ 2SWLF SS  '2,
KWWSGRLRUJMPHH KWWSG[GRLRUJMLMOHR
 )D]]LRQ ( )RQVHFD 2 / + 0 $XJXVWR - 1DFLI  ,VODP6$EGXOODKDO6KDIL0G%DKDU$1  
0 1HWR 2 3 9 )HUQDQGHV $ 2 6LOYD ' 6 ,PSOHPHQWDWLRQ RI %LQDU\ WR *UD\ &RGH &RQYHUWHUV
   $ TXDQWXPGRW FHOOXODU DXWRPDWD SURFHVVRU LQ 4XDQWXP 'RW &HOOXODU $XWRPDWD -RXUQDO RI
GHVLJQ WK 6\PSRVLXP RQ ,QWHJUDWHG &LUFXLWV DQG 7RGD\¶V,GHDV7RPRUURZ¶V7HFKQRORJLHV
6\VWHPV 'HVLJQ 6%&&,  SS  ±  '2, '2,MRWLWW
  .DUNDM(7+HLNDODEDG65  %LQDU\WRJUD\
 0RULV 0DQR 0   &RPSXWHU 6\VWHP DQGJUD\WRELQDU\FRQYHUWHU LQTXDQWXPGRWFHOOXODU
$UFKLWHFWXUH 3HDUVRQ (GXFDWLRQ ,QGLD ,6%1 DXWRPDWD 2SWLN,QWHUQDWLRQDO -RXUQDO RI /LJKW
 (OHFWURQ 2SWLF SS  '2,
 'LODELR * $ :RONRZ 5 $ 3LWWHUV - / 3LYD * KWWSG[GRLRUJMLMOHR
  $WRPLVWLF 4XDQWXP 'RWV 86$ 3DWHQW 86 
$
 /HQW &   0ROHFXODU HOHFWURQLFVE\SDVVLQJ WKH
WUDQVLVWRU SDUDGLJP 6FLHQFH  '2,
VFLHQFH

International Conference on Applied and Theoretical Computing and Communication Technology 109

View publication stats

You might also like