1999 - Fully-Depleted SOI CMOS

You might also like

Download as pdf or txt
Download as pdf or txt
You are on page 1of 16

Analog Integrated Circuits and Signal Processing, 21, 213±228 (1999)

# 1999 Kluwer Academic Publishers, Boston. Manufactured in The Netherlands.

Fully-Depleted SOI CMOS Technology for Low-Voltage Low-Power


Mixed Digital/Analog/Microwave Circuits

D. FLANDRE, J. P. COLINGE, J. CHEN, D. DE CEUSTER, J. P. EGGERMONT, L. FERREIRA,


B. GENTINNE, P. G. A. JESPERS AND A. VIVIANI
Microelectronics Laboratory, Universite Catholique de Louvain, Place du Levant 3, 1348 Louvain-la-Neuve, Belgium
E-mail: ¯andre@dice.ucl.ac.be

R. GILLON, J. P. RASKIN, A. VANDER VORST AND D. VANHOENACKER-JANVIER


Microwaves Laboratory, Universite Catholique de Louvain, Place du Levant 3, 1348 Louvain-la-Neuve, Belgium

F. SILVEIRA
Instituto de IngenierõÁa EleÁctrica, Universidad de la RepuÁblica Casilla de Correos 30, Montevideo, Uruguay

Received December 7, 1995; Revised September 3, 1996; Accepted December 12, 1996

Abstract. This paper demonstrates that fully-depleted (FD) silicon-on-insulator (SOI) technology offers unique
opportunities in the ®eld of low-voltage, low-power CMOS circuits. Beside the well-known reduction of parasitic
capacitances due to dielectric isolation, FD SOI MOSFETs indeed exhibit near-ideal body factor, subthreshold
slope and current drive. These assets are both theoretically and experimentally investigated. Original circuit
studies then show how a basic FD SOI CMOS process allows for the mixed fabrication and operation under low
supply voltage of analog, digital and microwave components with properties signi®cantly superior to those
obtained on bulk CMOS. Experimental circuit realizations support the analysis.

Key Words: SOI Technology, CMOS circuits, LVLP mixed-mode, RF components

1. Introduction acteristics all add up to signi®cantly increase the


drive capability, in particular for reduced supply
Thin-®lm Silicon-on-Insulator (SOI) technology has voltages;
evolved from a mere laboratory curiosity in the early ± thinned ®lms and dielectic isolation result in
1980s to a technology in which large circuits such as simpli®ed submicron CMOS processes: threshold
16 Mbit DRAMs can be made [1]. SOI CMOS is also voltage roll-off is minimized, reliable ultra-shallow
considered as a very attractive technology for the junctions are easily obtained, wells and latch-up are
realization of low-voltage low-power (LVLP) digital suppressed and complicated lateral isolation pro-
ULSI circuits for a number of well-known advantages cess can be avoided. SOI process yield may
over conventional bulk Si CMOS [2,3]: actually be higher than that of bulk since SOI
± dielectric isolation provides reduced parasitic devices and circuits are much more tolerant to
capacitances and leakage currents when compared defects.
to junction isolation; The impact of the improved FD SOI CMOS
± full-depletion (FD) operation of thin-®lm SOI characteristics on speed and power consumption has
MOSFETs yields quasi-ideal device properties already received a lot of attention in digital circuitry.
such as sharper subthreshold slope, lower body Circuits built on a 0.5 mm FD SOI CMOS 1 M gate
effect and smaller vertical ®eld mobility degrada- array showed twice the speed or half the power
tion. Improved subthreshold slopes in turn allow for consumption of similar bulk CMOS circuits when
the use of lower threshold voltages for identical operated at 2 V supply voltage [4]. It can be evaluated
subthreshold leakage current values. These char- that around 40% of the improvement results from the
214 D. Flandre et al.

capacitance reduction and the other 60% from the channel devices to suppress edge leakage currents and
drive current increase. A threefold enhancement of the growing a ®eld oxide to consume the remaining
power times speed ®gure of merit has been silicon in the ®eld area. A 30 nm-thick gate oxide is
demonstrated for 1 V supply voltage, in particular in grown and boron is implanted to adjust the threshold
the case of a 512 K SRAM [5]. Frequency dividers voltages, which for zero back gate-to-source bias, lie
operating at 1 GHz with a 1 V supply voltage have around 400 mV for the n-channel inversion-mode
also been realized in a 0.12 mm SOI CMOS process, devices and ÿ 700 mV for the p-channel accumula-
consuming only 50 mW [6]. tion-mode devices. When the devices are in operation,
The challenges for LVLP analog and microwave the threshold voltage of the p-channel devices
SOI CMOS circuits, however, have not been as increases through a back-gate bias effect, and the
widely investigated so far. Preliminary theoretical threshold voltages of both n- and p-channel devices
results nevertheless show that analog circuits, in become symmetrical ( + 400 mV for 3 V supply
particular op-amps, will bene®t from the lower body voltage and back substrate contact commun to all
effect and load capacitances in FD SOI CMOS [7±10]. devices ®xed at 0 V) [3]. Polysilicon is then deposited
On the other hand, preliminary experimental results and N-type doped using a solid phosphorous source.
also show that submicron FD SOI MOSFETs may After gate patterning, arsenic is implanted to form the
achieve transition frequencies in excess of 20 GHz for sources and drains, and 150 nm-thick spacers are
supply voltages on the order of 3 V [11]. Combined formed through oxide deposition and reactive ion
with the ability to realize low-loss matching or etching. A 30 nm-thick layer of titanium is then
interconnection lines on high-resistivity SOI sub- deposited and 2-step annealed in a rapid thermal
strates [12] and the drastic reduction of substrate annealing furnace to form TiSi2 on the gates, sources
crosstalk ®gures [13], these properties may lead to the and drains. This silicidation process allows for a sheet
future development of single-chip mixed digital/ resistance reduction from 35 to 6.2 O/square for the
analog/microwave solutions. gate material and from 300 to 6.3 O/square for the
In the present paper, the properties which make FD sources and drains. A nitride/oxide layer is deposited
SOI CMOS process and devices attractive for LVLP and contact holes are opened to access the devices.
applications will be brie¯y recalled. Then the LVLP Aluminum/silicon is then sputtered, patterned, sin-
performances of digital and analog building blocks tered and capped with a passivation oxide to complete
will be analyzed and compared to bulk. Finally, the the process. The ®nal silicon ®lm thickness is
microwave properties of SOI MOSFETs operated at 80 nm + 5 nm. The ®lm thickness nonuniformity
low voltage will be described. does not impair however the realization and control
of low threshold voltage values. The threshold voltage
sensitivity to ®lm thickness, usually stated as an
2. Fully-Depleted SOI CMOS Process and important problem for FD SOI MOSFETs, can indeed
Devices be minimized holding the ®lm total dose constant
rather than the doping concentration [14]. Threshold
a. Fabrication Process voltage standard deviations similar to bulk devices
have been achieved for FD SOI MOSFETs.
The devices which will be described next are In Fig. 1 a cross section of the devices is presented,
fabricated using a standard fully-depleted SOI and Fig. 2 shows the symmetrical current-gate voltage
CMOS process with N‡ polysilicon gate. P-type characteristics of the devices with reduced threshold
SIMOX substrates having a resistivity of 20 or voltage and low leakage current.
500 O  cm (the latter being used for microwave
transistors) are used as starting material. The initial
200 nm-silicon ®lm thickness is reduced to 100 nm by b. Properties of Fully-Depleted SOI MOSFETs
oxidation and oxide strip. The buried oxide thickness
is 380 nm. Semi-recessed LOCOS is used to isolate the One interesting feature of FD SOI MOSFETs is the
devices, which implies the deposition and patterning low value of the body-effect coef®cient, which
of a 200 nm silicon nitride layer, etching half the in¯uences both the current drive of the device and
thickness, implanting boron around the edges of the n- its subthreshold swing. The body-effect coef®cient,
Fully-Depleted SOI CMOS Technology 215

m being the effective mobility, Cox the gate oxide


capacitance per unit area, VGS the gate-to-source bias,
VTH the threshold voltage and W and L the width and
length of the device respectively.
In a bulk transistor, n is given by
esi
nˆ1‡ %1:4 to 1:6; typically …2†
Cox Xd max
where Xd max is the maximum depletion width in
strong inversion.
In a SOI FD MOS transistor, on the other hand, the
Fig. 1. Cross section of an n ( p)-channel thin-®lm SOI MOS body effect is given by
transistor.
esi
tsi Coxb
nˆ1‡ h i %1:05 to 1:1 typically …3†
Cox et si ‡ Coxb
noted n, is an image of the ideality of the coupling si

between gate voltage and surface potential. It is well where Cox , Coxb and tsi are the gate oxide capacitance,
known that FD SOI devices offer near-ideal coupling, the buried oxide capacitance and the silicon ®lm
which yields a value of n close to unity. thickness, respectively. From the above equations, it
The in¯uence of the body-effect coef®cient on the follows that the saturation drain current may be 30±
current drive of the device can best be understood by 40% higher in a FD SOI device than in a bulk device
using a simple device model. The saturation drain with similar parameters [3].
current of a MOSFET is given by the following A more accurate model for submicron devices
expression: would include velocity saturation and series resistance
1 W effects [15]. These tend to somewhat degrade the
IDsat ˆ mC …V ÿ VTH †2 …1† superior current drive capability of FD SOI
2n ox L GS
MOSFETs as short channel lengths are considered.
It has been shown however that non-optimally
designed FD SOI transistors still present a 25%
current drive improvement over comparable bulk
devices for gate lengths down to 0.2 mm which could
be restored to superior values after correct device
structure optimization [16].
The subthreshold swing (inverse subthreshold
slope) of a MOSFET is also affected by the body
effect. Indeed, the subthreshold swing is given by the
following expression [3]:
kT
S(mV/dec) ˆ n ln…10† …4†
q
if the in¯uence of the interface traps is neglected. The
low value of n in FD SOI devices yields an
improvement of the subthreshold slope over bulk
devices. Almost ideal subthreshold swings of 60 mV/
dec at room temperature corresponding to the
predicted n values have been experimentally demon-
strated for optimally designed FD SOI MOSFETs
Fig. 2. Experimental drain current vs. gate voltage characteristics
of n- and p-channel SOI MOSFETs with symmetrical low threshold
with channel lengthes down to 0.2 mm [17]. As a
voltages (W=L ˆ 3 mm=3 mm, VDS ˆ 100 mV, VTHn ˆ 0:4 V, result, a lower threshold voltage can be used in SOI
VTHp ˆ ÿ 0:45 V). devices without jeopardizing the OFF leakage current,
216 D. Flandre et al.

and ON drive current much higher than in bulk c. Modeling


devices can be obtained, in particular for reduced
supply voltage. Several analytical modeling alternatives exist for the
As far as analog micropower circuits are con- electrical simulation of FD SOI CMOS circuits. The
cerned, it is known that the maximum performance best known SOI SPICE model [20] was originally
may be obtained when the value of the transconduc- developed for 5 V-digital application. It has recently
tance/drain current ratio …gm =ID † is the largest. This been proved ef®cient for the reliable simulation of
condition appears in the weak inversion regime for LVLP digital circuits down to a 1 V-supply voltage
MOS transistors [18]. The value of gm =ID can be [21]. However, as it is a strong inversion-based model,
rewritten as: it is inadequate for reliable analog design. It indeed
suffers from the troubles common to this family of
gm dID ln…10† q
ˆ ˆ ˆ …5† models such as unproper modeling of moderate
ID ID dVG S nkT inversion current, discontinuous transition from
The low body-effect coef®cient of SOI devices thus triode to saturation, discontinuities of the current
allows for obtaining near-optimal micropower designs and charge derivatives (i.e. the small-signal con-
(gm =ID values of 35 V ÿ 1 are obtained, while gm =ID ductance and capacitance parameters) between the
reaches only values of 25 V ÿ 1 in bulk MOSFETs). different regions of operation, unphysical overshoot
[9,10,19] of the transconductance/drain current ratio in mod-
In strong inversion, the gm =ID becomes (for long- erate inversion, etc. . . [22]. On the contrary, ef®cient
channel devices): analog design, and especially for LVLP applications,
s requires a model valid from weak to strong inversion
gm 2 ? m ? Cox ? W=L and non-saturation to saturation conditions with
ˆ …6† smooth continuous transitions. The EKV model
ID n ? ID
recently developed for bulk MOSFETs provides
and will still remain higher in FD SOI than in bulk such properties [23]. It has been proved that it can
MOSFETs with similar technological characteristics. successfully be extended to FD SOI MOSFETs
Experimental SOI and bulk n-MOSFET gm =ID [10,24]. In particular the good agreement between
characteristics are compared in Fig. 3. measured and modeled gm =ID characteristics is
demonstrated in Fig. 3 for both bulk and SOI devices.
The EKV model will be used throughout the rest of
this paper.

3. LVLP Digital Circuits

A simple analysis can be used to demonstrate the


potential of FD SOI technology for LPLV CMOS
applications. Simulation will be performed next with
the EKV model. Using this model, the characteristics
of transistors and simple circuit elements can readily
be modeled. Fig. 4 presents the ID …VG † characteristics
of bulk and fully depleted SOI n-channel MOSFETs
plotted using the EKV model. The varying parameter
between the different curves is the (uniform) dopant
concentration in the channel region, ranging from
1016 to 1.2 1017 cm ÿ 3. The gate oxide thickness is
15 nm and the channel length is 1 mm. It can be seen
Fig. 3. Experimental (symbols) and modeled (lines) Transcon-
ductance over drain current ratios vs. Normalized drain current in
that, for any given threshold voltage, the SOI device
saturation: (a) Bulk (6) and SOI (s) measurements, (b) EKV presents a lower OFF (at VG ˆ 0 V) current and a
model with n ˆ 1:1 (- - -) or 1.5 (Ð). higher ON current (at VG ˆ 1 V) that a bulk device
Fully-Depleted SOI CMOS Technology 217

been chosen, which is consistent with a typical value


of 250 MHz for the clock frequency. The load
capacitor of the transistor being a combination of
the next gate input capacitance (which is almost the
same in SOI and in bulk) and of drain/source
diffusion, polysilicon and metal line capacitances,
the value of the load capacitance was chosen to be
25% higher in bulk than in SOI. This value is quite
conservative, since the improvement of speed
performance of SOI over bulk observed in the
literature is in the 30 to 100% range, which tends to
indicate capacitance reduction much over 25% [25].
Similarly, a previous study indicates that compared to
Fig. 4. Semilog plot of drain current vs. gate voltage in bulk and bulk, a reduction of total node capacitances by a factor
SOI MOSFETs for ten values of channel doping concentration of 2 is statistically observed in SOI, when the layout is
(1016 to 1017 cm ÿ 3 for the bulk device and 361016 to optimized taking full advantage of the absence of
1:261017 cmÿ3 for the SOI device). The gate oxide thickness is
wells and substrate/well bias contacts to bring n- and
15 nm.VDS ˆ 100 mV=mn ˆ 500 cm2 =V ? s, W=L ˆ 1 mm=0:6 mm.
p-MOSFETs closer and minimize the interconnection
length and number [26].
The average power dissipated by the device is
does. The ION =IOFF ratio in bulk and SOI saturated
given by the sum of the static and dynamic power
MOSFETs is presented in Fig. 5 as a function of
dissipations
threshold voltage. Again, it can be seen that the SOI
transistor presents better switching characteristics 2
Ptotal ˆ Pstat ‡ Pdyn ˆ IOFF VDD ‡ a f CL VDD …7†
than the bulk device, regardless of the value of the
threshold voltage. The ION =IOFF ratio advantage of the
where VDD is the supply voltage, f the input signal
SOI device becomes smaller as the threshold voltage
frequency, CL the load capacitance and a the degree of
is reduced, but it still is ten times larger than in the
activity of the gate. An activity of 1% is assumed here,
bulk transistor for a threshold voltage of 250 mV.
and both SOI and bulk devices operate at the same
In order to estimate the ``ultimate'' theoretical
clock frequency. The algorithm used for calculating
reduction of supply voltage and power consumption
the power consumption is the following. In a ®rst step,
the EKV model has been used to calculate the power
the current ION required to discharge the load
needed to discharge a constant load capacitor by a
capacitor with a time constant of 100 psec is
MOSFET in a realistic circuit environment. A
estimated. This current is obtained for a gate voltage,
constant discharge time constant of 100 psec has
VG , equal to VDD . Knowing the magnitude of ION and
the value of VG at which ION is obtained, one curve
from Fig. 4 can be identi®ed as being the one which
will discharge the load capacitor with the required
time constant. This curve being selected, IOFF and the
threshold voltage (Fig. 6) can be extracted. Finally the
static and dynamic power consumption can readily be
obtained from equation (7).
The results of this computation are presented on
Fig. 7. The dynamic power consumption increases
naturally as the square of the supply voltage. The
static power consumption is given by the IOFF ? VDD
product. As the supply voltage is reduced, the
threshold voltage decreases (Fig. 6) and, hence, IOFF
Fig. 5. ION …VG ˆ 1V†=IOFF …VG ˆ 0 V† ratio in bulk and SOI increases. As a result, the static power consumption
MOSFETs as a function of threshold voltage. VDS ˆ 1 V. increases when the supply voltage is reduced, until it
218 D. Flandre et al.

SOI than in bulk, eventhough the load capacitance


was chosen to be only 25% lower in SOI than in bulk.
These results depend of course on the values chosen
for the clock frequency and the gate activity factor.
For higher values of these parameters, the dynamic
power consumption will completely dominate the
overall consumption, but, in any case, the consump-
tion of the SOI device remains lower than that of the
bulk device.
This brief analysis of gate switching enlights the
bene®ts which low-voltage low-power CMOS digital
circuits can draw from the low body-effect coef®cient
of FD SOI MOSFETs and reduced load capacitance in
SOI CMOS designs. Based on this analysis one may
Fig. 6. N-channel threshold voltage as a function of supply furthermore extrapolate that threshold voltages of
voltage for given capacitance discharge time. about 0.3 V may be compatible with the realization of
high-speed low-power FD SOI CMOS digital circuits
operated at supply voltages reduced down to 1±1.2 V.
reaches a maximum before dropping to zero as the
supply voltage tends to zero volt. The following
additional observations can be made. Firstly, the 4. LVLP Analog Building Blocks
dynamic power consumption of the SOI device is 25%
lower than that of the bulk transistor, which is quite a. The CMOS Analog Switch
logical considering our previous assumption on the
load capacitance values. Secondly, the static power The CMOS analog switch combining parallel nMOS
consumption is lower in SOI than in bulk, which and pMOS transistors with complementary inverted
results from the better ION =IOFF ratio of SOI devices. gate signals is a key block of sampled-data analog
Finally, the point of minimum power dissipation circuits. A well- known problem of this structure is
occurs for a lower supply voltage in SOI than in bulk, that the switch on-resistance increases when the
at which point the consumption is twice as small in supply voltage is lowered. It may even peak to very
high values for mid-range input signals when VDD is
decreased below a value which can be estimated by
2:VTH =…2 ÿ n†, assuming identical threshold voltage
and body effect parameters for n- and p-MOSFETs
[27]. FD SOI CMOS featuring reduced values for
these parameters clearly allows for correct switch
operation at much lower voltages than in bulk [8,10].
We have re®ned the analysis using the EKV model,
with similar bulk and SOI parameter sets as above and
minimal switch dimensions (i.e. …W=L†n ˆ 1,
…W=L†p ˆ 2:5), in order to compute as a function of
VDD , ®rst the maximum permissible threshold voltage
which keeps the on-resistance below 50 kO (Fig. 8),
then the resulting switch off-current (Fig. 9). A 50 kO
maximum on-resistance is a typical value corre-
sponding to a maximum settling error of 0.01% for a
500 kHz clock frequency and a 2 pF capacitance. We
observe that the required bulk threshold voltages
Fig. 7. Power consumption of a bulk or SOI CMOS gate as a become extremely low for reduced VDD . The
function of supply voltage. corresponding bulk off-current then exceeds the
Fully-Depleted SOI CMOS Technology 219

maximum admissible current which limits to 0.01%


the relative error due to the discharge of the
capacitance during the holding phase. Therefore we
believe that low threshold bulk CMOS processes do
not represent a viable solution for sampled-data
analog circuits. Double threshold processes are
required with charge-pump circuits to boost the
switch gate signal above the supply voltage. On the
contrary FD SOI CMOS technology offers the
simplest solution to the problem of the low-voltage
CMOS switch, when using a threshold voltage of
0.33 V compatible with both maximum on-resistance
and off-current switch typical speci®cations for a
supply voltage of 1.2 V.

b. Operational Transconductance Ampli®ers


(OTA)
Fig. 8. Maximum admissible symmetrical threshold voltage of
the n- and p-channel devices of a minimal-dimension CMOS The better gm =ID of FD SOI MOSFETs may be
switch for a maximum on-resistance of 50 kO as a function of the
directly used to increase the performance of CMOS
supply voltage. The computation was performed using the EKV
model with n ˆ 1:1 in the SOI case, n ˆ 1:5 in the bulk case and OTAs as illustrated by the analysis of the intrinsic gain
mn ? Cox ˆ 50 mA/V2 and mp ? Cox ˆ 20 mA/V2 in both cases. stage consisting of a common source MOS transistor
loaded by an ideal current source ID0 and a
capacitance CL . The DC open-loop gain and transition
frequency are indeed given by
gm
Avo ˆ ?V …8†
ID0 A
and
gm ID0
fT ˆ ? …9†
ID0 2 ? p ? CL
where gm is the active device small-signal transcon-
ductance and VA the Early voltage parameter
corresponding to a small-signal output conductance
gd in saturation equal to ID0 =VA .
Larger gm =ID ratios increase the DC open-loop
gain and can be exploited to either enhance fT for
constant DC current dissipation or reduce power for
constant fT [9,10].
In the case of practical 2-stage OTAs, the DC open-
loop gain will be further enhanced since it results from
the product of the gm =ID ratios of all stages.
Considering closed-loop stability speci®cations (i.e.
Fig. 9. Bulk and SOI CMOS switch off-currents resulting from the phase margin at the transition frequency), these
the threshold voltages of Fig. 8 as a function of the supply
will be eased in SOI technology because the reduction
voltage. The computations were based on the EKV model using
the same parameters as in Fig. 8. Also represented is the limit
of parasitic node capacitances helps repelling the non-
corresponding to a relative error of 10 ÿ 4 due to the discharge of a dominant OTA internal poles to higher frequencies.
2 pF-capacitance during a 1 ms-holding phase. FD SOI CMOS single-stage OTAs and two-stage
220 D. Flandre et al.

Miller OTAs have previously been demonstrated to


signi®cantly outperform bulk CMOS counterparts for
supply voltages above 3 V [8±10].
A yet unstudied characteristic of FD SOI CMOS
OTAs concerns the noise performance, of particular
interest in low-voltage op-amp implementations in
order to retain adequate signal-to-noise ratios. In this
preliminary study, we will focus on the thermal noise
analysis. The input-referred thermal noise power
spectral density of a differential pair is given by:
g ? n ? kT
SV ˆ 2 ? …10†
gm
where g is a factor ranging from 2 in weak inversion to
8/3 in strong inversion [23]. It is then clear that for a
constant fT ? CL Ðand hence gm Ðspeci®cation, SV Fig. 10. 1-stage CMOS OTA architecture.
will be lower in SOI than in bulk due to the reduction
of the n body factor and the possible use of devices in
weaker inversion. and output swing, since the gm =ID ratios and transistor
The input-referred power spectral density added by widths have to be reduced. For the same reasons we
a current mirror is: estimate that the input range will be lower in bulk than
  in SOI by an amount similar to the output swing
gm;mirror 2 g ? n ? kT reduction if the threshold voltages are identical. The
SV;mirror ˆ 2 ? ?
gm gm;mirror output swing can be further reduced by the VTH -
g ? n ? kT gm;mirror difference if larger threshold voltages are used in bulk
ˆ 2? ? ? ID0 …11† for the leakage current considerations.
gm 2 ID0
The second implementation presented here is that
This equation shows that for constant fT and gm , we of the cascoded OTA of Fig. 11. The highest possible
can either use the same …gm =ID †mirror in bulk and SOI gm =ID values were used for the active transistors, i.e.
and obtain lesser added noise in SOI due to the input differential pair …gm =ID ˆ 28† and output
reduction of n and ID0 , or achieve similar noise cascode …gm =ID ˆ 30† devices, in order to optimize
performance in bulk and SOI using higher the performance for minimal supply current con-
…gm =ID †mirror in SOI which will improve the input
range and output swing. In this case, the possible
increase of …gm =ID †mirror in SOI can be larger than a
factor of 2 when compared to bulk as will be discussed
later.
To demonstrate the feasibility and the performance
of low-voltage FD SOI CMOS OTAs, the design of the
typical 1-stage OTA of Fig. 10 using high gm =ID ratios
for all the transistors was ®rst investigated. The OTA
design parameters and experimental characteristics
under a 1.2 V-supply voltage and 3 mA-total current
bias are given in Table 1. They are also compared to
the estimated characteristics of a bulk CMOS
implementation with as similar as possible fT and
phase margin performance. Due to the increase of the
body factor and parasitic capacitances, this can only
be achieved at the expense of an increase of the
current bias and a decrease of the DC open-loop gain Fig. 11. Cascoded CMOS OTA architecture.
Fully-Depleted SOI CMOS Technology 221

Table 1. Experimental SOI and simulated bulk design parameters and performance of the 1-stage CMOS OTA of Fig. 10 …CL ˆ 10 pF†. The
bulk simulations used the same technology parameters as SOI simulations in good agreement with the measurements, except for the body effect
and junction capacitances. The gate oxide thickness is 30 nm. The n body factor was equal to 1.1 in SOI and 1.5 in bulk, while the drain
extension bottom capacitance per unit area Cjp…n† and sidewall capacitance per unit length Cjswp…n† of a p(n)-type device were equal to, in the SOI
case, Cjn ˆ Cjp ˆ 0:06 fF=mm2 , Cjswn ˆ Cjswp ˆ 0:05 fF=mm and in the bulk case, Cjn ˆ 0:18 fF=mm2 , Cjp ˆ 0:4 fF=mm2 , Cjswn ˆ 0:4 fF=mm,
Cjswp ˆ 0:5 fF=mm typically.

SOI Bulk

(W/L) 1±2 30/3 27/3


(W/L) 3±4 33/3 23/3
(W/L) 5±6 66/3 46/3
(W/L) 7±8 30/3 17/3
…gm =ID † 1±2 25.8 18
…gm =ID † 3±6 22.3 14.5
…gm =ID † 7±8 22.7 14
IDD (mA) 3 4.32
fT (kHz) 350 350
Av0 (dB) 44 41
phase margin ( ) 85.6 85.4
output swing (V) 0.9 0.75

sumption. These upper values are limited by stability Concerning the output swing and noise perfor-
considerations because as we increase gm =ID for a mance, the results of the above analysis as a function
®xed current, the transistor sizes and capacitances are of fT were used to compute the total input-referred
increased and the phase margin hence decreased. The thermal noise power spectral density in bulk and SOI
bias current and mirror transistors are operated in from equations (10)±(11). The bulk to SOI noise ratio
stronger inversion …gm =ID ˆ 8†. ranges from 1.54 for 100 kHz to 3.11 for 10 MHz. To
This OTA experimentally achieved a 103 dB-DC achieve the same thermal noise performance, lower
open-loop gain and a 271 kHz-transition frequency gm =ID values could be used in bulk for the current
over a 12.3 pF-load capacitance with a 60 -phase
margin and a total bias current of only 2 mA under a
3 V-supply voltage, in accordance with the targeted
and simulated speci®cations. The output swing was
almost equal to 2 V. We estimated that to achieve a
similar fT performance with same CL and phase
margin, the bulk implementation could have only used
gm =ID ratios of 19 and 17 for the input differential pair
and output cascode devices respectively and would
then have dissipated 45% more supply current for a
DC open-loop gain reduced by 8 dB. Furthermore, we
simulated that for higher transition frequencies, the
FD SOI bene®ts over bulk increase up to a reduction
of the supply current by a factor larger than 3.5 and an
improvement of the gain by more than 20 dB for fT
equal to 10 MHz (Fig. 12), even though the active
device gm =ID values have to be reduced towards
strong inversion (13 and 3.5 in SOI and bulk
respectively at 10 MHz). Similar results were partly Fig. 12. Comparison of simulated total current dissipation and
demonstrated in a previous analysis of Miller OTAs DC open-loop gain performance of the bulk and SOI cascoded
CMOS OTAs of Fig. 11 (with B-mirror ratio equal to 2) as a
[10]. In these estimations, the gm =ID ratios of both SOI function of the transition frequency. The computations were based
and bulk current mirrors were taken constant and on the EKV model using similar sets of parameters as in Fig. 8
equal to 5. and Table 1.
222 D. Flandre et al.

mirrors according to equation (11): the higher the


transition frequency, the higher bulk to SOI bias
current ratio, the lower the bulk mirror gm =ID for same
noise and the larger the output swing reduction in bulk
when compared to SOI. In our case this output swing
reduction can be up to several volts, even for low fT ,
resulting in unpractical designs.

5. LVLP Microwave Mosfets

Recently, it has been demonstrated that the use of SOI


(SIMOX) wafers on high-resistivity Si substrates
(5000 or 10,000 O ? cm) yields MOSFETs which
offer interesting microwave performances. Indeed,
unity-gain frequencies … fT † of 14 and 23.6 GHz and
maximum oscillation frequencies … fmax † of 21 and
32 GHz have been reported for gate lengths of 1 and Fig. 13. Extraction from S-parameter measurements of the
current gain …H21 †, Maximum Available Gain (MAG) and
0.25 mm, respectively [11,28]. Such devices can be Unilateral Gain (ULG) as a function of frequency in a 0.75 mm-
integrated with strip lines or slot lines to implement long and 125 mm-wide n-channel SOI transistor (the gate is
MMIC circuits [12,29]. These transistors were composed of 10 parallel ®ngers of 12.5 mm each).
fabricated using a dedicated MOS process, called VDS ˆ VGS ˆ 0:9 V.
MICROXTM , which uses non-standard CMOS fea-
tures, such as a metal gate and air-bridge
metallization. The devices which will be described frequencies rapidly increase as the supply voltage is
next were fabricated, contrarily to the MICROXTM increased, up to 1 volt. At higher frequencies, fT and
process, using a standard fully-depleted SOI CMOS fmax tend to saturate, due to electron velocity
process with N‡ polysilicon gate, in order to be saturation in the transistors. The values of fT and
compatible with regular analog and digital circuit fmax are 13 and 15.8 GHz, respectively, for a supply
parts. The silicon ®lm thickness is 80 nm, the gate voltage of 3 volts. The DC power consumption as a
oxide thickness is 30 nm, and the resistivity of the P- function of supply voltage is also presented in Fig. 14.
type substrate is 500 O.cm. The SALICIDE process
was used such that the gates, sources and drains are
covered with TiSi2 .
Fig. 13 presents the current gain …H21 †, the
Maximum Available Gain (MAG) and the Unilateral
Gain (ULG) as a function of frequency in an n-
channel SOI transistor having a length of 0.75 mm and
a width of 125 mm (the gate is composed of 10 parallel
®ngers of 12.5 mm each). These parameters were
measured through s-parameter extraction under a
supply voltage …VDS ˆ VGS † of 0.9 volt. The
Maximum Available Gain is 11 dB at 2 GHz. The
unit-gain frequency, fT (found when H21 ˆ 0 dB) is
equal to 10 GHz, and the maximum oscillation
frequency, fmax , (found when ULG ˆ 0 dB) is equal
to 11 GHz.
The unit-gain frequency, fT and the maximum Fig. 14. Experimental unit-gain frequency fT , maximum
oscillation frequency, fmax , are presented in Fig. 14 as oscillation frequency fmax and DC power dissipation in the SOI n-
a function of supply voltage …VDS ˆ VGS †. These MOSFET of ®g. 13 as a function of the supply voltage.
Fully-Depleted SOI CMOS Technology 223

6. Conclusions

We have investigated both theoretically and experi-


mentally the advantages of fully-depleted SOI CMOS
technology, devices and circuits for the realization of
low-voltage low-power circuits signi®cantly out-
performing bulk CMOS implementations.
The results demonstrate that a basic low-cost
CMOS process on SIMOX substrates with symme-
trical threshold voltages around 0.33 V allows for the
mixed fabrication and operation under a supply
voltage of 1.2 V, of:
± digital components with enhanced speed and static
and dynamic power performance;
± analog components with enhanced speed, precision,
power, swing and noise performance;
± and microwave components with enhanced gain
Fig. 15. Variation of the minimum noise ®gure, Fmin , and the and frequency performance over bulk counterparts.
associated gain, Gass , as a function of frequency on a
20625=0:75 mm SOI n-MOSFET biased at VDS ˆ VGS ˆ 1:5 V
and 2 V.
Acknowledgments

The authors are thankful to A. Crahay, P. Francis, P.


Loumaye, B. Katschmarskyj and P. Proesmans for
device fabrication. This work is sponsored by the
Belgian French Community under the programs
The DC power consumed at VDD ˆ 0:9 V, where ``Actions ConcerteÂes 91/96-147 and 93/-161''. D.
fT ˆ 10 GHz and fmax ˆ 11 GHz, is equal to 3 mW. Flandre is Research Associate of the National Fund for
The noise parameters of the SOI MOSFET have Scienti®c Research (FNRS, Belgium). L. F. Ferreira is
been calculated from a ``50 O'' noise ®gure measure- sponsored by CAPES (Post-Graduate Federal Agency,
ment [30,31]. Fig. 15 presents the minimum noise Brazil).
®gure and the associated gain produced by the SOI
MOSFET. The associated gain is the gain obtained
when input and output impedance loads are tuned List of Notations
such as to obtain the minimum noise ®gure, and is,
therefore, lower than the gain values presented in Fig. a device activity degree
13. Fig. 15 shows that the noise ®gure gets reduced as Avo ampli®er DC open-loop gain
Cj drain extension bottom capacitance per unit area
the supply voltage is lowered. At 2 GHz the minimum Cjsw drain extension sidewall capacitance per unit length
noise ®gure is equal to 2 dB for supply voltage of CL load capacitance
1.5 V, and that the associated gain is equal to 9 dB. Cox gate oxide capacitance per unit area
These values are competitive with other technologies Coxb SOI buried oxide capacitance per unit area
[31,32]. esi Si absolute permittivity
f frequency
These results show that reasonable microwave fmax maximum oscillation frequency
performances can be obtained by using n-channel Fmin minimum noise ®gure
MOSFETs fabricated using a regular CMOS fully fT unity-gain frequency
depleted SOI process, without the use of metal (gold) g thermal noise inversion factor
gates nor air-bridge interconnections. Such devices Gass associated gain to minimum noise ®gure
gd small-signal output conductance
can be integrated along with CMOS analog and digital gm small-signal transconductance
low-power functions to yield circuits for e.g. portable H21 current gain
telecommunication applications. ID drain current
224 D. Flandre et al.

IDD total supply current pp. 99±100, 1994.


ID0 ampli®er bias current 9. D. Flandre, J. P. Eggermont, D. De Ceuster, and P. Jespers,
IOFF OFF state drain leakage current at VG ˆ 0 V ``Comparison of SOI versus bulk performances of CMOS
ION drive drain current in ON state micropower single-stage OTAs.'' Electronics Letters 30,
k  T/q thermal voltage pp. 1933±1934, 1994.
L device length 10. D. Flandre, L. Ferreira, P. G. A. Jespers, and J.-P. Colinge,
MAG maximum available gain ``Modeling and application of fully-depleted SOI MOSFETs
m effective mobility for low-voltage low-power analog CMOS circuits.'' Solid-State
n body-effect coef®cient Electronics 39, pp. 455±460, 1996.
Pdyn dynamic power dissipation 11. M. H. Hanes, A. K. Agrawal, T. W. O'Keefe, H. M. Hobgood,
Pstat static power dissipation J. R. Szedon, T. J. Smith, R. R. Siergiej, P. G. McMullin, H. C.
Ptotal total power dissipation Nathanson, M. C. Driver, and N. R. Thomas, ``MICROXTM -An
S inverse subthreshold slope all-silicon technology for monolithic microwave integrated
SV input-referred noise power spectral density circuits.'' IEEE Electron Device Letters 7(5), pp. 219±221,
tsi SOI ®lm thickness 1993.
ULG unilateral gain 12. A. L. Caviglia, R. C. Potter, and L. J. West, ``Microwave
VA Early voltage parameter performance of SOI n-MOSFETs and coplanar waveguides.''
VD drain voltage IEEE Electron Device Letters 12(1), pp. 26±27, 1991.
VDD supply voltage 13. J.-P. Raskin, A. Viviani, D. Flandre, J. -P. Colinge, and D.
VG gate voltage Vanhoenacker, ``Extended study of crosstalk in SOI-SIMOX
VS source voltage substrates,'' in IEDM Technical Digest (Washington DC),
VTH threshold voltage paper 29.3, 1995.
W device width 14. M. J. Sherony, L. T. Su, J. E. Chung, and D. A. Antoniadis,
Xd max maximum depletion width in strong inversion ``Reduction of threshold voltage sensitivity in SOI
MOSFETs.'' IEEE Electron Device Letters 16(3), pp. 100±
102, 1995.
15. T. C. Hsiao, N. A. Kistler, and J. C. S. Woo, ``Modeling the I-V
characteristics of fully depleted submicrometer SOI
References MOSFETs.'' IEEE Electron Dev. Lett. 15(2), pp. 45±47, 1994.
16. J. G. Fossum and S. Krishnan, ``Current-drive enhancement
1. H. S. Kim, S. B. Lee, D. U. Choi, J. H. Shim, K. C. Lee, K. P. Lee, limited by carrier velocity saturation in deep-submicrometer
K. N. Kim, and J. W. Park, ``A high-performance 16 M DRAM fully depleted SOI MOSFETs.'' IEEE Trans. on Electron
on a thin ®lm SOI,'' in Digest of Technical Papers, Symposium Devices 40(2), pp. 457±459, 1993.
on VLSI Technology (Kyoto, Japan), pp. 143±144, 1995. 17. S. Krishnan, J. G. Fossum, P. C. Yeh, O. Faynot, S.
2. Z. J. Lemnios and K. J. Gabriel, ``Low-power electronics.'' Cristoloveanu, and J. Gauthier, ``Floating-body kinks and
IEEE Design and Test of Computers 11(4), pp. 8±13, 1994. dynamic effects in fully depleted SOI MOSFETs'' in
3. J. P. Colinge, Silicon-on-Insulator Technology: Materials to Proceedings of the IEEE International SOI Conference,
VLSI. Kluwer Academic Publishers, Norwell MA, 1991. pp. 10±11, 1995.
4. T. Iwamatsu, Y. Yamaguchi, Y. Inoue, T. Nishimura, and N. 18. E. A. Vittoz, ``Low-power design: ways to approach the
Tsubouchi, ``CAD-compatible high-speed CMOS/SIMOX limits.'' in IEEE Int. Solid-State Circuits Conf. Digest of
technology using ®eld-shield isolation for 1 M gate array.'' in Technical Papers (San Francisco CA), pp. 14±18, 1994.
IEDM Technical Digest (Washington DC), pp. 475±478, 1993. 19. J. P. Colinge, ``Recent progress in SOI technology.'' in IEDM
5. G. G. Shahidi, T. H. Ning, R. H. Dennard, and B. Davari, ``SOI Technical Digest (San Francisco CA), pp. 817±820, 1994.
for low-voltage and high-speed CMOS.'' in Extended Abstracts 20. S. Veeraraghavan and J. G. Fossum, ``A physical short-channel
of the 1994 International Conference on Solid State Devices model for the thin-®lm SOI MOSFET applicable to device and
and Materials (Yokohama, Japan), pp. 265±267, 1994. circuit CAD.'' IEEE Trans. Electron Devices 35(11), pp. 1866±
6. Y. Kado, M. Suzuki, K. Koike, Y. Omura, and K. Izumi, ``A 1- 1875, 1988.
GHz/0.9-mW CMOS/SIMOX divide-by-128/129 dual-mod- 21. S. P. Wainwright, S. Hall, and D. Flandre, ``Accurate threshold
ulus prescaler using a divide-by-2/3 synchronous counter.'' voltage measurement for use with SOISPICE.'' in Proc. 25th
IEEE Journal of Solid-State Circuits 28, pp. 513±517, 1993. ESSDERC (The Hague, The Netherlands), pp. 753±756, 1995.
7. J. P. Colinge, J. P. Eggermont, D. Flandre, P. Francis, and P. G. 22. Y. P. Tsividis and K. Suyama, ``MOSFET modeling for analog
A. Jespers, ``Potential of SOI for analog and mixed analog- circuit CAD: Problems and prospects.'' IEEE Journal of Solid-
digital low-power applications.'' in IEEE Int. Solid- State State Circuits 29(3), pp. 210±216, 1994.
Circuits Conf. Digest of Technical Papers (San Francisco CA), 23. C. Enz, F. Krummenacher, and E. A. Vittoz, ``An analytical
pp. 194±195, p. 366, 1995. MOS transistor model valid in all regions of operation and
8. D. Flandre, B. Gentinne, J. P. Eggermont, and P. Jespers, dedicated to low-woltage and low-current applications.''
``Design of thin-®lm fully-depleted SOI analog circuits Analog Integrated Circuit and Signal Processing 8(1),
signi®cantly outperforming bulk implementations.'' in Proc. pp. 83±114, 1995.
IEEE International SOI Conference (Nantucket Island MA), 24. C. Lallement, M. Bucher, C. Enez, and F. Krummenacher,
Fully-Depleted SOI CMOS Technology 225

``The EKV model and the associated parameter extraction.'' in MicroelectroÁnica, Barcelona, Spain, working on the
HP IC-CAP Users Meeting, 1995. characterization and numerical simulation of SOI
25. J. P. Colinge, ``Thin-®lm SOI technology: the solution to many
submicron CMOS problems.'' in IEDM Technical Digest MOS process and devices. He is now at the
(Washington DC), pp. 817±820, 1989. Laboratoire de MicroeÂlectronique (DICE), Louvain-
26. D. Flandre, C. Jacquemin, and J. P. Colinge, ``Design la-Neuve, Belgium, as a Research Associate of the
Techniques for High-Speed Low-Power and High- National Fund for Scienti®c Research (FNRS,
Temperature Digital CMOS Circuits on SOI.'' in Proc. IEEE
Belgium) and an Invited Lecturer at the UniversiteÂ
International SOI Conference (Ponte Vedra Beach FL),
pp. 164±165, 1992.
catholique de Louvain giving courses on ``Integrated
27. E. A. Vittoz, ``Design of low-voltage low-power IC's.'' in analog circuit design''. He is currently involved in the
Proc. 23rd ESSDERC (Grenoble, France), pp. 927±934, 1993. development of digital and analog SOI MOS circuits
28. A. K. Agarwal, M. C. Driver, M. H. Hanes, H. M. Hobgood, for special applications, more speci®cally high-speed,
P. G. McMullin, H. C. Nathanson, T. W. O'Keefe, T. J. Smith, J. low-voltage low-power, microwave, rad-hard and
R. Szedon, and R. N. Thomas, ``MICROXTM ÐAn advanced
silicon technology for microwave circuits up to X-band.'' in high-temperature electronics.
IEDM Technical Digest, pp. 687±690, 1991. Dr. Flandre is co-recipient of the 1992 Biennial
29. R. N. Simons, ``Novel coplanar stripline to slotline transition Siemens-FNRS Award for an original contribution in
on high resistivity silicon.'' Electronics Letters 30(8), pp. 654± the ®elds of electricity and electronics. He has
655, 1994. authored or co-authored more than 90 technical
30. G. Dambrine, H. Happy, F. Danneville, and A. Cappy, ``A new
method for on wafer noise measurements.'' IEEE Transactions papers or conference contributions. He is a member
on Microwave Theory and Techniques 41(3), pp. 375±381, of the Advisory Board of the EU Network of
1993. Excellence for High-Temperature Electronics
31. P. P. J. Tasker, W. Reinert, B. Hugues, J. Braunstein, and M. (HITEN).
Schlechtweg, ``Transistor noise parameter extraction using a
50 O measurement system,'' in IEEE MTT-Symposium Digest,
pp. 1251±1254, 1993.
32. S. W. Wedge and D. B. Rutledge, ``Wave techniques for noise
modeling and measurement.'' IEEE Transactions on
Microwave Theory and Techniques 40(11), pp. 2004±2012,
1992.

Jean-Pierre Colinge received Electrical Engineer,


and Ph.D. degrees in Applied Sciences from the
Universite Catholique de Louvain, Louvain-la-Neuve,
Belgium, in 1980, and 1984, respectively. He then
Denis Flandre was born in Charleroi, Belgium, in worked at the CNET, France, the Hewlett-Packard
1964. He received Electrical Engineer and Ph.D. Laboratories, USA, and IMEC, Belgium. Dr. Colinge
degrees from the Universite Catholique de Louvain, is now professor at the Universite catholique de
Louvain-la-Neuve, Belgium, in 1986 and 1990, Louvain, leading a research team in the ®eld of SOI
respectively. His doctoral research was on the technology. He has been on the committee of several
modeling of Silicon-on-Insulator (SOI) MOS devices conferences, including IEDM and SSDM, has been
for characterization and circuit simulation. general chairman of the IEEE SOS/SOI Technology
In 1985, he was a summer student trainee at NTT Conference in 1988, and is a Fellow of the IEEE. He
Headquarters, Tokyo, Japan. From October 1990 to has published over 140 scienti®c papers and two
September 1991, he was with the Centro Nacional de books on the ®eld of SOI.
226 D. Flandre et al.

Jian Chen was born in February 26, 1996, in Luiz Fernando Ferreira was born in Canela, RS,
Zheng Jiang, China. He got his B.S. and M.S. degree Brazil, in 1962. He received a B.Sc. degree in
from the Electronics Engineering Department of electrical engineering from the Federal University of
Fudan University, Shanghai, China, in 1988 and Rio Grande do Sul, Porto Alegre, RS, Brazil, in 1986,
1992, respectively. He is currently working as a and a M.Sc. degree from the Graduate Division on
research assistant and a Ph.D. candidate in the Computer Science, Microelectronics Group, Federal
Microelectronics Laboratory of Universite University of Rio Grande do Sul.
Catholique de Louvain, Louvain-la-Neuve, Belgium. From 1990 to 1992, he worked at the same
His research subject is on the development of CMOS- University as an Associated Researcher,
compatible Thin-Film SOI technology for microwave Microelectronics Group. In December 1993, he
and high-temperature applications. joined the Microelectronics Laboratory of the
Applied Science Faculty, Universite Catholique de
Louvain, Louvain-la-Neuve, Belgium. He is now
D. De Ceuster is currently at the Microelectronics pursuing a Ph.D. degree there. His research interests
Laboratory, Universite Catholique de Louvain, Place are in high frequency modeling of MOS/SOI
du Levant 3, 1348 Louvain-la-Neuve, Belgium. transistors and design of VHF networks.

Bernard Gentinne was born in Huy, Belgium, in


Jean-Paul Eggermont (S'92) was born in Braine- 1966. He received a Electrical Engineer and Ph.D.
l'Alleud, Belgium, in 1970. He received Electrical degrees from the Universite Catholique de Louvain,
Engineer degree from the Universite Catholique de Louvain-la-Neuve, Belgium, in 1990 and 1996,
Louvain (UCL). Louvain-la-Neuve, Belgium, in respectively.
1992. Since then, he has been working towards the From August 1990 to August 1991, he was with the
Ph.D. degree at the Microelectronics Laboratory, Ecole Royale Militaire, Brussels, Belgium, working
UCL, where he is involved in the development of on real time image processing for giant video screens
analog SOI CMOS circuits for high temperature and with optical ®bers. From September 1991 to June
high frequency applications. 1996, he has been working as a Ph.D. student at the
Fully-Depleted SOI CMOS Technology 227

Universite catholique de Louvain, Louvain-la-Neuve,


Belgium. His research concerned the modeling of SOI
MOS devices for characterization and circuits
simulation as well as the conception of analog circuits
working at high temperatures. Since July 1996, Dr.
Gentinne is working with Alcatel-Mietec in Brussels.

Renaud Gillon was born in Brussels, Belgium, in


January 1968. He received the electrical engineering
degree from the Universite Catholique de Louvain, in
1991. He is presently preparing a doctoral thesis on
Modeling and Characterizing of the SOI MOSFET in
view of MMIC Applications at the microwave
laboratory of the UCL. His current research interests
include MOSFET modeling, microwave on-wafer
P. Jespers got an Electrical Engineer degree from
characterization techniques, CMOS mixer and down-
the Universite Libre de Bruxelles in 1953 and the
converter design.
doctoral degree from the Universite Catholique de
Louvain in 1959. He was appointed full professor at
the Universite Catholique de Louvain in 1963 where
he headed the Microelectronics Laboratory till 1991.
He became professor emeritus in 1994. His main ®eld
of interest is analog I.C. design. He has been a visiting
professor in several universities in Europe, USA,
Latin America and ful®lled missions in India as a
UNIDO expert. Professor Jespers is a Fellow of the
IEEE.

Jean-Pierre Raskin was born in Belgium on


March 3, 1971. He received the industrial engineer
degree in Institut SupeÂrieur Industriel d'Arlon and BS
in Applied Sciences at the Catholic University of
Louvain, Louvain-la-Neuve, Belgium, in 1993 and
1994, respectively. Since 1994 he has been research
engineer and Ph.D. student at the Microwave
Laboratory of Catholic University of Louvain. His
Alberto Viviani received the ``Laurea'' degree in current research interests include modeling and
Electrical Engineering in 1994 from the Universita characterization of passive and active devices in SOI
degli Studi di Genova, Italy. technology for microwave applications.
He is currently a Ph.D. candidate in Electrical
Engineering at the Universite Catholique de Louvain,
Louvain-la-Neuve, Belgium.
His research interests include analog design in
thin-®lm SOI technology.
He is currently working on the implementation of A. Vander Vorst is currently at Microwaves
sigma-delta modulators for low-power and high- Laboratory, Universite Catholique de Louvain Place
temperature applications. du Levants, 1348 Louvain-la-Neuve, Belgium.
228 D. Flandre et al.

Professor Vanhoenacker-Janvier is an associated


member of the National Committee of the
International Union of Radio Science, Administrator
of the Belgian Society of Telecommunication and
Electronic Engineers (SITEL) and a Senior Member
of IEEE.

Danielle Vanhoenacker-Janvier was born in


Brussels, Belgium, in 1955. She received a degree
in Electrical Engineering in 1978, and the Ph.D.
degree in Applied Sciences from the UniversiteÂ
Catholique de Louvain, Belgium, in 1987.
She is associated with the Universite Catholique de
Louvain, where she was Assistant from 1979 to 1987,
Senior Scientist from 1987 to 1994 and Associate
Professor since 1994 at the Microwave Laboratory. Fernando Silveira was born in Montevideo,
She is involved in the study of atmospheric effects on Uruguay, in 1963. He received the electrical engineer
propagation above 10 GHz and the modelization of degree in 1990 from Universidad de la RepuÂblica,
the transmission channel for ®xed and mobile Montevideo, Uruguay, where he is now Associate
communications and she is presently responsible for Professor, and a M.S.E.E. from Universite Catholique
propagation research. She extended her research de Louvain, Belgium in 1995. He is currently working
activity to microwave planar circuits in 1989, being toward the Ph.D. degree at the Microelectronics
mainly involved in the analysis and measurement of Laboratory of the Universite Catholique de Louvain.
microwaveplanar active and passive circuits. She is His research interest is the design of low-power and
presently involved in the modelization and measure- low-voltage analog CMOS integrated circuits, parti-
ment of active and passive integrated circuits on SOI. cularly Silicon-on-Insulator technology.

You might also like