Download as pdf or txt
Download as pdf or txt
You are on page 1of 5

404 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—II: EXPRESS BRIEFS, VOL. 69, NO.

2, FEBRUARY 2022

A 25 Gb/s Wireline Receiver With Feedforward and


Feedback Equalizers at Analog Front-End
Jincheol Sim, Graduate Student Member, IEEE, Yeonho Lee , Member, IEEE,
Hyunsu Park, Graduate Student Member, IEEE, Yoonjae Choi , Graduate Student Member, IEEE,
Jonghyuck Choi , Graduate Student Member, IEEE, and Chulwoo Kim , Senior Member, IEEE

Abstract—An important issue in wireline receivers (RX) is min-


imizing the area and power consumption while overcoming the
channel attenuation with an equalizer. The greater the compen-
sation for channel loss at the analog front end (AFE) of the
RX, the lower the number of decision feedback equalizer (DFE)
taps. Power dissipation and area can be reduced by reducing
the number of DFE taps. This brief presents a technology that
compensates for the channel loss with the proposed AFE based
on a two-stage continuous-time linear equalizer (CTLE), low
and high bandwidth amplifiers, and a gain controller. It suffi-
ciently reduces the DC gain and increases the peak gain of the
AFE by using a feedforward equalizer (FFEQ) and feedback
equalizer (FBEQ). These equalizers result in an increase in the
difference between the peak and DC gains and the gain differ-
ence at the fundamental frequency (f0 ) and 2nd subharmonic Fig. 1. Top architecture of RX.
frequency (f1/2 ). The IC is fabricated in a 28 nm CMOS pro-
cess, and the proposed architecture yields a BER less than 10−12 additional area and power consumption, five or more taps are
at 25.8 dB channel attenuation. At 25 Gb/s, the area and power used in the DFE to overcome the channel attenuation [3]–[8].
efficiency of the proposed AFE are 1.19 pJ/bit and 0.01 mm2 , If the analog front-end (AFE) that includes the CTLE suf-
respectively. ficiently compensates for the inter-symbol interference (ISI),
the number of DFE taps can be reduced. However, in a single-
Index Terms—High-speed link, channel attenuation, receivers,
analog equalizer. stage CTLE, the difference between the peaking and DC gains
is limited. A boundary occurs where the DC gain of the single-
stage CTLE is lowered, because the DC gain of the CTLE
is inversely proportional to the degeneration resistance [1].
I. I NTRODUCTION Therefore, the gain difference at the fundamental frequency
HE DATA bandwidth required in high-speed interfaces
T has significantly increased over the years mainly due to
the increasing data traffic. The channel attenuation of these
(f0 ) and the 2nd subharmonic frequency (f1/2 ) also has limi-
tations. Instead of a single-stage CTLE, multiple stages of the
CTLE help improve the equalization ability. However, this is
interfaces is a bottleneck for data transmission. The chan- accompanied by an increase in both the area and the power
nel attenuation worsens with the increase in serial data rate. dissipation [12]–[14]. Therefore, a AFE of low cost and high
Therefore, equalization techniques are important to decrease performance that reduces the number of DFE taps is required.
the bit error rate (BER). A CTLE [1] and a DFE [2] are com- We propose a technique that increases the difference between
monly used in the receiver (RX). When the channel attenuation the peaking and DC gains and the gain difference at f0 and
increases at the target data rate, the number of CTLEs and/or f1/2 by using a feedforward equalizer (FFEQ) and a feedback
DFE taps should be increased. As the desired bandwidth equalizer (FBEQ) at the AFE.
increases, the limited capability of a single-stage CTLE results The rest of this brief is organized as follows. Section II
in a large proportion of equalization in the DFE. Even with explains the architecture of the RX with the proposed
AFE. Section III presents an analysis of the proposed AFE
Manuscript received June 7, 2021; accepted June 26, 2021. Date of with FFEQ and FBEQ. The measurement results are explained
publication July 1, 2021; date of current version January 31, 2022. This in Section IV. Finally, Section V concludes this brief.
work was supported by Future Interconnect Technology Cluster Program
of Samsung Electronics. This brief was recommended by Associate Editor
C. Condo. (Corresponding author: Chulwoo Kim.) II. S TRUCTURE OF THE R ECEIVER
Jincheol Sim, Yeonho Lee, Yoonjae Choi, Jonghyuck Choi, and
Chulwoo Kim are with the Department of Electrical Engineering, The top architecture of the proposed RX is shown in Fig. 1.
Korea University, Seoul 02841, South Korea (e-mail: ckim@korea.ac.kr). The RX consists of three blocks: the AFE, 1-tap DFE, and
Hyunsu Park is with the Department of Semiconductor System Engineering, clock I/O. The AFE consists of CTLEs, high bandwidth ampli-
Korea University, Seoul 02841, South Korea. fier (HBWA), low bandwidth amplifier (LBWA), and a gain
This article has supplementary material provided by the
authors and color versions of one or more figures available at
controller (GCONT )s. The CTLE in [1] is adopted, a modi-
https://doi.org/10.1109/TCSII.2021.3093913. fied Cherry-Hooper amplifier in [9] is used for the HBWA,
Digital Object Identifier 10.1109/TCSII.2021.3093913 and a common source differential amplifier is used for the
1549-7747 
c 2021 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission.
See https://www.ieee.org/publications/rights/index.html for more information.

Authorized licensed use limited to: University of Science & Technology of China. Downloaded on May 30,2022 at 01:26:31 UTC from IEEE Xplore. Restrictions apply.
SIM et al.: 25 Gb/s WIRELINE RECEIVER WITH FEEDFORWARD AND FEEDBACK EQUALIZERS AT ANALOG FRONT-END 405

Fig. 2. Schematics of (a) CTLE, (b) HBWA, and (c) LBWA. Fig. 4. Frequency response of AFE according to VCTRL (NFF = 0 and
NFB = 0).

Fig. 5. (a) Frequency response and (b) zero place according to NFF .

Fig. 3. Block diagram of proposed FFEQ and FBEQ.


owing to the low VCTRL . Although DC and peaking gains
of the CTLE are limited, the FFEQ and FBEQ help gener-
LBWA, as shown in Fig. 2. The bandwidths of the CTLE and ate high peaking and low DC gains. The transfer function
HBWA are greater than f0 , and that of the LBWA is lower of the proposed AFE can be expressed by the following
than f0 . Two phase clocks (CKODD , CKEVEN ) are required for equation:
sampling at the slicer because the 1-tap DFE uses a half-rate
DOUT_AFE (s) [HC1,2 (s) − NFF GCONT HL1 (s)]HH (s)
2
structure. To change the sampling phases of the CKODD and
= (1)
CKEVEN , a digitally controlled delay line (DCDL) is used. DIN (s) 1+NFB GCONT HL2 (s)HC2 (s)HH (s)
The resolution of the DCDL is 3 ps. The duty cycle correc-
tor (DCC) generates CKODD and CKEVEN with a clock duty where HC (s), HH (s), and HL (s) are the transfer functions of
cycle of 50 % for input clocks with a duty cycle between 35 % the CTLE, HBWA, and LBWA, respectively. In (1), FFEQ and
and 65 %. FBEQ affect the numerator and denominator, respectively. The
The FFEQ and FBEQ have similar structures. In Fig. 3, the frequency responses of the AFE, according to NFF and NFB ,
outputs of the GCONT s are connected to the outputs of the can be achieved by substituting the transfer function of each
CTLEs. In the FFEQ and FBEQ, the inputs of LBWA1 and circuit into (1). When the NFB and VCTRL are zero, and NFF
LBWA2 are DIN and DOUT_AFE, respectively. Furthermore, increases, the DC gain of the AFE decreases regardless of
DIN and SUBFB are connected to the inputs of CTLE1 and the CTLE boundary, and the phase of the Bode plot exhibits
CTLE2 , respectively. A GCONT consists of two pairs of GCONT a peak, as shown in Fig. 5(a). As NFF increases, the gain of
X1 arrays, and GCONT X1 has two switches connected to both the two-stage CTLEs is subtracted from NFF · GCONT · HL1 (s)|
ends of the inverter, and these switches are controlled by dig- and the 1st zero moves to a lower frequency. Fig. 5(b) shows
ital bits. The arrays of GCONT are grouped by 5-bit (or 4-bit) the locations of the zeros according to the NFF . The FFEQ
binary weights. Therefore, the output amplitudes of the GCONT affects the 1st and right half plane (RHP) zeros. Fortunately,
are determined by NFF and NFB . In this prototype RX, the NFF RHP zero does not affect AFE at f0 because the RHP zero is
and NFB of the proposed AFE are manually controlled using only located at a frequency above 1013 Hz, even if the NFF
the internal I2 C. increases.
Conversely, Fig. 6(a) shows the frequency response when
the NFF and VCTRL are zeros and the NFB increases. The
III. A NALYSIS OF THE AFE FBEQ changes the peaking and DC gains. The DC gain of
A. Frequency Response the AFE is decreased by loop gain, and the peaking gain is
In Fig. 2(a), the NMOS connected in parallel with the increased due to complex poles. The change in the NFB affects
degenerated resistor (RS ) determines the DC gain of the two complex quadratic equations, as shown in the following
CTLE depending on the gate voltage (VCTRL ). When the equation:

VCTRL changes from VDD to VSS, the AFE with two- DOUT_AFE (s)  K1 ω2n1 K2 ω2n2
stage CTLEs has a peaking and DC gain difference of  ≈
up to 6.5 dB at 12.5 GHz, as shown in Fig. 4. The DC DIN (s) NFB (s2 +2ζ1 ωn1 +ω2n1 ) (s2 +2ζ2 ωn2 +ω2n2 )
gain of the AFE is limited after the NMOS is turned off (2)

Authorized licensed use limited to: University of Science & Technology of China. Downloaded on May 30,2022 at 01:26:31 UTC from IEEE Xplore. Restrictions apply.
406 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—II: EXPRESS BRIEFS, VOL. 69, NO. 2, FEBRUARY 2022

Fig. 6. (a) Frequency response and (b) damping factor according to NFB. Fig. 8. Comparison of AFE outputs (a) without and (a) with FFEQ and
FBEQ.

to the NFF and NFB change, respectively. The NFF of FFEQ


controls the DC gain of the AFE. As the NFF increases, the
voltage gap between the differential signals is reduced while
maintaining the signal shapes. It is possible to have crossing
points in the data transition. Furthermore, the NFB of FBEQ
changes the voltage difference between differential signals and
sharpens the signal in data transitions. The sharpness of the
signal can be confirmed from Fig. 8. As shown in Fig. 8(a),
the channel attenuation is compensated using the two-stage
CTLEs alone because FFEQ and FBEQ are turned off. Owing
to the CTLEs, the main cursor is increased, as compared to
DIN N; however, it does not generate zero crossing points,
which results in an error in the slicer. By contrast, when FFEQ
and FBEQ are used simultaneously, the first post cursor can
be lowered significantly while generating zero crossing points,
as shown in Fig. 8(b). Therefore, a better BER performance
of the proposed AFE, as compared to the two-stage CTLEs,
Fig. 7. Transient response of (a) ideal differential input, (b) RX input,
and (c) AFE outputs according to NFF and (d) NFB . is expected, given that the combination of NFF and NFB can
compensate for the data transition against the channel ISI.

where K, ζ, and ωn are the DC gain, damping factor, and C. Total Harmonic Distortion and Signal to Noise Ratio
natural frequency, respectively. As the proposed AFE is a high- Linearity is an important factor in the AFE because the
order system, it is difficult to calculate (2) manually. Therefore, distortion lowers the BER performance. The linearity of
(2) can be solved using the modeling of the proposed AFE in the proposed AFE is verified with total harmonic distor-
MATLAB. As NFB increases, ζ1 decreases, and ζ2 is con- tion (THD), which is calculated using a fast Fourier trans-
stantly greater than 0.9, as shown in Fig. 6(b). Because the form (FFT). Fig. 9(a) shows the normalized THD of the
proposed AFE operates in a similar manner to the 2nd order proposed AFE according to NFF and NFB . Normalized THD
system, the peaking gain of the AFE can be changed by NFB . is obtained by dividing THD by the THD amplitude when the
However, when the NFB is larger than a certain bit, ζ1 has NFF and NFB are zero. Even though the performance of the
a negative value. Therefore, the NFB was set to 4-bit to ensure THD degrades when the NFF becomes larger than a certain
stability of the AFE. Thus, it is possible to control the differ- bit, the NFF and NFB constantly perform better in this case
ence between the peaking and DC gains by NFF and NFB . In than when NFF and NFB are zero. In addition, the signal-to-
addition, because the slope of the proposed AFE is sharper, noise ratio (SNR) can be calculated as shown in Fig. 9(b). The
the gain difference at f0 and f1/2 is further increased. normalized SNR is obtained by dividing SNR by the SNR
amplitude when the NFF and NFB are zeros. The larger the
B. Transient Response NFB , the better is the SNR. As the NFF increases, the SNR
decreases because the signal amplitude becomes smaller and
To simplify the transient response, it is shown in smaller, as shown in Fig. 9(c). However, as shown in Fig. 9(d),
Fig. 7(a) that a differential 1-unit interval (UI) pulse width the noise power remains unchanged, regardless of NFF and
with 9 UI delay (VIN P and VIN N) is used. When the VIN NFB . Therefore, it is verified that the contribution of noise
P and VIN N pass through −20 dB or more insertion loss, amplification due to FFEQ and FBEQ is not remarkable.
DIN P and DIN N are transmitted to the RX, as shown in
Fig. 7(b). The DIN P and DIN N do not have a crossing
point in the data transition because pre and post cursors occur IV. M EASUREMENT R ESULTS
due to the channel characteristic. Although the two-stages The proposed RX was fabricated in a 28 nm CMOS pro-
CTLEs are used to compensate for the channel attenuation cess. The die micrographs in Fig. 10 show the proposed AFE
(NFF = NFB = VCTRL = 0), the slicer makes an error because (RX1 ) and RX (RX2 ) with the AFE, clock I/O, and 1-tap
there are no crossing points in the DOUT_AFE P and DOUT_AFE DFE. The areas of the RX1 and RX2 are 0.01 and 0.04 mm2 ,
N. Figs. 7(c) and 7(d) show the outputs of the AFE according respectively. The channel responses of Channels A and B are

Authorized licensed use limited to: University of Science & Technology of China. Downloaded on May 30,2022 at 01:26:31 UTC from IEEE Xplore. Restrictions apply.
SIM et al.: 25 Gb/s WIRELINE RECEIVER WITH FEEDFORWARD AND FEEDBACK EQUALIZERS AT ANALOG FRONT-END 407

Fig. 12. Eye diagrams when the FFEQ and FBEQ are (a) turned off
and (b) turned on for PRBS-7; PRBS-31 on (c) Channel A and (d) Channel B.

Fig. 9. Normalized (a) THD, (b) SNR, (c) signal power, and (d) noise power
of the proposed AFE.

Fig. 13. Bathtub curves according to (a) Channel A and (b) Channel B.
Fig. 10. Die micrographs of the proposed (a) AFE and (b) RX.

NFF and NFB are turned on, an eye-opening of 110 mV and


a 0.48 UI are measured, as shown in Fig. 12(b). In addition,
even if the pattern changes from PRBS-7 to PRBS-31, it has
0.28 UI and 72 mV eye-opening [Fig. 12(c)]. When switching
from Channel A to B while maintaining the data pattern as
PRBS-31, The eye diagram in Fig. 12(d) was measured by
controlling NFF and NFB , which were manually changed from
6 to 8 and from 8 to 14, respectively. Therefore, the equal-
ization of the proposed AFE is 2.98 times better than that of
the two stage CTLEs at 25 Gb/s, because the proposed FFEQ
Fig. 11. (a) Measured channel attenuation of Channel A and B, and (b) eye and FBEQ can control the peaking and DC gains.
diagrams of input data and sampling clock. Measurements of the proposed RX2 are performed using
Channel A and B. Fig. 13 shows the bathtub curves with
respect to the channels for PRBS-31. As shown in Fig. 13(a),
−16.1 and −25.8 dB at 12.5 GHz, respectively, as shown in when the proposed AFE is adopted, the BER is better than
Fig. 11(a). Channels A and B exclude package losses. The 10−12 . However, the BER is over 10−2 when the 1-tap DFE
data and sampling clock are received from a pattern gener- is used instead of the FFEQ and FBEQ. The two-stage CTLEs
ator. Fig. 11(b) shows the eye diagrams of the input data and 1-tap DFE are not sufficient to compensate for Channel
and sampling clock. For the PRBS-31 and at 25 Gb/s, the A. To achieve a BER of 10−12 using the two stage CTLEs,
input data 0.73 UI in the open eye diagram. Furthermore, total 2-tap or more DFE taps would be required. Simultaneously
jitter (TJ) and random jitter (RJ) of 9.5 ps and 495 ps, respec- using 1-tap DFE, FFEQ, and FBEQ results in a wider 10−12
tively, were also observed. The two-phase sampling clock has BER interval, ranging from 0.075 UI to 0.15 UI, as com-
a TJ of 4.5 ps and an RJ of 315 fs at 12.5 GHz. pared to than when using the proposed AFE alone. Even in
Fig. 12 shows the eye diagrams for the AFE (RX1 ) out- the case of Channel B, similar curves are achieved, as shown
put according to NFF and NFB . All the eye diagrams were in Fig. 13(b). However, as Channel B involves greater atten-
accumulated more than 10,000 times. The input patterns are uation than Channel A at 12.5 GHz, it is difficult to obtain
PRBS-7 and 31, and an output driver, including an inductor, a BER of 10−12 or less using the proposed AFE alone. By
is used to compensate for the parasitic capacitance from the contrast, the simultaneous use of the proposed AFE and 1-tap
output pad to the oscilloscope. When NFF and NFB are turned DFE can more than 0.07 UI with a BER of less than 10−12 .
off, the eye-opening of the AFE is closed [Fig. 12(a)]. When Therefore, FFEQ and FBEQ reduce the equalization burden

Authorized licensed use limited to: University of Science & Technology of China. Downloaded on May 30,2022 at 01:26:31 UTC from IEEE Xplore. Restrictions apply.
408 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—II: EXPRESS BRIEFS, VOL. 69, NO. 2, FEBRUARY 2022

TABLE I
P ERFORMANCE C OMPARISON DFE, thereby minimizing the total power consumption of the
AFE and DFE.

V. C ONCLUSION
This brief presented a 25 Gb/s wireline receiver configured
to an AFE with FFEQ and FEBQ, clock I/O, and a 1-tap
DFE. The proposed AFE controls the DC gain of the AFE
regardless of the CTLE boundary by using NFF and NFB .
Furthermore, FBEQ increases the peaking gain of the AFE
because the damping factor (ζ1 ) is changed by NFB , similar to
the 2nd order system. As a result, the FFEQ and FBEQ assist
the CTLE in improving equalization capability and reducing
the burden of the DFE to compensate for ISI.

ACKNOWLEDGMENT
The EDA tool was supported by the IC Design Education
Center (IDEC), South Korea.

R EFERENCES
[1] S. Gondi and B. Razavi, “Equalization and clock and data recovery
techniques for 10-Gb/s CMOS serial-link receivers,” IEEE J. Solid-State
Circuits, vol. 42, no. 9, pp. 1999–2011, Sep. 2007.
[2] R. Payne et al., “A 6.25-Gb/s binary transceiver in 0.13-/spl mu/m
CMOS for serial data transmission across high loss legacy backplane
channels,” IEEE J. Solid-State Circuits, vol. 40, no. 12, pp. 2646–2657,
Dec. 2005.
[3] M. S. Jalali et al., “A 4-lane 1.25-to-28.05Gb/s multi-standard 6pJ/b
40dB transceiver in 14nm FinFET with independent TX/RX rate sup-
port,” in IEEE Int. Solid-State Circuits Conf. (ISSCC) Dig. Tech. Papers,
San Francisco, CA, USA, 2018, pp. 106–107.
[4] T. Norimatsu et al., “3.3 a 25Gb/s multistandard serial link transceiver
for 50dB-loss copper cable in 28nm CMOS,” in IEEE Int. Solid-State
Circuits Conf. (ISSCC) Dig. Tech. Papers, San Francisco, CA, USA,
2016, pp. 60–61.
[5] P. Upadhyaya et al., “3.3 a 0.5-to-32.75Gb/s flexible-reach wireline
transceiver in 20nm CMOS,” in IEEE Int. Solid-State Circuits Conf.
(ISSCC) Dig. Tech. Papers, San Francisco, CA, USA, 2015, pp. 56–57.
[6] B. Zhang et al., “A 28 Gb/s multi-standard serial-link transceiver for
backplane applications in 28nm CMOS,” IEEE J. Solid-State Circuits,
vol. 50, no. 12, pp. 3089–3100, Dec. 2015.
[7] G. R. Gangasani et al., “A 32 Gb/s backplane transceiver with on-chip
Fig. 14. (a) Eye diagrams of the RX2 output and (b) power breakdown. AC-coupling and low latency CDR in 32 nm SOI CMOS technology,”
IEEE J. Solid-State Circuits, vol. 49, no. 11, pp. 2474–2489, Nov. 2014.
[8] J. F. Bulzacchelli et al., “A 28-Gb/s 4-tap FFE/15-tap DFE serial
link transceiver in 32-nm SOI CMOS technology,” IEEE J. Solid-State
Circuits, vol. 47, no. 12, pp. 3232–3248, Dec. 2012.
of the DFE by sufficiently compensating for the channel loss [9] C. D. Holdenried, J. W. Haslett, and M. W. Lynch, “Analysis and design
in the AFE. of HBT Cherry-Hooper amplifiers with emitter-follower feedback for
Fig. 14(a) shows the recovered data for PRBS-31 from the optical communications,” IEEE J. Solid-State Circuits, vol. 39, no. 11,
pp. 1959–1967, Nov. 2004.
differential NRZ. As the proposed RX uses a half-rate struc- [10] D. Yoshiyasu et al., “A 32 Gb/s data-interpolator receiver with two-
ture, the 25 Gb/s NRZ data are recovered to 12.5 Gb/s full-rate tap DFE fabricated with 28-nm CMOS process,” IEEE J. Solid-State
data. The power breakdown of the proposed RX is presented Circuits, vol. 48, no. 12, pp. 3258–3267, Dec. 2013.
in Fig. 14(b). The total power consumption is 70.4 mW at [11] L. Fabrizio, E. Mammei, S. Erba, M. Bassi, and A. Mazzant, “A 25mW
25 Gb/s. FFEQ and FBEQ account for approximately 10.9 % highly linear continuous-time FIR equalizer for 25Gb/s serial links in
28-nm CMOS,” IEEE Trans. Circuits and Syst. I, Reg. Papers, vol. 64,
of the total power dissipation; this is similar to the two-stage no. 7, pp. 1903–1913, Jul. 2017.
CTLEs (9.2 %) and 1-tap DFE (11 %). Table I summarizes [12] G. R. Gangasani et al., “ A 32 Gb/s backplane transceiver with on-chip
the performance of the proposed RX and presents a com- AC-coupling and low latency CDR in 32 nm SOI CMOS technology,”
parison of this work with previous work. Compared to [10] IEEE J. Solid-State Circuits, vol. 49, no. 11, pp. 2474–2489, Nov. 2014.
[13] C. Ahn, J. Hong, J. Shin, B. Kim, H.-J. Park, and J.-Y. Sim, “An 18-Gb/s
and [11], even if an inductor is not used, the proposed AFE NRZ transceiver with a channel-included 2-UI impulse-response fil-
can sufficiently overcome a similar channel loss with a low tering FFE and 1-tap DFE compensating up to 32-dB loss,” IEEE
FOM. The FOM of the AFE was the lowest in [13], but the Trans. Circuits and Syst. II, Exp. Briefs, vol. 67, no. 12, pp. 2863–2867,
feed-forward equalizer (FFE) at the transmitter (TX) reduces Dec. 2020.
the burden of compensation at the AFE of the RX; this, in [14] A. Balachandran, Y. Chen, and C. C. Boon, “A 0.013-mm2
0.53-mW/Gb/s 32-Gb/s hybrid analog equalizer under 21-dB channel
turn, lowers the power consumption of the AFE. Instead, the loss in 65-nm CMOS,” IEEE Trans. Very Large Scale Integr. (VLSI)
proposed RX can reduce the power dissipation of the 1-tap Syst., vol. 26, no. 3, pp. 599–603, Mar. 2018.

Authorized licensed use limited to: University of Science & Technology of China. Downloaded on May 30,2022 at 01:26:31 UTC from IEEE Xplore. Restrictions apply.

You might also like