Download as pdf or txt
Download as pdf or txt
You are on page 1of 20

See discussions, stats, and author profiles for this publication at: https://www.researchgate.

net/publication/260309180

Advances in silicon carbide science and technology at the micro- and


nanoscales

Article  in  Journal of Vacuum Science & Technology A Vacuum Surfaces and Films · September 2013
DOI: 10.1116/1.4807902

CITATIONS READS

133 2,103

4 authors, including:

Roya Maboudian C. Carraro


University of California, Berkeley University of California, Berkeley
360 PUBLICATIONS   16,297 CITATIONS    265 PUBLICATIONS   11,466 CITATIONS   

SEE PROFILE SEE PROFILE

Some of the authors of this publication are also working on these related projects:

Emerging non-volatile memory View project

Semiconductor surface passivation View project

All content following this page was uploaded by C. Carraro on 08 July 2014.

The user has requested enhancement of the downloaded file.


Advances in silicon carbide science and technology at the micro- and nanoscales
Roya Maboudian, Carlo Carraro, Debbie G. Senesky, and Christopher S. Roper

Citation: Journal of Vacuum Science & Technology A 31, 050805 (2013); doi: 10.1116/1.4807902
View online: http://dx.doi.org/10.1116/1.4807902
View Table of Contents: http://scitation.aip.org/content/avs/journal/jvsta/31/5?ver=pdfcov
Published by the AVS: Science & Technology of Materials, Interfaces, and Processing

Articles you may be interested in


Uniform nano-ripples on the sidewall of silicon carbide micro-hole fabricated by femtosecond laser irradiation and
acid etching
Appl. Phys. Lett. 104, 241907 (2014); 10.1063/1.4883880

Rectification properties of n-type nanocrystalline diamond heterojunctions to p-type silicon carbide at high
temperatures
Appl. Phys. Lett. 104, 153113 (2014); 10.1063/1.4871713

Wide band gap nanocrystalline silicon carbide thin films prepared by ICP-CVD
AIP Conf. Proc. 1512, 646 (2013); 10.1063/1.4791203

Silicon nanocrystals embedded in silicon carbide: Investigation of charge carrier transport and recombination
Appl. Phys. Lett. 102, 033507 (2013); 10.1063/1.4789441

Strong visible and near-infrared electroluminescence and formation process in Si-rich polymorphous silicon
carbon
J. Appl. Phys. 111, 053108 (2012); 10.1063/1.3691904

Redistribution subject to AVS license or copyright; see http://scitation.aip.org/termsconditions. Download to IP: 169.229.32.36 On: Tue, 08 Jul 2014 16:54:52
REVIEW ARTICLE

Advances in silicon carbide science and technology at the micro-


and nanoscales
Roya Maboudiana) and Carlo Carraro
Department of Chemical and Biomolecular Engineering, University of California, Berkeley, California 94720
Debbie G. Senesky
Aeronautics and Astronautics Department, Stanford University, Stanford, California 94305
Christopher S. Roper
HRL Laboratories, LLC, Malibu, California 90265

(Received 6 February 2013; accepted 13 May 2013; published 6 June 2013)


Advances in silicon carbide microfabrication and growth process optimization for silicon carbide
nanostructures are ushering in new opportunities for microdevices capable of operation in a variety
of demanding applications, involving high temperature, radiation, or corrosive environment. This
review focuses on the materials science and processing technologies for silicon carbide thin films
and low dimensional structures, and details recent progress in manufacturing technology, including
deposition, metallization, and fabrication of semiconductor microdevices, with emphasis on sensor
technology. The challenges remaining in developing silicon carbide as a mainstay materials
platform are discussed throughout. VC 2013 American Vacuum Society.

[http://dx.doi.org/10.1116/1.4807902]

I. INTRODUCTION variety of applications due to its stability within prohibitive


The compelling advancements in science and technology environments. In particular, the transportation and energy
for silicon carbide (SiC) have led to the realization of robust industries can utilize SiC-based sensors and electronics to
material structures, sensors, and electronics. This has been monitor the efficiency of combustion processes in real-
achieved by the ability to deposit SiC thin films, synthesize time.16,17 In addition, new milestones in space exploration
SiC nanostructures, etch features into SiC, and engineer stable can be achieved through the realization of radiation-tolerant
metal contacts for SiC. The primary driver for the develop- components and materials that do not require complex shield-
ment of the SiC materials platform is to overcome the limita- ing or packaging.18 Furthermore, complex geophysical and
tions of traditional silicon (Si) based platforms. For example, geochemical environments, such as subsurface or ocean envi-
Si is limited to operation temperatures below 200  C due to ronments, can benefit from sensors and sensor networks that
the generation of thermal carriers and junction leakage.1,2 In are resistant to biofouling. In order to realize such robust com-
addition, the poor radiation tolerance3 and poor chemical re- ponents and systems, science and manufacturing technology
sistance4 of Si-based devices warrant the use of alternative must be developed specifically for the SiC materials platform.
materials to mitigate the need for complex packaging and In particular, microfabrication processes such as thin film dep-
active cooling. As a result, SiC has emerged as a material to osition, etching, and metallization should be well understood.
address the limitations of Si as it is temperature tolerant, radi- In addition, materials analysis of thin film and nanostructure
ation resistant, biocompatible, and chemically inert.5–7 synthesis as well as interfacial structures for SiC should be
Recently, there have been actual demonstrations that support characterized.
the aforementioned attributes of SiC. For example, it has been This paper will present an overview of the current status
shown that SiC-based electronic transistors can survive and of science and technology surrounding SiC at the micro- and
operate at 500  C for an excess of 7000 h.8,9 In addition, SiC nanoscales. More specifically, the attractive material proper-
has been utilized as the structural material in the design of ties of SiC, advancements in manufacturing technology using
high-temperature energy conversion devices10 and sensors SiC, achievements in creating thermally stable metal contacts
that have operated in extreme temperature, chemically corro- for SiC, and recent demonstrations of SiC-based semiconduc-
sive, and high shock environments.11,12 Furthermore, SiC has tor devices (e.g., sensors and energy conversion) will be
been engineered into biosensing and biomedical systems to reviewed. Although there is a large body of work focused on
prevent biofouling of devices.13–15 It is clear that the develop- creating SiC into a mainstay platform for high-power and
ment of SiC into a mainstay platform material can benefit a high-frequency electronics,19 this review will primarily focus
on the status of the materials development at the micro- and
nanoscales as well as sensor technology enabled through the
a)
Electronic mail: maboudia@berkeley.edu SiC materials platform. It should be noted that challenges still

050805-1 J. Vac. Sci. Technol. A 31(5), Sep/Oct 2013 0734-2101/2013/31(5)/050805/18/$30.00 C 2013 American Vacuum Society
V 050805-1

Redistribution subject to AVS license or copyright; see http://scitation.aip.org/termsconditions. Download to IP: 169.229.32.36 On: Tue, 08 Jul 2014 16:54:52
050805-2 Maboudian et al.: Advances in silicon carbide science and technology 050805-2

remain in realizing SiC as a mainstay materials platform such The microscopic structure of SiC has important conse-
as Si; several of these challenges will be discussed throughout quences on its properties. Stacking faults are ubiquitous in
this overview. SiC specimens because of the very small energy difference
between different stacking arrangements: this occurrence is
II. SiC MATERIAL PROPERTIES unique in SiC. While it is of little or no consequence on the
mechanical properties of the material, which vary little
Silicon carbide is a wide bandgap semiconductor with among polytypes, stacking order affects the electrical prop-
many noteworthy properties such as high saturation velocity, erties significantly.24 For example, a single stacking fault in
high break-down field, high hardness, and high chemical sta- the lower band gap 3C polytype, being equivalent to bilayer
bility in a variety of environments.5 It is the material of inclusion of the higher band gap 2H polytype, introduces
choice in high-temperature, high-frequency, high-power, and deep electronic traps in the material. For this reason, SiC
high-voltage electronics,20 and a good candidate for harsh electronic devices are commercially available in the hexago-
environment sensors and actuators.7,21 nal polytypes, even though the cubic phase would have supe-
rior electronic properties.
A. Structure and structure–property relation
Silicon carbide is characterized by extreme polytypism, B. Mechanical properties at 300 K
with over 200 different crystal structures on record. The sim- Silicon carbide has a density of 3.21 g cm3 and hardness
plest structure, denoted as b-SiC or 3C-SiC, is the zinc of 9.4 on the Mohs scale. Its Young’s modulus was reported
blende structure, with lattice constant of 0.436 nm. It has two in a wide range of values. The highest value was reported in
tetrahedrally bonded atoms (Si and C) in the primitive unit Gmelin’s Handbuch, 8th edition (1959), which listed
cell (Fig. 1); thus, it is a polar semiconductor that lacks E ¼ 748 GPa for 3C-SiC in the h100i direction.25 While
inversion symmetry. directionality or polytype are not expected to affect the num-
The structure of a SiC specimen can be classified accord- ber greatly, defects are, and annealing and other treatments
ing to the relative rotation of successive basal planes. These can produce widely different results for the same specimen.
are SiC bilayers perpendicular to the h111i axis of the b Later versions of Gmelin’s (1984) report values of Young’s
structure. Altering the rotation about the h111i direction of a modulus in the range of 400–500 GPa, and the consensus in
SiC bilayer with respect to the cubic stacking creates a stack- the literature is settling in this range, for both crystalline26
ing fault. A periodic sequence of stacking faults constitutes a and polycrystalline specimens.27 The large Young’s modu-
polytype. All polytypes (except 3C-SiC) are uniaxial (hexag- lus, combined with the relatively low density, implies large
onal or rhombohedral), and denoted at a-SiC. values for the acoustic wave speeds and for the thermal con-
Because the rotation of the SiC stacks does not alter the ductivity, the latter approaching 5 W cm1 K1 for undoped
distance between atom planes, the most intense lines of the high quality crystals.28
x-ray diffraction patterns of SiC have identical positions in
the a and b structures, and cannot be used to distinguish
between polytypes.22 In contrast, Raman spectroscopy, C. Electrical properties
which measures optical phonon frequencies, is very sensitive The lowest bandgap value across all of SiC polytypes is
to the local bonding environment, which is in turn affected in the cubic structure (2.39 eV); the highest value is in the
greatly by stacking order. For this reason, and moreover, 2H polytype (3.33 eV). Hexagonal and rhombohedral poly-
owing to the severe constraints posed by point symmetry on types have band gaps around or above 3 eV.26 Many of the
the polarizability tensor, Raman spectra are unique and eas- electronic properties of SiC make it a highly desirable mate-
ily identifiable fingerprints of the different SiC polytypes. rial for operation in harsh environments (high temperature,
Thus, Raman spectra offer the most straightforward way to high frequency, and high current density): key properties
determine SiC crystal structure unambiguously.23 in this regard are the high bandgap, breakdown field

FIG. 1. (Color online) Structure of some SiC polytypes. The view is from a direction perpendicular to the h111i direction of the cubic lattice, or the c-axis of
the hexagonal lattices. Atoms in the primitive cells are circled in red: note the lack of inversion symmetry. The stacking sequence is displayed at right in
Zdanov notation for all structures. Another convenient way of classifying polytypes labels each SiC bilayer according to its hexagonal (h) or cubic (k) charac-
ter. The 3C and 2H polytypes are purely cubic and haxagonal, respectively. Note that the 4H polytype has 50% hexagonality.

J. Vac. Sci. Technol. A, Vol. 31, No. 5, Sep/Oct 2013

Redistribution subject to AVS license or copyright; see http://scitation.aip.org/termsconditions. Download to IP: 169.229.32.36 On: Tue, 08 Jul 2014 16:54:52
050805-3 Maboudian et al.: Advances in silicon carbide science and technology 050805-3

(>1 MV cm1), and saturation velocity (>2  107 cm s1), therefore to chemical attack of the surface atoms’ back-
and of course, the thermal conductivity. Many properties in bonds. The remarkable chemical stability of SiC is reflected
the uniaxial a polytypes are very anisotropic. For example, by its slow oxidation rate compared to Si (important for
in the 6H polytype, the electron mobility along the c-axis is applications in combustion and geothermal fluid4 environ-
nearly eight times lower than perpendicular to it: for this rea- ments, for example). This characteristic also distinguishes
son, 4H-SiC (with an anisotropy of only 10%) has replaced SiC from diamond for these applications.31 The chemical
6H-SiC as the polytype of choice for electronic devices. stability of SiC is also reflected by the difficulty in promot-
Impurity n-type doping is mainly implemented with N ing dopant diffusion and activation.
atoms (with ionization energy of 50 meV in 3C- and 4H- The chemical stability of SiC coupled to its high hardness
SiC). The residual nitrogen typically causes unintentionally and modulus lead to SiC exhibiting superior tribological
doped SiC to be slightly n-type. The p-doping is mainly car- characteristics when compared to Si.32 Even a SiC film a
ried out with Al or B (with ionization energies in excess of few 10’s of nm thick is effective at suppressing Si wear
200 meV). Impurity incorporation can occur at inequivalent under repeated sliding contact33 and at reducing adhesion in
sites of cubic or hexagonal symmetry, contributing to large micromechanical devices.34,35
variations in donor/acceptor levels and in electrical proper- In what follows, the key steps (Fig. 2) and the recent
ties among polytypes.29 advancements in manufacturing technology using SiC will
be reviewed.
D. Chemical stability
Compared to most semiconductors, SiC stands out for its III. THIN FILM DEPOSITION PROCESSES FOR SiC
high chemical stability in a variety of reactive environments. The deposition of SiC thin films is arguably the core tech-
This stability makes SiC films highly resistant to corrosion nology enabling SiC-based microelectromechanical systems
and biocompatible. However, it also implies that SiC films (MEMS)/nanoelectromechanical systems (NEMS) devices.
are rather difficult to etch (see Sec. V). Additionally, the growth of high-quality doped epitaxial silicon
The chemical stability of SiC is in essence a surface prop- carbide thin films enables higher-performance SiC electronics.
erty. The surface science of silicon carbide is a very rich Manifold silicon carbide thin film deposition methods have
field, owing to the plethora of polytypes and surface recon- been developed over the past four decades, including atmos-
structions.30 Nevertheless, important surface chemical prop- pheric pressure chemical vapor deposition (APCVD), low pres-
erties of SiC are based qualitatively on a simple observation. sure chemical vapor deposition (LPCVD), plasma enhanced
The SiC bond is both stable and short (the bond length is chemical vapor deposition (PECVD), and ion beam sputter
0.189 nm, or about 20% shorter than in Si); moreover, the (IBS) deposition. Each deposition method has its own set of
bulk bond length persists all the way up to the surface layer, process parameters. SiC thin film deposition has been most
which is essentially unrelaxed. This means that, unlike Si, recently reviewed in detail by Wijesundara and Azevedo,36
the surfaces of SiC are impervious to diffusion of atoms, and although many reviews on silicon carbide technology cover

FIG. 2. (Color online) Key manufacturing technologies using silicon carbide thin films.

JVST A - Vacuum, Surfaces, and Films

Redistribution subject to AVS license or copyright; see http://scitation.aip.org/termsconditions. Download to IP: 169.229.32.36 On: Tue, 08 Jul 2014 16:54:52
050805-4 Maboudian et al.: Advances in silicon carbide science and technology 050805-4

thin film deposition.7,28,37–52 This paper briefly overviews the Si-to-C ratio and other properties of the deposited film.75–77
primary methods of SiC thin film deposition, highlighting The important process parameters during SiC CVD include
recent developments. mass flow rates of the precursors and any diluent gases, dep-
osition pressure, deposition temperature, wafer spacing, and
wafer cage geometry, if any.
A. Chemical vapor deposition The properties of the deposited film determine, along
Chemical vapor deposition (CVD) entails the thermal with device geometry, the response of the device to applied
decomposition of one or more precursors on a growth sub- stimuli. Important film properties are manifold and include
strate. CVD can be performed near atmospheric pressure growth rate, polytype, crystallinity (e.g., amorphous,
(APCVD) or at reduced pressure (LPCVD). Low pressures polycrystalline, single crystal) (Fig. 3), surface roughness,
increase vapor phase diffusivity, which in many cases causes residual stress/strain, strain gradient, elastic modulus, hard-
the deposition process to be reaction rate limited instead ness, electrical resistivity, and carrier traps. Crystallinity of
of diffusion limited, increasing uniformity and conformality the deposited film is a strong function of deposition tempera-
of the deposited films. Low pressures also reduce the rate of ture. Low temperatures result in amorphous films.
gas phase nucleation and resulting particulates. Both cold Homoepitaxial78 and heteroepitaxial79 SiC thin films can be
wall reactors, where only the growth substrate and chuck are achieved at high temperatures. Typically the 3C polytype is
heated, and hot wall reactors, where the entire reactor is achieved at temperatures <1000  C; however, recently, a-
externally heated, have been used for CVD of SiC. In dual- SiC deposition is demonstrated for the first time at 950  C.80
source CVD of SiC, often separate precursors provide the Si Surface roughness depends on deposition method, and it is
atoms and C atoms, enabling tailoring of the Si-to-C ratio as strongly correlated with grain size in polycrystalline films.
well as other thin film properties. Precursor gas combina- For N/MEMS applications, control over the electrical resis-
tions that have been demonstrated for dual-source CVD of tivity, residual stress, strain gradient, and conformality
SiC include silane and acetylene,53 silane and propane,54 as (Fig. 4) are critical. Control over the electrical resistivity can
well as dichlorosilane and acetylene.55–57 In single-source be achieved by control over grain size81 and through doping
CVD of SiC, one precursor supplies both the Si atoms and C (see Sec. III C). Residual stress can be tailored through con-
atoms. The presence of Si–C bonds in single-source precur- trol of deposition pressure82 or control of precursor gas
sors often enables lower deposition temperatures. Single pre- ratio.76,77
cursors for SiC CVD include methyltrichlorosilane,58,59 PECVD enables reduction in SiC deposition temperature
methylsilane,60–62 diethylsilane,63 diethylmethylsilane,64 below what is possible with traditional CVD processes
tetramethylsilane,63,65 hexamethyldisilane,65,66 ditertiarybu- (<600  C).83–86 PECVD SiC films are typically amorphous
tylsilane,67 silacyclobutane,68 dimethyldichlorosilane,69 1,3- and control over the residual stress is crucial for MEMS
disilacyclobutane,70 and 1,3-disilabutane.71–74 Dual source applications.84,87 Recently demonstrated laser CVD of SiC88
methods have been demonstrated where one precursor pro- enables rapid deposition rates up to 40 lm/h.
vides both C and Si atoms, enabling low deposition tempera- Although SiC thin films are sufficiently mature to enable
tures, while the second precursor enables tailoring of the the fabrication of a wide variety of microelectronics and

FIG. 3. Cross-section transmission electron micrographs of silicon carbide thin films. (a) PECVD amorphous SiC thin film, no scale bar in original work
[reprinted with permission from Sarro et al., Sens. Actuators A 67, 175 (1998). Copyright (c) 1998, Elsevier], (b) nanocrystalline SiC thin film, scale bar
200 nm [reprinted with permission from Roper et al., J. Appl. Phys. 103, 084907 (2008). Copyright (c) 2008, American Institute of Physics], (c) and (d) poly-
crystalline SiC thin films, scale bar 200 nm [reprinted with permission from Roper et al., J. Appl. Phys. 103, 084907 (2008). Copyright (c) 2008, American
Institute of Physics], (e) polycrystalline SiC thin film, scale bar 100 nm [reprinted with permission from Radmilovic et al., Diamond Relat. Mater. 16, 74
(2007). Copyright (c) 2007, Elsevier], and (f) epitaxial SiC thin film, scale bar 2 lm [reprinted with permission from Lien et al., Cryst. Growth Des. 10, 36
(2009). Copyright (c) 2009, American Chemical Society].

J. Vac. Sci. Technol. A, Vol. 31, No. 5, Sep/Oct 2013

Redistribution subject to AVS license or copyright; see http://scitation.aip.org/termsconditions. Download to IP: 169.229.32.36 On: Tue, 08 Jul 2014 16:54:52
050805-5 Maboudian et al.: Advances in silicon carbide science and technology 050805-5

microelectromechanical systems for harsh environments.7


Dopant incorporation and carrier transport mechanisms in
polycrystalline semiconductors is found to differ from those
of their single crystalline counterparts, due to factors such as
dopant segregation and carrier capture at grain bounda-
ries.97,98 Typically, doping can be performed either in-situ
during the growth process by adding a dopant precursor, or
after the crystal growth by ion implantation or diffusion.
However, the diffusion coefficient in SiC is negligible at
temperatures below approximately 1800  C, making doping
of SiC by diffusion impractical. The in situ doping process is
attractive due to its simplicity and the avoidance of high
temperature postannealing. For 3C-SiC, aluminum and bo-
ron have been employed as p-type dopants. However, the
FIG. 4. Cross-sectional SEM image of microtrenches coated with a 2 lm 3C-
SiC film grown using 1,3-DSB at 800  C, scale bar 10 lm [reprinted with acceptor level of Al substituted on a Si site is at 257 meV,
permission from Wijesundara et al., J. Electrochem. Soc. 151, C210 (2004). and that of B substituted on a C site is at 735 meV in
Copyright (c) 2004, The Electrochemical Society]. 3C-SiC, which are not sufficiently shallow for realizing low
resistivity.96 Nitrogen is known to be a good n-type dopant
N/MEMS devices, there is still a need for reduced deposition since it forms a shallow donor level (54 meV).99 In-situ
temperatures to enable increased integration options for SiC n-doping during film growth of epitaxial SiC via N2 (Ref.
MEMS with electronics and, lower resistivity SiC thin films 100) or NH3 (Ref. 101) and of polycrystalline SiC via NH3
for reduced ohmic losses,89 leaving areas for future research. (Refs. 97 and 98) has been achieved. Even without inten-
tional doping, nitrogen is usually present in SiC from the re-
B. Ion beam sputter deposition sidual nitrogen gas in the deposition system and precursors,
which makes the undoped SiC often lightly nitrogen
IBS deposition is a materials processing technique that
doped.51 Ion implantation has been used for doping SiC, but
utilizes physical bombardment of energetic ions, typically
it requires high temperature annealing and expensive equip-
from an ion gun, to dislodge atoms from a target for deposi-
ment.29 For selective doping, ion-implantation is the domi-
tion on an underlying substrate.90 Arþ is the most commonly
nant method.
used ion source in this deposition approach. The synthesis of
abrasion-resistant coatings and optical coatings are the pri-
mary applications for ion beam sputter deposition of SiC. It IV. SiC BASED NANOSTRUCTURES
should be noted that films deposited with ion beam sputter- A. Fabrication of low-dimensional structures
ing typically have an amorphous structure and a directional
Semiconductor nanostructures have promising applica-
(nonconformal) topography. Ion beam sputter deposition tech-
tions in technologies as diverse as optoelectronics and cataly-
niques have been used to synthesize SiC films at reduced tem-
sis. The exceptional material properties of SiC make it an
peratures (below 700  C) in comparison to CVD approaches.91
excellent candidate for a number of such applications,24 par-
Cosputtering of Si and C targets have been used to synthesize
ticularly for those involving harsh environments. For exam-
SiC thin films at temperatures between 30  C and 700  C and
ple, in the field of energy research, SiC nanotubes are
produced films that were amorphous as well as polycrystalline
pursued for hydrogen storage and SiC nanowires as battery or
under certain conditions.92 Deposition techniques with second-
supercapacitor electrodes;102 in nanoelectronics, SiC nano-
ary assist or concurrent irradiation have been used to deposit
wires are studied as field emitters;103 and SiC nanobeams
SiC thin films with stoichiometric composition.93 In this
have been employed in nanoelectromechanical systems.89,104
approach, reactive gas (methane) was introduced into the
Without pretense of providing a comprehensive taxonomy
chamber during deposition and was shown to affect the film
of SiC nanostructures, it is useful to distinguish between
stoichiometry. In addition to ion beam sputtering, high dose
nanostructures that are synthesized either as free standing or
ion implantation of carbon into Si substrates, and subsequent
simply supported by a substrate, to which they bear no direct
annealing steps, have been used to synthesize SiC thin films.94
structural or epitaxial relation, and nanostructures that are
Beyond high-performance coating applications, the directional
derived from a single crystal, often as extreme cases of engi-
(nonconformal) topography of films deposited with IBS has
neered defects or surface reconstructions. In the former cate-
been exploited to make sealed structures in the design of thin
gory, we find nanoclusters, nanowires, nanotubes, and
film packaging for MEMS sensors.95
nanobelts. In the latter, we find, for example, multiple quan-
tum well heterostructures105 and epitaxial graphene.106 In the
C. Tailoring the electrical properties remainder of this section, we deal with the first class of nano-
Doping of single crystalline SiC substrates has been structures; epigraphene will be discussed in Sec. IV B.
extensively studied and documented.29,96 More recently, Different fabrication routes are available to realize SiC
doping of polycrystalline SiC has received interest due to the nanostructures.107 One method involves direct “bottom up”
potential of poly-SiC thin film in technologies such as growth, typically by CVD seeded by a catalyst. SiC

JVST A - Vacuum, Surfaces, and Films

Redistribution subject to AVS license or copyright; see http://scitation.aip.org/termsconditions. Download to IP: 169.229.32.36 On: Tue, 08 Jul 2014 16:54:52
050805-6 Maboudian et al.: Advances in silicon carbide science and technology 050805-6

nanowires (NWs) were grown in this manner from a chlori- annealing process, the substrate surface undergoes a series of
nated single precursor, such as methyltrichlorosilane108,109 surface reconstructions, leading to the formation of graphene
or 1,1,3,3-tetrachloro-1,3-disilabutane110 in hydrogen carrier layers. These reconstructions can be monitored by low-
gas, using thin Ni films as a catalyst. Unlike the case of Si energy electron diffraction (LEED). The initial migration of
nanowire growth, where the vapor–liquid–solid (VLS) Si from the top few layers induces two Si-rich reconstruc-
mechanism is well established,111,112 the growth mechanism tions, (1  1) at 850  C and (冑3  冑3)R30 at 1050  C.
of SiC nanowires by catalyst seeded CVD remains unknown: Further annealing leads to the sublimation of Si atoms from
although the VLS mechanism was postulated by Seong the Si rich phases, leaving a carbon rich surface, where car-
et al.,108 it cannot be effective at the temperature at which bon atoms display diamond like (sp3) bonding.141–146 Upon
their experiments were carried out, since there is no liquid increasing the temperature to about 1250  C, the instability
phase in the ternary Ni-Si-C phase diagrams at that tempera- of the C bonds leads to the collapse of these carbon layers
ture.113 SiC nanoflower structures were also grown on a sili- into one or more graphene sheets, where carbon atoms are
con substrate. In these experiments, GaN powder was used bonded in the more thermally stable sp2 configuration. This
as a catalyst and methane as feed gas.114 The catalytic effect bonding transformation is accompanied by the appearance of
was attributed to liquid Ga droplets nucleated in the sub- a (6冑3  6冑3)R30 LEED pattern (Fig. 5).147 The balance
strate. Both nanowires and nanoflowers appeared to grow between just enough Si sublimation to yield a certain
preferentially in the cubic phase, and to contain amorphous carbon-equivalent graphene density and sufficiently fast
carbon inclusions or sheathing.115 kinetics to graphitize the C-rich interface is dictated, in
Other synthetic routes toward SiC nanostructures involve UHV, only by the annealing temperature. When annealing is
the conversion of carbon nanostructures to silicon carbide: carried out in a background gas, the composition of the am-
SiC nanorods116 and nanotubes117 have been synthesized by bient affects the kinetics of the graphitization process and
reaction with gaseous SiO; in addition, fullerene-derived can result in high quality epitaxial films.148
cage-like structures of Si and C have recently become the The morphology of the epitaxial layer depends strongly on
focus of intense, but predominantly theoretical, study.24 A the type of substrate termination. On the Si-terminated (0001)
similar method, carbothermic reduction, is used commer- surface, the formation of columnar clusters and nanocaps was
cially for the production of SiC whiskers. It involves reacting reported after the growth of a few layers of graphene. In con-
silica with carbon, to produce volatile CO and SiO; the latter trast, graphene films grown on the C-terminated (000-1) sur-
in turn reacts with carbon to produce b-SiC. Fibers produced face apparently do not self-limit, so that relatively thick layers
in this manner find widespread application in reinforced (up to 100 layers) can be achieved.146,148 For thin graphene
composites,118 thanks to their outstanding mechanical prop- layers, however, epigraphene grown on the Si-terminated
erties.119 The converse approach, namely the carbonization (0001) surface is commensurate with the (6冑3  6冑3)R30
of silicon/silica powders under propane flow, has also been phase of the substrate, to which it is strongly pinned.149 This
demonstrated as viable to generate SiC nanostructures. For pinning mechanism is absent in epigraphene grown on the
example, silicon carbide nanobelts have been produced in C-terminated (000-1) face, which results in graphene layers
this manner.120 with a high degree of rotational disorder, similar to turbos-
Well-controlled doping is crucial to development of tratic graphite. The structure of the epitaxial graphene/SiC
nanowire-based electronic,121 thermoelectric,122 photovol- interface is significantly different from that of exfoliated gra-
taic,123 and nanoelectromechanical124 devices. In situ doping phene on SiO2, with important consequences in the layer mor-
has been the primary means of introducing electrically active phology and electronic structure.150 The first epitaxial
impurities into VLS grown nanowires, in particular Si graphene layer sits on top of a C-rich (so-called “buffer”)
NWs.125–128 In this case, the dopants are often found to be
confined to a surface layer, resulting in nonuniform radial
and axial dopant distributions.129–133 Furthermore, in the
case of the in situ boron doping of SiNW, an enhancement in
vapor–solid growth is observed with consequences of NW
tapering,134 sawtooth faceting,135 or even substantial amor-
phous shell growth.136 In contrast, there has been little inves-
tigation to how doping affects the structural and electrical
properties of SiC NWs.

B. Epitaxial graphene on silicon carbide surfaces


The first report of the formation of epitaxial graphene
layers by annealing single crystal SiC substrates in ultrahigh
vacuum (UHV) dates back to 1975.137 Given the availability FIG. 5. (Color online) (6冑3  6冑3)R30 LEED pattern (a) of epitaxial gra-
phene monolayer on SiC (a). This sp2-bonded carbon layer is held atop an
of 4H- and 6H-SiC single crystal wafers, the vast majority of sp3-bonded carbon “buffer” layer by electrostatic and van der Waals forces,
investigations on the graphitization of SiC upon annealing as drawn schematically in (b). Reprinted with permission from Zhou et al.,
have been conducted on these substrates.138–145 During the Physica E 40, 2642 (2008). Copyright (c) 2008, Elsevier.

J. Vac. Sci. Technol. A, Vol. 31, No. 5, Sep/Oct 2013

Redistribution subject to AVS license or copyright; see http://scitation.aip.org/termsconditions. Download to IP: 169.229.32.36 On: Tue, 08 Jul 2014 16:54:52
050805-7 Maboudian et al.: Advances in silicon carbide science and technology 050805-7

layer and has a honeycomb structure, like graphene, but with of grain defects. However, important differences exist
the p orbitals making a bond to the substrate beneath. The between the exfoliated and the epitaxial films. For example,
separation between this C-rich buffer layer and the SiC sur- the overlayer-substrate interaction affects the electronic struc-
face (1.62 Å) is rather similar to the diamond bond length ture of very thin films of epitaxial graphene grown on Si-
(1.54 Å) and much smaller than the graphite interlayer spac- terminated (0001) 6H-SiC, so that for thin layers (N  3), the
ing (3.35 Å), implying a very strong interaction between this degeneracy of the conduction and valence bands in the Dirac
buffer layer and the substrate (Fig. 5). The buffer layer elec- points at K and K0 is broken, revealing the presence of a
tronically shields the layers above it from the substrate, thus thickness-dependent bandgap, just below the Fermi level
allowing the first epigraphene layer to behave electronically (from 0.26 eV for the single layer to 0.066 eV for three layers,
like an isolated graphene sheet. Subsequent graphene layers compared to 0.008 eV for bulk graphite).154,155 Thicker films,
have very low roughness when grown on the C-face, suggest- however, appear to possess electronic properties similar to
ing that the strongly bound buffer layer also shields the subse- bulk graphite.145 By applying a small gate voltage to the gra-
quent layers from the interface corrugation potential. phene film, facilitated by the insulating character of the SiC
The carrier transport properties of epigraphene are domi- substrate, the Fermi level can also be tuned to fall within the
nated by the interfacial layer and the carriers are Dirac fer- band gap, transforming the graphene layer into a tunable
mions (i.e., fermions with linear energy-momentum semiconductor.
dispersion) as observed for the mechanically exfoliated gra-
phene layers.151–153 A strong anisotropy in the charge trans-
port in epitaxial graphene indicates that each graphene sheet V. ADVANCED MANUFACTURING TECHNIQUES
supports a 2D electron gas, which remains confined within the FOR SiC
sheet.143 In addition to layer-thickness control, the ability to In order to manufacture SiC-based devices, advanced
grow large domain size, through an accurate selection of the microfabrication techniques must be developed as traditional
SiC substrate face termination and surface preparation, affects microfabication techniques for Si-based sensors and elec-
strongly the carrier mobility. For instance, epitaxial graphene tronics are not suitable. This is primarily due to the chemical
grown on a Si-terminated SiC possesses crystallites of about robustness of SiC thin films and substrates. Figure 6 details
30 nm with a low Hall mobility (0.1 m2/Vs). Larger crystal- fabrication sequences that are commonly utilized for the fab-
lites (90 nm, grown on a C-terminated SiC surface) have a rication of SiC-based MEMS devices and silicon carbide-on-
much higher Hall mobility of 1–2.7 m2/Vs.144 Since point insulator (SiCOI) substrates.21,31 Chemical vapor deposition
defects in epitaxial graphene are generally low, their scattering is used most commonly to deposit polycrystalline 3C-SiC
contribution to the resistance is negligible.144,154 Instead, the (doped structural material) onto Si substrates. This enables
resistance and thus carrier mobility is determined primarily by the formation of 3C-SiC diaphragms through the utilization
the confining geometry,144 and in particular by the distribution of wafer bonding processes [Fig. 6(a)]. To pattern the

FIG. 6. (Color online) Schematic diagram of microfabrication processes commonly used to create SiC structures.

JVST A - Vacuum, Surfaces, and Films

Redistribution subject to AVS license or copyright; see http://scitation.aip.org/termsconditions. Download to IP: 169.229.32.36 On: Tue, 08 Jul 2014 16:54:52
050805-8 Maboudian et al.: Advances in silicon carbide science and technology 050805-8

features into the 3C-SiC structural layer a SiO2 etch mask is The important process parameters to consider include sur-
utilized due the poor selectivity of photoresist etch masks. face roughness of the prebonded surfaces, the surface chem-
Wet or plasma etching is used to etch the SiO2 etch mask and istry of the prebonded surfaces, bonding temperature, and
expose the underlying 3C-SiC. Plasma etching is used to bonding pressure. Specifically, high bonding temperatures
define features (e.g., cantilever beams, electrostatic comb can cause thermal stresses in the bonded stack so low tem-
drives, and bulk resonators) into the SiC and XeF2 (isotropic perature approaches156,165 have been developed. Areas for
etch) is utilized to release underlying Si substrate resulting in future research in silicon carbide wafer bonding include
a suspended 3C-SiC structure [Fig. 6(b)]. In this process, the investigation and minimization of the thermal interface re-
underlying Si substrate is used as the sacrificial material and sistance in SiC wafer bonding for heat spreading applica-
the anchor for the suspended SiC structure. Alternatively, 4H- tions as well as layer transfer techniques for heterogeneous
SiC or 6H-SiC devices can be fabricated through the use of integration of SiC for electronics.
SiCOI structures that are realized through hydrogen implanta-
tion, wafer bonding, and wafer splitting processes [Fig. 6(c)]. B. Planarization
It should be noted that use of SiC as the structural material for
Planarization of SiC is important for reducing surface
MEMS devices mitigates the need for complex etch stop
roughness after wafer slicing,171,172 for the fabrication of
materials and processes. These commonly used microfabrica-
high quality electrical devices,173 and to reduce surface
tion processes can be utilized to make sensor and energy con-
roughness of polycrystalline films to enable high-resolution
version (e.g., thermionic) elements from SiC. Alternative
photolithography.174 Lapping, mechanical polishing,171,172
microfabrication processes include bulk etching into the SiC
chemomechanical polishing (CMP),173–175 and electrochem-
or Si substrates to create SiC diaphragms (e.g., pressure sens-
ical mechanical polishing176 have all been developed for pla-
ing). In the following sections, more details are provided
narization of SiC. Important characteristics of surface
about the latest developments pertaining to these steps.
planarization processes include low surface roughness and
high removal rate. Additionally, for electrical device fabrica-
A. Wafer bonding tion, minimal surface and subsurface damage is critical.171
Chemomechanical polishing and electrochemical mechani-
Wafer bonding has been developed for multiple applica-
cal polishing have achieved the highest removal rates (0.6
tions in silicon carbide technology.7,44,48 SiCOI substrates
and 0.5 lm/h, respectively) for SiC.175,176 The important
have been fabricated by bonding either two silicon oxide
process parameters for SiC polishing include temperature,
surfaces2,7,48,50,156–159 [Fig. 6(c)] or two polysilicon surfa-
particle composition, particle size, slurry pH, slurry solid
ces.160 In addition, the Smart-CutV R process, originally devel-
content, and pressure. Mechanical planarization typically
oped to make silicon-on-insulator (SOI) substrates, was
utilizes diamond slurries.171,172 CMP has been demonstrated
adapted to create SiCOI substrates. The process uses
with a variety of particle chemistries,174,175,177,178 including
implanted hydrogen atoms to create microcavities after the
chromium oxide, aluminum oxide, colloidal silica, and sili-
bonding step and split the SiC layer into a thin SiC film
con carbide particles. CMP also typically results in lower
attached to the SiCOI stack and thicker SiC substrate which
surface and subsurface damage than purely mechanical pol-
can be reused.157,161,162 These paths achieve the common
ishing for SiC.179 SiC planarization technology appears rela-
structural layer on sacrificial layer stack, which is a prerequi-
tively mature, with no major advances since the latest
site to the fabrication of many SiC MEMS devices;163 how-
review articles and books;36,39,40 however to what extent
ever, the development of viable methods of depositing SiC
these chemistries can be applied to planarize polycrystalline
directly on silicon nitride or silicon oxide45,64,72,73,84 has per-
SiC thin films remains an open question.
mitted simpler and often preferable surface micromachining
approaches for fabricating SiC MEMS devices. SiCOI sub-
strates are also useful for reducing leakage current in SiC C. Etching
electronics compared to SiC layers grown directly on sili- SiC thin film etching, the subtractive manufacturing com-
con.156 Wafer bonding has also been used to bond Si to plement to the additive manufacturing process of silicon car-
SiC158,164 and III-V semiconductors to SiC165 to utilize the bide thin film deposition, takes SiC thin films beyond
high thermal conductivity of SiC as a heat spreading sub- uniform coating applications and enables the fabrication
strate or for heterogeneous integration. SiC to SiC direct complex, functional devices. Etching technologies can be
bonding has been predicted166 and demonstrated.167 Wafer broadly classified as either wet or dry. Wet etches (Fig. 7)
bonding is also useful for SiC MEMS packaging48 although include molten salts, mixed acid baths, and photoelectro-
thin film packaging approaches168 are often less costly from chemical (PEC) processes. Dry etches (Fig. 8) include ion
a materials standpoint.36 bombardment and plasma etches. Evaluation of etching tech-
Important characteristics of a high-quality wafer bonding nologies requires examining the relevant etch properties,
process include high bond energy and a uniformly bonded including etch rate, etch aspect ratio, undercut, and etch se-
area. Bond energy is typically evaluated using the crack lectivity to masking materials as well as underlying materi-
length method169 while bonding uniformity (e.g., presence als. Etch selectivity is especially important as low etch
or absence of voids) is typically evaluated using transmis- selectivity limits edge definition and prevents achievement
sion infrared, ultrasonic, or x-ray topography imaging.170 of high aspect ratio features without thick masking layers in

J. Vac. Sci. Technol. A, Vol. 31, No. 5, Sep/Oct 2013

Redistribution subject to AVS license or copyright; see http://scitation.aip.org/termsconditions. Download to IP: 169.229.32.36 On: Tue, 08 Jul 2014 16:54:52
050805-9 Maboudian et al.: Advances in silicon carbide science and technology 050805-9

FIG. 7. Scanning electron micrographs of wet-etched SiC. (a) single-crystal


SiC cantilever beam fabricated by dopant-selective PEC etching, scale bar
2 lm [reprinted with permission from Zhao et al., Mater. Lett. 65, 409
(2011). Copyright (c) 2011, Elsevier] and (b) etched n-SiC epilayer on p-
SiC substrate, scale bar 10 lm [reprinted with permission from Shor et al., J.
Appl. Phys. 81, 1546 (1997). Copyright (c) 1997, American Institute of
Physics].
FIG. 8. Scanning electron micrographs of plasma etch profiles of SiC (a) and
(b) transformer couple plasma etched SiC, each scale bar 1 lm [reprinted
dry etching processes. Another critical factor in etch evalua- with permission from Gao et al., Appl. Phys. Lett. 82, 1742 (2003).
tion is the masking material. In dry etching, metal masks are Copyright (c) 2003, American Institute of Physics], and (c) inductively
prone to micromasking phenomena180 and can introduce coupled plasma etched SiC, scale bar 20 lm [reprinted with permission from
atomic impurities in electronic devices; thus nonmetal mask- Khan and Adesida, Appl. Phys. Lett. 75, 2268 (1999). Copyright (c) 1999,
American Institute of Physics].
ing layers are highly desirable. In the early development of
silicon carbide microfabrication, only photoelectrochemical
etch rate186 to provide sufficient etch selectivity and would
etching and metal-masked plasma etching were available;
avoid contamination issues. Due to the high etch rates of sili-
however, now a wide variety of SiC etching technologies
con and silicon oxide in molten potassium hydroxide, this
have been developed. Zhuang and Edgar published the most
etch chemistry is not typically used to fabricate microdevices.
recent thorough review of silicon carbide wet etching in
SiC can also be etched using electrochemical or PEC
2005,181 while Yih et al. published a thorough review of
methods. PEC etching is typically performed in a KOH or
plasma etching of SiC in 1997.182 These reviews have been
HF solution and with ultraviolet lamp or laser irradia-
updated through numerous brief reviews of SiC microfabri-
tion.29,181,187,188 PEC etching has been used to fabricate
cation technology39,42,44,45,47,48,52,183 as well as through a
microdevices;189,190 however, the need for irradiation limits
few more comprehensive reviews.7,36,38,40,51 The present pa-
the undercut distance [Fig. 7(a)] and thus the applicability to
per will outline the primary types of SiC etching, highlight-
released MEMS. Electrochemical etching that does not
ing recent developments.
require irradiation has been demonstrated for p-type
SiC.191,192 Such a method does not have the undercut limita-
1. Wet etching tions of PEC etching.
SiC can be anisotropically etched along crystallographic Amorphous193,194 and polycrystalline195 silicon carbide
directions using molten salts such as molten (>460  C) po- can be etched at room temperature in a mixed acid solution
tassium hydroxide.184,185 Nickel is the predominant masking of hydrofluoric and nitric acids. The etch rate is a function of
material used to date, although diamond has a low enough the grain size with amorphous and smaller-grained silicon

JVST A - Vacuum, Surfaces, and Films

Redistribution subject to AVS license or copyright; see http://scitation.aip.org/termsconditions. Download to IP: 169.229.32.36 On: Tue, 08 Jul 2014 16:54:52
050805-10 Maboudian et al.: Advances in silicon carbide science and technology 050805-10

carbide etching faster than large-grained silicon carbide. biomineralization,221 low toxicity quantum dot passiva-
This method has been used to make microdevices using tion,222 and increased biocompatibility.223
direct writing with a focused ion beam (FIB) to selectively
amorphize and subsequently etch patterns in SiC.194 VI. METALLIZATION TECHNOLOGY FOR SiC
For electronics and MEMS applications, the quality of
2. Dry etching metal contact to SiC is as important as the electrical and me-
SiC can be etched by ion bombardment, either using uni- chanical properties. The stability and reliability of metal–
form ion milling196 or a FIB.89,194,197–201 FIB is used to pro- semiconductor interface has been one of the key factors lim-
totype micro and nanodevices,89 but its serial processing iting the high-temperature and high-power SiC-based elec-
nature makes it too costly for large-scale production. tronics and MEMS. Ohmic contacts are needed for routing
Reactive ion etching of silicon carbide achieves higher the electrical signal in and out of the device while Schottky
etch rates and higher selectivities over masking materials rectifying contacts enable a number of devices such as met-
compared to ion bombardment alone. Many etch chemistries al–semiconductor field-effect transistors and rectifiers. An
have been developed, including CF4/O2, SF6/O2, NF3/O2, ohmic contact of low resistivity with long-term stability
CHF3/O2, CBrF3/O2, CHF3/CF4, NF3/CHF3, SF6/CHF3, and in harsh environments (such as elevated temperature) is
HBr/Cl2.21,182,202–207 A variety of plasma enhancements necessary for many practical applications of SiC MEMS
have been utilized, including electron cyclotron reso- technology.
nance,205 transformer coupled plasma,21,202,203 and induc- According to the Schottky–Mott theory of the metal–
tively coupled plasma.204 Additionally, multiple nonmetallic semiconductor contacts, a potential barrier (UB) develops at
masking layers have been demonstrated including silicon ox- the metal–semiconductor interface due to the difference in
ide, silicon nitride,21,202,203 and aluminum nitride.206 Time the work functions of the metal and the semiconductor.224
multiplexed gas deep reactive ion etching enables the forma- When UB is small with respect to kBT (assuming thermionic
tion of higher aspect ratio trenches than either ion bombard- emission of electrons), a nearly linear current-voltage rela-
ment or reactive ion etching.208–210 Most SiC microdevices tion develops; this is considered as an ohmic contact.
are now patterned using reactive ion etching. Catalytic etch- However, real metal–semiconductor contacts depend on the
ing of silicon carbide with platinum catalyst in a hydrogen surface states, which are electronic states localized at the
ambient enables nanoporous silicon carbide and may be use- surface of the semiconductor crystal produced by the inter-
ful for microdevice fabrication as well.211 Now that similar ruption of the perfect periodicity of the crystalline lattice.
etch processes exist for silicon carbide as for other microfab- Other factors include the presence of a thin insulating inter-
rication materials (e.g., silicon, silicon oxide, silicon nitride), facial layer, a rough interface, crystalline defects, and inter-
future developments in the area of silicon carbide etching facial chemistry. These in turn may cause the Fermi level to
are most likely to be improvements in etch rate or etch be “pinned” at the interface and no longer (or weakly) be
selectivity. affected by the electron concentration in the immediate
semiconductor side of the interface. Depending on the den-
D. Surface functionalization sity of surface states, the practical UB shows more depend-
ence on the metal–semiconductor interface quality than the
Surface functionalization of silicon carbide, once thought
metal work function.224–226
to be difficult owing to its chemical inertness, is possible
through chemical, photochemical, and electrochemical
routes.212 After treatment of a SiC surface with dilute HF, A. Contact to single crystalline SiC
primary alkenes can be covalently bonded to the SiC through Metal contacts to single crystalline SiC have been exten-
either heating to 150  C213 or exposure to UV irradiation at sively studied, motivated by high temperature electrical
room temperature.214 Primary alkenes can instead be applications.225,227–230 Almost all unannealed metal contacts
attached after chlorine functionalization followed by heating to lightly doped 4H- and 6H-SiC are rectifying. Heavily
or UV irradiation as well.215 Chlorine functionalization does doped SiC or high-temperature annealing is most often
not result in the formation of oxygen bridge bonding between needed to create ohmic contacts with low contact resist-
the SiC surface and the functional group. Other chemical sur- ance.19,231,232 Contact to n-type SiC usually exhibits lower
face functionalization routes enable attachment through tri- contact resistance than to p-type SiC. Most common contact
chlorosilanes and triethoxysilanes via a silanization process materials include Ni for n-type and Al-Ti for p-type with
that results in a thin silicon oxide layer between the SiC and contact resistivity values of 7  107 Xcm2 and 2.8  106
the functional groups.216,217 Nitrophenyl functional groups Xcm2, respetively.227,228,233 In the case of Ni, an annealing
have been attached through electrochemical grafting.218 In at 900–950  C is necessary to obtain an ohmic behavior.
addition to small molecules, polymer brushes219 can be Earlier reports have attributed this transition to the appear-
bonded to SiC surfaces with similar chemistries. In many ance of the Ni2Si phase. However, later studies have chal-
cases, the functional group attached to the SiC surface can lenged this theory and show that the transition is more
itself be functionalized, enabling a wider range of molecules complex and involves the appearance of vacancies, defects,
to be linked to silicon carbide.218 Surface functionalization of or roughness modification at the interface.234–240 For harsh
SiC enables biosensing,218 wettability control,220 surfaces for environment applications, ohmic contacts that can withstand

J. Vac. Sci. Technol. A, Vol. 31, No. 5, Sep/Oct 2013

Redistribution subject to AVS license or copyright; see http://scitation.aip.org/termsconditions. Download to IP: 169.229.32.36 On: Tue, 08 Jul 2014 16:54:52
050805-11 Maboudian et al.: Advances in silicon carbide science and technology 050805-11

FIG. 9. (Color online) Circular transmission line method for determining contact resistance and a scanning electron microscopy image of Pt/SiC CTLM
structure.

the harsh environment are required. Most reported ohmic too aggressive for traditional silicon interface circuit tech-
contacts appear stable up to 300  C. Multilayer Ti/TaSi2/Pt nology.246 Therefore, low-power, high-temperature SiC tran-
stacks have been reported to exhibit long-term stable contact sistor components to specifically interface with SiC sensors
behavior to n-type SiC at 600  C in air.241 Similarly durable have been developed. Although bulk SiC transistors have
contacts to p-type SiC remain to be demonstrated. been developed for high-power and high-frequency applica-
tions,247 the power requirements for such devices are not
B. Contact to polycrystalline SiC suitable for low-power sensing applications and are not
described in detail in this paper. The characterization of low-
There have been relatively few reports on the characteri- power SiC junction-field effect transistor components for
zation of metal contacts to polycrystalline 3C-SiC (poly- differential amplifier circuitry (sensor signal processing) at
SiC) films. Titanium tungsten (TiW) contacts to polycrystal- 450  C should be noted.248,249
line SiC have been reported to exhibit ohmic contact behav-
ior with a contact resistivity on the order of 104 Xcm2.242
In addition, nickel and aluminum have been used as inter-
connects in SiC MEMS devices.163,243 Nickel and platinum
contacts to n-type poly-SiC have been characterized by the
circular transmission line method (Fig. 9) at room tempera-
ture and up to 300  C. The as-deposited metal contacts
exhibited ohmic characteristics.244 The Ni contacts to poly-
SiC have the lowest contact resistivity of 106 Xcm2, fol-
lowed by the Pt/SiC contact resistivity of 105 Xcm2. The
ohmic characteristic of as-deposited Ni and Pt to n-doped
poly-SiC has been attributed to the polycrystalline nature of
the SiC films, which, for example, would possess such
defects as grain boundaries and high interface roughness.
Heating to 300  C in air is found to degrade more dramati-
cally the Ni/poly-SiC contact resistance than Pt/poly-SiC
contact. A more stable contact with low contact resistance is
achieved on Ni with a Pt overlayer. More recently, an ohmic
metallization scheme for poly-SiC that exhibits a stable con-
tact resistivity (exceeding 100 h in air at 450  C) is demon-
strated.102,245 The improvement was achieved via the growth
of a nanocrystalline graphitic layer between the SiC thin film
and the metal contact (Pt), acting as a thermal barrier to pre-
vent interfacial reactions, in addition to an alumina encapsu-
lation layer deposited by atomic layer deposition (Fig. 10).

VII. SiC SEMICONDUCTOR DEVICES


The maturity of thin film deposition techniques, nano-
structure synthesis, and microfabrication technology for SiC
has enabled the realization of SiC-based semiconductor
devices for sensing, electronics, and energy conversion. This
paper overviews recent SiC-based semiconductor devices,
primarily sensing devices, and describes the types of harsh
environments in which these devices are characterized. To FIG. 10. (Color online) Bottom panel displays the evolution in contact resist-
realize a complete sensing system, interface circuits must be ance with time at 450  C in air for the three situations displayed schemati-
developed. Some sensing and electronics applications are cally in the top panel.

JVST A - Vacuum, Surfaces, and Films

Redistribution subject to AVS license or copyright; see http://scitation.aip.org/termsconditions. Download to IP: 169.229.32.36 On: Tue, 08 Jul 2014 16:54:52
050805-12 Maboudian et al.: Advances in silicon carbide science and technology 050805-12

A. MEMS sensors and resonators design of micromechanical resonators is the ability to obtain
high quality factors (Q’s), high frequency, temperature sta-
Extending the operation environments of MEMS to high bility, and low impedance, simultaneously.258 Electrostatic
temperature, chemically corrosive and high-radiation envi- micromechanical resonators have been developed using pol-
ronments has been enabled through the use of SiC as a mate- ycrystalline 3C-SiC released from Si substrates leading to
rial platform.44,49,250 This is due to the thermal, mechanical, designs with high quality factor values in air.89 In addition to
chemical, and electrical stability of SiC within such hostile SiC, piezoelectric micromechanical resonators made from
environment.246 The development of robust manufacturing aluminum nitride (AlN) have been explored by numerous
processes (e.g., thin film deposition and plasma etching) for research and industrial groups to replace crystalline quartz
MEMS device fabrication has supported the realization of devices due to its manufacturability and increased electro-
SiC as a semiconductor platform that can overcome the oper- mechanical transduction efficiency.259–261 AlN thin films
ation limitations in traditional Si-based devices.40 It is envi- have been deposited on epitaxial 3C-SiC (NovaSiC Inc.)
sioned that coupling SiC MEMS sensors to high-temperature thin films via reactive sputtering to create composite struc-
wireless circuitry could enable data collection in the most tures for the design of micromechanical resonators.262 It has
hostile environments.18 This can be thought of as a high- been proposed that the extremely high acoustic velocity and
temperature analogue to “smart dust” for wireless and auton- low acoustic loss of SiC could be leveraged to increase the
omous sensing applications.251,252 For example, real-time operation frequency and quality factors of AlN resonators.
data collection with high-temperature MEMS sensors from Recently, micromechanical resonators fabricated from com-
within combustion environments,16,17 critical mechanical posite AlN/3C-SiC thin film plates has led to designs with
structures located within hot spots and subsurface environ- record-level frequency and quality factor products.263
ments (e.g., oil and geothermal wells) could be enabled
through an integration of SiC sensors and SiC electronics. B. Solid-state chemical and optical sensors
MEMS-based sensors manufactured from SiC thin films
The ability to sense chemical concentrations and optical
have been used to measure physical parameters such as pres-
signatures at elevated temperatures can enable processes
sure from combustion processes, applied mechanical strains,
monitoring (e.g., industrial, combustion, subsurface) in real-
and external accelerations. Piezoresistive, capacitive, and res-
time.17 The chemical resistance of SiC supports the use of
onant sensing schemes for SiC-based MEMS sensors have
this material for devices intended to operate and interface
been developed by many groups and demonstrated within
with oxidizing, acidic and basic molecules.264,265 In chemical
hostile environments. For example, pressure sensors made
sensing applications, gas-sensitive, metal catalyst materials
from bulk micromachined Si diaphragms and thin film SiC
are often coupled to single crystalline SiC electronic struc-
diaphragms have been characterized and operated at 400  C
tures. For example, a Schottky diode composed of platinum
using APCVD deposited SiC as the piezoresistive sensing
(with titanium and gold adhesion layers) and an n-type SiC
elements.163 The selective deposition of heteroepitaxial 3C-
substrate was demonstrated as a hydrogen gas sensor and
SiC on patterned SOI substrates has also been used to create
operated temperatures as high as 500  C.266 SiC has also been
a piezoresistive 3C-SiC pressure sensor for operation at ele-
used as a structural layer in metal–insulator semiconductors
vated temperatures.253–255 In addition, capacitive-based poly-
(MIS) chemical sensing platforms.267 For example, MIS gas
crystalline 3C-SiC pressure sensors fabricated from LPCVD
sensors, based on a SiC material platform, have been used to
have been used to measure in-cylinder combustion pressures
monitor hydrogen and hydrocarbon-containing (methane and
at temperatures above 500  C.17 As an alternative to metal–
hexane) gases246,268,269 at elevated temperatures. Porous SiC
foil strain gauges, small-footprint MEMS strain sensors have
structures have also shown great sensitivity to hydrocarbons
been fabricated in polycrystalline 3C-SiC (LPCVD depos-
(methane and propane)270,271 and hydrogen containing spe-
ited) to increase the operation temperatures of the strain sens-
cies such as ammonium.272,273 SiC MIS structures have also
ing elements.11,256 These devices measure the change in the
served as a template for nanowire growth and chemical sens-
resonant frequency of SiC beams upon exposure to applied
ing. For example, tin dioxide (SnO2) nanowires were grown
in-plane strains. It should be noted that these microscale devi-
on SiC MIS structures to sense hydrogen at temperatures
ces have operated at temperatures as high as 600  C, within
beyond 400  C.274 In addition, SiC photodiodes have been
dry steam and survived exposure to extremely high shocks
used to monitor the ultraviolet (UV) optical signatures of
(64 000 g).12 Similar efforts have been used to create bulk
hydrocarbon flames.16,275 These devices have been commer-
micromachined piezoresistive 6H-SiC accelerometers to mea-
cialized for combustion control in gas turbine applications. In
sure external accelerations as high as 40 000 g in real-time.257
summary, solid-state chemical and optical sensors based on
It is clear that a variety of high performance (above 300  C
SiC have been studied by various groups and can be used in
operation and high shock resistant) microscale sensors have
process and environmental monitoring when low-temperature
been realized in a SiC materials platform.
material platforms, such as Si, are unsuitable.
In addition to sensors, the development of thin film proc-
esses for SiC and the ability to deposit SiC films on low-cost
Si substrates has enabled new milestones in the design of C. SiC nanowire devices and structures
micromechanical resonators used in reference oscillator and Reducing the length scales of sensing elements and elec-
radio frequency filtering applications. The challenge in the tronic structures can lead to the design of devices with

J. Vac. Sci. Technol. A, Vol. 31, No. 5, Sep/Oct 2013

Redistribution subject to AVS license or copyright; see http://scitation.aip.org/termsconditions. Download to IP: 169.229.32.36 On: Tue, 08 Jul 2014 16:54:52
050805-13 Maboudian et al.: Advances in silicon carbide science and technology 050805-13

improved response time and sensitivity. The electrical trans-


port characteristics of SiC nanowires have been studied by
various groups through the synthesis and assembly of single-
wire, field-effect-transistor structures (Fig. 11).108,276,277 SiC
nanomechanical relays have operated in air at 500  C and
been integrated to realize low-leakage logic circuits that
rival Si CMOS-based devices.278 In addition to the design of
electronic components with nanostructured SiC, this material
has recently been used in energy conversion and production
applications. For example, corrosion-resistant SiC-coated, Si
nanowires have been used in the design of high surface area
electrodes for aqueous microscale supercapacitors.109 In
addition, SiC nanowire arrays have been used as stable cata-
lysts in water splitting (hydrogen production) applications
under ultraviolet (UV) irradiation.217 The strength and tem-
perature resistance of SiC nanowires has also been used in FIG. 12. Image of SiC microneedle impedance probe for minimally invasive
the design of macroscale composite structures. More specifi- or implantable applications. Reprinted with permission from Gabriel et al.,
Microelectron. J. 38, 406 (2007). Copyright # 2007, Elsevier.
cally, SiC nanowires have been used to reinforce composites
with improved strength (fracture tolerance) and temperature
resistance.279,280 Unlike microscale SiC devices, there are responses from the 3C-SiC material. In addition, nanoporous
minimal reports of creating small-scale physical and chemi- SiC, fabricated from the photoelectrochemical etching of 6H-
cal sensors with SiC nanowire structures and this should be SiC substrates, has shown profound resistance to protein foul-
noted as an area for future research. ing and has been identified as a suitable support material for
artificial kidneys.284 In addition to the biocompatible proper-
D. Biosensing and biomedical devices ties of SiC, the mechanical and tribological properties (hard-
ness, scratch resistance, and wear resistance) of SiC bulk
The chemically inert, nontoxic, and biocompatible prop- crystals have been characterized and shown to be suitable for
erties of SiC have been explored for biosensing and biomedi- orthopedic materials applications.6
cal applications.13–15 For example, high-strength SiC probes,
to be used as microneedle impedance sensors, have been E. Photovoltaic and thermionic energy conversion
designed and fabricated for minimally invasive monitoring devices
of the physiological properties of organs and living tissues
(Fig. 12).281,282 Other biosensing applications have used nano- The stability of SiC junctions and structures is suitable
crystalline SiC electrodes, functionalized with linker layers, for energy conversion at elevated temperatures and within
for DNA sensing.218 To quantify the biocompatibility of SiC, high-radiation environments. Various groups have explored
epitaxially grown 3C-SiC thin films on Si substrates were the use of SiC electronic structures for photovoltaic and
implanted in rabbit muscle for up to 12 weeks.283 The results thermionic energy conversion. For example, SiC has been
of this study showed no measurable nonbiocompatibility utilized as the platform material for high-temperature photo-
voltaic cells for power generation in space exploration appli-
cations.285 The use of SiC in this application mitigates the
need for heat-reflective coatings used to reduce the

FIG. 11. Image of current–voltage response of single crystalline SiC nano-


wire device contacted with Ti/Au electrodes. Reprinted with permission FIG. 13. Image of microfabricated SiC thermionic energy converter.
from Seong et al., Appl. Phys. Lett. 85, 1256 (2004). Copyright # 2004, Reprinted with permission from Lee et al., J. Vac. Sci. Technol. B 30,
American Institute of Physics. 042001 (2012). Copyright # 2012, American Vacuum Society.

JVST A - Vacuum, Surfaces, and Films

Redistribution subject to AVS license or copyright; see http://scitation.aip.org/termsconditions. Download to IP: 169.229.32.36 On: Tue, 08 Jul 2014 16:54:52
050805-14 Maboudian et al.: Advances in silicon carbide science and technology 050805-14

1
temperature of the photovoltaic cells during operation. P. G. Neudeck, R. S. Okojie, and L.-Y. Chen, Proc. IEEE 90, 1065 (2002).
2
3C-SiC and hydrogenated amorphous SiC have also been Q. Y. Tong, U. G€ osele, C. Yuan, A. J. Steckl, and M. Reiche,
J. Electrochem. Soc. 142, 232 (1995).
proposed for use in tandem photovoltaic cells, along with Si, 3
M. Citterio, J. Kierstead, S. Rescia, and V. Radeka, IEEE Trans. Nucl.
in order to increase the efficiency, serve as an antireflective Sci. 43, 1576 (1996).
4
coating and address manufacturing limitations of S. Wodin-Schwartz, J. C. Cheng, D. G. Senesky, J. E. Hammer, and A. P.
Pisano, Proceedings of the 2012 IEEE 25th International Conference on
photovoltaics.286–288 It should be noted that the cost of SiC
Micro Electro Mechanical Systems (MEMS) (IEEE, Paris, France, 2012),
has limited the adoption of this material in high-volume, pp. 432–435.
5
photovoltaic applications. In addition to photovoltaic con- J. B. Casady and R. W. Johnson, Solid-State Electron 39, 1409 (1996).
6
version devices, microscale thermionic energy conversion X. Li, X. Wang, R. Bondokov, J. Morris, Y. H. An, and T. S. Sudarshan,
J. Biomed. Mater. Res. B 72B, 353 (2005).
(heat to electricity) devices have been fabricated (Fig. 13) in 7
M. Mehregany, C. A. Zorman, S. Roy, A. J. Fleischman, C.-H. Wu, and
polycrystalline 3C-SiC thin films and remained structurally N. Rajan, Int. Mater. Rev. 45, 85 (2000).
stable at temperatures as high as 2000 K.10,289 These devices 8
P. G. Neudeck et al., IEEE Electron Device Lett. 29, 456 (2008).
9
can be used in high-temperature, concentrated photovoltaic P. G. Neudeck, S. l. Garverick, D. J. Spry, L.-Y. Chen, G. M. Beheim, M.
J. Krasowski, and M. Mehregany, Phys. Status Solidi A 206, 2329 (2009).
applications to supplement the energy generated from photo- 10
J. H. Lee, I. Bargatin, T. O. Gwinn, M. Vincent, K. A. Littau, R.
voltaic cells. Maboudian, Z.-X. Shen, N. A. Melosh, and R. T. Howe, 2012 IEEE 25th
International Conference on Micro Electro Mechanical Systems
(MEMS), Paris, France (IEEE, Paris, France, 2012), pp. 1261–1264.
11
VIII. CONCLUSIONS 12
R. G. Azevedo et al., IEEE Sens. J. 7, 568 (2007).
D. R. Myers, K. B. Cheng, B. Jamshidi, R. G. Azevedo, D. G. Senesky,
Many noteworthy properties of SiC wide bandgap semi- L. Chen, M. Mehregany, M. B. J. Wijesundara, and A. P. Pisano,
conductor (such as high saturation velocity, high break-down J. Micro/Nanolith. MEMS MOEMS 8, 021116 (2009).
13
field, high hardness, and high chemical stability in variety of R. Yakimova, R. M. Petoral, Jr., G. R. Yazdi, C. Vahlberg, A. Lloyd
Spetz, and K. Uvdal, J. Phys. D 40, 6435 (2007).
environments) have provided the impetus for much research 14
C. A. Zorman, Symposium on Design, Test, Integration & Packaging of
and development in the field of SiC-based devices. While the MEMS/MOEMS, Rome, Italy (IEEE, Rome, Italy, 2009), pp. 1–7.
15
effort has been primarily pursued for high-temperature and C. A. Zorman et al., The 2011 International Conference on Silicon
high-voltage electronics, a number of new applications have Carbide and Related Materials, ICSCRM 2011, Cleveland, OH (Trans
Tech Publications (TTP), Cleaveland, OH, 2011), pp. 537–540.
emerged, such as biosensing and biomedical systems, high- 16
D. M. Brown, E. Downey, J. Kretchmer, G. Michon, E. Shu, and D.
temperature energy conversion, and microsensors and micro- Schneider, Solid-State Electron. 42, 755 (1998).
17
sensor networks. These applications carry somewhat different L. Chen and M. Mehregany, Sens. Actuators A 145–146, 2 (2008).
18
materials requirements than microelectronics. For example, D. G. Senesky, B. Jamshidi, K. B. Cheng, and A. P. Pisano, IEEE Sens. J.
9, 1472 (2009).
amorphous and polycrystalline SiC thin films possess many 19
J. W. Wan, M. A. Capano, and M. R. Melloch, Solid-State Electron. 46,
of the desired attributes such as high corrosion resistance and 1227 (2002).
20
high elastic modulus. For many microsensors, mechanical R. F. Davis, G. Kelner, M. Shur, J. W. Palmour, and J. A. Edmond, Proc.
IEEE 79, 677 (1991).
properties such as stress and strain gradient become para- 21
D. Gao, M. B. J. Wijesundara, C. Carraro, R. T. Howe, and R.
mount. In this review, recent progress is provided in science Maboudian, IEEE Sens. J. 4, 441 (2004).
22
and manufacturing technology of SiC, including deposition, A. L. Ortiz, F. Sanchez-Bajo, F. L. Cumbrera, and F. Guiberteau, Mater.
nanostructuring, graphitization, surface functionalization, Lett. 49, 137 (2001).
23
S. Nakashima and H. Harima, Phys. Status Solidi A 162, 39 (1997).
metallization, and fabrication of semiconductor microdevices, 24
P. Melinon, B. Masenelli, F. Tournus, and A. Perez, Nature Mater. 6, 479
with emphasis on sensor technology. From the fundamental (2007).
25
point of view, many open questions remain. These include Gmelins Handbuch der anorganischen Chemie, edited by A. Kotowski
understanding the relationship between the processing details (Verlag Chemie, Weinheim, 1959).
26
Gmelin Handbook of Inorganic and Organometallic Chemistry, 8th ed.
and the highly intertwined materials properties such as Silicon, Part B, edited by G. Kirschstein and D. Koschel (Gmelin
micro-/nanostructure, and electrical, mechanical, and chemi- Institute for Inorganic Chemistry of the Max-Planck-Society for the
cal properties. From the applied point of view, microsensors 27
Advancement of Science and Springer Verlag, Berlin, 1984).
integration with microelectronics and packaging capable of K. A. Pestka II, J. D. Maynard, D. Gao, and C. Carraro, Phys. Rev. Lett.
100, 055503 (2008).
surviving (or interfacing with) a wide range of extreme envi- 28
S. E. Saddow and A. Agarwal, Advances in Silicon Carbide Processing
ronments remain an active area of research. It is envisioned and Applications (Artech House, USA, 2004).
29
that wide bandgap SiC electronic devices (sensors and energy G. L. Harris, Properties of Silicon Carbide (Institution of Engineering
conversion) will play a critical role in the development of and Technology, London, United Kingdom, 1995).
30
P. Soukiassian, Mater. Sci. Eng. B 96, 115 (2002).
next generation power and propulsion systems, as well as 31
P. John, N. Polwart, C. E. Troupe, and J. I. B. Wilson, Diamond Relat.
space exploration technology. Mater. 11, 861 (2002).
32
C. A. Zorman and R. J. Parro, Physica Status Solidi 245, 1404 (2008).
33
W. R. Ashurst, M. B. J. Wijesundara, C. Carraro, and R. Maboudian,
ACKNOWLEDGMENTS Tribol. Lett. 17, 195 (2004).
34
D. Gao, C. Carraro, R. T. Howe, and R. Maboudian, Tribol. Lett. 21, 226
The authors would like to thank Roger T. Howe and (2006).
35
Albert P. Pisano for many fruitful discussions and interac- I. Laboriante, A. Suwandi, C. Carraro, and R. Maboudian, “Lubrication
of polycrystalline silicon MEMS via a thin silicon carbide coating,” Sens.
tions. The financial support of National Science Foundation
Actuator A (unpublished).
and Defense Advanced Research Projects Agency is grate- 36
M. B. J. Wijesundara and R. Azevedo, in Silicon Carbide Microsystems
fully acknowledged. for Harsh Environments, edited by R. Cheung (Springer, Berlin, 2011).

J. Vac. Sci. Technol. A, Vol. 31, No. 5, Sep/Oct 2013

Redistribution subject to AVS license or copyright; see http://scitation.aip.org/termsconditions. Download to IP: 169.229.32.36 On: Tue, 08 Jul 2014 16:54:52
050805-15 Maboudian et al.: Advances in silicon carbide science and technology 050805-15

37 80
J. Camassel, S. Contreras, and J.-L. Robert, C. R. Acad. Sci., Ser IV: S. Habermehl, M. Rodriguez, and B. Simmons, J. Appl. Phys. 112,
Phys., Astrophys. 1, 5 (2000). 013535 (2012).
38 81
Silicon Carbide Microelectromechanical Systems for Harsh Environments, C. Roper, R. Howe, and R. Maboudian, ECS Trans. 16, 63 (2008).
82
edited by R. Cheung (Imperial College Press, Singapore, 2006). X.-A. Fu, R. Jezeski, C. A. Zorman, and M. Mehregany, Appl. Phys.
39
Silicon Carbide: Recent Major Advances, edited by W. J. Choyke, H. Lett. 84, 341 (2004).
83
Matsunami, and G. Pensl (Springer, Berlin, 2003). M. A. Bayne, Z. Kurokawa, N. U. Okorie, B. D. Roe, L. Johnson, and R.
40
V. Cimalla, J. Pezoldt, and O. Ambacher, J. Phys. D 40, 6386 (2007). W. Moss, Thin Solid Films 107, 201 (1983).
41 84
M. Iwami, Nucl. Instrum. Methods Phys. Res., Sect. A 466, 406 (2001). P. M. Sarro, C. R. deBoer, E. Korkmaz, and J. M. W. Laros, Sens.
42
L. Jiang and R. Cheung, Int. J. Comput. Mater. Sci. Surf. Eng. 2, 227 Actuators A 67, 175 (1998).
85
(2009). J. B. Summers, M. Scardelletti, R. Parro, and C. A. Zorman, Proceedings
43
H. Matsunami, Diamond Relat. Mater. 2, 1043 (1993). of the International Society for Optics and Photonics (MEMS/MOEMS,
44
M. Mehregany, C. A. Zorman, N. Rajan, and C. H. Wu, Proc. IEEE 86, San Jose, CA, 2007), Vol. 6464, p. 64640H.
86
1594 (1998). D.-S. Wuu, R.-H. Horng, C.-C. Chan, and Y.-S. Lee, Appl. Surf. Sci.
45
M. Mehregany and C. A. Zorman, Thin Solid Films 355–356, 518 144–145, 708 (1999).
87
(1999). C. Iliescu, B. Chen, J. Wei, and A. J. Pang, Thin Solid Films 516, 5189
46
P. G. Neudeck, in The VLSI Handbook, edited by W.-K. Chen (CRC, (2008).
88
Boca Raton, FL, 2000), pp. 5-1–5-34. S. Zhang, R. Tu, and T. Goto, J. Am. Ceram. Soc. 95, 2782 (2012).
47 89
R. Maboudian, C. S. Roper, C. Carraro, and R. T. Howe, ECS Trans. 3, S. A. Bhave, D. Gao, R. Maboudian, and R. T. Howe, Proceedings of the
267 (2006). 18th IEEE International Conference on Micro Electro Mechanical
48
P. M. Sarro, Sens. Actuators A 82, 210 (2000). Systems, Miami, FL (IEEE, Miami, FL, 2005), pp. 223–226.
49 90
S. M. Spearing, Acta Mater. 48, 179 (2000). P. J. Martin, J. Mater. Sci. 21, 1 (1986).
50 91
S. Tanaka, S. Sugimoto, J. F. Li, R. Watanabe, and M. Esashi, P. Martin, B. Daudin, M. Dupuy, A. Ermolieff, M. Olivier, A. M. Papon,
J. Microelectromech. Syst. 10, 55 (2001). and G. Rolland, J. Appl. Phys. 67, 2908 (1990).
51 92
C.-M. Zetterling, Process Technology for SiC Devices (Institution of A. Valentini, A. Convertino, M. Alvisi, R. Cingolani, R. Ligonzo, R.
Engineering and Technology, England, 2002). Lamendola, and L. Tapfer, Thin Solid Films 335, 80 (1998).
52 93
C. A. Zorman and M. Mehregany, in Proceedings of IEEE Sensors, 2002 Z. He, S. Inoue, G. Carter, H. Kheyrandish, and J. S. Colligon, Thin Solid
(IEEE, Orlando, FL, 2002), pp. 1109–1114. Films 260, 32 (1995).
53 94
P. Liaw and R. F. Davis, J. Electrochem. Soc. 132, 642 (1985). W. Wesch, Nucl. Instrum. Methods Phys. Res., Sect. B 116, 305 (1996).
54 95
S. Nishino, Y. Hazuki, H. Matsunami, and T. Tanaka, J. Electrochem. D. G. Jones, R. G. Azevedo, M. W. Chan, A. P. Pisano, and M. B. J.
Soc. 127, 2674 (1980). Wijesundara, IEEE 20th International Conference on Micro Electro
55
X.-A. Fu, J. L. Dunning, M. Mehregany, and C. A. Zorman, Mechanical Systems, Kobe, Japan (IEEE, Kobe, Japan, 2007), pp.
J. Electrochem. Soc. 158, H675 (2011). 275–278.
56 96
H. Nagasawa and Y.-i. Yamaguchi, Appl. Surf. Sci. 82–83, 405 (1994). A. Fukumoto, Phys. Status Solidi B 202, 125 (1997).
57 97
C.-F. Wang and D.-S. Tsai, Mater. Chem. Phys. 63, 196 (2000). M. B. J. Wijesundara, C. R. Stoldt, C. Carraro, R. T. Howe, and R.
58
W.-C. Lien, N. Ferralis, C. Carraro, and R. Maboudian, Cryst. Growth Maboudian, Thin Solid Films 419, 69 (2002).
98
Des. 10, 36 (2010). J. C. Zhang, R. T. Howe, and R. Maboudian, J. Electrochem. Soc. 153,
59
A. J. Steckl, J. Devrajan, S. Tlali, H. E. Jackson, C. Tran, S. N. Gorin, G548 (2006).
99
and L. M. Ivanova, Appl. Phys. Lett. 69, 3824 (1996). J. A. Freitas, S. G. Bishop, P. E. R. Nordquist, and M. L. Gipe, Appl.
60
F. Liu, C. Carraro, J. R. Chu, and R. Maboudian, J. Appl. Phys. 106, Phys. Lett. 52, 1695 (1988).
100
013505 (2009). J. Chen, A. J. Steckl, and M. J. Lobodab, J. Electrochem. Soc. 147, 2324
61
I. Golecki, F. Reidinger, and J. Marti, Appl. Phys. Lett. 60, 1703 (1992). (2000).
62 101
C. W. Liu and J. C. Sturm, J. Appl. Phys. 82, 4558 (1997). R. S. Kern and R. F. Davis, Appl. Phys. Lett. 71, 1356 (1997).
63 102
Y. Avigal, M. Schieber, and R. Levin, J. Cryst. Growth 24–25, 188 M. Vincent, M. S. Kim, C. Carraro, and R. Maboudian, Proceedings of
(1974). the IEEE 25th International Conference on Micro Electro Mechanical
64
D. C. Lim, H. G. Jee, J. W. Kim, J. S. Moon, S. B. Lee, S. S. Choi, and J. Systems (MEMS, Paris, France, 2012), pp. 39–42.
103
H. Boo, Thin Solid Films 459, 7 (2004). X. S. Fang, Y. Bando, U. K. Gautam, C. H. Ye, and D. Golberg, J. Mater.
65
B.-T. Lee et al., J. Mater. Res. 14, 24 (1999). Chem. 18, 509 (2008).
66 104
K. Takahashi, S. Nishino, and J. Saraie, J. Electrochem. Soc. 139, 3565 Y. T. Yang, K. L. Ekinci, X. M. Huang, J. M. Schiavone, M. L. Roukes,
(1992). C. A. Zorman, and M. Mehregany, Appl. Phys. Lett. 78, 162 (2001).
67 105
J. M. Grow, R. A. Levy, M. Bhaskaran, H. J. Boeglin, and R. Shalvoy, A. Fissel, Phys. Rep. 379, 149 (2003).
106
J. Electrochem. Soc. 140, 3001 (1993). W. A. de Heer, C. Berger, M. Ruan, M. Sprinkle, X. Li, Y. Hu, B. Zhang,
68
A. J. Steckl, C. Yuan, J. P. Li, and M. J. Loboda, Appl. Phys. Lett. 63, J. Hankinson, and E. Conrad, Proc. Natl. Acad. Sci. U.S.A. 108, 16900
3347 (1993). (2011).
69 107
K. A. Jacobson, J. Electrochem. Soc. 118, 1001 (1971). K. Sekentes and K. Rogdakis, J. Phys. D 44, 133001 (2011).
70 108
D. J. Larkin and L. V. Interrante, Chem. Mater. 4, 22 (1992). H.-K. Seong, H.-J. Choi, S.-K. Lee, J.-I. Lee, and D.-J. Choi, Appl. Phys.
71
J. H. Boo, K. S. Yu, Y. Kim, S. H. Yeon, and I. N. Jung, Chem. Mater. 7, Lett. 85, 1256 (2004).
109
694 (1995). J. P. Alper, M. Vincert, C. Carraro, and R. Maboudian. Appl. Phys. Lett.
72
C. R. Stoldt, C. Carraro, W. R. Ashurst, D. Gao, R. T. Howe, and R. 100, 163901 (2012).
110
Maboudian, Sens. Actuators A 97–98, 410 (2002). J. Frechette and C. Carraro, Phys. Rev. B 74, 161404(R) (2006).
73 111
M. B. J. Wijesundara, G. Valente, W. R. Ashurst, R. T. Howe, A. P. R. S. Wagner and W. C. Ellis, Appl. Phys. Lett. 4, 89 (1964).
112
Pisano, C. Carraro, and R. Maboudian, J. Electrochem. Soc. 151, C210 G. A. Bootmsa and H. J. Gassen, J. Cryst. Growth 10, 223 (1971).
113
(2004). ASM International Alloy Phase Diagram Center. See: http://www1.
74
V. Radmilovic, U. Dahmen, D. Gao, C. R. Stoldt, C. Carraro, and R. asminternational.org/asmenterprise/apd/BrowseAPD.aspx.
114
Maboudian, Diamond Relat. Mater. 16, 74 (2007). G. W. Ho, A. S. Weng Wong, D.-J. Kang, and M. E. Welland,
75
F. Liu, C. Carraro, A. P. Pisano, and R. Maboudian, J. Micromech. Nanotechnol. 15, 996 (2004).
115
Microeng. 20, 035011 (2010). J. Frechette and C. Carraro, J. Am Chem. Soc. 128, 14774 (2006).
76 116
C. S. Roper, R. T. Howe, and R. Maboudian, J. Micromech. Microeng. H. J. Dai, E. W. Wong, Y. Z. Lu, S. S. Fan, and C. M. Lieber, Nature
16, 2736 (2006). 375, 769 (1995).
77 117
C. S. Roper, V. Radmilovic, R. T. Howe, and R. Maboudian, J. Appl. H. C. Pham, N. Keller, G. Ehret, and M. J. Ledouxi, J. Catal. 200, 400
Phys. 103, 084907 (2008). (2001).
78 118
H. S. Kong, J. T. Glass, and R. F. Davis, J. Mater. Res. 4, 204 (1989). C. Schmalzried and K. A. Schwetz, in Ceramics Science and Technology,
79
C. A. Zorman, A. J. Fleischman, A. S. Dewa, M. Mehregany, C. Jacob, S. edited by R. Riedel and I.-W. Chen (Wiley-VCH, Weiheim, 2010), pp.
Nishino, and P. Pirouz, J. Appl. Phys. 78, 5136 (1995). 131–228.

JVST A - Vacuum, Surfaces, and Films

Redistribution subject to AVS license or copyright; see http://scitation.aip.org/termsconditions. Download to IP: 169.229.32.36 On: Tue, 08 Jul 2014 16:54:52
050805-16 Maboudian et al.: Advances in silicon carbide science and technology 050805-16

119 160
E. W. Wong, P. E. Sheehan, and C. M. Lieber, Science 277, 1971 K. N. Vinod, C. A. Zorman, and M. Mehregany, Proceedings of the 1997
(1997). International Conference on Solid State Sensors and Actuators,
120
A. Meng, M. Zhang, J. Zhang, and Z. Li, Cryst. Eng. Commun. 14, 6755 TRANSDUCERS ’97, Chicago, IL (IEEE, Chicago, IL, 1997), Vol. 651,
(2012). pp. 653–656.
121 161
J. Xiang, W. Lu, Y. J. Hu, Y. Wu, H. Yan, and C. M. Lieber, Nature 441, L. Di Cioccio, Y. Le Tiec, F. Letertre, C. Jaussaud, and M. Bruel,
489 (2006). Electon. Lett. 32, 1144 (1996).
122 162
A. I. Hochbaum, R. K. Chen, R. D. Delgado, W. J. Liang, E. C. Garnett, J.-H. Lee, I. Bargatin, J. Park, K. M. Milaninia, L. S. Theogarajan, R.
M. Najarian, A. Majumdar, and P. D. Yang, Nature 451, 163 (2008). Sinclair, and R. T. Howe, J. Vac. Sci. Technol. B 30, 042001 (2012).
123 163
M. D. Kelzenberg et al., Nature Mater. 9, 239 (2010). C.-H. Wu, C. A. Zorman, and M. Mehregany, IEEE Sensors J. 6, 316 (2006).
124 164
R. R. He, X. L. Feng, M. L. Roukes, and P. D. Yang, Nano Lett. 8, 1756 T. Kurumi, R. Araki, H. Kinoshita, and M. Yoshimoto, Proceedings of
(2008). the 2012 IEEE International Meeting for Future of Electron Devices,
125
Y. Cui, X. F. Duan, J. T. Hu, and C. M. Lieber, J. Phys. Chem. B 104, Kansai (IMFEDK), Osaka, Japan (IEEE, Osaka, Japan, 2012), pp. 1–2.
165
5213 (2000). J. E. Hastie, J. M. Hopkins, S. Calvez, J. Chan Wook, D. Burns, R.
126
K. K. Lew et al., Appl. Phys. Lett. 85, 3101 (2004). Abram, E. Riis, A. I. Ferguson, and M. D. Dawson, IEEE Photon.
127
Y. F. Wang, K. K. Lew, T. T. Ho, L. Pan, S. W. Novak, E. C. Dickey, J. Technol. Lett. 15, 894 (2003).
166
M. Redwing, and T. S. Mayer, Nano Lett. 5, 2139 (2005). C. Koitzsch, D. Conrad, K. Scheerschmidt, and U. Gosele, J. Appl. Phys.
128
V. Schmidt, J. V. Wittemann, S. Senz, and U. Gosele, Adv. Mater. 21, 88, 7104 (2000).
167
2681 (2009). G. N. Yushin, A. V. Kvit, R. Collazo, and Z. Sitar, MRS Proc. 742,
129
G. Imamura, T. Kawashima, M. Fujii, C. Nishimura, T. Saitoh, and S. K2.5.1 (2002).
168
Hayashi, Nano Lett. 8, 2620 (2008). S. Yoneoka, C. S. Roper, R. N. Candler, S. A. Chandorkar, A. B.
130
D. E. Perea, E. R. Hemesath, E. J. Schwalbach, J. L. Lensch-Falk, P. W. Graham, J. Provine, R. Maboudian, R. T. Howe, and T. W. Kenny,
Voorhees, and L. J. Lauhon, Nature Nanotechnol. 4, 315 (2009). J. Microelectromech. Syst. 19, 357 (2010).
131 169
R. A. Schlitz, D. E. Perea, J. L. Lensch-Falk, E. R. Hemesath, and L. J. W. P. Maszara, G. Goetz, A. Caviglia, and J. B. McKitterick, J. Appl.
Lauhon, Appl. Phys. Lett. 95, 162101 (2009). Phys. 64, 4943 (1988).
132 170
E. Koren, Y. Rosenwaks, J. E. Allen, E. R. Hemesath, and L. J. Lauhon, M. A. Schmidt, Proc. IEEE 86, 1575 (1998).
171
Appl. Phys. Lett. 95, 092105 (2009). W. Qian, M. Skowronski, G. Augustine, R. C. Glass, H. M. Hobgood,
133
P. Xie, Y. J. Hu, Y. Fang, J. L. Huang, and C. M. Lieber, Proc. Natl. and R. H. Hopkins, J. Electrochem. Soc. 142, 4290 (1995).
172
Acad. Sci. U.S.A. 106, 15254 (2009). E. K. Sanchez, S. Ha, J. Grim, M. Skowronski, W. M. Vetter, M. Dudley,
134
E. C. Garnett, W. J. Liang, and P. D. Yang, Adv. Mater. 19, 2946 (2007). R. Bertke, and W. C. Mitchel, J. Electrochem. Soc. 149, G131 (2002).
135 173
F. Li, P. D. Nellist, and D. J. H. Cockayne, Appl. Phys. Lett. 94, 263111 S. Saddow, T. Schattner, J. Brown, L. Grazulis, K. Mahalingam, G.
(2009). Landis, R. Bertke, and W. Mitchel, J. Electron. Mater. 30, 228 (2001).
136 174
L. Pan, K. K. Lew, J. M. Redwing, and E. C. Dickey, J. Cryst. Growth A. A. Yasseen, C. A. Zorman, and M. Mehregany, J. Electrochem. Soc.
277, 428 (2005). 146, 327 (1999).
137 175
A. J. Van Bommel, J. E. Crombeen, and A. Van Tooren, Surf. Sci. 48, X.-A. Fu, C. A. Zorman, and M. Mehregany, J. Electrochem. Soc. 149,
463 (1975). G643 (2002).
138 176
L. Muehlhoff, W. J. Choyke, M. J. Bozack, and J. T. Yates, J. Appl. C. Li, I. Bhat, R. Wang, and J. Seiler, J. Electron. Mater. 33, 481 (2004).
177
Phys. 60, 2842 (1986). X. Chen, J. Li, D. Ma, X. Hu, X. Xu, and M. Jiang, J. Mater. Sci.
139
R. Kaplan, Surf. Sci. 215, 111 (1989). Technol. 22, 681 (2006). Available at: http://www.jmst.org/EN/Y2006/
140
M. Bermudez, Appl. Surf. Sci. 84, 45 (1995). V22/I05/681.
141 178
I. Forbeaux, J.-M. Themlin, and J.-M. Debever, Phys. Rev. B 58, 16396 L. Zhou, V. Audurier, P. Pirouz, and J. A. Powell, J. Electrochem. Soc.
(1998). 144, L161 (1997).
142 179
V. van Elsbergen, H. Nienhaus, and W. Monch, Appl. Surf. Sci. 123/124, W. C. Mitchel et al., Mater. Sci. Forum 338–342, 841 (2000).
180
38 (1998). A. J. Fleischman, C. A. Zorman, and M. Mehregany, J. Vac. Sci.
143
C. Berger et al., J. Phys. Chem. B 108, 19912 (2004). Technol. B 16, 536 (1998).
144 181
C. Berger et al., Science 312, 1191 (2006). D. Zhuang and J. H. Edgar, Mater. Sci. Eng. R 48, 1 (2005).
145 182
J. Hass et al., Appl. Phys. Lett. 89, 143106 (2006). P. H. Yih, V. Saxena, and A. J. Steckl, Phys. Status Solidi B 202, 605
146
J. Hass, R. Feng, J. E. Millan-Otoya, X. Li, M. Sprinkle, P. N. First, W. (1997).
183
A. de Heer, E. H. Conrad, and C. Berger, Phys. Rev. B 75, 214709 R. S. Okojie, in The MEMS Handbook, edited by M. Gad-El-Hak (CRC,
(2007). Boca Raton, FL, 2002), pp. 20-1–21-12.
147 184
S. Y. Zhou, D. A. Siegel, A. V. Fedorov, and A. Lanzara, Physica E 40, M. Katsuno, N. Ohtani, J. Takahashi, H. Yashiro, M. Kanaya, and S.
2642 (2008). Shinoyama, Mater. Sci. Forum 264–268, 837 (1998).
148 185
W. A. de Heer et al., Solid State Commun. 143, 92 (2007). M. Katsuno, N. Ohtani, J. Takahashi, H. Yashiro, and M. Kanaya, Jpn. J.
149
N. Ferralis, R. Maboudian, and C. Carraro, Phys. Rev. Lett. 101, 156801 Appl. Phys. 38, 4661 (1999).
186
(2008). M. I. Karklina and Y. P. Maslakovets, Sov. Phys. Dokl. 13, 1194 (1969).
150 187
F. Varchon et al., Phys. Rev. Lett. 99, 126805 (2007). J. S. Shor, R. M. Osgood, and A. D. Kurtz, Appl. Phys. Lett. 60, 1001
151
K. S. Novoselov, A. K. Geim, S. V. Morozov, D. Jiang, Y. Zhang, S. V. (1992).
188
Dubonos, I. V. Grigorieva, and A. A. Firsov, Science 306, 666 (2004). D. H. van Dorp and J. J. Kelly, J. Electroanal. Chem. 599, 260 (2007).
152 189
K. S. Novoselov, A. K. Geim, S. V. Morozov, D. Jiang, M. I. Katsnelson, R. S. Okojie, A. A. Ned, A. D. Kurtz, and W. N. Carr, Proceedings of the
I. V. Grigorieva1, S. V. Dubonos, and A. A. Firsov, Nature 438, 197 IEEE Ninth Annual International Workshop on Micro Electro
(2005). Mechanical Systems, MEMS ’96, San Diego, CA (IEEE, San Diego, CA,
153
Y. Zhang, J. P. Small, W. V. Pontius, and P. Kim, Appl. Phys. Lett. 86, 1996), pp. 146–149.
190
073104 (2005). F. Zhao, M. M. Islam, and C.-F. Huang, Mater. Lett. 65, 409 (2011).
154 191
T. Ohta, A. Bostwick, Th. Seyller, K. Horn, and E. Rotenberg, Science J. S. Shor, A. D. Kurtz, I. Grimberg, B. Z. Weiss, and R. M. Osgood,
313, 951 (2006). J. Appl. Phys. 81, 1546 (1997).
155 192
S. Y. Zhou, G.-H. Gweon, A. V. Fedorov, P. N. First, W. A. de Heer, D.- D. H. van Dorp, J. J. H. B. Sattler, J. H. den Otter, and J. J. Kelly,
H. Lee, F. Guinea, A. H. Castro Neto, and A. Lanzara, Nature Mater. 6, Electrochim. Acta 54, 6269 (2009).
193
770 (2007). D. Alok and B. Baliga, J. Electron. Mater. 24, 311 (1995).
156 194
G.-S. Chung and R. Maboudian, Sens. Actuators A 119, 599 (2005). R. Menzel, T. Bachmann, W. Wesch, and H. Hobert, J. Vac. Sci.
157
P. Cong and D. J. Young, J. Micromech. Microeng. 15, 2243 (2005). Technol. B. 16, 540 (1998).
158 195
M. Kim and R. Carpenter, J. Electron. Mater. 32, 849 (2003). C. S. Roper, R. T. Howe, and R. Maboudian, J. Electrochem. Soc. 156,
159
C. Serre, A. Romano-Rodrıguez, A. Perez-Rodrıguez, J. R. Morante, L. D104 (2009).
196
Fonseca, M. C. Acero, R. K€ ogler, and W. Skorupa, Sens. Actuators A 74, K. Wongchotigul, G. L. Harris, M. G. Spencer, K. H. Jackson, A. Gomez,
169 (1999). and A. Jones, Mater. Lett. 8, 153 (1989).

J. Vac. Sci. Technol. A, Vol. 31, No. 5, Sep/Oct 2013

Redistribution subject to AVS license or copyright; see http://scitation.aip.org/termsconditions. Download to IP: 169.229.32.36 On: Tue, 08 Jul 2014 16:54:52
050805-17 Maboudian et al.: Advances in silicon carbide science and technology 050805-17

197 233
L. Bischoff, J. Teichert, and V. Heera, Appl. Surf. Sci. 184, 372 (2001). J. Crofton, P. G. McMullin, J. R. Williams, and M. J. Bozack, J. Appl.
198
H. H€ogstr€om, S. Valizadeh, and C. G. Ribbing, Opt. Mater. 30, 328 (2007). Phys. 77, 1317 (1995).
199 234
R. Menzel, T. Bachmann, F. Machalett, W. Wesch, U. Lang, M. Wendt, M. W. Cole, P. C. Joshi, C. W. Hubbard, M. C. Wood, M. H. Ervin, B.
C. Musil, and R. M€uhle, Appl. Surf. Sci. 136, 1 (1998). Geil, and F. Ren, J. Appl. Phys. 88, 2652 (2000).
200 235
T. Tsvetkova, S. Takahashi, A. Zayats, P. Dawson, R. Turner, L. B. Barda, P. Machac, S. Cichon, V. Machovic, M. Kudrnova, A.
Bischoff, O. Angelov, and D. Dimova-Malinovska, Vacuum 79, 100 Michalcova, and J. Siegel, Appl. Surf. Sci. 257, 414 (2010).
236
(2005). M. H. Ervin, K. A. Jones, U. Lee, and M. C. Wood, J. Vac. Sci. Technol.
201
H. Zamani, S. W. Lee, A. Avishai, C. A. Zorman, R. M. Sankaran, and P. B 24, 1185 (2006).
237
X. L. Feng, Mater. Sci. Forum 717–720, 889 (2012). I. P. Nikitina, K. V. Vassilevski, N. G. Wright, A. B. Horsfall, A. G.
202
D. Gao, R. T. Howe, and R. Maboudian, Appl. Phys. Lett. 82, 1742 O’Neill, and C. M. Johnson, J. Appl. Phys. 97, 083709 (2005).
238
(2003). S. Y. Han and J.-L. Lee, J. Electrochem. Soc. 149, G189 (2002).
203 239
D. Gao, M. B. J. Wijesundara, C. Carraro, R. T. Howe, and R. H. Yang, T. Peng, W. Wang, and X. Chen, Appl. Surf. Sci. 255, 3121
Maboudian, J. Vac. Sci. Technol. B 22, 513 (2004). (2008).
204 240
F. A. Khan and I. Adesida, Appl. Phys. Lett. 75, 2268 (1999). Y. Cao, L. Nyborg, D.-Q. Yi, and U. Jelvestam, Mater. Sci. Technol. 22,
205
F. Lanois, D. Planson, M.-L. Locatelli, P. Lassagne, C. Jaussaud, and 1227 (2006).
241
J.-P. Chante, J. Electron. Mater. 28, 219 (1999). R. S. Okojie, D. Lukco, Y. L. Chen, and D. Spry, J. Appl. Phys. 91, 6553
206
D. G. Senesky and A. P. Pisano, Proceedings of the 2010 IEEE 23rd (2002).
242
International Conference on Micro Electro Mechanical Systems (MEMS) J. Kriz, K. Gottfried, T. Scholz, C. Kaufmann, and T. Gessner, Mater.
2010, Hong Kong (IEEE, Hong Kong, 2010), pp. 352–355. Sci. Eng. B 46, 180 (1997).
207 243
S. Tanaka, K. Rajanna, T. Abe, and M. Esashi, J. Vac. Sci. Technol. B S. Roy, R. G. DeAnna, C. A. Zorman, and M. Mehregany, IEEE Trans.
19, 2173 (2001). Electron Devices 49, 2323 (2002).
208 244
G. M. Beheim and L. J. Evans, MRS Symposium Proceedings (MRS, San J. Zhang, R. T. Howe, and R. Maboudian, Mater. Sci. Eng. B 139, 235
Francisco, CA, 2006), pp. B-10–B-15. (2007).
209 245
G. M. Beheim and L. J. Evans, The MEMS Handbook, edited by M. F. Liu, B. Hsia, C. Carraro, A. P. Pisano, and R. Maboudian, Appl. Phys.
Gad-el-Hak (CRC, Boca Raton, FL, 2006). Lett. 97, 262107, 2010.
210 246
L. J. Evans and G. M. Beheim, NASA/TM-2005-213419, NASA N. G. Wright and A. B. Horsfall, J. Phys. D 40, 6345 (2007).
247
Research and Technology, 2005. J. A. Powell, D. J. Larkin, and P. B. Abel, J. Electron. Mater. 24, 295
211
E. Moyen, W. Wulfhekel, W. Lee, A. Leycuras, K. Nielsch, U. G€ osele, (1995).
248
and M. Hanb€ucken, Appl. Phys. A 84, 369 (2006). A. C. Patil, X.-A. Fu, C. Anupongongarch, M. Mehregany, and S.
212
S. J. Schoell, A. Oliveros, M. Steenackers, S. E. Saddow, and I. D. Sharp, Garverick, Compound Semiconductor Integrated Circuit Symposium
in Silicon Carbide Biotechnology: A Biocompatible Semiconductor for (IEEE, New York, 2007), pp. 1–4.
249
Advanced Biomedical Devices and Applications, edited by Stephen M. Tomana, R. W. Johnson, R. C. Jaeger, W. C. Willard, IEEE Trans.
Saddow (Elsevier, USA, 2012), pp. 63–117. Compon., Hybrids, Manuf. Technol. 16, 536 (1993).
213 250
M. Rosso, A. Arafat, K. Schroen, M. Giesbers, C. S. Roper, R. M. R. Werner and W. R. Fahrner, IEEE Trans. Ind. Electron. 48, 249
Maboudian, and H. Zuilhof, Langmuir 24, 4007 (2008). (2001).
214 251
M. Rosso, M. Giesbers, A. Arafat, K. Schro€en, and H. Zuilhof, Langmuir B. Warneke, M. Last, B. Liebowitz, and K. S. J. Pister, Computer 34, 44
25, 2172 (2009). (2001).
215 252
S. J. Schoell, J. Howgate, M. Hoeb, M. Auernhammer, J. A. Garrido, M. D. Estrin, D. Culler, K. Pister, and G. Sukhatme, IEEE Pervasive
Stutzmann, M. S. Brandt, and I. D. Sharp, Appl. Phys. Lett. 98, 182106 Comput. 1, 59 (2002).
253
(2011). M. Eickhoff, H. M€ oller, G. Kroetz, J. v. Berg, and R. Ziermann, Sens.
216
D. K. Bhowmick, S. Linden, A. Devaux, L. De Cola, and H. Zacharias, Actuators A 74, 56 (1999).
254
Small 8, 592 (2012). M. Eickhoff, H. M€ oller, M. Rapp, and G. Kroetz, Thin Solid Films 345,
217
H. Liu, G. She, L. Mu, and W. Shi, Mater. Res. Bull. 47, 917 (2012). 197 (1999).
218 255
N. Yang, H. Zhuang, R. Hoffmann, W. Smirnov, J. Hees, X. Jiang, and G. Kr€otz, H. Moller, M. Eickhoff, S. Zappe, R. Ziermann, E. Obermeier,
C. E. Nebel, Anal. Chem. 83, 5827 (2011). and J. Stoemenos, Mater. Sci. Eng. B 61–62, 516 (1999).
219 256
M. Steenackers, I. D. Sharp, K. Larsson, N. A. Hutter, M. Stutzmann, and D. G. Senesky and B. Jamshidi, in New Developments in Sensing
R. Jordan, Chem. Mater. 22, 272 (2010). Technology for Structural Health Monitoring, edited by S.
220
Y. Liu, W. Lin, Z. Lin, Y. Xiu, and C. P. Wong, Nanotechnol. 23, Mukhopadhyay (Springer, New York, 2011), pp. 63–74.
257
255703 (2012). A. R. Atwell, R. S. Okojie, K. T. Kornegay, S. L. Roberson, and A.
221
A. Dey et al., ChemPlusChem 77, 694 (2012). Beliveau, Sens. Actuators A 104, 11 (2003).
222 258
B. Xiao, X. L. Wu, W. Xu, and P. K. Chu, Appl. Phys. Lett. 101, 123110 C. T.-C. Nguyen, in Proceedings of the IEEE Custom Integrated Circuits
(2012). Conference (IEEE, New York, 2004), pp. 257–264.
223 259
A. Oliveros, S. J. Schoell, C. Frewin, M. Hoeb, M. Stutzmann, I. D. G. Piazza, P. J. Stephanou, and A. P. Pisano, J. Microelectromech. Syst.
Sharp, and S. E. Saddow, MRS Proc. 1235, 1235-RR1203-1243 15, 1406 (2006).
260
(2009). G. Piazza and A. P. Pisano, Sens. Actuators A 136, 638 (2007).
224 261
M. W. Cole and P. C. Joshi, in Silicon Carbide: Materials, Processing, M. Hara, J. Kuypers, T. Abe, and M. Esashi, Sens. Actuators A 117, 211
and Devices, edited by Z. C. Feng and J. H. Zhao (Taylor and Francis, (2005).
262
New York, 2004). C.-M. Lin, W.-C. Lien, C. V. Felmetsger, M. Hopcroft, D. G. Senesky,
225
M. J. Bozack, Phys. Status Solidi B 202, 549 (1997). and A. P. Pisano, Appl. Phys. Lett. 97, 141907 (2010).
226 263
L. M. Porter and R. F. Davis, Mater. Sci. Eng. B 34, 83 (1995). C.-M. Lin, Y.-Y. Chen, V. V. Felmetsger, D. G. Senesky, and A. P.
227
J. Crofton, L. Byer, J. R. Williams, E. D. Luckowski, S. E. Mohney, and Pisano, Adv. Mater. 24, 2722 (2012).
264
J. M. Delcca, Solid-State Electron. 41, 1725 (1997). F. Fassbender, G. Schmitt, M. J. Schoning, H. Luth, G. Buss, and J.-W.
228
J. Crofton, L. M. Poter, and J. R. Williams, Phys. Status Solidi 202, 581 Schultze, Sens. Actuators B 68, 128 (2000).
265
(1997). B. Jamshidi, R. G. Azevedo, M. B. J. Wijesundara, and A. P. Pisano, 2007
229
V. Saxena and A. J. Steckl, in Semiconductors and Semimetals, edited by IEEE Sensors, Atlanta, GA (IEEE, Atlanta, GA, 2007), pp. 804–807.
266
R. K. Ahrenkiel and M. S. Lundstrom (Academic, New York, 1998), Vol. C. K. Kim, J. H. Lee, Y. H. Lee, N. I. Cho, and D. J. Kim, Sens.
52, p. 77. Actuators B 66, 116 (2000).
230 267
S. Tanimoto, H. Okushi, and K. Arai, in Silicon Carbide: Recent Major R. Mlcak, D. Doppaloapudi, G. Whitfield, and H. Tuller, in Electrochemical
Advances, edited by W. J. Choyke, H. Matsunami, and G. Pensl Society Proceedings, edited by C. Bruckner-Lea, P. Vanysek, G. Hunter,
(Springer, Berlin, 2003), p. 651. M. Egashira N. Miura, and F. Mizutani (The Electrochemical Society,
231
C. Jacob, P. Pirouz, H. I. Kuo, and M. Mehregany, Solid-State Electron. Pennington, NJ, 2004), Vol. 2004-08, pp. 36–42.
268
42, 2329 (1998). S. Kandasamy, A. Trinichi, W. Wlodarski, E. Comini, and G.
232
T. Uemoto, Jpn. J. Appl. Phys. 34, L7 (1995). Sberveglieri, Sens. Actuators B 111, 111 (2005).

JVST A - Vacuum, Surfaces, and Films

Redistribution subject to AVS license or copyright; see http://scitation.aip.org/termsconditions. Download to IP: 169.229.32.36 On: Tue, 08 Jul 2014 16:54:52
050805-18 Maboudian et al.: Advances in silicon carbide science and technology 050805-18

269
Y. H. Kahng, W. Lu, R. G. Tobin, R. Loloee, and R. N. Ghosh, J. Appl. nanodevices; nanowire and graphene based sensors and energy technologies;
Phys. 105, 064511 (2009). and biologically inspired materials design. She has coauthored over 220
270
V. B. Shields, M. A. Ryan, R. M. Williams, M. G. Spencer, D. M. papers in peer-reviewed archival journals. She is the recipient of several
Collins, and D. Zhang, Inst. Phys. Conf. Ser. 142, 1067 (1996). awards, including the Presidential Early Career Award for Scientists and
271
R. N. Ghosh and P. Tobias, J. Electron. Mater. 34, 345 (2005). Engineers (PECASE), NSF Young Investigator award, and the Beckman
272
E. J. Connolly, B. Timmer, H. T. M. Pham, J. Groeneweg, P. M. Sarro, Young Investigator award. She is currently serving as editor to the IEEE
W. Olthius, P. J. French, Sens. Actuators B 109, 44 (2005). Journal of Microelectromechanical Systems, as associate editor to IEEE/
273
H. Wingbrant, M. Persson, A. E. Abom, M. Erikkson, B. Andersson, S. SPIE Journal on Micro/Nanolithography, MEMS and MOEMS, and as advi-
Simko, D. J. Kubinski, J. H. Visser, and A. L. Spetz, IEEE Sens. J. 6, 887 sory board member to ACS Applied Materials and Interfaces.
(2006).
274
M. Shafiei, K. Kalantar-zadeh, W. Wlodarksi. E.Comini, M. Ferroni, G.
Sberveglierei, S. Kaciulis, and L. Pandolfi, Int. J. Smart Sens. Intell. Syst. Carlo Carraro is a researcher in the Department
1, 771 (2008). of Chemical and Biomolecular Engineering at
275
D. M. Brown et al., IEEE Trans. Electron Devices 40, 25 (1993). the University of California, Berkeley. He
276
W. M. Zhou, F. Fang, Z. Y. Hou, L. J. Yan, and Y. F. Zhang, IEEE received his bachelor’s degree from the
Electron Device Lett. 27, 463 (2006). University of Padua, Padua, Italy, and his Ph.D.
277
K. Rogdakis, S.-Y. Lee, M. Bescond, S.-K. Lee, E. Bano, and K. degree from California Institute of Technology
Zekentes, IEEE Trans. Electron Devices 55, 1970 (2008). in Pasadena, California. Dr. Carraro’s research
278
T. H. Lee, S. Bhunia, and M. Mehregany, Science 329, 1316 (2010). interests are in the physics and chemistry of
279
W. Yang, H. Araki, C. Tang, S. Thaveethavorn, A. Kohyama, H. Suzuki, surfaces and nanostructures. He has coauthored
and T. Noda, Adv. Mater. 17, 1519 (2005). over 150 papers in scholarly journals.
280
D. Lee, H. Park, H. Ryub, S. Jeona, and S. Honga, J. Alloys Compd. 509,
9060 (2011).
281 Debbie G. Senesky is an Assistant Professor at
G. Gabriel, I. Erill, J. Caro, R. Gomez, D. Riera, R. Villa, and P.
Stanford University in the Aeronautics and
Godignon, Microelectron. J. 38, 406 (2007).
282 Astronautics Department. She received the B.S.
R. Gomez, A. Ivorra, R. Villa, P. Godignon, J. Millan, I. Erill, A. Sola, G.
degree in mechanical engineering from the
Hotter, and L. Palacios, Biomed. Microdevices 8, 43 (2006).
283 University of Southern California in 2001. She
G. Kotzar, M. Freas, P. Abel, A. Fleischman, S. Roy, C. Zorman, J. M.
received the M.S. degree and Ph.D. degree in
Moran, and J. Melzak, Biomaterials 23, 2737 (2002).
284 mechanical engineering from the University of
A. J. Rosenboom, D. M. Sipe, Y. Shishkin, Y. Ke, R. P. Devaty, and W.
California, Berkeley, in 2004 and 2007, respec-
J. Choyke, Biomed. Microdevices 6, 261 (2004).
285 tively. She was a Design Engineer in 2007 for
G. Landis, A. T. Pal, D. M. Wilt, B. D. McElroy, and C. H. Mueller,
GE Sensing (formerly known as NovaSensor).
NASA 18th Space Photovoltaic Research and Technology Conference,
She was a researcher specialist in 2008 at the
Brook Park, OH (NASA, Brook Park, OH, 2003), pp. 108–115.
286 Berkeley Sensor and Actuator Center (BSAC) developing silicon carbide
B. S. Richards, A. Labertz, R. P. Corkis, C. A. Zorman, M. Mehrgany,
(SiC) sensing technology for extreme harsh environments. Her research
M. Ionescu, and M. A. Green, Proceedings of the 3rd World Conference
interests include the development of micro- and nanoscale sensors, wide
on Photovoltaic Energy Conversion (IEEE, Osaka, Japan, 2003), Vol. 3,
bandgap electronics and ceramic materials for operation within extreme
p. 2738.
287 harsh environments. In recognition of her research, she has received the
R. Ferre, I. Martin, P. Ortega, M. Vetter, M. Garin, and R. Alcubilla,
Space Technology Research Opportunities Early Faculty Career Award
Spanish Conference on Electron Devices, Madrid, Spain (IEEE, Madrid,
from the National Aeronautics and Space Administration.
Spain, 2007), pp. 238–241.
288
Y. Kurokawa, S. Tomita, S. Miyajima, A. Yamada, and M. Konagai,
33rd IEEE Photovoltaic Specialists Conference (IEEE, San Diego, CA, Christopher S. Roper is a Senior Research Staff
2008), pp. 1–6. Engineer at HRL Laboratories, LLC, Malibu, CA,
289
J. H. Lee et al., 16th International Solid-State Sensors, Actuators and where he is principal investigator on multiple
Microsystems Conference (TRANSDUCERS), Beijing, China (IEEE, research and development projects. He received
Beijing, China, 2011), pp. 2658–2661. the B.S.E. degree in chemical engineering with a
minor in electrical engineering from Case
Roya Maboudian is a professor in the Department Western Reserve University, Cleveland, OH,
of Chemical and Biomolecular Engineering at the in 2002. He received the Ph.D. degree in chemical
University of California, Berkeley. She received engineering, while on a National Science
her Ph.D. degree from California Institute of Foundation Graduate Research Fellowship, from
Technology in Pasadena. Her research interest is the University of California, Berkeley, in 2007.
in the surface and materials science and engineer- While at UC Berkeley, he was a researcher in the Berkeley Sensor and
ing of micro/nanosystems. The main research Actuator Center. His research interests include silicon carbide MEMS, quartz
activities in her group currently include investi- MEMS, architected materials, multifunctional materials, optimal design of
gation of the tribological issues in M/NEMS; materials, and heat transfer. He has published 27 articles and has 16 patent
silicon carbide-based sensors for harsh environ- applications pending. He has received the HRL Distinguished Inventor Award
ment applications; metallization of micro- and in each of three consecutive years.

J. Vac. Sci. Technol. A, Vol. 31, No. 5, Sep/Oct 2013

Redistribution subject to AVS license or copyright; see http://scitation.aip.org/termsconditions. Download to IP: 169.229.32.36 On: Tue, 08 Jul 2014 16:54:52
View publication stats

You might also like