Download as pdf or txt
Download as pdf or txt
You are on page 1of 424

High Speed Multi Function Mounter

iMS
Operation Manual
i-PULSE Machine Software Operation Manual
Software Version: M3.73-C3.73-GV411 or higher ( M2 / M4a / M4e/ M4s )
M3.73-C3.73-GV411 or higher ( M1 / M1a / M1plus )

900667#06
i-PULSE Co., Ltd.
1-9-3 Shinmiyakoda, Kita-ku, Hamamatsu-city,
Shizuoka-pref., 431-2103 Japan
Tel: (81)53-484-1876 Fax: (81)53-484-1870
URL: http://www.ipulse.co.jp

All rights reserved. No part of this document may be reproduced or transmitted in any form
or by any means, electronic or mechanical, for any purpose, without the express permission
of i-PULSE Co.,Ltd.
About This Manual

This Operation Manual is designed as a comprehensive reference for mounter operation. It


describes the mounter’s operation features and its use.
Note: The MMI 1 windows shown in this manual may slightly differ from yours depending on
your specific machine configuration or your machine revision level.

Features
This manual is organized based on functional categorization. For each categorized
operation feature, detailed explanation and associated tips are provided.
Special terms are footnoted for aid of understanding.
Index at the end of the manual makes topic finding quick and easy.

Typographical Conventions
This manual uses various typographical conventions as listed below:
Menu: Sequential menu selection to access a particular menu is shown in descending
order, with “>” separating each menu:
Example: Edit>Program>File>BoardData
Action: Shows steps to perform a certain task.
Window: Describes items appearing in an MMI window. A very long item name may be
abbreviated with trailing “...”.
Example: Shows an example.
Note: Describes associated tips that call for attention.
[ ]: Tab titles and sub-titles in an MMI window are enclosed in these brackets.
< >: Buttons in an MMI window are enclosed in these brackets.
“ ”: User entries to an MMI window are enclosed in double quotations.
: Controls on the machine control panel are enclosed in a box.
An especially important point is highlighted as shown in the below typography:

Component image data is created based on its packaging state. Therefore, view
division direction, horizontal or vertical (except four-view process), must be
selected based on the component packaging direction.

Dangerous operations are noted in the following two levels:


Warning:
Failing to comply with the action suggested in a “Warning” will lead to a fatal injury or death.
(A “fatal injury” means an injury, scald, electric shock, or bone fracture, leading to a persisting
aftereffect, also means one requiring a long-term hospital treatment as an inpatient or
outpatient.) An example of a warning statement follows:

When performing teach entry in which driving axes are allowed to move,
Warning do not stick head, hands, or other parts of the body inside the mounter.
Serious injury can result. Also make sure non-operators are a safe
distance from the machine.

1 MMI: Man-machine interface. Hardware and associated software that govern the connection between a man

and a machine and help them exchange data reliably. In this manual, it exclusively refers to the dedicated
application software to control the FV-series mounter.
Caution:
Failing to comply with the action suggested in a “Caution” will lead to a serious injury or a
machine damage. (A “serious injury” means an injury, scald, or electric shock, not requiring a
long-term hospital treatment as an inpatient or outpatient.) An example of a caution statement
follows:
Before starting teach entry, make sure no foreign obstacles are left in the
Caution
mounter or tray feeder. Otherwise, costly machine damage can occur.
Safety Guidelines

To prevent injury or machine damage in use of the mounter, follow the safety guidelines stated
below:

Warning

General
Operation and maintenance of the mounter must only be carried out by personnel who have
completed training provided by I-PULSE or those having the same level of knowledge and
skills.
Before moving the machine to another site, connecting cables, replacing machine components
or performing repairs, make sure that the power and air supply are turned OFF. Transporting
them without power and air turned OFF may result in electric shock, machine damage or
injury.
To prevent electric shock and machine damage, never touch terminals of electric components
when the power is on.
To prevent electric shock, ground the mounter.
Press immediately the emergency stop switch when the machine operates improperly.
To prevent electric shock, do not operate the mounter with wet hands.

Operation
The heads and drive axes inside the mounter operate at fast speeds. Never put your hands,
head or any part of your body into the mounter during operation. Doing so may result in
injury.
Be sure to use dummy feeders to fill the feeder stations to prevent the operator's hands from
getting into the mounter when it is in operation.
To prevent electric shock and machine damage, do not operate the mounter with live parts
exposed.
To prevent electric shock and machine damage, do not touch parts in the control unit.
Turn off the Main Switch, if power failure occurs, to prevent sudden restarting that may cause
personal injury or machine damage.
Teach entry in use of the mouse allows the driving axes to move according to the mouse
movement. When teaching, do not stick head, hands, or other parts of the body inside the
mounter. Serious injury can result. Also make sure non-operators are a safe distance from the
machine.(Chapter 2 > Teach Entry)
ANC operation allows a head to move. When using this menu, do not stick head, hands, or
other parts of the body inside the mounter. Serious injury can result. Also make sure
non-operators are a safe distance from the machine. (Chapter 5 > Image Data Creation Support
Tool > Setting Nozzles to Heads) (Chapter 8 > ANC Initial Setting)
When choosing <Move Pallet> button in the Teach dialog box, do not stick head, hands, or
other parts of the body inside the mounter. Serious injury can result. Also make sure
non-operators are a safe distance from the machine. (Chapter 5 > Tray Library)
When pressing Start switch, do not stick head, hands, or other parts of the body inside the
mounter. Serious injury can result. Also make sure non-operators are a safe distance from the
machine. (Chapter 8 > Running a Job )
Line search allows the driving axes to move. When performing line search, do not stick head,
hands, or other parts of the body inside the mounter. Serious injury can result. Also make sure
non-operators are at a safe distance from the machine. (Chapter 8 > Line Search (ASJ))
The Axis menu allows the specified motor, conveyor, or tray feeder pallet to move. When
executing axis movement via this menu, do not stick head, hands, or other parts of the body
inside the mounter. Serious injury can result. Also make sure non-operators are at a safe
distance from the machine. (Chapter 9 > Axis)
The Origin menu allows the specified axes to move. When executing this task, do not stick
head, hands, or other parts of the body inside the mounter. Serious injury can result. Also make
sure non-operators are at a safe distance from the machine. (Chapter 9 > Origin)
Turning on/off signal output in the Signal Output (Control) window allows an actuator to
move. When executing this operation, do not stick head, hands, or other parts of the body
inside the mounter. Serious injury can result. Also make sure non-operators are a safe distance
from the machine. (Chapter 9 > Signal I/O > Signal Output Control)
The Actuator menu allows the specified actuator to move. When executing actuator operation
via this menu, do not stick your head, hands, or other physical parts inside the mounter.
Serious injury can result. Also make sure non-operator are at a safe distance from the machine.
(Chapter 9 > Actuators)
Warm-up operation allows the specified machine parts to move. When executing warm-up
operation, do not stick head, hands, or other parts of the body inside the mounter. Serious
injury can result. Also make sure non-operators are at a safe distance from the machine.
(Chapter 9 > Warm-up)

Maintenance and Inspection


Turn off the main switch and circuit breaker before servicing the machine.
However, if maintenance or inspection needs to be carried out with the power turned ON,
ensure safety and take special care not to put your hands, head or any part of your body into
the mounter while the axes are moving.
Even after the main switch and circuit breaker are turned off, terminals for lead-in wires are
still live. Do not touch them to prevent electric shock.
Do not touch motors and motor drivers when they are hot.

Caution

General
Keep the machine and its surrounding area safe and clean.
Do not get on the feeder bank or machine top.

Operation
To prevent machine damage, before operation, make sure accessories such as parts feeders are
set completely and not to jump off.
Never operate the mounter with tools, measuring instruments or such like left inside the
operating range of the movable sections (e.g. around conveyor, on feeder banks / linear guides,
inside tray feeders). Doing so may result in machine damage.
After turning off the mounter, leave the machine 15 seconds or more before turning on again.
Otherwise, motor alarm can result.
When a sub plate is fixed at improper position, it may interfere with some obstacles, as the
speed controller of rear clamp, and cause damages.
Please fix the sub plate to the position in which it does not interfere with other parts. (Chapter 1
> Adjusting the Rear Clamp)

When a Push up-pin (Magnet) is fixed at improper position, it may interfere with some
obstacles, as the speed controller of rear clamp, and cause damages.
Please fix the Push up-pin (Magnet) to the position in which it does not interfere with other
parts. (Chapter 1 > Adjusting the Rear Clamp)
Before starting teach, make sure no foreign obstacles are left in the mounter or tray feeder.
Otherwise, costly machine damage can occur. (Chapter 2 > Teach Entry)
For “Transfer time after PCB passed the arrival sensor”, the default value with safety taken into
account has already been set. The transfer time can be reduced by changing this value, but if
you are changing it, make sure it is at least 100ms. If a value smaller than “100” is set, the
default value will be restored. If this time is too short, the stopper may turn ON immediately
after the arrival sensor is turned OFF, causing the PCB to be pushed up, or there may be some
cases where the PCB is not unloaded completely to the next process if the machine does not
have the exit conveyor. In addition, please note that “Transfer time after PCB passed the arrival
sensor” is the time originating from when the sensor is turned OFF in the case of boards with
holes.(Chapter 2 > Editing Board Data)
Do not manually install a nozzle to a head. If a nozzle is not installed properly, it can hit other
parts of the machine to result in head damage. (Chapter 5 > Image Data Creation Support Tool
> Setting Nozzles to Heads)
In executing automatic conveyor width setting (optional), the pallet in Set Position 2 will get in
the way of execution. Before execution, please slide the pallet toward Set Position 1 to secure
space. (Chapter 7 > MX-ST2 > Operation)
Do not manually attach a nozzle to a head. Incomplete nozzle setting can result. In this case, the
head can hit other part of the mounter to cause machine damage. (Chapter 8 > ANC Initial
Setting)
Before pressing Start switch, make sure no foreign obstacles are left in the mounter or tray
feeder. Otherwise, costly machine damage can occur. (Chapter 8 > Running a Job )
Before starting line search, make sure no foreign obstacles are left in the mounter or tray feeder.
Otherwise, costly machine damage can occur. (Chapter 8 > Line Search (ASJ))
Before executing axis movement, make sure no foreign obstacles are left in the mounter or tray
feeder. Otherwise, costly machine damage can occur. (Chapter 9 > Axis)
Axis overrun interlock is not effective unless the axis origin acquisition has been performed.
When the axis origins are not acquired, if you attempt to move the XY axes with the head
lowered too far, the head tip can hit an obstruction such as the conveyor rail. Also if you
attempt to move the S axis with the head lowered too far, the head tip can hit the mirror used
for the scan camera. Unless otherwise required, be sure to perform the manual axis operation
with the axis origins acquired. (Chapter 9 > Axis)
Before zeroing the axes position, make sure no foreign obstacles are left in the mounter or tray
feeder. Otherwise, costly machine damage can occur. (Chapter 9 > Origin)
Before performing signal output on/off operation, make sure no foreign obstacles are left in the
mounter or tray feeder. Otherwise, costly machine damage can occur. (Chapter 9 > Signal I/O
> Signal Output Control)
Before executing the actuator operation, make sure no foreign obstacles are left in the mounter
or tray feeder. Otherwise, costly machine damage can occur. (Chapter 9 > Actuators)
Before performing vacuum-breaking, make sure that the nozzle is removed from the head.
Performing vacuum-breaking with the nozzle attached may cause the nozzle to pop out from
the head. (This especially happens with small-bore nozzles.) (Chapter 9 > Air Pressure)
Before starting warm-up, make sure no foreign obstacles are left in the mounter or tray feeder.
Otherwise, costly machine damage can occur. (Chapter 9 > Warm Up)
Safety Interlock
The machine covers (front/rear) feature safety interlock mechanism. To safeguard the operator,
this mechanism is activated to stop the machine immediately by opening a machine cover
during operation. See Chapter 9 Stopping a Job Run.

Others
We are releasing several kinds of information about our products on our Service web-site. We
recommend you to access to the web-site periodically in order to get the latest information at
any time.
URL:http//www.ipulse.co.jp
* Password entry is needed to access to the Service website.

Contents of this manual are subject to change without prior notice.


Contents

Contents

Chapter 1 General...................................................................................................................... 1-1


1-1 Parts Identification...................................................................................................................................1-2
1-1-1 Controls of the Mounter............................................................................................................................................1-2
1-1-2 Connector Panel .........................................................................................................................................................1-6
1-1-3 Alarm Beacon (optional) ...........................................................................................................................................1-7
1-1-4 Feeder Presetter (optional)........................................................................................................................................1-7
1-1-5 Changeable Feeder Bank (optional).........................................................................................................................1-8
1-2 System Startup and Shutdown ............................................................................................................1-10
1-2-1 System Startup..........................................................................................................................................................1-10
1-2-2 System Shutdown ....................................................................................................................................................1-11
1-3 Menu Views............................................................................................................................................1-13
1-3-1 Overviews of Menu Commands ............................................................................................................................1-14
1-4 Status Display.........................................................................................................................................1-18
1-5 Program Data Mapping ........................................................................................................................1-19
1-6 Movable Range of Head Assembly .....................................................................................................1-20
1-7 Adjusting the Rear Clamp ....................................................................................................................1-21
1-8 Adjust Push-up Pins Height ..............................................................................................................1-24
1-9 PCB Clamp Conveyor (optional) .........................................................................................................1-26
1-9-1 Cautions about Use of PCB Clamp Conveyor......................................................................................................1-26
1-9-2 How to Use ...............................................................................................................................................................1-26
1-9-3 Limitations in Using PCB Clamp Conveyor.........................................................................................................1-28

Chapter 2 Creating and Editing a Program............................................................................ 2-1


2-1 Customizing MMI Windows..................................................................................................................2-2
2-1-1 Customizing the Font ................................................................................................................................................2-2
2-1-2 Customizing the Background Color ........................................................................................................................2-2
2-1-3 Resizing Column Width and Row Height ..............................................................................................................2-3
2-1-4 Resizing a Window ....................................................................................................................................................2-4
2-1-5 Moving a Window .....................................................................................................................................................2-4
2-1-6 Switching Between Windows...................................................................................................................................2-4
2-1-7 Closing a Window .....................................................................................................................................................2-4
2-1-8 Changing the Screen Display Language .................................................................................................................2-5
2-2 Data Entry Methods.................................................................................................................................2-8
2-2-1 Selecting a Cell for Data Entry .................................................................................................................................2-8
2-2-2 Keyboard Entry & Choose from List .....................................................................................................................2-10
2-2-3 Teach Entry ...............................................................................................................................................................2-11
2-2-4 Click Move (Teach screen) ......................................................................................................................................2-15
2-2-5 Switching Scaling of Integrated Vision Window (Teach Screen) ......................................................................2-17
2-2-6 Replacing Text ..........................................................................................................................................................2-18
2-2-7 Importing CAD Data ...............................................................................................................................................2-18
2-3 Editing Features .....................................................................................................................................2-19
2-3-1 Selecting Lines in the Program Editor...................................................................................................................2-19
2-3-2 Moving and Copying a Line...................................................................................................................................2-19
2-3-3 Inserting a Line.........................................................................................................................................................2-20
2-3-4 Deleting a Line .........................................................................................................................................................2-20
2-3-5 Undoing the Previous Operation...........................................................................................................................2-20
2-4 Working with Files ................................................................................................................................2-21
2-4-1 Creating a New File .................................................................................................................................................2-21
2-4-2 Opening a File ..........................................................................................................................................................2-21
Contents

2-4-3 Reopening a Currently Used File...........................................................................................................................2-22


2-4-4 Saving a File..............................................................................................................................................................2-22
2-4-5 Creating a Duplicate File.........................................................................................................................................2-23
2-4-6 Copying Other Files Data .....................................................................................................................................2-23
2-4-7 Deleting a File...........................................................................................................................................................2-23
2-4-8 Closing a File ............................................................................................................................................................2-23
2-5 Entering Header and Editing Board Data ..........................................................................................2-24
2-5-1 Header .......................................................................................................................................................................2-24
2-5-2 Editing Board Data ..................................................................................................................................................2-24
2-6 Creating and Editing a Program..........................................................................................................2-30
2-6-1 Placement & Mark Data Editor ..............................................................................................................................2-30
2-6-2 Pickup Data...............................................................................................................................................................2-50
2-6-3 Side Pickup Nozzle ..................................................................................................................................................2-52
2-6-4 Skip Editor ................................................................................................................................................................2-54
2-6-5 Data Edit Utilities.....................................................................................................................................................2-59
2-7 Checking a Program ..............................................................................................................................2-69
2-7-1 Checking a Program ................................................................................................................................................2-69
2-7-2 Program Trace ..........................................................................................................................................................2-69
2-7-3 Programmed Components & Nozzles ..................................................................................................................2-69
2-7-4 Tact Simulation.........................................................................................................................................................2-70

Chapter 3 Optimization............................................................................................................. 3-1


3-1 Optimization.............................................................................................................................................3-2
3-1-1 Conditions for Optimization ....................................................................................................................................3-2
3-1-2 Optimization Setting..................................................................................................................................................3-4
3-1-3 Execution of Optimization.........................................................................................................................3-6
3-1-4 Saving the Result..............................................................................................................................................3-7

Chapter 4 Data Conversion....................................................................................................... 4-1


4-1 CAD Data Conversion.............................................................................................................................4-2
4-1-1 Use of Converted Data ..............................................................................................................................................4-2
4-1-2 Supplementary Functions .........................................................................................................................................4-2
4-1-3 Operation Overview ..................................................................................................................................................4-3
4-1-4 Appendix...................................................................................................................................................................4-10

Chapter 5 Libraries ................................................................................................................... 5-1


5-1 Vision-related Libraries...........................................................................................................................5-2
5-1-1 Vision Processing .......................................................................................................................................................5-2
5-1-2 Image Data Creation Support Tool........................................................................................................................5-22
5-1-3 Vision Processing and Libraries.............................................................................................................................5-31
5-1-4 Component Library .................................................................................................................................................5-32
5-1-5 Image Library ...........................................................................................................................................................5-38
5-1-6 Nozzle Library..........................................................................................................................................................5-80
5-2 Feeder-related Libraries.......................................................................................................................5-83
5-2-1 Contents of Feeder-related Libraries .....................................................................................................................5-83
5-2-2 Pallet Library ............................................................................................................................................................5-84
5-2-3 Tray Library ..............................................................................................................................................................5-88
5-2-4 Packaging Library ....................................................................................................................................................5-92
5-2-5 Feeder Library ..........................................................................................................................................................5-93
5-3 Copying Data from the Master Library ............................................................................................5-94

Chapter 6 Coplanarity Checker ............................................................................................... 6-1


6-1 Specifications ............................................................................................................................................6-2
Contents

6-1-1 System Configuration................................................................................................................................................6-2


6-1-2 Coplanarity Detecting Sequence ..............................................................................................................................6-2
6-1-3 Laser Sensor Specifications .......................................................................................................................................6-3
6-1-4 Laser Amplifier Specifications .................................................................................................................................6-3
6-1-5 Detecting Time ...........................................................................................................................................................6-3
6-1-6 Available Components for the check.......................................................................................................................6-4
6-1-7 Pass/Fail Criteria .......................................................................................................................................................6-4
6-1-8 Restriction for production.........................................................................................................................................6-4
6-2 Data Settings .............................................................................................................................................6-5
6-2-1 Procedure to create Coplanarity Check data..........................................................................................................6-5
6-3 Maintenance............................................................................................................................................6-11
6-3-1 About Maintenance..................................................................................................................................................6-11

Chapter 7 Tray Feeders............................................................................................................. 7-1


7-1 MX-20 ........................................................................................................................................................7-2
7-1-1 Features .......................................................................................................................................................................7-2
7-1-2 Operation ....................................................................................................................................................................7-2
7-2 MXR-20......................................................................................................................................................7-5
7-2-1 Features .......................................................................................................................................................................7-5
7-2-2 Operation ....................................................................................................................................................................7-5
7-3 MX-ST2 ......................................................................................................................................................7-6
7-3-1 Features .......................................................................................................................................................................7-6
7-3-2 Operation ....................................................................................................................................................................7-6

Chapter 8 Running a Job .......................................................................................................... 8-1


8-1 Registering the Operator.........................................................................................................................8-2
8-2 Pre-operation Check and Initial Setting................................................................................................8-3
8-2-1 Summary .....................................................................................................................................................................8-3
8-2-2 Checking Board Data.................................................................................................................................................8-3
8-2-3 ANC Initial Setting ....................................................................................................................................................8-3
8-2-4 MX-20/MXR Initial Setting.......................................................................................................................................8-5
8-2-5 Confirming Feeder Setting........................................................................................................................................8-6
8-3 Running a Job ...........................................................................................................................................8-7
8-3-1 Running a Job .............................................................................................................................................................8-7
8-3-2 Last-time Production ...............................................................................................................................................8-14
8-3-3 Pass PCB Through....................................................................................................................................................8-14
8-3-4 Line Search (ASJ)......................................................................................................................................................8-15
8-3-5 Coplanarity Checker (optional)..............................................................................................................................8-15
8-3-6 Intelligent Feeder (optional) ...................................................................................................................................8-16
8-4 Edit & Teach Mode during a Job Run .................................................................................................8-18
8-5 Workaround Menus for the Job Run...................................................................................................8-20
8-5-1 Skipping Error Components...................................................................................................................................8-20
8-5-2 Skipping Retrial by Comp. Remain Check ...........................................................................................................8-20
8-5-3 Adjusting Fiducial Coordinates .............................................................................................................................8-21
8-5-4 Image Re-check function.........................................................................................................................................8-21

Chapter 9 Replenishing Components and Using Maintenance Menus................................. 9-1


9-1 Status Report Window ............................................................................................................................9-2
9-2 In the Case the System Stops Responding............................................................................................9-4
9-2-1 Quitting the System ...................................................................................................................................................9-4
9-2-2 Restoring the System .................................................................................................................................................9-5
9-3 Using Manual Menus ..............................................................................................................................9-6
9-3-1 Axis 9-7
Contents

9-3-2 Origin...........................................................................................................................................................................9-9
9-3-3 Warm Up...................................................................................................................................................................9-10
9-3-4 Signal I/O .................................................................................................................................................................9-12
9-3-5 Actuators ...................................................................................................................................................................9-22
9-3-6 Load Board................................................................................................................................................................9-24
9-3-7 PCB Sensors ..............................................................................................................................................................9-25
9-3-8 Feeder Bank ..............................................................................................................................................................9-26
9-3-9 Conveyor Width.......................................................................................................................................................9-28
9-3-10 Air Pressure ..............................................................................................................................................................9-29
9-3-11 Nozzle Info................................................................................................................................................................9-29
9-3-12 Feeder Info. ...............................................................................................................................................................9-30
9-3-13 MX-20.........................................................................................................................................................................9-31
9-3-14 MXR-20......................................................................................................................................................................9-32
9-3-15 MX-ST2 ......................................................................................................................................................................9-34
9-4 Using Maintenance Menus ...................................................................................................................9-35
9-4-1 Servo Off ...................................................................................................................................................................9-36
9-4-2 ANC Test...................................................................................................................................................................9-37
9-4-3 Illumi. Test ................................................................................................................................................................9-38
9-4-4 Feeder Bank Check...................................................................................................................................................9-38
9-4-5 Nozzle Scan Test ......................................................................................................................................................9-39
9-4-6 Checking the Feeder's Pickup Point ......................................................................................................................9-39
9-4-7 Conveyor Test...........................................................................................................................................................9-40
9-5 Stopping and Restarting a Job Run .....................................................................................................9-41
9-5-1 Stopping a Job Run ..................................................................................................................................................9-41
9-5-2 Restarting a Job Run ................................................................................................................................................9-41
9-6 Component Handling in Machine Shutdown ...................................................................................9-43
9-7 Replenishing Components....................................................................................................................9-44
9-7-1 Shortage Alarm ........................................................................................................................................................9-44
9-7-2 Replenishing Components......................................................................................................................................9-44
9-7-3 Replenishing Components for CFB .......................................................................................................................9-45
9-8 Other Menus ...........................................................................................................................................9-46
9-8-1 About .........................................................................................................................................................................9-46
9-8-2 Reentering a Password ............................................................................................................................................9-46
9-8-3 Enabling/Disabling the Screen Saver....................................................................................................................9-46
9-8-4 Network Neighborhood..........................................................................................................................................9-46
9-8-5 Network Properties..................................................................................................................................................9-46
9-8-6 Calculator ..................................................................................................................................................................9-46

Chapter 10 Parameter Setting................................................................................................ 10-1


10-1 User Parameter.......................................................................................................................................10-2
10-1-1 Reject Location..........................................................................................................................................................10-2
10-1-2 Reject Conveyor Offset............................................................................................................................................10-3
10-1-3 Head Escape .............................................................................................................................................................10-3
10-1-4 Timings......................................................................................................................................................................10-4
10-1-5 Link 10-5
10-1-6 Alarm Beacon ...........................................................................................................................................................10-6
10-1-7 Parameters ................................................................................................................................................................10-7
10-1-8 Functions ...................................................................................................................................................................10-8
10-1-9 Coplanarity Check (Optional) ..............................................................................................................................10-10
10-1-10 Nozzle......................................................................................................................................................................10-10
10-1-11 Travel Height..........................................................................................................................................................10-13
10-1-12 Others ......................................................................................................................................................................10-13
10-1-12 QR code(optional) ..................................................................................................................................................10-19
Contents

10-2 Traceability ...........................................................................................................................................10-23


10-2-1 Preparations............................................................................................................................................................10-23
10-2-2 Setup for PCB Code Scan ......................................................................................................................................10-24
10-2-3 Placement History File ..........................................................................................................................................10-25
10-2-4 Board ID for Multi-board......................................................................................................................................10-25
10-3 Intelligent Feeder (optional) ...............................................................................................................10-27
10-4 System Parameter ................................................................................................................................10-29
10-4-1 Machine Origin (ST) ..............................................................................................................................................10-29
10-4-2 Head/ANC Setup ..................................................................................................................................................10-29
10-4-3 Adjusting Cameras ................................................................................................................................................10-30
10-4-4 Backing Up & Restoring Data...............................................................................................................................10-30

Chapter 11 Management Data................................................................................................ 11-1


11-1 Performance Record ..............................................................................................................................11-2
11-2 Log 11-6
11-3 Operator Management ..........................................................................................................................11-7
11-4 Plan11-8
11-5 Operator Record.....................................................................................................................................11-9
11-5-1 Registering the Operator.........................................................................................................................................11-9
11-5-2 Operator Record.......................................................................................................................................................11-9

Chapter 12 Utility Menus ........................................................................................................ 12-1


12-1 Backup & Restore...................................................................................................................................12-2
12-1-1 Backing Up Data ......................................................................................................................................................12-2
12-1-2 Restoring Data ..........................................................................................................................................................12-3
12-1-3 Converting Machine Data to Text Data.................................................................................................................12-4
12-1-4 Import ........................................................................................................................................................................12-4
12-1-5 Formatting a Floppy Disk .......................................................................................................................................12-5
12-2 Automatic Backup .................................................................................................................................12-6
12-2-1 Backing Up Data Automatically ............................................................................................................................12-6
12-2-2 Restoring Data ..........................................................................................................................................................12-7
12-3 System Backup .......................................................................................................................................12-8
12-4 System Restore .......................................................................................................................................12-8
12-5 Upgrading the Vision Processing System ..........................................................................................12-9
12-6 Troubleshooting (at Mounter-Start) ..................................................................................................12-10

Chapter 13 Intelligent Feeder ................................................................................................. 13-1


13-1 Set Up ......................................................................................................................................................13-2
13-1-1 Software Structure ...................................................................................................................................................13-2
13-1-2 Operation Environment ..........................................................................................................................................13-3
13-1-3 Installing the Software Programs...........................................................................................................................13-5
13-1-4 Connecting to a Server ............................................................................................................................................13-7
13-2 Creating a Database...............................................................................................................................13-9
13-2-1 Registering Component Barcodes..........................................................................................................................13-9
13-2-2 Component Barcode Extraction Method.............................................................................................................13-11
13-2-3 Registering to the Database ..................................................................................................................................13-12
13-2-4 Registering to the Component Library................................................................................................................13-12
13-2-5 Re-registering to the Database..............................................................................................................................13-13
13-2-6 Canceling Database Registration .........................................................................................................................13-13
13-2-7 Deleting a Component Barcode ...........................................................................................................................13-14
13-2-8 Inquire Database ....................................................................................................................................................13-14
13-2-9 Compare Database .................................................................................................................................................13-14
13-2-10 Checking the Station..............................................................................................................................................13-15
Contents

13-3 Running the Server Software .............................................................................................................13-16


13-3-1 What is the Server Software?................................................................................................................................13-16
13-3-2 Starting/Exiting the Server Software ..................................................................................................................13-16
13-3-3 ID Database.............................................................................................................................................................13-17
13-3-4 Component Table List ...........................................................................................................................................13-20
13-3-5 Creating a Component Barcode Print File ..........................................................................................................13-23
13-3-6 Machine List ...........................................................................................................................................................13-24
13-3-7 Printing Barcodes ...................................................................................................................................................13-25
13-4 Data........................................................................................................................................................13-26
13-4-1 Feeder LED Display Contents ..............................................................................................................................13-26
13-4-2 Auxiliary Explanation for Relocatable Function................................................................................................13-27
13-4-3 Command Barcodes...............................................................................................................................................13-29

Chapter 14 DATA.................................................................................................................... 14-1


14-1 MX-20 Hook Position ............................................................................................................................14-3
14-2 How to manage MXR troubles.............................................................................................................14-6
14-3 MMI Messages......................................................................................................................................14-10
Chapter1 General 1-1

Chapter 1
General

Parts identification
Menus mapping
Operation status display
System startup and shutdown
Chapter1 General 1-2

1-1 Parts Identification

1-1-1 Controls of the Mounter

3.Cycle Stop 6.Recovery

Servo Start Cycle Cancel Alarm Enable


Recovery
Stop Off

1.Servo 2.Start 4.Cancel 5.Alarm Off 7.Enable

Setup Go Rear

8.Setup 9.Go Rear 10.Auto/Manual Switch

E RG E NC
EM Y

ST OP

11.Emergency Stop

MAIN SWITCH

ON

OFF

12.Main Switch

Front View

Note: Configuration of the control switches varies depending on each machine configuration.
Chapter1 General 1-3

Rear View

10.Auto/Manual Switch
(Option)
ERG ENC
EM Y

Setup Go Front

8.Setup 13.Go Front S T OP

11.Emergency Stop

(Option)

1.Servo 3.Cycle Stop 5.Alarm Off 7.Enable

Servo Start Cycle Cancel Alarm Recovery Enable Setup


Stop Off

2.Start 4.Cancel 6.Recovery 14.Setup

Note: Configuration of the control switches varies depending on each machine configuration.
Chapter1 General 1-4

1. Servo switch
Turns on servo motors that drive the machine head. For safety consideration, the servo
motors are automatically turned off when Emergency Stop is pressed or a motor alarm
occurs. Also they can be turned off with Maintenance>ServoOff menu.
2. Start switch
Starts running (job run/test run).
3. Cycle Stop switch
Stops running temporarily. Press this switch and the machine stops after completing the
current cycle (a placement step). Press Start to resume, or Cancel to end the job.
4. Cancel switch
Ends the current job. After pressing Cycle Stop to stop the machine, press this switch.
5. Alarm Off switch
Stops alarm sound which goes off in component lack or error occurrence.
6. Recovery switch
When an error occurred, this switch starts to blink. After completing the error recovery,
press this switch.
7. Enable switch
Switches the operation side from the front to the rear, or vice versa. The operation side
with the lighting Enable switch is operative.
Note: The rear-side control switches are present only when the rear-side operation option or the
MX-20/ST2 option is installed.
Note: Emergency Stop switches (both on the front and rear sides) are always enabled disregarding
which Enable switch (front or rear) is enabled.
8. Setup switch (or Unlock switch)
Unclamps CFB 1 and turns off the feeders. Use this switch when performing feeder
changeover for CFB. This switch is present only when CFB is installed.
9. Go Rear switch
Switches the pickup positions from the front feeder bank to the rear one. Use this switch
when replenishing components or checking feeder conditions on the front feeder bank.
While this switch is activated, Go Front switch on the rear panel is disabled. This switch
is present only when CFB is installed.
10. AUTO/MANUAL switch
This switch is used for switching the operation modes (AUTO mode or MANUAL mode).
11. Emergency Stop switch
For an immediate stop of the machine in an emergency, press this switch and the motors
that drive the machine head stop at once with an alarm (hazard sound). This switch locks
when it is pressed. Release it by rotating it clockwise. There are two emergency stop
switches, on the front and rear panels.
12. Main switch
Supplies power to the mounter.
13. Go Front switch
Switches the pickup positions from the rear feeder bank to the front one. Use this switch
when replenishing components or checking feeder conditions on the rear feeder bank.
While this switch is activated, Go Rear on the front panel is disabled. This switch is
present only when CFB is installed.
14. Setup switch
Press this switch when you perform changeover for MX-20 or MX-ST2.
Note: Configuration of the control switches varies depending on each machine configuration.

1 CFB: Changeable Feeder Bank (optional)


Chapter1 General 1-5

1-1-1-1 Function Keys


Following describes the function keys on the keyboard.
F2 Displays/minimizes the Status Report window.
F4 Displays/minimizes the VCS monitor.
F5 Fix the VCS monitor at the most front position.
F9 Displays/Minimizes the Virtual control panel.
F10 Stops alarm sound.
F11 Press this key after completing the error recovery.
Note: The Virtual control panel comes standard only on M1 and M1plus.

1-1-1-2 Rear-side Operation (optional)


This option allows for operation on the machine rear side in the same way as on the machine
front side. The option is configured with the input devices set (the monitor, keyboard, mouse,
and the teach pendant connector) and the control switches for the rear side.
Main switch is present only on the machine front side.
When the system is turned on, the front side is enabled by default. ( Enable switch is lit.)
To enable the rear side, press Enable switch on the rear side. ( Enable switch on the rear
side is lit.) You can enable the disabled side by pressing Enable switch on the disabled
side.
Setup switch is operative only when the optional MX-20 or MX-ST2 is installed.
When the optional MX-40 is installed, its control switches are enabled when the control
switches on the mounter rear side are enabled.
The front and rear monitors display the same contents.
Note: Both the front and rear Emergency Stop switches are always enabled irrespective of which
Enable switch is enabled.
Note: Do not connect/disconnect the mouse or keyboard after the system has been turned on. (It is
acceptable to connect/disconnect the teach pendent after the system startup.)

In performing rear-side operation, the XY and S axes will move in the reverse
direction as you specified in [Move Axis] or [Teach] dialog box or specified
with the teach pendant.
Chapter1 General 1-6

1-1-2 Connector Panel

100 VAC
Feeder Signal/Power
LAN

Presetter Power Feeder Air

Presetter Air

Machine front right part

Feeder Signal/Power Unassigned

Feeder Air MX Air

100 VAC

Machine rear, right part

To post-process machine

To pre-process machine

Machine rear, left part


Chapter1 General 1-7

1-1-3 Alarm Beacon (optional)


Alerts the operator to various machine state visually.
Machine State Beacon State Beep
Green Yellow Red White*
Run Running Lights
Pausing Lights
Error Blinks Hazard sound
Component shortage Blinks Warning sound
Out of component Lights Blinks
Manual Normal Lights
/Teach Error Lights Blinks Hazard sound
Others Normal Lights
Error Blinks Lights Hazard sound
* The white light is optionally available.

Note: You can modify the alarm beacon status for component shortage/exhaustion.

1-1-4 Feeder Presetter (optional)


Provides power and pneumatic supply for offline feeder preparation. Used to set the tape reel
onto the feeder. Also used to check feeder conditions in case a feeder malfunctions.

Tape Feeder

Feeder presetter

Note: For details, see the Parts Feeders User’s Manual.


Chapter1 General 1-8

1-1-5 Changeable Feeder Bank (optional)


Rolling cart style feeder bank which permits the cart-by-cart feeder exchange.

Bush
Push down the crosshatched
portion (also the same portion
on the left side).

Bank portion Cart portion

Stop

Handle Handle

Front roller

Rear roller

1-1-5-1 Notes in Handling


The changeable feeder bank has no caster stopper. When it is detached from the mounter,
be sure to locate it on the level floor. Otherwise, it may move unexpectedly.
Do not place a foreign object on the changeable feeder bank. Otherwise, the running
placement head may hit the object.
Before installing the changeable feeder bank to the mounter, clean the roller tracks of the
mounter.
Before installing the changeable feeder bank to the mounter, check that the two stops, bush,
and roller tracks are free from damages. These portions are important in positioning the
changeable feeder bank accurately. A damage in any of these portions may cause deviations
in pickup coordinates. For the same reason, when installing the changeable feeder bank to
the mounter, pay sufficient attention not to damage these portions.

Roller track
Chapter1 General 1-9

1-1-5-2 Adjusting the Bank Height


Note: When the same changeable feeder bank is shared among several mounters, you may have to
perform the following procedure for each mounter.
Action:
① Using the adjusting nut for the bank height, adjust the front and rear roller base to 5mm or
less lower than the roller track height (See the below figure.). If necessary, adjust also the
adjusting volt for the rear roller.
② Clamp the changeable feeder bank onto the mounter.
③ Select Manual>FeederBank.
④ Open the Manual tab. Under [Front] or [Rear], click <Lock> button. Click <Execute>
button.
⑤ Make sure the front and rear rollers on both sides are in contact with each roller track, not
raised up.
⑥ Grab both handles and try to move them up and down. When the changeable feeder bank
is properly installed, only the cart portion rattles while the bank portion keeps unmoving.
If the bank portion rattles, push down the crosshatched portion (both on the front and left
sides).

5mm or less

Front roller

Adjusting volt for the rear roller


(Slant adjuster)

Rear roller
Adjusting nut for the bank height
Front roller

Note: Use the TB-8 waste tape box or TB-6 (for the TCM-1B tape cutter use).
Chapter1 General 1-10

1-2 System Startup and Shutdown

1-2-1 System Startup


Action:
① Turn the MAIN switch clockwise (90 degrees) to turn ON the power
② The following window appears, so check the date and time. If they are correct, enter the
password (given by the administrator) and click <OK> button. Even if no password is
entered, you can still proceed by clicking <OK> button. (The next step will automatically
start if no entry is made for about 30 seconds after the window appears.)

③ Windows and the MMI system start automatically, and a window indicating that the
system is starting up appears, so wait for while.
④ The main menu appears in the left of the screen.
Main menu

⑤ Wait for approximately five seconds and then press the Servo switch.

⑥ Click Manual > Origin or button in the main menu, and then click <All Axes>
button.
When you click button, a message “Execute zeroing axes position?” appears, so
click <OK> button. When each axis has returned to its origin, the symbol next to the axis
name changes from yellow “-“ to blue “O”. So click <Close> button when all the symbols
have changed to blue “O”.

Before the axes origins are initialized

After the axes origins are initialized


Chapter1 General 1-11

1-2-2 System Shutdown


Action: For M1 / M1plus (When running on Windows NT)
① Click <Exit> button in the main menu.
② A message “Quit the System?” appears, so click <OK>.
③ When the following message appears, make sure that the warning lamp on the right of the
MAIN switch is not blinking, and then turn the MAIN switch counter-clockwise (90
degrees) to turn OFF the power.

Note: Take care not to click "Restart" button. If you want to restart the system, turn the MAIN
switch to turn OFF the power, and then turn it ON again.

If "Restart" is clicked by mistake, shut down the system as explained in “Shutting Down the
System”.

Action: For M2 / M4 (When running on Windows XP)


④ Click <Exit> button in the main menu.
⑤ A message “Quit the System?” appears, so click <OK>.
⑥ The entire screen turns black and a message “Microsoft Windows It is now safe to turn off
your computer” appears. When this message appears, make sure that the warning lamp
on the right of the MAIN switch is not blinking, and then turn the MAIN switch
counter-clockwise (90 degrees) to turn OFF the power.
Chapter1 General 1-12

1-2-2-1 Shutting Down the System


Action:
① Click the mounter icon (iMS-Task) shown in the lower right corner of the screen. The
following “Information” window appears.

② Click the “Information” window to make it active.


③ Press the [Shift], [Alt] and [S] keys on the keyboard at the same time. The following
“Status Report Control” window appears.

④ Click <Shut Down> button. A message “Shutdown OK?” appears, so click <Yes> button.
The "Windows is shutting down" window will appear.
⑤ In M2/M4, when the whole screen turns gray and a message “It is now safe to turn off
your computer." appears, make sure that the warning lamp on the right of the MAIN
switch is not blinking, and then turn the MAIN switch counter-clockwise to turn OFF
the power.
In M1 plus, a message “It is now safe to turn off your computer." appears. And then turn
the MAIN switch counter-clockwise to turn OFF the power.
⑥ If you want to restart the mounter, wait at least 15 seconds after the power is turned OFF,
and then turn ON the MAIN switch.

Note: The warning lamp on the right of the MAIN switch blinks while access is being made to the
hard disk.
To prevent hard disk damage, never turn OFF the MAIN switch while the warning lamp is
blinking.
Chapter1 General 1-13

1-3 Menu Views

NOTE: When you move the pointer over the Main menu closely, corresponding sub-menu will appear
on the next, and it will disappear when you move the pointer away. By clicking the button on
Main menu bar, sub-menu display is fixed.

Sub-menu
Main menu

Icon type here may change depending on


the machine’s specifications.
Chapter1 General 1-14

1-3-1 Overviews of Menu Commands

Main menu bar Sub-menu


Job Running window will open. Target
program should be chosen in the
beginning.
Job Running window will open. The
program which was used last time will be
automatically chosen.
Allows a board to pass through the
machine without placement.

Special Adjustment mode for i-PULSE


Service engineers.
(It is not shown at standard operation
level.)
Program Edit Screen will open.

Component Library will open.

Image Library will open.

Feeder Library will open.

Pallet Library will open.

Packaging Library will open.

Tray Library will open.

Nozzle Library will open.

Allows copying Master Library records to


each of User Library.
Chapter1 General 1-15

Main menu bar Sub-menu


Moves a specified axis manually for
checking its movement.
Allows specified axis/axes to return to
its/their origin.
Performs warm-up and self-checking.

Nozzle information screen will open.

Allows to check each actuator (air-drive


unit) movement and to measure its timing.
Loads a board to the mounter.

Signal I/O screen will open. Allows


checking digital Input/Output status.
Displays the condition of each PCB
sensors.
Lock/Unlock CFB (OP).

Allows to measure air pressure value for


each head.
Allows performing Jog operation or
Origin Initialization for W-axis of Auto
Conveyor Width (OP).
Checks the stocker for pallet presence, and
moves a specified pallet in and out on
MX-20 (OP).
Clamps/unclamps MX-ST2 (OP).

(These commands here are mainly for


maintenance, which may not be used
normally.)
Shuts Off power to Servo-motors or
Feeder-bank individually.
Performs Open/Close test of ANC
Shutter.
Performs Illumination test of Teaching
Camera.
Checks each Feeder’s operation on Feeder
bank.
Checks Nozzle Scan function.

Checks pickup point of each feeder (ST


Origin).
Specifies feeder type to be used for
manual feeder operation. (Necessary for
PS-32A feeder)
Checks conveyor repeatability.

Checks each switch operation. After


performed, machine system needs to be
restarted.
Checks Intelligent feeder’s operation such
as LED illumination status etc.
Chapter1 General 1-16

Main menu bar Sub-menu


Performs backup or restore user data such
as program or Library records.
Calculates approximate time required o
run a program.
Allows CAD data to be translated into
program data.
Displays digital Calculator.

Initializes all Advanced Settings of


Component Library.
Switches Language type of operation
display.
Allows changing font type of operation
display.
Performs HDD Check utility.

Allows changing wallpaper on display.

Turns On/Off screen saver function. The


opposite mode to the current mode will be
displayed as a command button in the
menu.
Shows/hides the Single Vision Window
or changes the screen size.
Allows setting of User Parameter.

Allows setting of System Parameter.

Specifies options for performing the


automatic backup function.
Allows backup the system programs to
the storage directory on the hard disk.
Allows restoring the system programs
that have been backed up.
Allows backup and restoring the HDD
image.
Performs upgrading System software.

Call up the Network Neighborhood


function of Windows.
Allows changing Network Properties.

Allows changing Computer Name.

Enables/disables each software option.

Allows reentering a password without


rebooting the system.
Shows the system version Nos. and ID
information.
Chapter1 General 1-17

Main menu bar Sub-menu


Displays and outputs performance
records.
Reset performance record.

Displays recorded events that occurred


during the machine operation.
Allows registering access level and
password of various operator levels.
Allows registering a program to run.

Allows registering/deleting Operator’s


name.
Displays Start/End time of each job by
each operator’s name.
Shuts down system.

Moves Head unit to the Origin. If


axis-origins have not been initialized yet,
performs “Return to Origin” command
beforehand.
Displays Teach screen.

Performs warm-up and self-checking.

Displays Nozzle information screen. If


axis-origins have not been initialized yet,
performs “Return to Origin” command
beforehand.
Performs backup or restore user data such
as program or Library records.
Lock/Unlock CFB (OP).. This icon is
displayed on the machine which has CFB
Unit (option).
Checks the stocker for pallet presence, and
moves a specified pallet in and out on
MX-Tray Unit (OP). This icon is displayed
on the machine which has MX-Tray Unit
(option), but it would not be displayed
when the machine has CFB option.
The total number of produced Boards
since the counter was reset last time is
displayed. By pressing [R] button, the
counter is reset to 0.

NOTE: Commands on the menu differ depending on the condition of machine equipments.
Chapter1 General 1-18

1-4 Status Display

For safety consideration, the status display notifies the operator of servo motors on/off state
and operation mode. The servo motors drive each axis (X/Y/Z/T/S) to move the placement
head.

Status Display
Servo Mode Axis State Machine State
Color
Before job execution/after job
Stop Stop Blue
execution (reset state)
Stop Program editing in the middle
Edit (Software Green of running after pausing at a
controlled) certain step
Teach/Manual Teaching or manually operating
On
Slow-speed run Yellow
Return to Origin Returning to the origin point

Pause Ready to run


Ready to restart after pausing
Pause High-speed run Red
running at a certain step
Run Running
Before servos are activated in
Neutral
system startup
Blue
When Maintenance>ServoOff is
Stop
Off Stop executed
Motor Alarm When motor alarm occurred
Red When emergency stop is
Emergency
executed

Note: Clicking Maintenance>Servo Off presents the Power Control dialog box. In this box, select On
/Off for each control appropriately.
See Chapter 9. Replenishing Components and Using Maintenance Menus>Using manual menus.
Chapter1 General 1-19

1-5 Program Data Mapping

Arrowed lines show link destinations. Advanced Setting


They are linked with keywords; codes and data Pickup / Placement Speed, Pickup / Placement Timing, Retry
names. Count, Vision Process Time, Detailed Thickness, Others

Placement & Mark Data Component Library Image Library


Code (component) Component Code Component
(mark) Comment Image Code
Reference Designator Image Code Comment
Comment Delay Vacuum On Camera
X/Y/Z/T Centering Multi Views
Head Nozzle No. Size Settings
F Group No. Coplanarity Illumination, etc.
B Group No. Polarity
Task Simlt. Pickup Perm.
Data Type Thickness Nozzle Library
Skip Size X/Y Nozzle Air
Vision Process Result Nozzle No. Pressure
Placement in Scan Process Choke Threshold
Pickup Data Optimization Priority Pick Threshold
Directionality
Component Code
Inner Diameter
X / Y/ Z / T Offset
Vacuum Check
Feed Style Fiducial Data Bad Mark Data Special Nozzle
ST No. Algorithm Image Type Delay for Grip ON
Feeder / Pallet Mark Code
Packaging / Tray
Or Mark Code Grip Length
Comment Comment Side Pickup Offset
Availability Mark X / Y Brightness Comp. Remain Check
Shortage Alarm Distance X / Y
Search Area X ANC ID
APC Match. Threshold
Search Area Y Remain Check Code
Optimization Search Area
Mark Shape Place Correct Table
Reject / Reuse
Reject Location

Board Data
Feeder Library Pallet Library
Coordinates (Board Origin) Or Pallet
(Board Size) Feeder
(Head Parking) Feeder Code Feed Style
Transfer Speed Feed Pitch Pattern
Head Travel Height Feed Height Pallet No. 1
Transfer Conditions X Offset X Offset 1
Others Y Offset Y Offset 1
Speed
Pallet No. 2
Header X Offset 2
:
Comment
Editor
Packaging Library Or Tray Library
Packaging Tray
User Parameter Pitch Pitch X / Y
Reject Location Height Count X / Y
Package Angle Original X / Y
Reject Conveyor Offset Component Height
Head Escape Package Angle
Calibration Error Skip
Timings Postpone Retry
Nozzle
Alternate
Alarm Beacon
Parameters
Functions
Coplanarity Check for for Tray feeders
Fixed Nozzle Info.
Tape Feeders
Stick Feeders
Bulk Feeders
Chapter1 General 1-20

1-6 Movable Range of Head Assembly

■ Feeder Station No. from which each head can pick up components.

M1/ M1a / M1plus / M2

head No. Station No.


head 1 No.1 to No.50
head 2 No.3 to No.52

head 3 No.5 to No.54

head 4 No.7 to No.56


head 5 No.9 to No.58

head 6 No.11 to No.60

M4a / M4s

head No. Station No.


head 1 No.1 to No.54

head 2 No.3 to No.56

head 3 No.5 to No.58


head 4 No.7 to No.60

M4e

head No. Station No.


head 1 No.1 to No.54

head 2 No.4 to No.57


head 3 No.7 to No.60

■ Feeder Station No. for which each teaching camera can teach coordinates.

M1/ M1a / M1plus / M2

Main Teach Camera No.1 to No.43


Aux.Teach Camera No.13 to No.60

M4a / M4s /M4e

Main Teach Camera No.1 to No.47


Aux.Teach Camera No.10 to No.60
Chapter1 General 1-21

1-7 Adjusting the Rear Clamp

The rear clamp positions the board from the board end.
Note: The structure of the rear clamp differs between M1/M1a and M1plus/M2/M4, so check the
machine model before adjusting the clamp.
Action: For M1/ M1a
Note: Follow the steps below with the actuator of the rear clamp OFF.
① Slide the rear clamp to the conveyor entrance.
② Place the board on the conveyor.
③ Move the board so that the front ends of the board and the side guide align.
Note: In the job run, the board is not positioned in this place.

④ Move the rear clamp near the board.


⑤ Raise the rear clamp with your hand.
⑥ Holding the rear clamp in the raised position, slide it to the place where the distance
between the board end and the rear clamp is 50 to 55mm.
⑦ Fix the rear clamp with the fixing bolt.

End of Side Guide

Side Guide

TOP VIEW

Board Rear Clamp

mm
50 to 55

(Board Position in Job Run)

SIDE VIEW

Note: When a board is loaded, the rear clamp is raised with the push-up plate moving up. Then it
moves toward the board with pneumatic force.

Either in pin or edge registration, the rear clamp is raised up with the push-up
plate moving up. Even with the pin registration that does not use the rear
clamp, be sure to locate the rear clamp enough away from the board end so the
rear clamp will not lift up the board with the push-up plate moving up.
Chapter1 General 1-22

Action: For M1 plus / M2 / M4


① Loosen the adjustment screw of the rear clamp and tighten it temporarily at the location
where the rear clamp pushes PCB about 1 mm when clamping.
② Execute clamping a PCB using “Load Board” and confirm that the board is clamped
properly.

PCB Rear Clamp Buffer Stopper (Optional)

Adjustment Screws (2 points)

Inside view of the fixed-side rail

Note: As for an edge clamp conveyor, position of the sub plates, which are the extension of the PCB
push up plate, is adjustable. Sub plates need to be set at proper positions according to the board
size when PCB support pins need to be set by the fixed-side rail. In this case, select the
positions of sub plates carefully not to interfere with the rear clamp. (As for Board Clamp
Conveyor, optional, sub plate position does not need to be adjusted.)

When a sub plate is fixed at improper position, it may interfere with some
Caution
obstacles, as the speed controller of rear clamp, and cause damages.
Please fix the sub plate to the position in which it does not interfere with other
parts.

Moving-side rail

Sub plate (x4)


Push-up plate

PCB stopper

Upper-side view of conveyor


Chapter1 General 1-23

Note: Because of the limitation where the sub-plate can be placed, some kinds of PCB, depending on
its size or shape, cannot have push-up pins (Matrix type) set at proper position. In such cases,
the use of Magnet push-up pin is recommended. Magnet push-up pin can be set at any
positions on push-up plate or sub-plate by magnetic force, no matter of the pin-hole position on
the push-up plate or sub-plate set position. Besides, its arm length is adjustable, making it
possible to support the outer area of the push-up plate or sub-plate.
Note: In case of M1/M1a, however, the matrix push-up pins and the magnet pins cannot be used
together because of the structural property of push-up plate. Depending on the machine
specification, either of the two types can be used.
In case of M1plus/M2/M4, when the Matrix-clamp type is selected for the machine
specification, no magnet push-up pins would be attached with the machine as accessories (just
available as an optional item).

Arm part (length adjustable)

PUSH UP-PIN (MAGNET)


Parts Name : PIN,MAGNET ASSY.
Parts No. : LG0-M9820-00X

Example of usage)
Magnet push-up pin with
Matrix push-up plate

When a Push-up pin (Magnet) is fixed at improper position, it may interfere


Caution with some obstacles, as the speed controller of rear clamp, and cause damages.
Please fix the Push-up pin (Magnet) to the position in which it does not
interfere with other parts.
Chapter1 General 1-24

1-8 Adjust Push-up Pin’s Height

Push-up pins, which are arranged on the Push-up plate, push the PCB up to clamp it on
conveyor.
NOTE: According to the Push-up plate type on the machine, either Standard type or Matrix type, the
Push-up pin type to use will be different. Usually, use “Magnet type pins” for the standard
push-up plate, and “Matrix type pins” for the Matrix push-up plate.
NOTE: In case of M1plus/M2/M4, Magnet type pins can be also placed on the Matrix push-up plate,
but that is not possible for M1/M1a., because the Matrix plate for M1/M1a is made of
aluminum.

1-8-1-1 Types of Push-up Plate

<Standard type> <Matrix type>

(Caution)The drawings above are for M2 just for reference. The specifications may be different
depending on the machine type.

1-8-1-2 Types of Push-up pin

<Magnet type pin> <Matrix type pin>


(Standard)
Part Name: PIN,PUSHUP(05)
Part No. : LG0-M9901-00X
(08) :LG0-M9902-00X
(10) :LG0-M9903-00X
(12) :LG0-M9904-00X
(16) :LG0-M9905-00X
(20) :LG0-M9906-00X
*Part No. differs by pin’s height.
Part Name: PIN,MAGNET ASSY.
Part No. : LG0-M9820-00X

<Magnet type pin>


(Slim type: optional)

Part Name: PIN,MAGNET ASSY.


Part No. : LG0-M9900-60X (fixed pin)
: LG0-M9900-70X (buffer pin)
Chapter1 General 1-25

1-8-1-3 How to adjust Magnet type Push-up Pins’ Height


NOTE: The target height to be adjusted differs by machine types, either M1/M1a or M1plus/M2/M4.
Please check your machine type in advance.
Action: Adjust Push-up Pins’ height properly for the PCB thickness to make production. Follow the
procedures below.
① Place the pin on a flat metal surface (like the feeder bank top). See the drawing below.
② Using caliper tool, fix it to the length “H” on the below table according to the PCB
thickness.
③ Adjusting the pin height by rotating it till it be at the same height of the caliper slider.
④ Tighten firmly the “Butterfly” lock nuts.
⑤ Confirm the pin height using caliper again.

PCB Height “H”(mm)


Thickness M1Plus/
M1/M1a In case of M1/M1a:
(mm) M2/M4
0.5 60.9 59.5 Height”H”=61.4 - PCB Thickness
0.8 60.6 59.2
1.0 60.4 59.0 In case of M1Plus/M2/M4:
1.2 60.2 58.8 Height”H”=60.0 - PCB Thickness
1.6 59.8 58.4
2.0 59.4 58.0

1-8-1-4 How to select proper height of Matrix push-up pins


Choose proper size of pins according to thicken of Production boards.
(The table below is common for M1/M1a/M1plus/M2/M4.)
Chapter1 General 1-26

1-9 PCB Clamp Conveyor (optional)

1-9-1 Cautions about Use of PCB Clamp Conveyor

■ The "Unplaceable area on PCB top" and "bottom clearance" will be changed as follows:
Standard Conveyor PCB Clamp Conveyor
Unplaceable Area on PCB 3 mm → 5 mm
Top and Bottom Clearance 3 mm → 6 mm

■ Use the push-up pins to prevent the PCB from warping.


■ Do not use the tooling pins.

1-9-2 How to Use


① Fix one to four backing plates (F) on the side guide of the front side of the conveyor
according to your PCB.

Clamp Plate

Backing Plate

② Fix one to four backing plates (R) on the side guide of the rear side of the conveyor
according to your PCB.
Chapter1 General 1-27

③ For M1/M1a machine, enter "0.15" for [Z] at [Coordinates] in the board data.
For M1 plus/M2/M4 machine, there is no need to do this.

④ Under [Registration] in the board data, select "Pin/Clamp".

Use the push-up pins to prevent the board from warping.


DO NOT use the tooling pins.

If you use the matrix-type push-up plate (optional), the push-up rods on the
moving conveyor rail side will go into the holes in the push-up plate. To
prevent this, insert the plugs (LG0-M9B0M-XXX) in the holes.
Chapter1 General 1-28

1-9-3 Limitations in Using PCB Clamp Conveyor

■ Unplaceable Area in Edge Holding

Unit: mm


50 - 410 (Note1)

Stopper

50 - 460

Components cannot be
placed in this area.
Note1
For M1 : 30-410mm

■ Top and Bottom Clearance


Unit: mm
50 - 410 (Board Width)

Max 15 (Note2)
Component
Board
5 5

5 5

Max 30

6 6
No component
8 allowed in this
8

Note2
For M1 : 10.5 Max.
Chapter 2 Creating and Editing a Program 2-1

Chapter 2
Creating and Editing
a Program

Customizing the MMI windows


Data entry using the keyboard or mouse
Editing a file
Working with files
Entering board data
Creating a placement program
Chapter 2 Creating and Editing a Program 2-2

2-1 Customizing MMI Windows

2-1-1 Customizing the Font


Menu: Tool>Font

Regular, Italic, Bold, Bold Italic Select a font size (points).

Select a font.

Select a font color.

Note: If you enlarge the font size too far, the displayed text might be too large to fit into place.

2-1-2 Customizing the Background Color


Applied only to the Placement & Mark Data editor.
Menu: Program>Tool>Color
A

Window 1 Window 2

Action: Window 1: Click a desired color from [Basic colors] and choose <OK> button.
Window 2: This window appears when <Define Custom Colors> button is clicked. How to use
this window is shown below:
Chapter 2 Creating and Editing a Program 2-3

Defining a Custom Color:


① Click a color from the basic colors that is the closest to the desired color.
② Click <Define Custom Colors> button.
③ The window 2 appears. Move the cross cursor by dragging the mouse within the A area to
specify the hue and saturation. Then move the triangular cursor at the B area up or down
to specify the luminosity. While you perform adjustment, the C area constantly changes to
show the result.
④ Determine the adjusted color by clicking <Add to Custom Colors> button.
⑤ You will see the color is added to [Custom colors] of the window 1. Click the color.
⑥ Click <OK> button. You will see the specified color is applied to the windows
background.
Note: The specified color applies only to the program editor.
Note: Avoid specifying the same or darker color relative to the text color; the text becomes hard to
see.

2-1-3 Resizing Column Width and Row Height

2-1-3-1 Changing Column Width


The mouse pointer changes when positioned over a column boundary of the title row.

Drag the boundary right or left to resize.

2-1-3-2 Changing Row Height


The mouse pointer changes when positioned over a row boundary of the No. column.

Drag the boundary up or down to resize.


Note: Some columns and rows are unresizable.
Chapter 2 Creating and Editing a Program 2-4

2-1-4 Resizing a Window


To resize an editor window, position the mouse pointer over the boundary or corner of the
window. The pointer changes to a double-headed arrow (Resize arrow). Drag the boundary or
corner to a new window size.

Pointer Resize arrow


 

The below three buttons are displayed at the upper right corner of the program editor window.
You can minimize the window to an icon by clicking the button on the left (Minimize button).
This icon is displayed at the lower left part of the screen.

To maximize the window, click the button at the middle (Maximize button).

To reduce the window to its original size, click the button at the middle (Restore button).

Note: Only the program editor window has the three resizing buttons. For other windows, resize
them by dragging their boundary. Note that some windows are unresizable.

2-1-5 Moving a Window


Place the pointer on the title bar and drag it to a new location.
Note: The maximized program editor window cannot be dragged.

2-1-6 Switching Between Windows


The program/library editor windows can be opened at a time.
Note: When a window is visible beneath other overlapping windows, you can bring it to the top by
clicking anywhere on the window.

2-1-7 Closing a Window


To close a window, choose <Close> button. If <Close> button is not shown in the window,
choose the close button “X” at the upper right corner of the window, or choose File>Exit in the
window.
Chapter 2 Creating and Editing a Program 2-5

2-1-8 Changing the Screen Display Language


The screen display language can be changed by the MMI language change function / system
language change function.

2-1-8-1 MMI Language Change Function


The MMI display language can be chosen from Japanese and English. This is possible for MMI
only. Windows messages are displayed in Japanese.
Menu: Tool > Language

2-1-8-2 System Language Change Function


The system display language can be chosen from Japanese, English, Korean and Chinese. (This
is possible for both MMI and Windows messages.)

NOTE: The system language change function is effective only when the MMI version is 3.20 or higher
and the machine system runs on Windows XP.
So, in the case of M1 and M1plus running on Windows NT, this function cannot be used even if
the MMI version is upgraded to 3.20, since the machine system remains unchanged. (No menus
will be displayed.)
The system language can be changed only when a login has been made using a password that
allows edit of system parameters.

Menu: Tool > Language > System Language

Action:
① From the main menu, select > Tool > Language > System Language.
Chapter 2 Creating and Editing a Program 2-6

② [System Language] window appears. Confirm the guidance on the window, which will
help you to change the System Language correctly, and click the [Next] button to
continue.

③ The following “Regional and Language Options” window appears, so click [Advance] tab
and select the desired language for each language group (Japanese, English, Korean,
Chinese) to be changed. (Refer to the table below.)
Chapter 2 Creating and Editing a Program 2-7

④ Click "OK" button. The Advanced Window appears, so click <Yes>.

⑤ The following “Change Regional Options” window appears. The computer cannot be
restarted at this time, so click <No>.

⑥ The following message appears, so click <OK> button.

⑦ Turn OFF the power to the mounter in the same way as you normally do (i.e. Main Menu
> Exit, and click <PowerOff>).
⑧ Restart the mounter and check that the display language has been changed.
Chapter 2 Creating and Editing a Program 2-8

2-2 Data Entry Methods

2-2-1 Selecting a Cell for Data Entry


To select a cell, click the cell or move the active cell 1 by using the arrow keys. Then type in data
from the keyboard. Alternatively, right-click the mouse and select an item from the provided
combo box. To move directly to a specific line in the program editor/component library, use
Edit>GoTo menu. To move directly to a cell with specific text, use Edit>Find menu.

2-2-1-1 Moving to a Specific Line


Menu: Program>Edit>GoTo
Library>Component Library>Edit>GoTo
Allows for a move to a specified line.
Action:
① Enter the line number you want to go to in the Go To dialog box.
② Choose <Execute> button.
③ The active cell moves to the specified line.

Note: Each program/library editor has <First Line> and <Last Line> buttons. To move to the first
line, click <First Line> button. To move to the last line, click <Last Line> button.

First line button Last line button

When particular data in the current editor is mapped to its correspondence in a different editor,
you can access the editor by double-clicking the data. See the below chart for access links.

Placement&Mark Data Pickup Data Libraries

Code Component Code Component Library > Component Code Advanced Setting

Component Library > Image Code Image Library

Component Library > Nozzle No. Nozzle Library

Feeder/Pallet Feeder Library

Pallet Library

Packaging/Tray Packaging Library > Reject Location

Tray Library > Reject Location

User Parameter >Reject Location,


Reject Location
User Parameter >Reject Conveyor Offset

1 Active cell: The currently selected cell for data entry. It is normally highlighted in blue.
Chapter 2 Creating and Editing a Program 2-9

2-2-1-2 Finding Text


Menu: Library>Component Library>Edit>Find

The item on which the active cell resides is shown.


Replacement is applied to this item.

Enter text to find.

Window: * Up to 38 characters can be searched for.


Ignore Case: Specify whether to match capitalization of the text. For example:
When the Find What entry is “IC3”, ic3 is not found.
When the Find What entry is “IC3”, ic3 is found.
Direction: Select searching direction Up or Down relative to the current cursor
position.
Chapter 2 Creating and Editing a Program 2-10

2-2-2 Keyboard Entry & Choose from List


There are several data entry methods. This section describes keyboard entry and choose from list
used for direct data entry to an entry field.

Prior to making a keyboard entry or choose-from-list entry to a grid editor, the


cell to enter data must be selected.

Keyboard Entry
Keyboard entry means direct data input from the keyboard. Typically used for entry of program
name, comment, reference designator, or operator name.

Choose from List


When there are pre-registered choices for entry, you can merely make a choice with the mouse.
There are five choose-from-list styles:
Combo box: A list of available choices is shown. Click a choice and it will be
entered to the entry field.

(Item 1)
V W
(Item 2) (Item 1)

(Item 3) (Item 2)

(Item 4) (Item 3)
W

Spin box: Click scroll arrows to select an item. Typing directly from the
keyboard is also available.

V
(Item)
W

Radio button: Represents mutually exclusive choices. Only one item is selectable
at a time.

(Item 1)

(Item 2)

(Item 3)

Check Box: Click an item to select. You can select as many items as needed.

(Item 1)

(Item 2)

(Item 3)

Slider: Drag the vertical bar to a new setting. The current value is shown to
the right of the scale. Clicking the area shown with the arrows
moves the vertical bar for a division of the graduation.

4
Chapter 2 Creating and Editing a Program 2-11

2-2-3 Teach Entry


Teach entry allows for teaching coordinate data to the mounter.

Teach Entry using the Mouse

Teach entry in use of the mouse allows the driving axes to move according to the
Warning mouse movement. When teaching, do not stick head, hands, or other parts of the body
inside the mounter. Serious injury can result. Also make sure non-operators are a safe
distance from the machine.

Caution Before starting teach, make sure no foreign obstacles are left in the mounter or tray feeder.
Otherwise, costly machine damage can occur.

11 10 3
21 1 4 2
12 22 14

13

19

15

16 17 18 20 7 5 6
8 9

Window:
1. Origin: Indicates the origin relative to which the obtained coordinates are
defined. The origin’s coordinates from the machine origin are
shown on the title bar.
Example: PCB, MX-20(F) ((F) means the front pallet stop position,
(R) means the rear pallet stop position.) or MXR.

2. Axis: Specify axis/axes whose coordinate to teach (XY axes/Z axis/T


axis).
3. Head: Select a head to be aligned to the teach coordinates.
For “XY axes”, select from Head1-Head6, Teach Beam, Main Teach
Camera, and Aux. Teach Camera.
For “Z axis”, select from Head1-Head6.
4. Axis Speed/Head Travel:
Specify head movement speed (Smooth mode) or head inching
increment (Inching mode).
* Increment: 1% (maximum=100%)
Chapter 2 Creating and Editing a Program 2-12

5. Current Coordinates: Indicates the current teach coordinates. Displayed items vary
depending on the specified axis/axes.
Axis Coordinates
XY axes X and Y
Z axis Z
T axis T
S axis (Scan axis) S
6. <Rel/Abs>, <Reset>buttons:
First click [Rel] button, then [Reset] button to initialize current
coordinates as a customized origin to teach. Click [Abs] button to be
back to the original coordinates referenced from a programmed
origin.
7. Navigator Matrix - Outer Arrow Buttons:
Press down an arrow button so the head moves to the direction of
the arrow with the axis speed specified at “Axis Speed”.
8. Navigator Matrix - Inner Arrow Buttons:
Press down an arrow button so the head moves to the direction of
the arrow. Head travels for the distance specified at “Head Travel”
by a click action, and the head movement gradually becomes fast as
you keep pressing an arrow button. To stop the head, release the
mouse.
9. Teach Coordinates: After aligning the teach camera to the desired coordinates, click this
button to acquire the coordinates (one-point teach). Use this button
also to acquire coordinates after scanning a programmed step with
Trace>Move and adjusting the coordinates. When [Fiducial
Correction] option is selected, coordinates with fiducial offset
appended are acquired.

One

10. Fiducial Lamp: This lamp turns yellow when the fiducial compensation is applied
to the current coordinates.
11. <CLR> button: Clears all the acquired fiducial offsets.
12. [Trace] tab: Allows the specified head to trace the specified
(placement/pickup/fiducial) program steps. When the main/aux.
teach camera is specified, each traced step can be monitored on the
Vision Window. Click an X/Y/Z/T field of the program step and
right-click the mouse. The Teach dialog box opens. Then specify
what to trace.
13. Fiducial Correction: With this option selected, before the mounter traces the specified
step, the mounter scans fiducials to compensate the specified step.
The system can learn up to 300 fiducial offsets.
Current Step: Traces only the current step. Click <Move> button to execute. Z
coordinate can be traced also. Select an axis/axes under [Axis].
Step Type: Traces specified type of steps from the current step to the end of the
program. Click <Step Type> button and select a type of steps from
the below choices:
<PCB>: Traces placement steps.
<Feeder>: Traces pickup points (only of ST-F/R).
<Fiducial>: Traces fiducial steps.
After specifying what to trace, click <Move> button at each step. Z
coordinate can be traced also. Select an axis/axes under [Axis].
Chapter 2 Creating and Editing a Program 2-13

14. <Move> button: Allows the specified head/camera to move to the coordinates on
specified program step under the conditions of [Trace] tab.
15. Fiducial Center Teach
When < Fiducial Center Teach > in the teach window is clicked
while you are at a fiducial mark line, the center coordinates of the
mark will be detected. A message 「Do you want to teach
coordinates?」 appears when the center coordinates are detected, so
click <Yes> if you want to reflect the detected center coordinates to
the program. If not, click <No>.
16. Guide Window: When “XY axes” is specified for [Axis] and “Main Teach Camera” is
specified for [Head], pressing <Guide Window> button provides
the guide window outlining the component on the Vision Window.
This component outline is based on the component image data. The
guide window is available only when the component is processed
with single-view process and at the same time the component’s
placement angle T is either 0, 90, or 180.
17. Cross Hairs: Displays cross hairs on the Vision Window. The intersection of the
cross hairs corresponds to the teach point.
18. Search Line: See Chapter 8. Running a Job>Line Search (ASJ).
19. Fiducial Offset: Shows the current fiducial offset in performing <Fiducial Move>
operation (Y, Y, and T).
20. Fid No.: Shows [Fiducial] setting of the current program step (Placement &
Mark Data).
21. Set Illumi.: Enter the illumination setting for teach entry in the Illumination
dialog box (Teach>SetIllumi.). You can register up to seven
illumination settings for different purposes (teaching the PCB,
feeder stations, tray, and others). Enter the illumination setting as
follows: Click a button under [Group] and type in any name for
identifying the setting. Drag the sliders appropriately and click
<Execute> button to activate the setting. Check the Vision Window
to see if the video image is clearly monitored. If not, adjust the
sliders and re-click <Execute> button. When the adjustment is
complete, under [Group], click the next button and enter the setting
the same way.
22. [2/4 Points] tab: When “XY axes” is specified for [Axis], specify the number of teach
points.

P P

Two Four points (lines)

The system calculates the center coordinates P. See the following


Action section for the procedure to use this feature.
Note: When performing the two-/four-point teach, do not use <Teach
Coordinates> button.
Action: The following shows how to teach X, Y coordinates on a PCB using the main teach camera
(two-point teach):
① In the program editor, click the X/Y field of the program step for which to perform teach.
② Click Tool>Teach or right-click when the cursor is in X/Y field. The Teach dialog box
appears.
③ Under [Axis], select “XY axes”.
④ Under [Head], select “Main Teach Camera”. The camera lighting is turned on.
Chapter 2 Creating and Editing a Program 2-14

⑤ Click [2/4 Points] tab. Select <Two> button.


⑥ Click an arrow in the navigator matrix (Outer Arrow buttons) to assign head moving
direction. The head will move to the arrow direction and keep moving while holding
down the left mouse button.
⑦ While watching both the actual head movement and the Vision Window, adjust head
moving direction by moving the mouse. Continue until the head comes close to the
specified step.
⑧ Click in the navigator matrix again for final alignment. If necessary, adjust the axis speed
for [Axis Speed]. For fine teaching, use Inner Allow buttons. When the first teach point is
aligned to the camera center, click to stop the head. Click <1st Point> button.
⑨ To obtain the second teach point, repeat steps 7 through 8.
⑩ When the second teach point is aligned to the camera center, click to stop the head. Click
<2nd Point> button. The coordinates of the component center are calculated and entered
to the X, Y fields of the current program step.
⑪ If there are other steps to teach, repeat steps 1 through 11 to teach them. Then click
<Close> button to end.

Switching between Different Windows for Teach Entry


You may open several editor/library windows at a time and switch the line to teach between
windows. In this case, you don’t have to close and reopen the Teach dialog box each time you
switch to a different window. Instead, when you switch to a different window, select the
coordinate setting field and right-click the mouse. This allows the system to identify in which
window you are going to perform teach.

Teach Entry using the Teach Beam (optional)


The teach beam is capable of teaching only XY coordinates in use of one-point teach. It is used
along with the mouse or teach pendant.
Action: Basically the teach process with the teach beam conforms to that with the mouse or teach
pendant. The below steps show mainly different points in use of the teach beam:
① Under [Axis], select “XY axes”.
② Under [Head], select “Teach Beam”.
③ The beam sensor transmits beam. Use the mouse or teach pendant to visually align the
beam to the specified step.
④ When using the mouse, click <Decide> button to decide. When using the teach pendant,
press <Enter> key to decide.
Chapter 2 Creating and Editing a Program 2-15

2-2-4 Click Move (Teach screen)


On the Teach Screen, when you double-click on any position of the Integrated Vision Window,
Teaching Camera immediately moves there so that the Camera center meets to the selected
position.
When you double-click
here …

Teaching Camera has


just moved here.
Chapter 2 Creating and Editing a Program 2-16

2-2-4-1 Adjustment of Window’s center position


If the cross hairs’ center of Teaching Camera does not match with that of the Integrated Vision
Window, adjust the windows position by following directions. When performing “Click
move” on the Vision window that is not aligned, Camera would not move to the desired
position.
(For this adjustment, you need to log-in the system by operation level that is allowed to change
System Parameter. See iMS Operation Manual for details, at Chapter 11 Management Data >
Operator Management.)
ACTION:
1. Perform right-click on the Integrated Vision Window to show an [Adjustment] button.
Click the button, and then it turns to be the adjustment mode for the Window position.

[Adjustment]
button

2. Adjust the Window position by arrow buttons so that each cross-hairs of Teaching
Camera matches with the guide on the Vision Window’s frame.

Adjust the Window’s


position so that each
cross hairs matches
with the guide on the
frame.
Arrow buttons
3. Perform right-crick on the Integrated Vision Window to show the [Adjustment] button
again, and click the button to be back to the normal Teaching screen.
Chapter 2 Creating and Editing a Program 2-17

2-2-5 Switching Scaling of Integrated Vision Window (Teach Screen)


On the Teach Screen, Scaling of the Integrated Vision Window can be switched by
6 buttons from 50% to 100%. When 50% is selected, the field of view size of the
Vision Window will be same as that of the Teaching Camera. The bigger scaling,
the smaller the field of view size is.

50% 60% 70%

80% 90% 100%

2-2-5-1 Showing Single Vision Window


Even at new MMI display, “Single Vision Window”, which has been used at the previous MMI
display style so far, can be also shown.
Click either of screen buttons from sub-menu of Tool button on Main
menu bar, then the Single Vision Window will come up.
The Single Vision Window can show the maximum field of view size of Teaching Camera even
at 100% scaling, and sometimes it is convenient when you would like to see the larger area at
100% scaling.

NOTE: Correspondence of screen size (scaling) between Single Vision Window and Integrated Vision
Window is as shown as the chart below:
Single Vision Window Screen Size Integrated Vision Window Scaling Size
50%
small:
Equivalent of 75%(*1)
middle:
100%
large:
*1:The “75%” button does not exist actually, and it implies that it is the equivalent size of 75%
relatively.
Chapter 2 Creating and Editing a Program 2-18

2-2-6 Replacing Text


Menu: Program>Edit>Replace
Library>Component Library>Edit>Replace
Finds specified text and replaces it with new text.

The item on which the active cell


resides is shown. Replacement is
Enter text to find. applied to this item.

Enter replacement text.

Replaces the current Replaces all occurrences without


occurrence. confirmation.

Window:
Ignore Case: Specify whether to match capitalization of the text. For example:
When the Find What entry is “IC3”, ic3 is not searched.
When the Find What entry is “IC3”, ic3 is searched.
Direction: Select searching direction Up or Down relative to the current cursor
position.
Find: Start searching to the specified direction. As the first text is found,
the window stops scrolling at where the text is found. Clicking
<Find> button again restarts searching.
Replace: Replace the first found text. To continue searching, click <Find>
button.
Replace All: Replace all the texts found in the specified direction without
confirmation.
Note: When you select some lines by “Select Line” function in advance to perform Replacing Text
command, only the selected lines would be the target of the Replacing function.
See the description of “Selecting Lines in the Program Editor” on the next page.

2-2-7 Importing CAD Data


You can import CAD data (text files) to the system as an acceptable format program. See
Chapter 4 for information.
Chapter 2 Creating and Editing a Program 2-19

2-3 Editing Features

2-3-1 Selecting Lines in the Program Editor


Menu: Program>Edit>SelectLine
Program>Edit>EndSelection
Click Program>Edit>SelectLine and the background color of the program editor changes. In
this state, click a line or drag lines to select. Then perform cut-and-paste or copy-and-paste
operation. To quit the Select Line mode, click Program>Edit>EndSelection.
Note: In the component library editor, you can select only a single line at a time.
Note: You can select all lines if you click the very upper left cell with SelectLine mode.

2-3-2 Moving and Copying a Line


This feature is available only for the program editor and the component library editor. To
perform this feature, the CutLine or CopyLine menu is used in combination with the PasteLine
menu.
You can cut or copy a line and paste it to a desired location. This process is briefly explained as
follows:

Remove the line. The next line moves up.


Cut Line
Data is stored to Line is inserted
Paste
the system to the specified
Line Leave the line undeleted. memory. position.
Copy

Cut Line & Paste Line


Menu: Program>Edit>CutLine, PasteLine
Action:
① Select the line to cut.
② Click Edit>CutLine.
③ Click the line before which the cut line will be inserted.
④ Click Edit>PasteLine.
Note: The CutLine menu can be used also to delete a line/lines.

Copy Line & Paste Line


Menu: Program>Edit>CopyLine, PasteLine
Library>Component Library>Edit>CopyLine, PasteLine
Action:
① Select the line to copy.
② Click Edit>CopyLine.
③ Click the line before which the copied line will be inserted.
④ Click Edit>PasteLine.
⑤ To paste the line to other locations, repeat steps 3 through 4.
Note: The line you copied resides in the memory until you cut or copy something else to replace the
data. While the line resides in the memory, you can paste it as many times as you want.
Chapter 2 Creating and Editing a Program 2-20

2-3-3 Inserting a Line


Menu: Program>Edit>InsertLine
Library>Component Libary>Edit>InsertLine
Management>Plan>Edit>InsertLine
Used to insert a blank line to a specified location.
Action:
① Click the line before which a blank line will be inserted.
② Click Edit>InsertLine.
Note: Only a line can be inserted at a time.

2-3-4 Deleting a Line


Menu: Management>Plan>Edit>DeleteLine
Program>Edit>DeleteLine
Library>Component Library>Edit>DeleteLine
Used to delete a line.
Action:
① Select the line to delete.
② Click Edit>DeleteLine.
Note: Only a line can be deleted at a time.

2-3-5 Undoing the Previous Operation


Menu: Program>Edit>Undo
Used to reverse the last operation. Applied only to the operation of CopyLine, PasteLine,
DeleteLine, and Replace.
Note: Only the last operation can be reversed.
Chapter 2 Creating and Editing a Program 2-21

2-4 Working with Files

File operations described in this section are for the program editor. But they can be similarly
applied to other editor windows.

2-4-1 Creating a New File


Menu: Program>File>New
Used to open a new file.

2-4-2 Opening a File


Menu: Program>File>Open
Used to open an existing file.
Action:
① Click File>Open. The Select Program dialog box appears.
② Sort the list of programs as necessary. Click <Ascending Order> or <Descending Order>
button. Then click a title field of a column (Program, Check, Date...) to be sorted. The
column is sorted in the specified order, based on which the entire list is sorted.
③ Click a program to open.
④ Click <Select> button.
Note: Data filtering or direct input of program name can be also done to find your desired program
easier.

① If the specified program name has not been existed, no program will be opened.
Action: Input a program name you want to open, and click the <Select> button.

② The program name which starts with the specified keyword will be extracted and shown on
the list.
Action: Input a keyword which may be used for the program you want to open, and click the
<Filtering> button.
Chapter 2 Creating and Editing a Program 2-22

2-4-3 Reopening a Currently Used File


Menu: Program>File>Reopen
Select Reopen and up to five currently used files are listed. By checking the Always Reopen
option shown below these files, the most currently opened file can always be opened when
opening the program editor.
Note: Deleted programs may appear as currently used files. They cannot be opened.

2-4-4 Saving a File


There are two ways to save a file as described below.
★Maximum number of registrable program data: 5000

2-4-4-1 Saving a File Under a New Name


Menu: Program>File>SaveAs
To save a new file for the first time, choose the SaveAs menu. When you click the menu, the box
prompting you to enter a file name appears. Type in the file name and click <OK> button.

★Maximum number of letters for a program name: 42 letters


The letters and character strings shown below could not be used for the program name.
<Letters>
\/:,;*?"<>|
<Character Strings>
AUX
CON
NUL
PRN
CLOCK$
COM1~COM9
LPT1~LPT9
NewFile

Note: If you suffix a space to the file name, the Reopen menu and the Always Reopen option may not
function properly.

2-4-4-2 Saving an Existing File


Menu: Program>File>Save
To save an existing file, choose the Save menu. “Are you sure to overwrite?” appears. Click
<Yes> button.
Note: To prevent losing your file accidentally, we recommend you to save your file as often as
possible.
Chapter 2 Creating and Editing a Program 2-23

2-4-5 Creating a Duplicate File


Menu: Program>Edit>Open, File>SaveAs
To make a copy of a file, open the source file and save it under a different name using the
SaveAs menu.
Note: If you save the source file under the original name, the system merely overwrites the source file
and a copy won’t be created.

2-4-6 Copying Other File’s Data


Menu: Program>Edit>CopyFile
To copy other file’s data onto the currently edited file, use this menu.
Action:
① Click Edit>CopyFile.
② The CopyFile window appears.
③ Click File>Open to open a list of files. Select a source file.
④ Drag the lines you want to copy in the CopyFile window.
⑤ Click Edit>CopyLine.
⑥ Close the CopyFile window.
⑦ In the program editor, click a line before which the copied lines will be pasted. Click
Edit>PasteLine.
Note: The currently edited file cannot be the source file.

2-4-7 Deleting a File


Menu: Program>File>Open
To delete an existing file, choose File>Open and click a file to delete. Then click <Delete>
button.

2-4-8 Closing a File


Menu: Program>File>Close
Used to close a file. Unsaved changes will be aborted.
Action:
① Click File>Close.
② “Save changes?” appears. To save the changes, click <Yes> button. To abort the changes,
click <No> button.
Note: Closing a file without saving aborts the changes and preserves the original state.
Chapter 2 Creating and Editing a Program 2-24

2-5 Entering Header and Editing Board Data

2-5-1 Header
Menu: Program>File>Header
Enter comment and editor name of the program for identification.
Window:
Comment: * Up to 40 characters can be entered.
Editor: * Up to 14 characters can be entered.
Note: Series Name, Version, and Unit are displayed for your information.

2-5-2 Editing Board Data


Menu: Program>File>Board Data
Program>[Board Data] tab
Enter board data for each program. Some settings are requirements before a board can be
loaded.

Max Pre-processed
Component Height
Coordinates

Transfer Conditions

Fiducial Mark
Transfer Speed Distance Perm.

c) b) a)

Window:
a) Load Board: Click this button to open the Load Board dialog box. The speed
setting in [Conveyor Speed], etc. will be referred in the Load Board
dialog box.
b) Auto Width Conv.: When the optional automatic conveyor width adjuster is installed,
<Auto Width Conv.> button becomes effective. Click this button to
open the Set Conveyor (Auto) dialog box. [Board Size: Y] setting
here is applied to the Set Conveyor (Auto) dialog box.
c) Save As Default All settings here are saved as default for next program newly
created.
Chapter 2 Creating and Editing a Program 2-25

Coordinates

The default (0) is:


XY: the lower right corner of
a board (X=-5mm, Y=-5mm
based on the tooling hole When a job run starts, the
center) head moves to the specified
Z: the board upper surface head parking position. This
position can be specified for
If necessary, enter offset each program (for each
values based on the default. board). Teach entry is
available by double-clicking
an entry field.

Board

Board origin XY When you need to shift the all mounting coordinates for
Enter board size. Y is especially adjustment, input the XY offset here.
important for the automatic conveyor
width setting and for use of the tray
feeder.

Transfer Speed

Select registration method, pin


or edge, and also select
single-side placement (1 side)
Set each conveyor speed. or double-side placement (2
sides). This setting is applied to
the Load Board dialog box
opened via <Load Board>
button.

When the board is too


heavy to reach the
stopper, move the slider
to Heavy.

Max Pre-processed Component Height

Max component height

Enter the maximum component height already placed on the previous process.
The head travel height is based on the setting first, and it is adjusted just after any of higher
components are placed.
If there is no pre-processed component on the board, set “0.00”.
Chapter 2 Creating and Editing a Program 2-26

Transfer Conditions
Enter board transfer timing data. See the following section for details.

Detailed description about each Transfer mode is as following.


■PCB Transfer Mode
The following three PCB transfer modes are available.
Transfer PCB load timing Remark
mode
Normal After a component-mounted PCB passes the
transfer conveyor exit sensor
Simultaneous After a component-mounted PCB passes the Only when exit/entrance buffers
transfer board arrival sensor are used
Anticipate After a component-mounted PCB passes the Restrictions on buffer
transfer board arrival sensor configuration

■Conditions and Setting


Transfer modes that can be selected vary with the conveyor configuration and system
parameter settings of the mounter. Selection of a transfer mode must be made by board data
setting.
●Checking the conveyor configuration
Menu: System > System Parameter > Machine Config 2

The conveyor configuration of


your mounter is set here.
(The conveyor configuration
cannot be changed.)
Chapter 2 Creating and Editing a Program 2-27

●Checking system parameter settings


Menu: System > System Parameter > Machine Config 1

Check whether the “PCB Simul.


Transfer” checkbox is checked.
(This setting cannot be
changed.)

●Selecting a PCB transfer mode and setting transfer conditions


Menu: Program > Board Data > Transfer Conditions

The transfer mode is switched by


checking/unchecking the “Load
the next PCB when the current
PCB passed the arrival sensor”.

If necessary, specify each


transfer timing.

●Detailed explanation for PCB transfer condition setting

When “PCB Simul. Transfer” (system parameter) is set to ON


(Only when exit/entrance buffers are used)
“Load the next PCB when the current PCB passed the
Transfer mode arrival sensor” checkbox
Transfer timing Unchecked (Normal Checked (Simultaneous
transfer mode) transfer mode)
Transfer time after PCB passed the
Not effective Effective
arrival sensor
Conveyor Run Time after Transfer
Not effective Not effective
Time in Board Data
Delay for PCB Simul. Transfer Not effective Effective
Transfer time after PCB passed the
Effective Effective
exit sensor
Chapter 2 Creating and Editing a Program 2-28

When “PCB Simul. Transfer” (system parameter) is set to OFF


“Load the next PCB when the current PCB passed the
Transfer mode arrival sensor” checkbox
Transfer timing Unchecked(Normal Checked (Anticipate
transfer mode) transfer mode)
Transfer time after PCB passed the
Not effective Effective
arrival sensor
Conveyor Run Time after Transfer
Not effective Effective(Note 1)
Time in Board Data
Delay for PCB Simul. Transfer Not effective Not effective
Transfer time after PCB passed the
Effective Effective(Note 2)
exit sensor
Note 1: Only when the machine has the entrance buffer but not the exit buffer
Note 2: Only when the machine has the exit buffer
■Detailed Explanation of Transfer Modes
●Normal transfer mode
If the “Load the next PCB when the current PCB passed the arrival sensor” checkbox is not
checked, the normal transfer mode will be activated and the board to be processed next time
will be loaded at the timings given in the table below. For the normal transfer mode, only the
“Transfer time after PCB passed the exit sensor” timing setting is effective. (Other timing
settings will not be effective.)
Conveyor configuration Load timing for next board
Main conveyor only When the component-mounted PCB passes the exit sensor and then the time
set by “Transfer time after PCB passed the exit sensor” elapses, the PCB
stopper will be turned ON and a new PCB will be loaded from the previous
process.
Entrance buffer + Main conveyor When the component-mounted PCB passes the exit sensor and then the time
set by “Transfer time after PCB passed the exit sensor” elapses, the PCB
stopper will be turned ON and a new PCB will be loaded from the entrance
buffer.
Entrance buffer + Main conveyor When the component-mounted board turns ON the exit buffer arrival sensor, the
+ Exit conveyor PCB stopper will be turned ON and a new PCB will be loaded from the entrance
buffer.
If a request signal is received from the next process while component-mounted
PCBs are waiting at both main clamp and exit buffer, the PCB at the exit buffer
will begin to move and pass the exit sensor. When the time set by “Transfer time
after PCB passed the exit sensor” elapses after the PCB passes the exit sensor,
the PCB at the main clamp will be loaded to the exit buffer.

●Simultaneous / Anticipate transfer mode


Checking the “Load the next PCB when the current PCB passed the arrival sensor” checkbox
will activate the simultaneous / Anticipate transfer mode and may reduce the PCB transfer
time in some cases. (The arrival sensor is located on the main conveyor.)
NOTE: If the machine has no exit buffer, the Anticipate transfer mode will be set, therefore, the exit
sensor will not check whether the PCB has been unloaded successfully. In this case, if the preset
transfer time is insufficient, the PCB may not be unloaded completely.
So pay special care when making transfer timing settings.
Chapter 2 Creating and Editing a Program 2-29

Conveyor Transfer time after Conveyor Run Time Delay for PCB Simul. Transfer time after
configuration PCB passed the after Transfer Transfer PCB passed the exit
arrival sensor sensor
Main conveyor The component-mounted Not effective Not effective Not effective
only board is transferred for
this period of time after it
passes the arrival
sensor, and then the
PCB stopper is turned
ON and a new PCB is
loaded from the previous
process.
Entrance buffer The component-mounted To ensure that the Not effective Not effective. However,
board is transferred for component-mounted this preset time will be
+ Main conveyor this period of time after it board is unloaded after effective if no PCB is
(Anticipate passes the arrival the next PCB is clamped, waiting at the entrance
sensor, and then the transfer operation is buffer, since normal
transfer) PCB stopper is turned carried out again for this sequence takes place.
ON and a new PCB is period of time.
loaded from the entrance
buffer.
Entrance buffer The component-mounted Not effective The timing at which the The component-mounted
board is transferred for next PCB is to be loaded board is transferred for
+ Main conveyor this period of time after it from the entrance buffer this period of time after it
+ Exit buffer passes the arrival can be delayed until passes the exit sensor,
sensor, and then the elapse of this preset and then the
(Simultaneous PCB stopper is turned time. component-mounted
transfer) ON and a new PCB is board awaiting at the
loaded from the entrance main clamp is loaded to
buffer. the exit buffer.

Caution For “Transfer time after PCB passed the arrival sensor”, the default value with safety taken into
account has already been set. The transfer time can be reduced by changing this value, but if you
are changing it, make sure it is at least 100ms. If a value smaller than “100” is set, the default value
will be restored. If this time is too short, the stopper may turn ON immediately after the arrival
sensor is turned OFF, causing the PCB to be pushed up, or there may be some cases where the
PCB is not unloaded completely to the next process if the machine does not have the exit
conveyor. In addition, please note that “Transfer time after PCB passed the arrival sensor” is the
time originating from when the sensor is turned OFF in the case of boards with holes.

■ Fiducial Mark Distance Perm.


Specify the tolerance value (%) for deviation between programmed fiducial coordinates and
actually measured coordinates in production.

If the distance between them is not within the value, error will occur.
Chapter 2 Creating and Editing a Program 2-30

2-6 Creating and Editing a Program

2-6-1 Placement & Mark Data Editor


Menu: Program>Placement&MarkData
Edit component placement data and fiducial/bad mark data.
Note: You can either show or hide the columns of [Reference Designator], [Comment], and [Type] by
selecting View(Simple/Detail).
Window:
No.: The order the program is executed.
* Up to 10000 steps per program
Code: Identification code for components and marks. It allows the
operator to tell what a step is for, a placement step or fiducial/bad
mark step. Code serves to link Placement & Mark Data, Pickup Data,
and libraries with each other, therefore a code is required for each
step. To enter a code to [Code] field, right-click the mouse with
[Code] field selected and select a code from the provided list, or
type in a code. By selecting component-type icon, you can extract
the selected type of component codes only in the list. Also you can
search desired code by specifying keyword in the input box on the
list screen.
* Up to 38 characters can be entered.

Note: To display the list of fiducial codes by right-clicking the mouse, enter “Fiducial” to [Task] field
in advance. To display the list of bad mark codes, enter “Bad Mark Positive Logic” or “Bad
Mark Negative Logic” to [Task] field in advance.

Code Links “Placement & Mark Data” To...


Component * Pickup data—Component pickup coordinates and
code others.
* Component library—Component characteristics
and size data.
Mark code * Fiducial data
* Bad mark data
Used for mark sensing with the main teach camera.
Chapter 2 Creating and Editing a Program 2-31

Reference Designator: Alphanumerals printed on a board used for identifying placed


components.
* Up to 14 characters can be entered.
Comment: Any annotation for the component. Used for user identification.
* Up to 40 characters can be entered.
X, Y, Z, T: Placement/mark coordinates. To perform teach entry for the
current step, click the right mouse button or click Tool>Teach.
* Increment—X/Y/Z : 0.01mm, T : 0.01deg.
T: Counter-clockwise in the downward-looking perspective
indicates positive T.

+ -
Plus sign (+) is not needed for positive values. Minus sign (-) can be
entered from the keyboard.
Head: Click the right mouse button and select a head from the given list.
The head assembly is configured as follows (when viewed from the
machine front).
teach camera
Teach beam or Aux.

Head 6

Head 5

Head 4

Head 3

Head 2

Head 1

Main teach camera

F Group No.: Click the right mouse button and select fiducial number.
0 : Global fiducial(default):
1-249 : Local fiducial
At a fiducial step, enter a number (0-249) you want to assign to the
fiducial step. At a placement step, enter the fiducial number
assigned to the fiducial step you want to use for the placement.
For details, see the section on Fiducial Function.
B Group No.: Click the right mouse button and select bad mark number.

0 : Standard bad mark


1-249 : Group bad mark
255 : Master bad mark
254 : Master bad mark (excluding group bad mark processes)

At a bad mark step, enter a number you want to assign to the bad
mark step. At a placement step, enter the bad mark number
assigned to the bad mark step you want to use for the placement.

Task: Click the right mouse button and select a task for the step. (See later
in this chapter for detailed description.)
● Start Block Placement:
Flags the start of a program block in a repeat program. Repeat
programs are typically used for multi-up panels. Actual program
begins at the next step.
● End Block Placement:
Flags the end of a program block in a repeat program. Repeat
programs are typically used for multi-up panels. Actual program
ends at the previous step.
Chapter 2 Creating and Editing a Program 2-32

● Repeat Offset: Indicates the program repetition occurs at this step. Consists only of
offset values. Repeat program is typically used for multi-up panels.
●B Mark Positive Logic:
Bad mark process in positive logic.
●B Mark Negative Logic:
Bad mark process in negative logic.
● Fiducial: Fiducial process. This assignment requires an image code for the
fiducial also.
● Skip: Designates the step to be skipped.
● Multiple Pickup: Multiple heads continuously pick up components from a pickup
point or points and place them. When a set of certain requirements
are met, heads simultaneously pick up components.
● End Multiple Pickup:
The last of the multiple pickup steps.
● Single Pickup: A head picks up a component from a pickup point and place it.
Note: When [Task] setting is “Fiducial”, the list of fiducial codes is displayed by right-clicking the
mouse. When [Task] setting is “Bad Mark Positive Logic” or “Bad Mark Negative Logic”, the
list of bad mark codes is displayed. When [Task] setting is other item or blank, the list of
component codes is displayed.
Data Type: “MT” is the only option (fixed).
Skip: Skip assignment you make on [Skip Editor] is shown. See the
section on Skip Editor.
Chapter 2 Creating and Editing a Program 2-33

2-6-1-1 Bad Mark Function


Menu: Program>Placement&MarkData>B Group No.
General Description
The bad mark function permits the machine to cancel component placement if the machine
detects a bad mark affixed to the specified position on a PCB. Bad mark functions are classified
into three types.
PCB bad mark function
This function is used to determine whether the bad mark processes for the PCB will be
performed or not. When a PCB bad mark is not detected, all the bad mark processes thereafter
are skipped, and therefore all the placements are executed.
Block bad mark function
This function is used to determine whether component placements for a block (of placement
steps) will be performed or not. When a block bad mark is detected, all the placement steps
within the block are skipped.
Local bad mark function
This function is used to determine whether a component will be placed or not. When a local
bad mark is detected, the placement step for the component is skipped.
In the i-pulse placement program, bad mark functions stated above are realized with
“Master bad mark,” “Standard bad mark,” and “Group bad mark.”
Bad Mark Logic
The logic for bad mark functions is shown below.

Bad Mark Logic

No
PCB bad mark is detected.

Yes

Yes
Block bad mark is detected.

No

Yes
Local bad mark is detected.

No

Placement steps are A placement step is A block of steps is All the placement
executed. skipped. skipped. steps are executed.

Note: There is a special Bad mark, other than PCB bad mark, Block bad mark, and Local
bad mark. It is called “PCB Select Bad mark”. For the detailed information to use it, refer to the
description of “PCB Select Bad mark”.
Chapter 2 Creating and Editing a Program 2-34

How to Specify Bad Marks

Bad mark functions are realized as follows:


PCB bad mark
The PCB bad mark is used to determine whether the bad mark processes appearing after the
mark will be performed or not. Only when a PCB bad mark is detected, the following bad mark
processes are performed.
The PCB bad mark is specified by the “Master bad mark.” The master bad mark is specified in
the first placement step. To specify a master bad mark, enter “255” in the [B Group No.] cell.
Block bad mark
The block bad mark is used to determine whether component placements for a block (of
placement steps) will be performed or not. The block bad mark is specified by setting the
“Standard bad mark” right after the “Start Block Placement” step. To specify a standard bad
mark, enter “0” in the [B Group No.] cell.
Local bad mark
The local bad mark is used to determine whether a component will be placed or not. The local
bad mark is specified by the “Group bad mark.” To specify a group bad mark, enter “1” to
“249” in the [B Group No.] cell.
Chapter 2 Creating and Editing a Program 2-35

Example
An example using the bad mark functions is shown below.

Bad Mark A Bad Mark B

Block 1
IC1
R1 C1

R2 C2

Bad Mark X

Block 2
IC1
R1 C1

R2 C2

Bad Mark X

Block 3
IC1
R1 C1

R2 C2

Bad Mark X

Bad mark A is used to determine if the bad mark processes will be performed or not.
Bad mark B is used to determine if the components C2 will be placed or not.
Bad mark X is used to determine if the placement steps for each block will be performed or not.

[Placement Program]
B Group No.
① Bad mark A 255 (PCB bad mark)
② Bad mark B 1 (Local bad mark)
③ Start of block placement
④ Bad mark X 0 (Block bad mark)
⑤ Placement of R1 (Multiple Pickup) 0
⑥ Placement of R2 (Multiple Pickup) 0
⑦ Placement of C1 (Multiple Pickup) 0
⑧ Placement of C2 (Multiple Pickup) 1 (determined by the local bad mark)
⑨ Placement of IC1 (Single Pickup) 0
⑩ End of block placement
⑪ Repeat offset 1 (Block 2)
⑫ Repeat offset 2 (Block 3)
Chapter 2 Creating and Editing a Program 2-36

Bad Mark Steps in Placement Program


There are three types of bad mark steps.
Master bad mark
The master bad mark is used to determine whether the bad mark processes (except the master
bad mark process itself) will be performed or not. Only when a master bad mark is detected,
the following bad mark processes are performed. To specify a master bad mark, enter “255” in
the [B Group No.] cell.
There is another type of master bad mark. You can exclude the group bad mark processes from
being affected by the result of master bad mark process. To specify this type of master bad
mark, enter “254” in the [B Group No.] cell.
The result of a master bad mark process is effective until the next master bad mark appears.
The master bad mark cannot be assigned within a repeat block.
The master bad mark (the type excluding the group bad mark) can only be assigned in the first
step of the placement program.
Standard bad mark
The standard bad mark is used to determine whether the component placements for a certain
range will be performed or not. When a standard bad mark is detected, all the placement steps
will be skipped until one of the following steps appears.
① [Start of Block Placement] step
② [End of Block Placement] step
③ Next standard bad mark step
If no such steps appear, all the placement steps until the end of the program will be skipped.
When there is a standard bad mark immediately before the [Start of Block Placement] step, all
the following offset blocks will be skipped.
To specify a standard bad mark, enter “0” in the [B Group No.] cell.
Group bad mark
The group bad mark is used to determine whether a certain component will be placed or not. A
bad mark group No. will be assigned for each placement step. When a group bad mark is
detected, the placement step having the same B Group No. is skipped. 1 to 249 can be assigned
to [B Group No.].
Chapter 2 Creating and Editing a Program 2-37

Examples
[Placement Program]
B Group No.
① Bad mark 1 255 (Master bad mark)
② Bad mark 2 1 (Group bad mark)
③ Start of block placement
④ Bad mark 3 0 (Standard bad mark)
⑤ Placement of R1 (Multiple Pickup) 0
⑥ Placement of R2 (Multiple Pickup) 0
⑦ Placement of C1 (Multiple Pickup) 0
⑧ Placement of C2 (Multiple Pickup) 1 (bad mark group is specified)
⑨ Placement of IC1 (Single Pickup) 0
⑩ End of block placement
⑪ Repeat offset 1 (Block 2)
⑫ Repeat offset 2 (Block 3)
⑬ Bad mark 4 255 (Master bad mark)
⑭ Bad mark 5 0 (Standard bad mark)
⑮ Start of block placement
⑯ Bad mark 6 0 (Standard bad mark)
⑰ Placement of R1 (Multiple Pickup) 0
⑱ Placement of R2 (Multiple Pickup) 0
⑲ End of block placement
⑳ Repeat offset 1 (Block 2)

A. The effective range for the master bad mark ① is until immediately before master bad mark
⑬. The master bad mark ① determines whether the bad mark process ②/④ will be
performed or not.
B. When the placement ⑧ is to be determined by the group bad mark ② regardless of the result
of the master bad mark ① process, “254” shall be entered in the [B Group No.] cell.
C. The group bad mark ② determines whether the placement ⑧ will be performed or not.
D. The standard bad mark ④ determines whether the placement of the following each block
will be performed or not.
E. The effective range for the master bad mark ⑬ is until the end of the placement program.
The master bad mark ⑬ determines whether the bad mark process ⑭/⑯ will be performed
or not.
F. The standard bad mark ⑭ determines whether the placement of all the blocks after the step
⑮ will be performed or not.
G. The standard bad mark ⑯ determines whether the placement of the following block will be
performed or not.

Example Program for Multi-block PCB


An example of a multi-block PCB aiming to reduce cycle rate is shown below.
[Conditions]
1. The master bad mark A is used to determine whether the following bad mark processes will
be performed or not.
2. IC1 and IC2 shall be picked up continuously (multiple pickup process) to reduce cycle rate.
Chapter 2 Creating and Editing a Program 2-38

Bad Mark A

Block 1-1 Block 1-2 Block 1-3


R1 C1 IC1 R1 C1 IC1 R1 C1 IC1

R2 C2 R2 C2 R2 C2
IC2 IC2 IC2
R3 C3 R3 C3 R3 C3
Bad Mark 1-1 Bad Mark 1-2 Bad Mark 1-3

Block 2-1 Block 2-2 Block 2-3


R1 C1 IC1 R1 C1 IC1 R1 C1 IC1

R2 C2 R2 C2 R2 C2
IC2 IC2 IC2
R3 C3 R3 C3 R3 C3
Bad Mark 2-1 Bad Mark 2-2 Bad Mark 2-3

[Placement & Mark Data]


Code Reference Comment X Y Head B Group No. Task
Designator
White dot Bad A Master B 290.00 170.00 Main teach Camera 255 B Mark Positive Logic
White dot Bad 1-1 Group B 300.00 100.00 Main teach Camera 1 B Mark Positive Logic
White dot Bad 1-2 Group B 200.00 100.00 Main teach Camera 2 B Mark Positive Logic
White dot Bad 1-3 Group B 100.00 100.00 Main teach Camera 3 B Mark Positive Logic
White dot Bad 2-1 Group B 300.00 20.00 Main teach Camera 4 B Mark Positive Logic
White dot Bad 2-2 Group B 200.00 20.00 Main teach Camera 5 B Mark Positive Logic
White dot Bad 2-3 Group B 100.00 20.00 Main teach Camera 6 B Mark Positive Logic
0.00 0.00 Start Block Placement
White dot Bad y-x Standard B 300.00 100.00 Main teach Camera 0 B Mark Positive Logic
1005R R1 R1 280.00 150.00 Head 1 0 Multiple Pickup
1005R R2 R2 280.00 130.00 Head 2 0 Multiple Pickup
1005R R3 R3 280.00 110.00 Head 3 0 Multiple Pickup
1005C C1 C1 260.00 150.00 Head 4 0 Multiple Pickup
1005C C2 C2 260.00 130.00 Head 5 0 Multiple Pickup
1005C C3 C3 260.00 110.00 Head 6 0 End Multiple Pickup
0.00 0.00 End Block Placement
-100.00 0.00 0 Repeat Offset
-200.00 0.00 0 Repeat Offset
0.00 -80.00 0 Repeat Offset
-100.00 -80.00 0 Repeat Offset
-200.00 -80.00 0 Repeat Offset
SOP8 IC1 IC1 230.00 140.00 Head 1 1 Multiple Pickup
SOP8 IC1 IC1 130.00 140.00 Head 2 2 Multiple Pickup
SOP8 IC1 IC1 30.00 140.00 Head 3 3 Multiple Pickup
SOP8 IC1 IC1 230.00 60.00 Head 4 4 Multiple Pickup
SOP8 IC1 IC1 130.00 60.00 Head 5 5 Multiple Pickup
SOP8 IC1 IC1 30.00 60.00 Head 6 6 End Multiple Pickup
PLCC28P IC2 IC2 230.00 120.00 Head 1 1 Multiple Pickup
PLCC28P IC2 IC2 130.00 120.00 Head 2 2 Multiple Pickup
PLCC28P IC2 IC2 30.00 120.00 Head 3 3 Multiple Pickup
PLCC28P IC2 IC2 230.00 40.00 Head 4 4 Multiple Pickup
PLCC28P IC2 IC2 130.00 40.00 Head 5 5 Multiple Pickup
PLCC28P IC2 IC2 30.00 40.00 Head 6 6 End Multiple Pickup
Chapter 2 Creating and Editing a Program 2-39

2-6-1-2 Repeat Programs and Bad Marks


Menu: Program>Placement&MarkData>Task>StartBlockPlacement
Program>Placement&MarkData>Task>EndBlockPlacement
Program>Placement&MarkData>Task>RepeatOffset
In producing multi-up panels, the same program is repeated for the number of boards. This
section describes programming of repeat programs and bad marks.
Example1:Four-board panel:

C D 40mm

B A 40mm

60mm 60mm

Suppose the program for board A is as follows:


X Y
10.00 10.00
30.00 20.00

To repeat the above program for the remaining boards (B · C · D), the program will be as
follows:
X Y Task Board
0.00 0.00 Start Block Placement
10.00 10.00 Multiple Pickup A
30.00 20.00 End Multiple Pickup A
0.00 0.00 End Block Placement
60.00 0.00 Repeat Offset B
60.00 40.00 Repeat Offset C
0.00 40.00 Repeat Offset D

Note: Offset values in a repeat offset step are always based on the original board position for which
the repeat program is created. (board A in the above example)
Note: Only the Task setting is required for the Start Block Placement/End Block Placement steps.
Effective Range for a Bad Mark Inspection
Assign a bad mark step immediately before a repeat block
The result of the bad mark inspection is effective up to the end of the repeat block. When the
bad mark is present, the entire repeat block will be skipped.
Note: To assign a bad mark step, specify a bad mark code to [Code] of the step.
Within a repeat block, assign only one bad mark step immediately after [Start Block
Placement] step
The result of the bad mark inspection is effective up to [End Block Placement] step. This
assignment is typically used for skipping a particular board of a multi-up panel.
Chapter 2 Creating and Editing a Program 2-40

Example2: Suppose you are to skip board B in the Example1 program.

Bad mark

C D 40mm

B A 40mm

60mm 60mm

The program using a bad mark step will be as below:


X Y Task Board
0.00 0.00 Start Block Placement
5.00 5.00 B Mark Positive Logic A
10.00 10.00 Multiple Pickup A
30.00 20.00 End Multiple Pickup A
0.00 0.00 End Block Placement
60.00 0.00 Repeat Offset B
60.00 40.00 Repeat Offset C
0.00 40.00 Repeat Offset D

In this program, at each of the four boards, the main teach camera inspects the bad mark
coordinates for the mark presence. The mounter detects the bad mark at board B and skips the
board.
Note: In a multi-up panel programming, the bad mark position must be the same from board to
board.

Within a repeat block, assign multiple bad mark steps


The result of a bad mark inspection is effective up to the next bad mark step. As for the last bad
mark step, its result is effective up to [End Block Placement] step. This assignment allows for
skipping particular steps within a board.
Example:
Bad mark 2

Bad mark 1

Task
Start Block Placement
B Mark Positive Logic (Bad mark 1)
Single Pickup (IC) When bad mark 1 is
B Mark Positive Logic (Bad mark 2) present, skip this step.
Single Pickup (Chip 1)
Single Pickup (Chip 2) When bad mark 2 is
End Block Placement present, skip these steps.
Chapter 2 Creating and Editing a Program 2-41

Bad Mark Positive/Negative Logic


Menu: Program>Placement&MarkData>Task>BMarkPositiveLogic
Program>Placement&MarkData>Task>BMarkNegativeLogic
The logic for bad mark skip operation is shown in the below table. This applies regardless of
the mark color.
Mark On Mark Off
B Mark Positive Logic Skip Place
B Mark Negative Logic Place Skip

Bad Mark Learning Feature


In running a repeat-block program including several bad mark steps with the same coordinates,
the system learns the result of the first bad mark process and applies it to the second or later
bad mark process. At this time, actual mark sensing is not performed and thus the cycle times
can be reduced. The following shows a typical example where this feature takes effect:

A A
B B

A A
B B

X Y Task
0.00 0.00 Start Block Placement
5.00 5.00 B Mark Positive Logic
: : (Placement routine A)
0.00 0.00 End Block Placement
60.00 0.00 Repeat Offset
60.00 40.00 Repeat Offset
0.00 40.00 Repeat Offset
0.00 0.00 Start Block Placement
5.00 5.00 B Mark Positive Logic Bad mark process is
skipped.
: : (Placement routine B)
0.00 0.00 End Block Placement
60.00 0.00 Repeat Offset
60.00 40.00 Repeat Offset
0.00 40.00 Repeat Offset

Note: This feature applies to up to 300 bad mark steps per program.
Chapter 2 Creating and Editing a Program 2-42

2-6-1-3 PCB Select Bad Mark


This PCB select master bad mark function is designed to select PCBs to be processed according
to presence/absence of the bad mark on the PCBs. Use of this function allows programs to
contain multiple placement data. In other words, different types of PCBs can be processed on
one production line. This master bad mark is defined as bad mark No. #253. If this master bad
mark is not recognized, the program will execute data lines up to the line where the next PCB
select bad mark is specified.
Note: To use this function, the same PCB clamp setup condition must be set for every placement data.
So if the board size and reference hole position etc. differ from one PCB type to another,
different types of PCBs cannot be processed on the same production line.

■PCB Select Bad mark Setting Method

① Add bad mark #253 as a master bad mark.


② If this PCB select master bad mark is not recognized, the program will execute data lines
up to the line where the next PCB select bad mark is specified.

PCB select bad mark A


(#253)
Placement data Board data for
board A

PCB select bad mark B


(#253) Board data for
board B
Placement data

PCB select bad mark C Board data for


board C
(#253)
Placement data

Note: Since this PCB select master bad mark is not taken into account by offline software programs, it
must be programmed last on the mounter.
Chapter 2 Creating and Editing a Program 2-43

PCB select
master bad mark
for board 1

Data for board 1

PCB select
master bad mark
for board 2

Data for board 2

Note: When using the PCB select master bad mark function, the following must be observed.

① In principle, the “Preliminary Fiducial Process” and “Preliminary Bad Mark Process” user
parameters must be set to “Disable”. If they are set to “Enable”, the tact time will lengthen
since all the fiducial and bad marks on the program are processed first.
② Two or more PCB types of program data are defined in the program, but they are handled
as one type at program management.
③ The PCB select bad mark must be set using the mark coordinates by which only the target
PCB can be identified.
Note: As the example given in “PCB Select Bad mark Setting Method” in the previous page, in order
to identify more than three PCB types, the PCB select bad mark positions must differ from each
other. However, when identifying only two PCB types, the same coordinates can be used for
the PCB select bad marks by setting the positive/negative logic of the bad mark function as
shown above. In this case, recognition of the bad marks is performed only once, resulting in
shorter tact time.
④ To use PCB select bad marks, block data conversion must have been performed on the
placement program for each PCB. If a repeat block is defined for each PCB type, the
program must be converted using the block data conversion function.
⑤ If any of the placement programs are not selected, a “#1548 No PCB has been selected in
the PCB select bad mark" process."” error will occur and the mounter stops. In this case,
cancel production and remove the board.
Note: Block Data Conversion Function
Data Edit Utilities> Block Data Conversion
Chapter 2 Creating and Editing a Program 2-44

2-6-1-4 Fiducial Function


Menu: Program>Placement&Mark Data>Task>Fiducial
Program>Placement&Mark Data>Task>Fiducial
By using recognition results of the fiducials provided on a PCB, the fiducial function corrects
the PCB positioning error resulting from errors in machining the PCB contour and locate pin
holes, PCB claming mechanism fluctuations and local distortion or warps on the PCB.
There are one-point, two-point, or four-point fiducial functions. Two or four fiducials are used
as a set, but it is okay if each mark is different in shape.

Type Target correction Remark


One-point fiducial X, Y
X, Y, θ When “Without stretch/shrink correction” is
selected
Two-point fiducial
X, Y, θ, Shrink/shrink F group “0” only / All fiducial marks (select)
correction
Four-point fiducial X, Y, θ, Deform correction

Note: In the case of two-point fiducial, it is possible to select whether shrink/shrink correction is to
be performed.
To use shrink/shrink correction, it is necessary to select whether correction is to be performed
for the entire fiducial (F group “0”) only or all the fiducial marks including individual fiducial
marks.

Menu: Program > Board Data

Note: In case of the 4-point fiducial, the four marks must be positioned so that they make a square
shape. The lines AB and CD (or BC and DA) need not be parallel to each other. Each internal
angle must be smaller than 180 degrees.

B
A

D
C
Chapter 2 Creating and Editing a Program 2-45

Programming Fiducial Process


For a step to execute fiducial process, enter “Fiducial” to its Task field. Then follow one of the
two programmings stated below:
Note: The maximum number of processable fiducial marks is 300.

Programming 1
For a step to execute global fiducial process, enter “0” (default) to its F Group No. field. For a
step for local fiducial process, enter “1” (fixed). The placement step immediately after a local
fiducial step applies the result in the previous step. Other steps with default “Fiducial=0”
apply the result of the global fiducial process. When there are more than one local fiducial steps
with “Fiducial=1”, each of them represents different local fiducial mark.
Programming 2
For a step to execute global fiducial process, enter “0” (default) to its F Group No. field. For a
step for local fiducial process, enter “1-249”. The variation “1-249” means that up to 249 fiducial
numbers are available for identifying each local fiducial step. The placement step immediately
after a local fiducial step applies the result in the previous step. Other steps with default “F
Group No.=0” apply the result of the global fiducial process.
Example5:
Local fiducial

Global fiducial

As shown in the upper figure, suppose to orientate three chips with global fiducial, two ICs
with local fiducial. In this example, for global fiducial, two fiducials are provided, and for local
fiducial, two fiducials for each IC. Programming in use of this example will be as follows:
Example for Programming 1 (local fiducial number is limited to 0 and 1)

F Group No. Task Description


0 Fiducial Global fiducial process (coordinates assignment)
0 Fiducial Global fiducial process (coordinates assignment)
0 Multiple Pickup Place chip (1) with global fiducial compensation
0 End Multiple Pickup Place chip (2) with global fiducial compensation
1 Fiducial Local fiducial process (coordinates assignment) for IC (1)
1 Fiducial Local fiducial process (coordinates assignment) for IC (1)
1 Single Pickup Place IC (1) with local fiducial compensation
1 Fiducial Local fiducial process (coordinates assignment) for IC (2)
1 Fiducial Local fiducial process (coordinates assignment) for IC (2)
1 Single Pickup Place IC (2) with local fiducial compensation
0 Multiple Pickup Place chip (3) with global fiducial compensation

As shown in the above program, after local fiducial compensation is used for a step, global
fiducial compensation can take effect merely with “Fiducial=0” (default) setting for a later step.
Re-assignment of the global fiducial coordinates is unnecessary.
Example for Programming 2 (local fiducial number is selectable from 0-249)
Chapter 2 Creating and Editing a Program 2-46

F Group No. Task Description


0 Fiducial Global fiducial process (coordinates assignment)
0 Fiducial Global fiducial process (coordinates assignment)
0 Multiple Pickup Place chip (1) with global fiducial compensation
0 End Multiple Pickup Place chip (2) with global fiducial compensation
1 Fiducial Local fiducial process (coordinates assignment) for IC (1)
1 Fiducial Local fiducial process (coordinates assignment) for IC (1)
1 Single Pickup Place IC (1) with local fiducial compensation
3 Fiducial Local fiducial process (coordinates assignment) for IC (2)
3 Fiducial Local fiducial process (coordinates assignment) for IC (2)
3 Single Pickup Place IC (2) with local fiducial compensation
0 Multiple Pickup Place chip (3) with global fiducial compensation

Note: The programming 2 is recommended rather than the programming 1. Use the programming 1
when there are 250 or more local fiducials in a program,
Note: In producing multi-up panels, fiducial process is available for each board.

Fiducial Mark Learning Feature


In running a repeat program that contains fiducial steps, the system learns the result of the first
fiducial process and applies it to the second or later fiducial process with the same coordinates
setting, enabling to skip actual mark sensing process. This feature allows for reducing the
process time.
For example, this feature can take effect in the following program:
X Y Task
0.00 0.00 Start Block Placement
5.00 5.00 Fiducial
. .
. . (Placement routine A)
. .
0.00 0.00 End Block Placement
60.00 0.00 Repeat Offset
60.00 40.00 Repeat Offset
0.00 40.00 Repeat Offset
0.00 0.00 Start Block Placement
5.00 5.00 Fiducial
. .
. . (Placement routine B)
. . Fiducial process will be
skipped.
0.00 0.00 End Block Placement
60.00 0.00 Repeat Offset
60.00 40.00 Repeat Offset
0.00 40.00 Repeat Offset

Note: This feature can be applied to the maximum of 300 fiducial steps per program.
Chapter 2 Creating and Editing a Program 2-47

2-6-1-5 Multiple/Simultaneous Pickup


Menu: Program>Placement&MarkData>Task>MultiplePickup
Program>Placement&MarkData>Task>SinglePickup

There are three ways the head assembly picks up a component or components:
Single Pickup
A head moves to a pickup point for a component and transports it to a placement point.
Although this is the slowest method, it must be employed depending on the size and number
of the programmed components.
Multiple Pickup
Two or more heads move to one or more pickup points. Each head picks up a component at
different timings.
Simultaneous Pickup
Two or more heads move to pickup points at the same timing—the fastest of the three
methods.

To allow simultaneous pickup to occur...

● “Multiple Pickup” is specified to [Task] of the placement data.

● Component size is 1005 or larger.(pick up components simultaneously with


6 heads.)

● Feeders are placed at the same spacing as the heads. (Each feeder can have
tolerance, which is specified for Component Library. See below.)

Example: Perform simultaneous pickup using Head 1 and 2 at feeders located at 30mm spacing.
Simultaneous pickup permissions for the components are 0.2mm and 0.1mm.

Head 2 Head 1

Feeder pitch X

0.1 0.1 0.2 0.2


Tape feeder

Tape feeder

In the above example, simultaneous pickup is possible when the feeder pitch is within the
range from (Feeder pitch X + 0.3) to (Feeder pitch X - 0.3).
Define the simultaneous pickup permission keeping in mind a component is stored in a tape
cavity with clearance.

Clearance between the component and the


tape cavity disables component pickup at
the component center.
Chapter 2 Creating and Editing a Program 2-48

Smaller the component is, more critically the clearance affects the pickup accuracy. Thus, for
small chip components, we recommend to specify “0” as simultaneous pickup permission
(default: 0.1mm), and for larger components, specify larger value as far as the simultaneous
pickup is successfully performed. This way the risk of simultaneous pickup failure for small
chip components is minimized: when the requirements for simultaneous pickup are not met,
continuous pickup substitutes for ensuring reliable operation.
Retrial for Simultaneous Pickup of the Same Component
As shown in the below program, when a retrial for the multiple pickup of the same component
occurs, all the assigned heads pick up components from the same pickup point that is entered
earliest of all the assigned pickup data. (No. 005 of the pickup data in the below example).
Placement & Mark Data Pickup Data
Code Head Task No. Component Code
R1608 Head1 Multiple Pickup 005 R1608
R1608 Head2 Multiple Pickup 006 R1608
R1608 Head3 End Multiple Pickup 007 R1608

To let each assigned head pick up at different pickup point in case of a retrial, enter different
code for the same component as shown below.
Placement & Mark Data Pickup Data
Code Head Task No. Component Code
R1608A Head1 Multiple Pickup 005 R1608A
R1608B Head2 Multiple Pickup 006 R1608B
R1608C Head3 End Multiple Pickup 007 R1608C
Chapter 2 Creating and Editing a Program 2-49

2-6-1-6 Rotated Multi-Panel PCB

Menu: Program > Placement & Mark Data > Task > Start Block
Program > Placement & Mark Data > Task > End Block
Program > Placement & Mark Data > Task > Repeat Offset

If a PCB consists of panels rotated at different angles (e.g. PCB shown below), PCB data must
be created as explained below using the repeat offset.

80mm

PCB origin

200mm

This section explains how to create data that uses the panel located at the PCB origin as the
reference. First, edit normal repeat placement data based on the placement data of the reference
panel (gray area). Next, specify the position and orientation of the other panel (the panel that is
reversed diagonally) using the repeat offset values.

X coordinate = 200.0
Y coordinate = 80.00
θcoordinate = 180.00

■Obtaining the Offset Values


① Rotate the reference panel (gray panel) around the PCB origin until it is in the same
orientation as the other panel, and set the rotated angle to the θ coordinate.
② Shift the rotated panel in X and Y directions up to the actual offset, and then set the shift
values to the X and Y coordinates.
Chapter 2 Creating and Editing a Program 2-50

2-6-2 Pickup Data


Menu: Program > Edit > View (Simple/Detail)
In simple display mode, items “X”, “Y”, “Z”, “θ” and “Shortage Alarm” can be hidden.
Item:
Component code Enter the component code. (Linked to the placement & mark data
and component libraries)
Specify the pickup-point information for this component. If there
are component codes that have been registered, the desired
component code can be selected from the combo box that appears
when a right-click is made.
★Up to 38 characters can be entered.
【NOTE】Code names that have been entered in the [Placement &
Mark Data] tab page can be copied to the [Pickup Data] tab by
selecting Tool>Copy Component Code, provided that “Multiple
Pickup”, “End Multiple Pickup” or “Single Pickup” has been set in
[Task]. However, this does not work if the same component code
already exists in [Component Code] under [Pickup Data].
X, Y, Z Offset If necessary, set the X, Y and Z offset values for the pickup
coordinates that are registered prior to shipment of the mounter.
When teaching of a pickup-point is performed and its coordinates
are determined, the offset values will be entered for these items
automatically. (To do this, locate the cursor on a pickup-point
under [ST No.], and select Tool > Teach or right-click on one of the
offset cells.) To use this function, entries in [Feed Style] and [ST No.]
must be made in advance.
★Unit: 0.01mm
θ Offset Set the offset value for the θ pickup coordinates that are registered
prior to shipment of the mounter.
★Unit: 0.01°
Feed Style Right-click in a [Feed Style] cell. A pop-up menu will appear, so
select the feeder location (feeder style) from which components are
to be picked up. The feeder location can be selected from ST-F (front
station), ST-R (rear station), MX-RT1, MX-ST2, MX-20, MXR(L) and
MXR(R).
ST No. If ST-F/R is selected for “Feed Style”, select the desired
pickup-point No. (ST No.) Feeder station Nos. are shown on the
mounter: they are numbered from No.1 to No. 60 from right to left
when viewed from the front side, and No.1 to No. 60 from left to
right when viewed from the rear side. If MX-RT1, MX-ST2, MX-20
or MXR is selected, specify any number (1 to 199) for distinguishing
purposes, however, the same ST No. cannot be used twice in the
same program.
In the case of PS-MS3, nine different feeder names (PS-MS3-A to –I)
are available, however, the ST No. for which MS3 is set will be used
for each of those feeders.
Feeder / Pallet If ST-F/R is selected for “Feed Style”, select the desired feeder name
from the combo box that appears when a right-click is made.
(Linked with feeder names registered in the feeder library.) If a feed
style other than ST-F/R is selected, select the desired pallet name
from the combo box that appears when a right-click is made.
(Linked with pallet names registered in the pallet library.) If you
want to use a new pallet name, enter it manually to create it in the
pallet library. For multi-stick feeder names, see Chapter 5
Libraries>Feeder Library.
Packaging / Tray If ST-F/R is selected for “Feed Style”, select the desired packaging
name from the combo box that appears when a right-click is made.
Chapter 2 Creating and Editing a Program 2-51

(Linked with packaging names registered in the packaging library.)


If a feed style other than ST-F/R is selected, select the desired tray
name from the combo box that appears when a right-click is made.
(Linked with tray names registered in the tray library.)
If you want to use a new packaging/tray name, enter it manually to
create it in the packaging/tray library.
Availability Select “Available” or “Not Available”.
Shortage Alarm When the remaining component count drops to the level set here,
the shortage alarm signal will be output.
APC The amount of deviation that is measured by vision process is
applied to the Pickup-point correction. Right-click in a [APC] cell to
select either “Enabled” or “Disabled”. The value of 7. Auto
Pickup-point Correction Interval and 8. Auto Pickup-point
Correction Tolerance in User Parameter>Parameters are used.
Optimization Select “Enabled” if the feeder set position (ST No.) can be changed by
optimization. If not, select “Disabled”. (See Chapter 3 Optimization.)
Reject / Reuse Specify how the component that has caused an error is to be
processed. Select “Standard (Reject)”, “Specified Location” or
“Reject Conveyor” from the combo box that appears when a
right-click is made.
Standard (Reject): The component will be rejected into the
front-side reject tray if ST-F is selected in [Feed Style], or into the
rear-side reject tray if ST-R is selected. The component will be
returned to the tray from which it was picked up if a feed style
other than ST-F and ST-R (i.e. if any of MX-RT1, MX-ST2, MX-20,
MXR(L) and MXR(R) is selected).
Specified Location: The component will be rejected into the location
(coordinates) specified in [Reject Location]. The reject location will
be linked with the location specified in the tab page displayed by
selecting System > User Parameter > Reject Location.
Reject Conveyor: The component will be rejected onto the reject
conveyor attached to the station specified in [Reject Location]. The
reject location will be linked with the location specified in the tab
page displayed by selecting System > User Parameter > Reject
Conveyor Offset.
Note: The return-to-tray of the components picked up from MX-20 or MXR is performed after
component placement, if “Standard (Reject)” is selected, and after component pickup if
“Specified Location” or “Reject Conveyor” is selected.

Reject Location If “Specified Location” is selected in [Reject / Reuse], set the


component reject location No. (3 to 10) registered to the user
parameters.
If “Reject Conveyor” is selected in [Reject / Reuse], set the
component reject conveyor offset No. (1 to 10) registered to the user
parameters.
Chapter 2 Creating and Editing a Program 2-52

2-6-2-1 Automatic Feeder Link 2


When several pickup data share the same component code, same feeder, and same packaging,
they can be linked automatically. The link sequence is automatically optimized for minimizing
the head travel and for enabling simultaneous pickup.
Example:
No. Component Code -- Feed Style ST No. Feeder Packaging
005 1608CHIP -- ST-F 10 PS-84 Kyokyu1
007 1608CHIP -- ST-F 16 PS-84 Kyokyu1
l025 1608CHIP -- ST-R 23 PS-84 Kyokyu1

When pickup data of the PS-MS3 multi-stick feeder are entered as follows, they can be linked.
In use of PS-MS3, different feeder names (PS-MS3-A, -B, ...-I for each attachment position)
share the same station number (where electrical contact between the feeder bank and PS-MS3 is
made).
Example:
No. Component Code -- Feed Style ST No. Feeder Packaging
005 SOP-16 -- ST-F 16 PS-MS3-A Kyokyu2
007 SOP-16 -- ST-F 16 PS-MS3-C Kyokyu2
l025 SOP-16 -- ST-F 16 PS-MS3-E Kyokyu2

For information about link of trays, see Chapter 5.

2-6-3 Side Pickup Nozzle


Side Pickup Nozzle picks up a component from its side, therefore the nozzle moves towards X
or Y horizontally.

■ A movement sequence
Side view

Clearance

Grip Length Grip Length


Clearance

Top view

2 Link: When a currently used feeder is emptied, link allows the head to move automatically to a spare feeder for
components. This can eliminate machine down time due to changeover.
Chapter 2 Creating and Editing a Program 2-53

Magnified view

Offset
(A+B+C)

B A

Pickup Data in Program Data


When using the Side Pickup nozzle, input the Offset value(A+B+C) to the X Offset or Y
Offset.(Refer to the previous page, Magnified view.)
Menu: Program > Pickup Data
① Left-click to select the X/Y cell, and right-click to open the “Teach” window.
Chapter 2 Creating and Editing a Program 2-54

② Move the Teach camera to the side of the component with the arrow buttons.
(Locate the farthest position from the initial position of the nozzle, which the component
could possibly move and set its coordinates as a pickup position.)
The coordinates are determined.

③ Calculate X/Y value determined in ②above, the head travel distance towards X/Y after a
descent(Magnified view_B) and the distance between the center of the nozzle and its
pickup surface(Magnified view_C). Input the value to X Offset /Y Offset.

Nozzle Library
Menu: Library > Nozzle Library
Required information such as Side Pickup Offset for Nozzle Library is provided by i-PULSE.

Component Library
Menu: Library > Component Library > Delay Vacuum On
Set “ Delay Vacuum On” as “Use”.

2-6-4 Skip Editor

The skip editor is used to assign program steps (steps of [Placement&Mark Data])to skip. This
assignment can be performed also during a pausing of a job run. Use four buttons on the skip
editor to assign steps to skip.
Menu: Program > View > Show Skip Editor

Skip Editor Button

2-6-4-1 Skipping Selected Step(s)

Select a line to skip by clicking or select lines by dragging. The selected line or lines will be
highlighted. Then click the leftmost button. “1” is entered to [Skip] field of the line or lines.
Chapter 2 Creating and Editing a Program 2-55

2-6-4-2 Resetting Skip Assignment

Select a line to reset by clicking or select lines by dragging. The selected line or lines will be
highlighted. Then click the second button from the left. “0” is entered to [Skip] field of the line
or lines.

2-6-4-3 Skipping All Steps

Just click the third button from the left (no need to select lines).

2-6-4-4 Resetting All Skip Assignments

Just click the rightmost button (no need to select lines).

2-6-4-5 Skip Assignment for Repeat Programs


Skip assignment for a repeat program can be performed based on the below set of rules:
The “Start Block Placement” step represents the first board. Skip assignment to this step
skips execution of the first board.
Skip assignment to a “Repeat Offset” step skips execution of the board the step represents.
You can specify a particular placement step to skip within the program block.
Example:
No. Task:
0001 Fiducial
0002 Fiducial
0003 Start Block Placement
0004 Multiple Pickup
0005 Multiple Pickup
0006 Multiple Pickup
0007 End Multiple Pickup
0008 Single Pickup
0009 End Block Placement
0010 Repeat Offset
0011 Repeat Offset

In the above program:


To skip the first board, assign step number 0003 “Skip”.
To execute only the last board, assign step number 0003 and 0010 “Skip”.
To execute only step number 0005 and 0006 in each board, assign step number 0004, 0007,
and 0008 “Skip”.
To skip the first board and execute only step number 0005 and 0006 in the remaining boards,
assign step number 0003, 0004, 0007, and 0008 “Skip”.

0002

⑧ 0008

⑦ ⑥ ⑤ ④
0007 0006 0005 0004 ①
0001
Chapter 2 Creating and Editing a Program 2-56

2-6-4-6 Scan Direction


Menu: Program > View > Show Scan Direction Editor
With the M2/M4, it is possible to specify the direction in which the scan camera image is to be
scanned.
The scan direction can be set for each pickup cycle. Make sure that the same scan direction is
set for all the steps in the same pickup cycle.

Scan direction button

Scan direction
(Column)

Scan Direction /
Scan Direction All Cancel

Item:
Scan direction (Column) Normally, no scan direction must be specified. If no scan direction
is specified, reciprocating scan (reciprocating image capture)
requires two pickup cycles.
Right-click on this button. The Scan direction window appears,
enabling you to make selection from Not Specified, Leftward and
Rightward.
If Not Specified is selected, the scan direction will be determined
automatically by the mounter.
Scan direction Select Tool > Data Edit Utilities > Scan direction and allowing
collective selection of the scan direction (Automatic , Leftward or
Rightward).
If “Automatic” is selected, the scan direction will be set to [Right to
Left] for the first pickup cycle, and set to [Left to Right] and [Right
to Left] alternately for subsequent pickup cycles. However, if a
pickup cycle contains a component bring-back judgment (made by
the scan camera), the scan direction for that pickup cycle will also
apply to the next cycle.
If “Leftward” or “Rightward” is selected, the selected direction will
apply to all the pickup cycles.
Scan direc. All Cancel All the scan direction settings will be canceled collectively, and
“Not Specified” will be restored for all the steps.

Note: For components whose image is to be captured by a fixed camera, “Not Specified” will be set
for all the steps automatically.
Chapter 2 Creating and Editing a Program 2-57

2-6-4-7 Pickup Priority Function


Menu: Select Program > View > Show Pickup Priority Editor, or click Pickup Priority button
If the program has two or more of the same components in the pickup data, priority is given to
simultaneous pickup first, then the components are selected in ascending order of ST Nos.
(pickup-point Nos.). As a result, if there are two or more simultaneous pickup lanes, lanes with
low head shift may not be selected. The pickup priority function is provided to define priority
order of ST Nos. to generate high-efficient pickup cycles.
Example: In the following program, 1608C components are picked up simultaneously from
ST-F11, -13, -15 and -17 for placement steps 0002 to 0005. However, for steps 0009 to 0012,
1608C components are picked up from ST-F11 and -13, and 2125R components from ST-F31
and –33, respectively. This means that the head moves through approx. 16 lanes.
Now, if the program is modified to pick up 1608C components from ST-F35 and -37 for steps
0009 and 0011, the head moves through approx. eight lanes only, resulting in shorter tact time.

Pickup Priority button


Chapter 2 Creating and Editing a Program 2-58

■Pickup Priority Setting Procedure

① Click the pickup priority button to open the Pickup Priority edit window.
② Right-click in [Pickup Priority] cell of the placement step for which you want to set a
priority pickup-point.
③ The Pickup Priority window appears and displays all the ST Nos. for the same component
code registered in the pickup data, so select the ST No. to which priority is to be given.
④ Set priority pickup-point (ST No.) for the other components handled in the same pickup
cycle.
Note: Set the pickup priority point for every component handled in the same pickup cycle. If the
pickup priority point is not set to any of the components handled in the same pickup cycle, the
pickup priority point setting for that pickup cycle will be invalidated. In the case of component
type of which only one component is to be set in the feeder bank, the ST No. for that
component must be set.
Note: This pickup priority setting is effective only for the components that are to be picked up from
ST-F or ST-R.

③Select the ST No. to which priority is to be given.

①Click the pickup priority button.

②Right-click in [Pickup Priority] cell.

④Set pickup priority for every component handled in the same pickup cycle.
Chapter 2 Creating and Editing a Program 2-59

2-6-5 Data Edit Utilities

2-6-5-1 Group Edit


Menu: Program > Data Edit Utilities > Group Edit
This function allows you to make a grouping of placement steps to edit the steps collectively.

Group Conditions
Conditions of grouping are to be specified in this tab.
Window:
Component Code, Reference Designator, Image Code, F Group No., B Group No., Head:
To add an item in the combo box to a group, click on the item while
it is selected (background color is blue). The item will be added in
the box on the right. Plural number of items can be added. To delete
the item(s) from the box, choose the item and click the [←] button.
T To add the steps with a certain T coordinate, choose one from "0.00",
"90.00", "180.00", "-90.00". You can specify the range in the (+/-) edit
box. For example, if you specify "90.00" in the T combo box and
"5.00" in the edit box, the range for grouping will be from 85.00 to
95.00.
Note: When no items are added in the right-side box, all the items in the comb box will be
unconditionally specified for grouping.
Range
Start Step Specifies the starting program step for grouping. Normally, the
starting step of the target program is specified.
End Step Specifies the last program step for grouping. Normally, the last step
of the target program is specified.
Chapter 2 Creating and Editing a Program 2-60

Note: AND operation is used for the combo box conditions and the Range condition. For example,
"0603C" is selected from the Component Code combo box and "1" is selected from the F Group
No. combo box, only the steps having both the component code "0603C" and the "1" for the F
Group No. will be the target for grouping.
[Task] items
Include Placement/Disp... "Multiple Pickup", "End Multiple Pickup", "Single Pickup", "Skip",
"Dispense" in the [Task] cell in the [Placement&Mark Data] will be
the target for grouping.
Include Fiducial Step "Fiducial" steps will be the target for grouping.
Include Bad Mark Step "B Mark Positive Logic" and "B Mark Negative Logic" in the [Task]
cell will be the target for grouping.
Include Repeat Offset … “Repeat Offset” in the [Task] cell in the [Placement&Mark Data]
will be the target for grouping.
Note: OR operation is used for the [Task] items. For example, "Include Placement/Dispense Step"
and "Include Fiducial Step" are selected, both the placement/dispense steps and fiducial steps
will be the target for grouping.

Edit Items
What to edit collectively is to be specified.

Window:
X/Y/Z/T To add offset value(s) to the coordinates, specify the value(s) in the
box. To add, select "+". To subtract, select "-". To change +/- signs,
select "*-1".
Skip Setting Skip Specifies that the steps of the group will be skipped.
Not Skip Specifies that the steps of the group will not be skipped.
Interchange X and Y Interchanges X and Y coordinates.
<Execute> button Clicking this button will display the [Confirm Group Edit] window.
If you click the <OK> button in the window, the modification will
be reflected in the program.
Chapter 2 Creating and Editing a Program 2-61

2-6-5-2 PCB Rotation


Menu: Program > Data Edit Utilities > PCB Rotation
This function allows you to create a new program with the coordinates for a rotated PCB by
modifying the coordinates in the original program.

Note: To perform this function, Board Size XY value in Board Data tab must be more than 0.
Window:
Rotation Angle When the X and Y values for "Board Origin" in the [Board Data] are
not "0", the offset value(s) will be added to the placement
coordinates first, and then the coordinates will be rotated
(modified). When the PCB rotation has been performed, the X and Y
values will be "0".
When "0 deg. (Reflects PCB offset only)" is selected, only the offset
value(s) for the PCB will be reflected in the placement coordinates.

Note: To keep the original program, save the generated program after this function performed as
different file name.
Chapter 2 Creating and Editing a Program 2-62

2-6-5-3 Block Data Conversion


Menu: Program >Data Edit Utilities > Block Data Conversion
If the program contains block data (repeat data), the program data can be converted with each
repeat offset value reflected on each placement coordinate.
However, the method for creating the original repeat data differs between that when all the
panels share the same origin and when each panel has its own origin.
Furthermore, if a mark step is present in the original repeat data, a mark group No. will be
assigned to each block when data conversion is performed.

Note: When the block data is converted and saved, the original block data will be lost. So, it is
recommended that the original block data be saved in another name and then block data
conversion be performed on that data.

Note: Block data conversion cannot be performed if the program causes an error during data check.
When you edit the program, you need to save it before performing block data conversion.

● Example 1 : When all the panels share the same origin (PCB origin X:10, Y:10)

Placement coordinates
(X:20, Y:20)

Origin common to all


the panels

100mm

10mm

100mm 10mm

X Y θ Task X Y θ Task
Start Block 20 20 0 Single Pickup
20 20 0 Single Pickup 120 20 0 Single Pickup
End Block 80 180 180 Single Pickup
100 0 0 Repeat Offset 180 180 180 Single Pickup
100 200 180 Repeat Offset
200 200 180 Repeat Offset Actual coordinates after conversion

Repeat data before conversion


Chapter 2 Creating and Editing a Program 2-63

● Example 2 : When each panel has its own origin

Distance from panel’s origin(X:20,Y:20)


Note: Distance from PCB origin(X:30,Y:30)

Origin of each panel

100mm

10mm

100mm
10mm

Since only one PCB origin is specified, it is not possible to duplicate (repeat) data by rotating
the PCB whose origin has been offset. When each panel has own its origin as shown above, set
the PCB origin to X:0 and Y:0. As a result, the first component placement coordinates will be
X:30, Y:30 in the case of the above example.

PCB origin (X:0,Y:0)


X Y θ Task X Y θ Task

Start Block 30 30 0 Single Pickup

30 30 0 Single Pickup 130 30 0 Single Pickup

End Block 70 170 180 Single Pickup

100 0 0 Repeat Offset 170 170 180 Single Pickup

100 200 180 Repeat Offset


200 200 180 Repeat Offset Actual coordinates after conversion

Repeat data before conversion


Chapter 2 Creating and Editing a Program 2-64

2-6-5-4 Creating Multi-panel


Menu: Program > Data Edit Utilities > Creating Multi-panel

This function enables easy generation of repeat data based on placement data that contains no
repeat data. Repeat data (panel) can be angled by 0 or 180 degrees from the original placement
data.
Note: There is no restriction on the number of panels. However, an error will occur if the completed
placement data consists of more than 10,000 lines. Furthermore, this function cannot be used if
the original data contains repeat data or if a data check error is present.

Panel layout pattern


selection button

Item:
Count X / Y Enter the number of panels present in X (horizontal) and Y (vertical)
directions. The original panel must be contained in this number.
Pitch X / Y Enter the panel pitch in X (horizontal) and Y (vertical) directions.
The panel’s edge length must always be entered here even if a
number other than “1” is entered in Count X/Y.)
★Unit: mm
Pattern Select the desired panel layout pattern.

All the panels are placed in the same direction (i.e. at 0 degree from) as the original
placement data.

When panels lined up in X-direction are placed in the same direction (i.e. at 0 degree
from) as the original placement data, and panels lined up in Y-direction are placed in
reverse direction (i.e. at 180 degrees)
Note: Panels in Y direction are angled at 0 and 180 degrees alternately: third-row at 0
degree and fourth-row at 180 degrees.

When panels lined up in Y-direction are placed in the same direction (i.e. at 0 degree
from) as the original placement data, and panels lined up in X-direction are placed in
reverse direction (i.e. at 180 degrees)
Note: Panels in X direction are angled at 0 and 180 degrees alternately: third-row at 0
degree and fourth-row at 180 degrees.

Note: No other patterns are supported by the multi-panel PCB generation function.
To have a pattern other than those, repeat data must be created manually. For details, refer to
“Rotated Multi-Panel PCB”.
Chapter 2 Creating and Editing a Program 2-65

Note: If the contacting edges of panels are complicated as shown below, the pitch must be up to the
center of the overlapping edges. In this case, a part of the panels appears to extend beyond the
pitch range, but this will not cause a problem.
In “Rotated Multi-Panel PCB” given earlier in this manual, offset values are entered to create
repeat data for PCBs consisting of panels rotated at different angles. Please note that, with this
multi-panel PCB generation function, the panel pitch must be entered.

80mm

PCB origin

200mm

Settings in “Creating Multi-Panel” window


Count X 2
Count Y 1
Pitch X 100mm
Pitch Y 80mm

2-6-5-5 Head Substitution


Menu: Program > Data Edit Utilities > Head Substitution

This function automatically generates a program that uses an alternative head in cases where a
particular head is no longer usable due to breakdown.

Note: When the data is saved after head substitution is performed, the original data will be lost. So, it
is recommended that the original data be saved in another name and then head substitution be
performed on that data.
Note: Executing the head substitution function will not alter feeder arrangement. In addition, the
number of nozzles used will not be increased.

Note:
The number of installed
heads varies with the
machine model.
Chapter 2 Creating and Editing a Program 2-66

Head, needs to be skipped


Specify a non-usable head. It is not possible to specify two or more
heads at the same time. If you want to specify two or more heads,
disable all the non-usable heads first in the system parameters, and
then execute the head substitution function for each of non-usable
heads repeatedly.
Note: To cancel them, select System > System Parameter > Parameter > Head/ANC Setup from the
main menu.

Substitute Placement The placement steps for which heads selected in “Head, needs to be
skipped” are designated will be deleted from the corresponding
cycle and assigned to another cycle. Here, select the priority
condition to be given when creating an alternative step instead of
the deleted step.
(Priority to Multiple Pickup (Postpone) / Priority to Placement
Order (Next Cycle))
Note : In either case, if non-used heads having the same nozzle are
present within the same cycle, the program will be modified so that
substitute placement is performed using those heads.

●Priority to Multiple Pickup (Postpone)


The deleted placement steps are assigned collectively at the
end of the program. Multiple pickup is performed as much
as possible to reduce the placement tact time. Some
restrictions will apply to the postpone processing. Refer to
[NOTE].
●Priority to Placement Order (Next Cycle)
If a head that uses the same nozzle as that of the non-usable
head is designated in the same cycle, the deleted placement
steps are inserted before the next cycle. This enables
placement with the placement order specified in the
program taken into account. However, if there is no head
with the same nozzle in the cycle, the deleted placement
steps will be postponed to the end of the program (multiple
pickup). Some restrictions will apply to the postpone
processing. Refer to [NOTE].

Note: Postpone processing for substitute placement are restricted in the following cases.
① If two or more pairs of non-grouped fiducial marks are present, postpone processing will
be performed within the effective range of those fiducial marks.
② If two or more non-grouped bad marks are present, postpone processing will be
performed within the effective range of those bad marks.
③ In the case of repeat data, postpone processing will be performed within the repeat range.
④ If the program handles components exceeding 10.5mm in height, postpone processing
will not be performed in any cases, and the deleted placement steps are inserted before
the next cycle. In this case, if there is no head using the same nozzle, nozzle change will be
performed.
Chapter 2 Creating and Editing a Program 2-67

2-6-5-6 Reduce the number of nozzle for use


Menu: Program > Data Edit Utilities>Reduce the number of nozzle for use

For a program with multiple-pickup process by several heads, this function converts the
program so that it needs less number of nozzles. This is convenient when you cannot prepare
the necessary amount of nozzle.
Note: This function cannot be used if a data check error is present.

Items:
Nozzle ID: Select a Nozzle ID to reduce the number for use.
The number for use: Current amount of nozzle for use is shown on the left, and specify
the reduced number on the right.
Example:
1) The original program has multiple-pickup process by Head 1 ~ 6 using ID-2
nozzles. 6 pieces of ID-2 nozzle should be prepared for this program.
Chapter 2 Creating and Editing a Program 2-68

2) If you have only five of ID-2 nozzles, change the program to reduce the use
of ID-2 nozzle from “6” to “5” by this function.

3) Click OK button to perform the function.


Following shows the result program which needs max. 5 pieces of ID-2 nozzle.

Note: Once the [Reduce the number of nozzle for use] function is performed, the original program
would be lost. It is recommended to save it as a new file name after performing the function in
order to keep the original program.
Chapter 2 Creating and Editing a Program 2-69

2-7 Checking a Program

Several functions for checking a created program/library data are available.

2-7-1 Checking a Program


Menu: Program>File>CheckProgram
This feature allows for checking a created program (placement program and associated library
data) for error settings. The feature is automatically performed when you save a program in the
program editor or open a program in the Run dialog box. When errors are detected, error
messages are provided in [Check Program] dialog box.
When you correct library data according to the provided error message, save the library data
and check the data in one of the following three ways:
Open the corresponding program and execute [Check Program] menu
(Program>File>CheckProgram).
Open the corresponding program and save the program (Program>File>Save).
When [Check Program] dialog box is open, execute the Recheck menu (File>Recheck).
The error message “Nozzle No. registered in Component Library is not found in Nozzle
information.” which may be provided in checking a program does not suggest an error setting.
When this error message is provided, execute [Scan ANC] operation in the Nozzle dialog box
(Manual>Nozzle) to let the system identify present nozzles.
Note: This feature is applicable only to saved data.

2-7-2 Program Trace


Menu: Program>Tool>Teach>Trace
This feature allows programmed coordinates (placement/pickup/fiducial) to be monitored on
the CRT monitor. See Teach Entry earlier in this chapter.

2-7-3 Programmed Components & Nozzles


Menu: Program>Tool>ProgrammedComponents&Nozzles
When you edit a program in the program editor, with regard to the current program, you can
refer to programmed components data (component library data) and the number of required
nozzles.
Chapter 2 Creating and Editing a Program 2-70

2-7-4 Tact Simulation


Menu: Tool>TactSimulation
Tact simulation calculates approximate time required to run a program.
Overview

Select a program

Click the Start button

View the result

Action:
Selecting a Program
① In the Tact Simulation dialog box, click File>Open. The Select Program dialog box
appears.
② Select a file with a click.
③ Click <OK> button.
Executing Tact Simulation
Click <Start> button to execute tact simulation. Progress of the execution is indicated at the
lower part of the dialog box.
To abort execution, click <Cancel> button.
Displaying the Result
The calculated tact time is shown at the middle of the Tact Simulation dialog box. (unit: ms) For
detailed results, click <Result> button. The following information will be displayed.
Head tab
With respect to each head, counts of pickups, placements, image processes, and nozzle changes
are displayed.
Time tab
Each operating time stated below is shown:
Pickup Time, Place Time, XY Move Time, Theta Wait Time, Image Shoot Time, Image Process
Time, Component Supply Time, Nozzle Change Time, FM/BM Time (Fiducial/Bad mark time),
and Total Time
Warning tab
If a warning message is provided, open this tab for detailed contents.
Chapter 2 Creating and Editing a Program 2-71

2-7-4-1 Customizing Tact Simulation


To obtain even accurate results or suit your specific programming, you can customize tact
simulation with various options. In the Tact Simulation dialog box, select Option>Set to open
the Set dialog box.
Nozzle tab
Tact simulation collects nozzle information from the program, allocating nozzles to the ANC
and heads so the number of nozzles are minimized. This may, however, result in increased
nozzle changes. If necessary, add some nozzles in the following steps.
When you want to customize nozzle allocation, check [Keep this setting.] check box, and
perform as follows:
Action:
① Click a cell in the Nozzle column to enter nozzle name.
② Right-click the mouse and select [Nozzle Select] from the popup menu. The list of nozzles
appears.
③ Double-click a desired nozzle name from the list.
④ The selected nozzle name is entered into the cell.
To delete a nozzle name, right-click the mouse with the nozzle name selected and select
[Delete] from the popup menu.
Note: Nozzle setup will change the tact time. However, changes made here won’t be applied to the
parameter setting of the system.
Feeder Type tab
Feeder types information is collected from the feeder library. You can edit [Feeder Type] and
[Feed Time] as follows:
① Click the record to edit.
② Right-click the mouse and select “Edit” from the provided list.
③ The editor window will appear. Edit [Feeder Type] using the provided combo box, [Feed
Time] by typing.
For information on the feeder drive method, see the Parts Feeders Instruction Manual. For
standard value for the record, click [Initialize] in the list. For standard value for all the records,
click [Initialize All] in the list.
Actuator tab
In the Actuator tab, settings stated below can be changed: [PCB Clamp Time], [PCB Transfer
Time], [ANC Slide Time], [Shuttle Clamp Time], [Stocker Clamp Time], [Hook Up/Down
Time], [Hook Lock/Unlock], and [Pallet bar Open/Close].
Note: For the Actuator tab settings, you can use measurements obtained via
Manual>Actuator>Timing.
Simulation Cycle tab
Choose [Completion of PCB Clamp to Completion of Placement] or [Including PCB Clamp
Time and PCB Transfer Time] button. The feeder standard feed time here is applied to
[Initialization] of [Feeder Type].
Image Process Time tab
Codes in this tab are related to the image library. This tab provides the following functions:
Image process time for each code can be changed. (unit: ms)
New codes can be added. Right-click the mouse and select [New] from the popup menu.
The New Image Code dialog box appears. Type in a code to the entry field. Or click <Image
Library> button to select a code from the image library. After entering a code, click <OK>
button. The dialog box is closed, and the code will be added.
Chapter 2 Creating and Editing a Program 2-72

Codes can be deleted. Right-click the mouse with a code selected. Select [Delete] from the
popup menu. [Data will be deleted. OK?] appears. Click <OK> button.

Finding and Arranging Image Codes


Menu: Find>Find
Find>Next
Find>Previous
Find>Sort

Finding an image code containing specified text:


Click Find>Find to open the Find dialog box. Under [Character String], enter the text you want
to find. To search downward from the current (active) cell, click <Next> button. To search
upward, click <Previous> button.
Clicking Find>Next/Previous allows for finding the next or previous searched item.

Arranging the registered image codes:


Click Find>Sort to open the Sort dialog box. Click <Descend> or <Ascend> and then click
<OK> button. Clicking <Ascend> button arranges image codes in ascending order.
Example: 1, 2, 3... a, b, c...
Clicking <Descend> button arranges reversely.
Note: Changes in codes here won’t be applied to Component Library of the system.

Saving the Setting in the Set dialog box


To save data...
① Click <OK> button to save the setting.
② The Set dialog box is closed and the previous screen is activated.
To abort data...
① Click <Cancel> button.
② [Data is not saved. OK?] appears. Click <OK> button.
③ The Set dialog box is closed and the previous screen is activated.
Chapter 3 Optimization 3-1

Chapter 3
Optimization
Chapter 3 Optimization 3-2

3-1 Optimization

This section explains how to optimize the program that enables the efficient production by
using the optimization function.

3-1-1 Conditions for Optimization


For optimization, a program must be created as explained below. If the program is not created
as required, it is not possible to perform optimization.

3-1-1-1 Repeat program (contents of the repetition)


When creating several block placement loops by setting “Repeat Offset” in the [Task] cell of
[Placement & Mark Data], the number of steps and the offset values must be the same for all
the consecutive [Repeat Offset] records.

"Start Block" 1

Placement steps 1

"End Block" 1

"Repeat Offset" steps 1 The number of steps and each


offset values must be the same.

"Start Block" 2

Placement steps 2

"End Block" 2

"Repeat Offset" steps 2


Chapter 3 Optimization 3-3

3-1-1-2 Repeat program (outside the loop)


When creating a loop by setting “Repeat Offset” in the [Task] cell of [Placement & Mark Data],
the following restrictions will apply to creation of data outside the loop.
(1) No placement steps are allowed outside the loop.
(2) Only one type of F Group No. is allowed for fiducial mark steps present outside the loop.
(3) Only one type of B Group No. is allowed for bad mark steps present outside the loop.
(Master bad marks are excluded.)

B Group No. = 1

B Group No. = 2

F Group No. = 1

F Group No. = 2
The optimization cannot
Placement steps process these.

"Start Block Placement"

Placement steps

"End Block Placement"

"Repeat Offset" steps

Bad mark
The master bad mark step (B Group No. = ”255” or “254”) must be located at the beginning of
the placement steps.
The bad mark steps of the same B Group No. must have the same X, Y and T coordinates

Fiducial Mark
The fiducial mark steps of the same F Group No. must have the same X, Y, and T coordinates
Chapter 3 Optimization 3-4

3-1-2 Optimization Setting


Menu: Program > File > Optimization

Note: When you perform optimization, the data checking for optimization process will be performed.
If there are duplications of pickup points (ST No.), no errors will result.
Make necessary settings and click the <OK> button to perform optimization.

Feeder Setting Condition: Restriction on feeder position changes can be specified.


All Feeders Fixed: Optimization of feeder positions will not be performed. The
component placement order and head assignment will be
optimized.
Moveable Without Allocation: Optimization of feeder positions, component
placement order, and head assignment will be performed.
Note: If “Disabled” is selected in the [Optimization] cell in the [Pickup Data] for a pickup point
record, the pickup point will be processed as a fixed feeder even if “Movable Without
Allocation” is selected for [Feeder Setting Condition].

Feeder Layout Mode: Feeder Layout Mode is for selecting which element (cycle time or
space) you place priority on for feeder allocation.
Cycle Time Priority: Feeder allocation will be made so that the head moving distance
will be shorter.
Space Priority: Feeder allocation will be made so that the feeder stations are used
efficiently.
Chapter 3 Optimization 3-5

<Nozzl Mng> By clicking the <Nozzle Mng> button, you can edit the Nozzle
Inventory for automatic nozzle allocation. The information in the
Nozzle Management will be used for automatic nozzle allocation in
the optimizing process. The nozzle inventory should be set before
you run automatic nozzle allocation. Set the number of nozzles for
each nozzle type.
<Nozzle Alloc> Clicking the <Nozzle Alloc> button will allocate the nozzles
according to the “Nozzle Count” setting and the result will be
displayed in the list box.
Nozzle Count:
Nozzle Inventory: The number of nozzles for each nozzle type registered in the
[Nozzle Management] window will be used.
Ignore: The most efficient nozzle allocation will be made for a target
program. The number of nozzle for each nozzle type registered in
the [Nozzle Management] window will be ignored.
Machine: The nozzle allocation will be made using the number of nozzles the
machine actually has.
Note: When you select “Ignore”, the result may include the number of nozzles which exceeds the
actual number you have.
Auto Nozzle Allocation Nozzle allocation will be automatically performed in the
optimization process by checking the [Auto Nozzle Allocation]
check box.
When you want to allocate the nozzles manually, uncheck the
[Auto Nozzle Allocation] check box and set manually for each ANC.
Select an ANC No. in the list box and set a head No. and a Nozzle
No. from the combo boxes.
Default Nozzle Setting Clicking the <Save> button will save the contents in the list box as
the default setting.
Clicking the <Show> button will display the saved contents of the
default nozzle setting in the list box.
Optimization Priority In the Component Library, you can specify the placement order of
each component by setting “Optimization Priority” for each
component code.
) 5. Library > Component Library
Chapter 3 Optimization 3-6

3-1-3 Execution of Optimization


Click the <OK> button in the [Optimization TEST] window. The window showing the
progress will appear.

Clicking the <Stop> button will display the dialog box which asked you whether you want to
stop or continue the process.

Clicking the <Yes> button will stop the process.


Clicking the <No> button will continue the process.

When the process has been completed, the simulated cycle time will be displayed.
Chapter 3 Optimization 3-7

3-1-4 Saving the Result


Clicking the <Close> button will display the dialog box which asks you whether you want to
save the result or not.

Enter the file name and click the <OK> button to save the result.
If you do not want to save the result, click the <Cancel> button to stop the process.

Special Nozzles
When you want to use special nozzles which are not registered as standard nozzles, add the
nozzle(s) in Tool > Edit Special Nozzle.

A special nozzle will be added by entering the nozzle number in the “Nozzle No.”.
The entered nozzle No. will be added in the combo box for “Nozzle”.

Note: The allowable numbers are 1 to 255.


Note: The maximum number of registerable nozzles is 32.
Chapter 3 Optimization 3-8

---Blank page---
Chapter 4 Data Conversion 4-1

Chapter 4
Data Conversion

Converting CAD data (placement


coordinates, comments, and component
names) for compatibility with the M1-series
mounter
Chapter 4 Data Conversion 4-2

4-1 CAD Data Conversion

Menu: Tool>CAD Data


CAD Data menu allows CAD data and NC data for other manufacturers' mounters to be
translated into program data that are compatible with the TenryuTechnics machines. Only
component names, comments, and X, Y, T data can be translated.
Note: CAD data used in this manual refers to text files.

CAD Data
Format File

I np ut CAD Conversion Ou tp ut
CAD Data Program Program Data

4-1-1 Use of Converted Data


Converted data can be downloaded to the program editor of the MMI system
(Program>Placement&MarkData).
Component name: downloaded to Code fields
Comment: downloaded to Comment fields
X, Y, T: downloaded to X, Y, T fields

4-1-2 Supplementary Functions


The following assisting features are available in performing CAD data conversion:
mm/inch Conversion
Converts CAD data to either mm or inch that is used in the machine.
Coordinates Direction Conversion (X/Y)
Converts the orientation of the board to match the machine’s X, Y-coordinates system.

Y Y
X X

X X
Y Y

Coordinate Direction Conversion (T)


Converts the component rotational direction to match that of the machine (counterclockwise is
the plus direction for the TenryuTechnics machines.). In addition, if rotating angle exceeds 180
degrees, to minimize rotating angle, rotating direction is reversed.

+ -

Data Magnify Function


Multiplies or divides the source CAD data according to the RATE setting (data-correction rate).
Origin-offset Correction
Chapter 4 Data Conversion 4-3

If CAD-data origin is on different coordinates from destination-data origin, placement


coordinates are automatically corrected by entering the distance between these two origins.

CAD data coordinates Destination data coordinates

Y Y
Component

Y Offset

X Offset

4-1-3 Operation Overview

Select a CAD format

Specify a CAD data file

Use an existing file Edit an existing file Create a new file

Execute conversion
Chapter 4 Data Conversion 4-4

4-1-3-1 Selecting a CAD Format


Select Tool>CAD Data and the CAD Data Conversion dialog box appears. Some standard
format files are pre-registered. See Appendix later in this chapter.

Click <Format Select> button in the above dialog box. [CAD Format Select] dialog box will
appear.

Select what to do from the four alternatives stated below:


Using an existing format
Click a format name and then <Select> button. For the remaining steps, see Selecting a CAD
Data later in this chapter.
Editing an existing format
Click an existing format name and [Edit] button.
Creating a new format
Click <Create> button.
Deleting a format
Click the format to be deleted and <Delete> button.
Chapter 4 Data Conversion 4-5

4-1-3-2 Editing and Creating a CAD Format


When clicking <Create> button or <Edit> button, [Format Edit] dialog box will appear.

Format Name
Enter CAD format name. Up to 40 characters can be entered. The name entered here is
displayed for [CAD Format Select] dialog box.
File Extent
Enter file extent of a CAD Data file to which the currently edited format is applied. Prefix *.
(asterisk and period) before the extent. Capital letter and small letter are not separately
recognized.
Example: *.pnc *.CCP
For [CAD Data Conversion] dialog box, only the files assigned the file extent entered here are
displayed.
XY
Using the SPACE key, select the X,Y-axis direction of CAD data. (+ direction is indicated by an
arrow.) If the X,Y-axis direction of CAD data and destination data differ, +/- sign of CAD data
is converted according to the X,Y-axis direction of destination data.

Format CAD Data PCB Origin


XY X/Y RF
+/+ Same as
+/- CAD Data
-/-
-/+
+/+ +/-
+/- +/+
-/- -/+
-/+ -/-
+/+ -/-
+/- -/+
-/- +/+
-/+ +/-
+/+ -/+
+/- -/-
-/- +/-
-/+ +/+
T
Select the rotating direction (clockwise/couterclockwise) for T angle. (+ direction is indicated
by an arrow.) Since the rotating direction of destination data is fixed as couterclockwise
direction, if you select clockwise direction here, +/- sign of CAD data is reversed.
Chapter 4 Data Conversion 4-6

Unit
Select data-entry unit (mm/inch) of CAD data. If data-entry unit of CAD data and destination
data (program data) differ, mm-inch conversion is executed.
Offset X/Y
If CAD-data origin is on different coordinates from destination-data origin, enter coordinates
of destination-data origin based on CAD-data origin. When both origins are on the same
coordinates, enter 0 (initial value).
This data should conform to data you entered for UNIT and COORDINATE XY (+/- sign).
Example: Offset X=+Xa, offset Y=+Ya

CAD data coordinates Destination data coordinates

CAD Data Destination data


Component X Y Component X Y
. . . . . .
. . . . . .
? +Xb +Yb CAD Conversion ? -Xc +Yc
. . . . . .
. . . . . .

Y Component Y

Y X

Y
Y
X

X X

Comment lines
Enter the location of COMMENT LINES in the file. COMMENT LINES means CAD data
excepting program-step data and they includes PCB information, etc. Each data has different
format setup.
● Top
Enter how many lines at the top of the file to include COMMENT LINES (0-99).
● Bottom
Enter how many lines at the bottom of the file to include COMMENT LINES (0-99). A line
containing only EOF code (1A)h is not included for this data.
● Start ID
If COMMENT LINES exist in other lines than TOP or BOTTOM, enter identifier characters to
start COMMENT LINES(up to 10 characters).
Note: "line" herein indicates data separated by CR&LF code (0D0A).
Note: A line containing only CR&LF code (0D0A) is recognized as COMMENT LINE though not
specified previously.
Example: COMMENT LINES TOP:2 BOTTOM:2 START-ID.:*
Chapter 4 Data Conversion 4-7

CAD DATA (↓=CR&LF (0d0a)h)


●●●● +●●●● 1 ●●●● +●●●● 2 ●●●● + ●●●● 3 ●●●● + ●●●● 4 ●●●● +●●●● 5 ●
TOP B O A R D N A ME : A B C D E F G↓
B OA R D OF F S E T : X = 0 . 0 mm , Y = 0 . 0 mm↓
* * * * * * * * * * * * * * * * * P A R T S MO U N T E D * * * * * * * * * * * * * *
* N A ME P A RT T Y P E X Y T
↓  
START ID * * * * L SI * * * ↓
L S1 0 1 1 SS1 8 7 - 13. 843 16. 929 0. 00↓
L S1 0 2 1 SS1 8 7 - 1 5. 519 16. 955 180. 00↓

* * * * CHI P * * * ↓
R1 CR1 / 4 W - 28. 689 7. 544 0. 00↓
R2 R3 2 1 6 - 42. 596 45. 872 90. 00↓
R3 R3 2 1 6 - 44. 094 29. 134 180. 00↓

BOTTOM DAT E : 1994. 12. 13↓
T I ME : 10: 32: 12↓
[ E OF ]

Mode Set
Specify data search method for non-standard-format CAD data.
● Modes
Data search mode (1-04)
Skip mode: Data is not used.
Fixed location: Detect data by INIT.,LAST and ORDER. Set the initial and last column number
or each data in a line.
END-ID. mode: Detect data by ORDER and END-ID.
By detecting END-ID., the system recognizes the end of data.
Note: It is invalid to locate data of END-ID. after data of START-ID.mode. The system cannot
distinguish one data from the other.
START-ID. mode: Detect data by ORDER and START-ID.
By detecting START-ID.,the system recognizes the starting of data.
START/END-ID. : Detect data by ORDER, START-ID.and END-ID.mode By detecting
START-ID. ,the system recognizes the starting of data. Then by detecting END-ID., the system
recognizes the end of data.
● Init.
Initial column number in a line (1-510)
Used for fixed location mode. Entry value should be the same or less than that of LAST (last
column.number) of the same data. Also each data location in a line assigned by INIT./LAST
should not overlap each other.
● Last
Last column number in a line (1-510)
Used for fixed location mode. Entry value should be the same or larger than that of
INIT.(Initial column.number) of the same data. Also each data location in a line assigned by
INIT./LAST should not overlap each other.
● Order
Data location order (1-99)
ORDER is required for all the data search mode except for 0=Skip mode. ORDER should be
entered in consecutive order from 1. If you want to skip one or more items in a line, you need
not conform to this convention. (See Note.)
● Start ID
Start-identifier letters (up to 10 letters) Used for START-ID.mode and SART/END-ID.mode.
Space(s) only (with no other letter) are not allowed.
Chapter 4 Data Conversion 4-8

● End ID
End-identifier letters (up to 10 letters) Used for END-ID.mode and START/END-ID.mode.
Space(s) only (with no other letter) are not allowed. As for the last item in a line, if no END-ID.
is entered, CR&LF code (0D0A) is recognized as END-ID. instead. However, this code cannot
be entered as END-ID, enter any letters as dummy END-ID.
Note: To skip one or more items in a line, two alternatives are available.
1.Specify Fixed location mode for all the items.
2.Provided the same END-ID. are entered for every item in a line, specify END-ID. mode for
all the items and enter the same END-ID. for them.
In both cases, the system recognizes items to skip by skipped ORDERs.
The following shows example CAD data and the required settings for them (CAD FORMAT
SET). The example CAD data conform to the below conventions:
↓ CR&LF code (0A0D)h
? Data to skip (unnecessary data)
Data search range
START-ID. or END-ID.
(x) ORDER
Example1: [CAD Format Set]
Component : Mode=Fixed Location Order=1 Init.= 1 Last=16
X : Mode=Fixed Location Order=2 Init.=17 Last=24
Y : Mode=Fixed Location Order=3 Init.=25 Last=32

Component X Y
● ● ● ● + ● ● ● ● 10 ● ● ● ● + ● ● ● ● 20● ● ● ● + ● ● ● ● 30● ● ● ● + ● ● ● ● 40●
0 2 C Z + 4 3 . 2 4 4 4 0 . 8 6 8 ↓
1 S S 3 2 2 6 2 . 2 9 4 2 3 . 8 5 1 ↓
1 S S 3 2 2 - 1 6 2 . 2 9 4 1 2 8 . 2 9 6 ↓
(01) (02) (03)

Example2: [CAD Format Set]


Component : Mode=Start/End ID Order=1 Start ID=NT: End ID=/
X : Mode=End ID Order=2 End ID=/
Y : Mode=Start ID Order=3 Start ID=/

●●●● + ● ● ● ● 10 ● ● ● ● + ● ● ● ● 20 ● ● ● ● + ● ● ● ● 30 ● ● ● ● + ● ● ● ● 40 ●
P O I N T : 0 2 C Z / + 4 3 . 2 2 4 / ? ? / 4 0 . 8 6 8 ↓
P O I N T : 1 S S 3 2 2 / 6 2 . 2 9 4 / ? ? ? ? / 2 3 . 8 5 1 ↓
P O I N T : 1 S S 3 2 2 / - 1 6 2 . 2 9 4 / ? ? / 1 2 8 . 2 9 6 ↓
(01) (02) (03)

Example3: [CAD Format Set]


Component : Mode=End ID Order=2 End ID=/
X : Mode=End ID Order=5 End ID=/
Y : Mode=End ID Order=6 End ID=/

●●●● + ● ● ● ● 10● ● ● ● + ● ● ● ● 20● ● ● ● + ● ● ● ● 30● ● ● ● + ● ● ● ● 40●


? ? / 0 2 C Z / ? ? ? / ? ? / + 4 3 . 2 4 4 / 4 0 . 8 6 6 ↓
? ? ? / 1 S S 3 2 2 / ? ? / ? ? ? / 6 2 . 2 9 4 / 2 3 . 8 5 1 ↓
? ? / 1 S S 3 2 2 / ? ? ? / ? ? ? ? / - 1 6 2 . 2 9 4 / 1 2 8 . 2 9 6 ↓
(01) (02) (03) (04) (05) (06)

Example4: [CAD Format Set]


Component : Mode=Start/End ID Order=3 Start ID=[ End ID=]
X : Mode=Start ID Order=1 Start ID=X
Y : Mode=Start/End ID Order=2 Start ID=Y End ID=K

●●●● + ● ● ● ● 10 ● ● ● ● + ● ● ● ● 20 ● ● ● ● + ● ● ● ● 30 ● ● ● ● + ● ● ● ● 40 ●
X + 4 3 . 2 4 4 Y + 4 0 . 8 6 8 K ? ? ? ? ? ? ? ? ? [ 0 2 C Z ] ↓
X + 6 2 . 2 9 4 Y + 2 3 . 8 5 1 K ? ? ? ? ? ? [ 1 S S 3 2 2 ] ↓
X - 1 6 2 . 2 9 4 Y + 1 2 8 . 2 9 6 K ? ? ? ? ? ? [ 1 S S 3 2 2 ] ↓
(01) (02) (03)
Chapter 4 Data Conversion 4-9

● Rate (Data correction rate)


CAD data and destination data (program data/pre-optimization data) may have different
data-entry unit. For this case, enter data correction rate by which to multiply CAD data into
destination data in correct unit.
Example: If convert CAD data=123.456inch with the below listed correction rates, the destination data
will be as follows.

CAD Data CAD Format Destination Data


Unit Rate Unit
inch mil 1/10 mil mm
10.000 1234.56 1234560 12345600 31357.824
01.000 123.456 123456 1234560 3135.7824
00.100 12.3456 12345.6 123456 313.57824
123.456 inch 00.010 1.23456 1234.56 12345.6 31.357824
00.001 0.123456 123.456 1234.56 3.1357824
02.000 246.912 246912 2469120 6271.5648
00.500 61.728 61728 617280 1567.8912

Note: It is invalid set such RATE as to make the number of integer places of destination data exceeds
the limit. As for the decimal part, exceeded places are rounded off.
After entering data for the Format Edit dialog box...
① Close the Format Edit dialog box by clicking its <X> button. The confirmation dialog
appears asking you whether to save the setting. Click <Yes> button.
② The CAD Format Select dialog box becomes active. Click the CAD format name saved in
the previous step and click <Select> button.
③ The CAD Data Conversion dialog box becomes active. Make sure the CAD format name
selected in the previous step is shown under [CAD Format Name].

4-1-3-3 Selecting a CAD Data


Select a CAD data.

The selected format and


Select the folder extent should be
including CAD data displayed.
you want to use.

Select the drive Select the file


including CAD data Including CAD data
you want to use. you want to use. Only
the files with the
specified extent will
appear.

Select to which data of


the program data
Comment is output.

4-1-3-4 Executing Convertion


Click <Execution> button to execute conversion. After the execution, save the data.
Note: You can change a file name. However, saving the renamed file to a different folder is not
allowed.
The saved data can be loaded to the program editor (Program>File>Open).
Chapter 4 Data Conversion 4-10

4-1-4 Appendix

4-1-4-1 Applicable CAD Data


Applicable CAD data for the enhanced CAD data conversion should meet the following
requirements.
● CAD data should conform to ASCII codes. (text file)
Numerals: "0"(30)h ~ "9"(39)h "+"(2B)h, "-"(2D)h, "."(2E)h
Other characters: "(space)"(20)h/(09)h*, "~"(7E)h, etc. * TAB code (09)h represents a
space.
● File specifications
Ending of file: EOF code (1A)h
Ending of line: CR&LF code (0A0D)h
Line length: Max.512 bytes (Including CR&LF code)
Numerical data: Expressed in decimal
COMMENT LINES: CR&LF code or any identifier letters are entered at the beginning.
● Placement information for one side of board and that for the other side should not be
included in the same file. If so, you need to separate each information into different files,
or to modify the converted data.
● Placement and dispense information should not be included in the same file. If so, you
need to separate each information into different files, or to modify the converted data.
● As for placement step, X,Y-data should represent coordinates of placement point.
Normally, the Tenryu placement head sucks the parts-center and its coordinates
correspond to placement point. (Coordinates of parts-corner or parts-lead are invalid.)
As for dispense step, X,Y-data should represent coordinates of dispense point.
Chapter 4 Data Conversion 4-11

4-1-4-2 Standard CAD Data Layouts


The following standard formats are pre-registered.
Standard CAD Data for MT-5500 MT-5700
File Style: Text file
File Name: *.* Long file name is supported.
File Layout:
Data record 64 bytes per step x n
. n is determined by number of steps
. of destination data.
.
EOF (1A)h

Data Record Layout:


Comment 16 bytes 16 or less letters
X 8 bytes +/- XXX.XXX[mm]/[inch]
Y 8 bytes +/- XXX.XXX[mm]/[inch]
T 8 bytes +/- XXX.XXX[mm]/[inch]
Reserve 22 bytes (space)x22
Control code 2 bytes (0DOA)h

Sample CAD Data:


Comment X Y T Reserve
●●●● + ●●●● 1 ●●●● + ●●●● 2 ●●●● + ●●●● 3 ●●●● + ●●●● 4 ●●●● + ●●●● 5 ●●●● + ●●●● 6 ●●●● +
Z D1 - 4 3 . 2 4 4 4 0 . 8 6 8 2 7 0 . 0 0 0 ↓
D1 - 6 2 . 2 9 4 2 3 . 8 5 1 2 7 0 . 0 0 0 ↓
D2 - 6 2 . 2 9 4 2 8 . 2 9 6 2 7 0 . 0 0 0 ↓
D3 - 6 2 . 2 9 4 3 2 . 7 4 1 2 7 0 . 0 0 0 ↓
D4 - 6 2 . 2 9 4 3 7 . 1 8 6 2 7 0 . 0 0 0 ↓
D7 - 4 9 . 5 9 4 2 8 . 9 3 1 2 7 0 . 0 0 0 ↓
T R1 - 3 2 . 8 6 8 5 3 . 2 5 1 0 . 0 0 0 ↓
T R2 - 3 6 . 2 5 9 3 4 . 6 4 6 2 7 0 . 0 0 0 ↓

Standard CAD Data for MT-5800 TOPs


File Style: Text file
File Name: *.* Long file name is supported.
File Layout:
Data record 64 bytes per step x n
. n is determined by number of steps
. of destination data.
.
EOF (1A)h

Data Record Layout:

Component 16 bytes 16 or less letters


X 8 bytes +/- XXX.XXX[mm]/[inch]
Y 8 bytes +/- XXX.XXX[mm]/[inch]
T 8 bytes +/- XXX.XXX[mm]/[inch]
Comment 16 bytes 16 or less letters
Reserve 6 bytes (space)x6
Control code 2 bytes (0DOA)h

Sample CAD Data:


Chapter 4 Data Conversion 4-12

Component X Y T Comment Reserve


●●●● + ●●●● 1 ●●●● + ●●●● 2 ●●●● + ●●●● 3 ●●●● + ●●●● 4 ●●●● + ●●●● 5 ●●●● + ●●●● 6 ●●●● +
0 2 CZ - 4 3 . 2 4 4 4 0 . 8 6 8 2 7 0 . 0 0 0 Z D1 ↓
1 SS 3 2 2 - 6 2 . 2 9 4 2 3 . 8 5 1 2 7 0 . 0 0 0 D1 ↓
1 SS 3 2 2 - 6 2 . 2 9 4 2 8 . 2 9 6 2 7 0 . 0 0 0 D2 ↓
1 SS 3 2 2 - 6 2 . 2 9 4 3 2 . 7 4 1 2 7 0 . 0 0 0 D3 ↓
1 SS 3 2 2 - 6 2 . 2 9 4 3 7 . 1 8 6 2 7 0 . 0 0 0 D4 ↓
1 SS 3 2 2 - 4 9 . 5 9 4 2 8 . 9 3 1 2 7 0 . 0 0 0 D7 ↓
2 SC4 1 1 6 - 3 2 . 8 6 8 5 3 . 2 5 1 0 . 0 0 0 T R1 ↓
2 SC4 1 1 6 - 3 6 . 2 5 9 3 4 . 6 4 6 2 7 0 . 0 0 0 T R2 ↓
Chapter 5 Libraries 5-1

Chapter 5
Libraries

Vision processing
Useful features for creating image data
Relationships among libraries
Contents of libraries and their editing
Chapter 5 Libraries 5-2

5-1 Vision-related Libraries

5-1-1 Vision Processing

5-1-1-1 Targets of Vision Processing


The system vision-process the following five items:

Electronic component Fiducial mark Bad mark Station nozzle

Head nozzle

5-1-1-2 What To Inspect


Electronic component: Measurement of translational (X,Y) and rotational (T) offsets in its
pickup position relative to the nozzle center.
Fiducial mark: One-point fiducial: Measurement of translational (X,Y) offset of the
board pattern.
Two-point fiducial: Measurement of translational (X,Y) and rotational
(T) offsets of the board pattern.
Four-point fiducial: Measurement of translational (X,Y) and rotational
(T) offsets of the board pattern.
Bad mark: Inspection of bad board presence.
Station Nozzle: Inspection of nozzle presence in the ANC and nozzle identification 1.
Head Nozzle: Inspection of nozzle presence in each head.

Note: Image data for the station nozzle and head nozzle have been registered prior to shipment. Also
sample image data for the standard components have been registered.

5-1-1-3 Targets Application


Video Images of Components
Due to the use of front-light illumination, video images of metallic parts (leads, etc.) appear white
and mold parts appear black. Also the right and left direction of the component is reversed from
the packaging state when the component is monitored on the VCS monitor.

Packaging direction Monitored direction

Transistor BGA

1 Nozzle identification: Nozzle ID label is applied to the flange of each nozzle. With this label, the system can
identify nozzles.
Chapter 5 Libraries 5-3

5-1-1-4 Vision Processing Algorithms


Vision Processing Modes
You are to choose a vision processing mode from the below ones according to the component
type.

In addition, in most cases you are to choose an advanced mode under the vision processing mode.

Chip CPL

Outer Ends Chip Process

Edge-midpoint Search

Overall Chip Process

Corner Search

Transistor Top

Right

Bottom

Left

Terminat. Four-termination Search (X)

Four-termination Search (Y)

Blob One Blob (horizontal)

One Blob (vertical)

Two Blobs (horizontal)

Two Blobs (vertical)

Three Blobs (horizontal)

Three Blobs (vertical)

Four Blobs (corners)

Four Blobs (midpoints)

Multiple Blobs (horizontal)

Multiple Blobs (vertical)


Chapter 5 Libraries 5-4

CPL CPL process (top & bottom)

CPL process (right & left)

CPL process (top)

CPL process (right)

CPL process (bottom)

CPL process (left)

SOP X

SOJ X

PLCC

LCC

QFP

BGA Matrix

Staggered

Perimeter-matrix

Perimeter-staggered

Partial-matrix

Partial-staggered

Connector One-side Leaded (bottom)

One-side Leaded (left)

One-side Leaded (top)

One-side Leaded (right)

Two-side Leaded (X)

Two-side Leaded (Y)

BGA/CSP Matrix

Staggered

XY Dif Pitch Matrix

XY Dif Pitch Staggered

Image Processing Area


The image processing area forms a square with a side of double length of a component. If the area
exceeds the FOV of the camera, the FOV is taken as the area.
Chapter 5 Libraries 5-5

● Maximum Component Size (Unit: mm)


Camera type Multi-view process M1, M1plus M4, M2
Scan Camera Whole view 20 x 20, 22(H) x 17(V) 18 x 18, 20(H) x 18(V)
(Standard)
4 Views - -
3 Views - -
Scan Camera Whole view 15.4 x 14.4, 12(H) x 11(V) 15.4 x 14.4, 12(H) x 11(V)
(Option: for 0603)
4 Views - -
3 Views - -
Fixed Camera Whole view 34 x 34 34 x 34
FC05/FC05-2
4 Views 54 x 54 54 x 54
Note-1
3 Views 80 x 34 80 x 34
Fixed Camera Whole view 24 x 24 -
FC04
4 Views 42 x 42 -
Note-1,Note-2
3 Views 60 x 24 -
Fixed Camera Whole view 20 x 20 20 x 20
FC03/FC03-2
4 Views 35 x 35 35 x 35
Note-1
3 Views 50 x 20 50 x 20
Fixed Camera Whole view - 12 x 11
FC02
4 Views - 24 x 22
Note-1
3 Views - 37 x 11
Note-1: Any fixed cameras are not supported for M4a.
Note-2: FC04 camera is supported by M1 Series only.
Note-3: FC02 camera is not supported for M1 series.

● Lead/Ball Size (Unit: mm)


Lead Pitch With ball damage check Without ball damage check
Ball Diam. Ball Pitch Ball Diam. Ball Pitch
Scan Camera 0.5 or more - - 0.25 or more 0.5 or more
Note 1 Note 1 Note 2 Note 2
Fixed Camera FC05 0.5 or more 0.8 or more 1.0 or more 0.4 or more 0.8 or more
Fixed Camera FC04 0.4 or more 0.6 or more 0.75 or more 0.3 or more 0.65 or more
Fixed Camera FC03 0.3 or more 0.5 or more 0.65 or more 0.25 or more 0.5 or more
Fixed Camera FC02 0.3 or more 0.3 or more 0.5 or more 0.2 or more 0.5 or more
Note 2: When BGA/CSP compatible scan camera is selected (in the case of M4a, M4e, M2, M1a,
M1 plus)
Chapter 5 Libraries 5-6

Vision Processing Algorithm for each Component type


The following section shows the application of components and cameras for each vision process
method. However, the available component size below can be limited by actual Camera
specification on the machine.
(Refer to the “Details of Vision Processing Modes” on following pages for details.)
Chips
Chip

Note: For the component which has difficulty to be processed by standard mode, try with other modes
for special component. Finally select the best mode for the component.
● CPL Process Rectangular. Overall parts reflect light: (for standard
chip-type components)
(Scan camera) 1005-20.0mm sq.
(Fixed camera for 0.3mm pitch) 1.25-20.0mm sq.
(Fixed camera for 0.4mm pitch) 1.5-24.0mm sq.
(Fixed camera for 0.5mm pitch) 2.0-34.0mm sq.

● Outer Ends Chip Process Two terminations reflect light: ( for standard two leads
component such as electrolytic capacitor)
(Scan camera) Termination size: 0.4mm sq. or larger
(Fixed camera for 0.3mm pitch) Termination size: 0.5mm sq. or larger
(Fixed camera for 0.4mm pitch) Termination size: 0.6mm sq. or larger
(Fixed camera for 0.5mm pitch) Termination size: 0.8mm sq. or larger

● Edge-midpoint search Chips. Overall parts reflect light: (for special type
component)
(fastest process)
(Scan camera) 1005 or larger
(Fixed camera for 0.3mm pitch) 1.25mm sq. or larger
(Fixed camera for 0.4mm pitch) 1.5mm sq. or larger
(Fixed camera for 0.5mm pitch) 2.0mm sq. or larger
Chapter 5 Libraries 5-7

● Corner search Chips. Overall parts reflect light: (for special type
component)
(Scan camera) 1005 or larger
(Fixed camera for 0.3mm pitch) 0.5mm sq. or larger
(Fixed camera for 0.4mm pitch) 0.6mm sq. or larger
(Fixed camera for 0.5mm pitch) 0.8mm sq. or larger

● Overall Chip Process Rectangular. Overall parts reflect light: (for special type
component)
(Scan camera) 1005 or larger
(Fixed camera for 0.3mm pitch) 1.25mm sq. or larger
(Fixed camera for 0.4mm pitch) 1.5mm sq. or larger
(Fixed camera for 0.5mm pitch) 2.0mm sq. or larger

Transistors
Transistor

● Transistor Three terminations reflect light. One termination is on


the opposite side with two terminations.
(Scan camera) Termination size: 0.4mm sq. or larger
(Fixed camera for 0.3mm pitch) Termination size: 0.5mm sq. or larger
(Fixed camera for 0.4mm pitch) Termination size: 0.6mm sq. or larger
(Fixed camera for 0.5mm pitch) Termination size: 0.8mm sq. or larger
Chapter 5 Libraries 5-8

Four-termination search

● Four-termination search Four terminations reflect light.


(Scan camera) Termination size: 0.4mm sq. or larger
(Fixed camera for 0.3mm pitch) Termination size: 0.5mm sq. or larger
(Fixed camera for 0.4mm pitch) Termination size: 0.6mm sq. or larger
(Fixed camera for 0.5mm pitch) Termination size: 0.8mm sq. or larger
Top&Bottom leaded:

Right&Left leaded:
Chapter 5 Libraries 5-9

Leaded Components (SOP / SOJ / PLCC / LCC / QFP)


SOP / SOJ / PLCC / LCC / QFP

● Lead search Two-side or four-side leaded components.


Missing leads can be handled.
Opposing leaded sides are symmetrical (missing leads are acceptable).
Lead shape: Gull-wing or J-lead
Lead count: Eight or more per side
(Scan camera) Up to 20.0mm sq.
(Fixed camera for 0.3mm pitch) Up to 20.0mm sq.
(up to 35.0mm sq. using four-field-of-view process)
(Fixed camera for 0.4mm pitch) Up to 24.0mm sq.
(up to 42.0mm sq. using four-field-of-view process)
(Fixed camera for 0.5mm pitch) Up to 34.0mm sq.
(up to 54.0mm sq. using four-field-of-view process)
(So called) SOP, QFP, LCC, PLCC, and PLCC socket

Note: For the component with less than 8 leads at a side, use [Four-termination search] [Connectors] or
others.
Chapter 5 Libraries 5-10

Connectors
Connector

● Connector One-side or two-side leaded components.


Missing leads can be handled.
For two-side leaded components, leaded sides are symmetrical (except for missing leads).
Lead shape: Gull-wing or J-lead
Lead count: two or more per side 1
(Scan camera) Up to 20.0mm sq.
(Fixed camera for 0.3mm pitch) Up to 20.0mm sq.
(up to 20.0x50.0mm using three-field-of-view process)
(Fixed camera for 0.4mm pitch) Up to 24.0mm sq.
(up to 24.0x60.0mm using three-field-of-view process)
(Fixed camera for 0.5mm pitch) Up to 34.0mm sq.
(up to 34.0x80.0mm using three-field-of-view process)

Ball-leads component
BGAs / CSPs

BGA and CPS packages are handled by fixed cameras FC05, FC04 and FC03.
With M1a, M2, M4a and M4e, they can also be handled by the scan camera as well as the fixed
cameras above.
With M1plus, they can also be handled by the scan camera (option).

1 On the former vision software version than GV405, the minimum lead number per side was eight.
Chapter 5 Libraries 5-11

Special shaped components


CPL Process

● CPL Process One pair of opposing edges are straight.


(Scan camera) 1005-20.0mm sq.
(Fixed camera for 0.3mm pitch) 1.25-20.0mm sq.
(Fixed camera for 0.4mm pitch) 1.5-24.0mm sq.
(Fixed camera for 0.5mm pitch) 2.0-34.0mm sq.
Top&Bottom-edge search:

Right&Left-edge search:

Blob Process

● Blob Process One or more blobs (may be a termination) reflect light.


(Scan camera) 1005-20.0mm sq.
(Fixed camera for 0.3mm pitch) 1.25-20.0mm sq.
(Fixed camera for 0.4mm pitch) 1.5-24.0mm sq.
(Fixed camera for 0.5mm pitch) 2.0-34.0mm sq.
One blob One blob Two blobs Two blobs
(horizontal) (vertical) (horizontal) (vertical)

Three blobs (horizontal) Three blobs (vertical)

Four blobs (corners) Four blobs (midpoints)


Chapter 5 Libraries 5-12

Mark data
Fiducial Marks
There is no limit for the mark shape. However, filled circle is strongly recommended. The mark
size shall be 0.5 to 5mm diameter. Its material shall be bare or covered copper: ideal covering may
be gold or nickel plating.
Bad Marks
There is no limit for the mark shape. The mark size should be 2 to 5mm diameter. Its color should
be in contrast with the surrounding board:

Board Mark Color


Glass epoxy (dark green) White or silver
Ceramic (white or pale green) Black

Note: Mark image data cannot be opened/edited in Image Library, but in Program editor. Mark image
editor window should be opened at:
Program>Tool>Fiducial/Badmark

Details of Vision Processing Modes


Mode Applicable Components
Chip CPL Standard chip components such as chip resistor, chip
capacitor, network resistor, MELF resistor, MELF diode, etc.
Outer Ends Chip Process Components with two reflective ends, such as electrolytic
capacitor.
Edge-midpoint Search Process speed is fastest, but rotation accuracy will be a little
(for old data/special bit lower than CPL.
component) This was used for standard chip before CPL is added.
Thinking of old data, when image error happened, retry will
be done by CPL process.
To create a new data, use this mode if CPL mode is not
available. Components of 3mm or more in size.
Overall Chip Process Practically rectangular components (aspect ratio should be
(for old data/special 1:1.5 or more). For heavy loading process of this, process time
component) will be longer.
To create a new data, use this mode if CPL mode is not
available.
Corner Search Components with four right angles. Use this mode if CPL
(for special component) mode is not available, especially for such special component
that can be recognized at corners only.
Edge-midpoint “Edge-midpoint Search” without Auto-recovery by CPL.
Search(Non Recovery) To create a new data, use this mode if CPL mode is not
(for old data/special available. Components of 3mm or more in size.
component)
Transistor Top Transistors with one lead on one side, and two or three leads
on the other side. The transistor is viewed with the one lead
upward on the monitor.
Right The transistor is viewed with the one lead rightward on the
monitor.
Bottom The transistor is viewed with the one lead downward on the
monitor.
Left The transistor is viewed with the one lead leftward on the
monitor.
Terminat. Four-termination search Components with four or more leads. There must be at least
(X) two leads on either side. The number of leads are not checked.
It is viewed with the X-directional leads on the monitor.
Four-termination search Components with four or more leads. There are at least two
(Y) leads on either side. The number of leads are not checked. It is
viewed with the Y-directional leads on the monitor.
Chapter 5 Libraries 5-13

Mode Applicable Components


Blob One Blob (horizontal) Components whose only the largest blob is processed. The
length is horizontally viewed on the monitor.
One Blob (vertical) The length is vertically viewed on the monitor.
Two Blobs (horizontal) Components whose the largest and the second largest blobs
are processed. The blobs are horizontally viewed on the
monitor.
Two Blobs (vertical) The blobs are vertically viewed on the monitor.
Three Blobs (horizontal) Components with the three largest blobs are processed. Angle
calculation is made using the rightmost blob and leftmost one
of the three on the monitor.
Three Blobs (vertical) Angle calculation is made using the uppermost blob and
lowermost one of the three on the monitor.
Four Blobs (corners) Components with the four largest blobs are processed. Angle
calculation is made using two pairs of diagonally located
blobs of the four on the monitor.
Four Blobs (midpoints) Angle calculation is made using two pairs of vertically and
horizontally located blobs of the four on the monitor.

Blobs (horizontal) Components with all the blobs are processed. Image
processing is made with blobs in horizontal direction.
Blobs (vertical) Image processing is made with blobs in vertical direction.
CPL X Angle calculation is made from upper and lower edges.
Center is determined from the surrounding lines.
Y Angle calculation is made from right and left edges. Center is
determined from the surrounding lines.
top Angle calculation is made from top edge. Center is
determined from the surrounding lines.
right Angle calculation is made from right edge. Center is
determined from the surrounding lines.
bottom Angle calculation is made from bottom edge. Center is
determined from the surrounding lines.
left Angle calculation is made left edge. Center is determined
from the surrounding lines.
SOP X SOP components with X-directional leads and eight or more
leads on either side. The number of leads is checked.
Y SOP components with Y-directional leads and eight or more
leads on either side. The number of leads is checked.
SOJ X SOJ components with X-directional leads and eight or more
leads on either side. The number of leads is checked.
Y SOJ components with Y-directional leads and eight or more
leads on either side. The number of leads is checked.
PLCC PLCC
LCC LCC
QFP QFP
BGA Matrix BGA components with matrix balls. Multi-view process is
possible.
Staggered BGA components with staggered balls. Multi-view process is
possible.
Perimeter-matrix BGA components with perimeter-matrix balls. Multi-view
process is possible.
Perimeter-staggered BGA components with perimeter-staggered balls. Multi-view
process is possible.
Partial-matrix BGA components with partial-matrix balls. Multi-view
process is possible.
Partial-staggered BGA components with partial-staggered balls. Multi-view
process is possible.
Connector One-side Leaded (bottom) Connector components with leads on one side. It is viewed
with the bottom leads on the monitor.
One-side Leaded (left) It is viewed with the left leads on the monitor.
Chapter 5 Libraries 5-14

Mode Applicable Components


One-side Leaded (top) It is viewed with the top leads on the monitor.
One-side Leaded (right) It is viewed with the right leads on the monitor.
Two-side Leaded (X) It is viewed with the X leads on the monitor.
Two-side Leaded (Y) It is viewed with the Y leads on the monitor.
BGA/CSP Matrix BGA/CSP components with matrix balls of complicated
arrangements. Multi-view process is impossible.
Staggered BGA/CSP components with staggered balls of complicated
arrangements. Multi-view process is impossible.
XY Dif Pitch Matrix BGA/CSP components with matrix balls of complicated
arrangements. Multi-view process is impossible.
XY Dif Pitch Staggered BGA/CSP components with staggered balls of complicated
arrangements. Multi-view process is impossible.
Chapter 5 Libraries 5-15

● Fiducial (Pattern matching)


① Select model type and make a model centering.
② Acquire model data with the size of 1.2 times mark size.
③ The coordinates to the model data are searched in pattern matching in the search area.

1 2 3

● Fiducial (Center search)


① Select a mark shape. Enter the mark search-area and the mark size.
② The mark center will be calculated from detected mark edges and it will be referred for
fiducial correction.

● Bad Mark (white)


When the average brightness within the specified area is beyond the threshold, OK is issued.

● Bad Mark (black)


When the average brightness within the specified area is under the threshold, OK is issued.
Chapter 5 Libraries 5-16

5-1-1-5 Illumination Settings


■ Illumination Settings for component recognition camera
Illumination settings for component recognition cameras can be made in Image Library edit
window.

Setting of illumination data is critical in ensuring correct image processing.


View of the component varies with illumination settings even if the same
component is monitored.
Chapter 5 Libraries 5-17

Front-light Settings
The front lights for component process is preset for gain and offset, as follows. As front lighting
increases, gain and offset are raised. Normally, use front light 0 or front light 10.
Gain Offset
Front Light 0 100 170
Front Light 1 100 180
Front Light 2 100 190
Front Light 3 100 200
Front Light 4 100 210
Front Light 5 100 220
Front Light 6 100 230
Front Light 7 100 240
Front Light 8 160 210
Front Light 9 170 215
Front Light 10 180 220
Front Light 11 190 225
Front Light 12 200 230
Front Light 13 210 235
Front Light 14 220 240
Front Light 15 230 245

Front Light 0
This setting is applied mainly to small components such as chip and transistor. The light of the
scan camera has the brightest center area and peripheral area getting darker, and they can get
sufficient brightness in front light 0.
Front Light 10
This setting is applied to large components such as SOP, SOJ, LCC, PLCC, GSP, connector, BGA,
CSP. The light of the scan camera has the brightest center area and peripheral area getting darker,
and they must get more brightness in front light 10.
Camera Assignment
In most cases you are required to select a camera, the suitable camera has been selected as default.
Camera Task
Main teach camera Fiducial sensing, bad mark sensing, teach, nozzle ID sensing
Aux. teach camera Teach
Fixed camera 1, 2, 3 Component alignment
Scan camera Component alignment, nozzle presence check

Scan Camera Illumination Configuration


The light system of the scan camera is available in a main light system (direct light) and sub light
system (diffused light). Increased main light enhances regular reflection. As excessive main light
allows the tip of the nozzle and flange to be viewed, resulting in image processing error, set main
lighting in the range of 0 to 3. If using 4 or more, make sure that the tip of the nozzle and flange
are not taken. For the sub light, you can use all the values (0 to 15).
Chapter 5 Libraries 5-18

Scan Camera Illumination for Chip Components


Determine the light value at which the nozzle is not captured. Set the value so that the entire
component gets even brightness. Use the front light of 0.
Lighting Method

Chip resistor view with only main light increased.

Only electrodes are Center of the body is The entire The nozzle is
viewed. viewed. component is viewed.
viewed.

Chip capacitor view with only main light increased.

Only electrodes are Center of the body is The entire The nozzle is
viewed. viewed. component is viewed.
viewed.

Adjust only the main light until the entire component gets even brightness. Make the half of the
value actual main light value. As even brightness for standard chip components is achieved at the
main light of 6, use the main light of 3. After the main light has been set, adjust the sub light and
measure the component using image test feature. Determine the sub light for correct size. Set the
sub light of about 10 for standard chip resistors. Use about 12 for standard chip capacitors.

● Scan Camera Illumination for Resistors and Capacitors


Component Resistor Capacitor
Size Main Sub Main Sub
1005 3 10 3 12
1608 3 10 3 12
2125 3 10 3 12
3126 3 10 3 12
Chapter 5 Libraries 5-19

Chip Capacitor Size Viewed by the Scan Camera

Chip resistor Chip capacitor

Vision-recognized size Vision-recognized size

Reflected light doesn’t fall upon the


camera.

Reflected light fall upon the


camera.

Chip capacitors, unlike chip resistors, have round corners to make the measured size smaller than
the actual one. In many cases, the apparent size of the component is 90% of the actual size. Specify
90% of the actual size at "Overall X" and "Overall Y".
After specifying the size, be sure to execute the image test and make sure that the component
sizes displayed on the monitor are proper.
Example: (Unit: mm)
Actual component size Size setting
3.20 × 1.60 2.88 × 1.44
2.00 × 1.25 1.80 × 1.13
1.60 × 0.80 1.44 × 0.72
1.00 × 0.50 0.90 × 0.45

Melf Size Viewed by the Scan Camera


MELF components have cylindrical shape to make the measured size smaller than the actual one.
When using MELF nozzles, subtract 0.5mm from the actual component thickness.
Example: (Unit: mm)
Actual component size Thickness Size setting Thickness
1.40 × φ3.5diam. 3.5 1.40 ×3.15diam. 3.0
2.00 × φ6.0diam. 6.0 2.00 ×5.40diam. 5.5

Notes on Using the Scan Camera Illumination


If the lustrous mold gives white reflection in some components with plastic mold, set the main
lighting at 2 or less. If such components can not be processed successfully using the scan camera,
use a fixed camera.
Chapter 5 Libraries 5-20

■ Fixed Camera Illumination (M2/M4e)


Three kinds of illumination are available for fixed cameras: “Coaxial”, “Diffused” and “Side”. For
ordinary leaded components, “Diffused” must be used. These components also allow combined
use of “Diffused” and “Side”.
For ball bump components, “Side” must be used.
“Coaxial” is used for components whose lead surface causes mirror reflection (e.g. connectors).
■ Fixed Camera Illumination (M1/M1plus)
Three kinds of illumination are available for fixed cameras: “In”, “Out” and “Side”. “In” acts as
direct light, “Out” as diffused light and “Side” as sideways slit light.
Normally, these three illuminations are used together to provide diffused light consisting of light
from various directions. For BGA and CSP components, “Side” only must be used.

■ Teach Camera Illumination (M2)


Five kinds of illumination are available for teach cameras: “Coaxial”, “Diffused (In)”, “Diffused
(Out)”, “IR (In)” and “IR (Out)”.
“Coaxial”, “Diffused (In)” and “Diffused (Out)”, must be used.
Normally, “IR (In)” and “IR (Out)” are not used. They are used in special cases.
■ Teach Camera Illumination (M1/M1plus/M4a/M4e)
Two kinds of illumination are available for teach cameras: “In” and “Out”. “In” acts as direct light
and “Out” as diagonal diffused light.
For recognition of fiducial marks on a demonstration PCB (standard glass epoxy PCB), set “Gain”
to 0, “Offset” to 255, “In” to 3 and “Out” to 15.

Note: Using “Coaxial”(M2) or “In”(M1/M1plus/M4a/M4e/M4s) when the surface of the Fiducial


Mark is close to a mirror-surface allows a fine vision processing.
Chapter 5 Libraries 5-21

Scanning Direction
Top view Machine rear

Fixed cameras

Teach cameras

Scan camera
Machine front

Teach cameras look downward. Fixed cameras look upward. The operator, when watching
components and a board in the machine, looks downward. The operator and the teach camera
face in the same direction, but, fixed cameras in the opposite direction to provide a mirror image.
Example: When a transistor is fed in the arrow direction in the feeder installed on the front bank,

Feed direction

the picked transistor, viewed from the scan camera or fixed camera, seems as shown in the below
figure on the monitor.

Select "Transistor-Right" mode ( ) in the image library.


Chapter 5 Libraries 5-22

5-1-2 Image Data Creation Support Tool


Since some image data are already registered in the master library, necessary image data can be
copied from it to the user library and used.
Four tools are available to create new image data. They include the illumination adjustment,
prearrange, ADA (Automatic Data Acquisition) and image test tools. Use of the illumination
adjustment tool makes illumination setting for components easier. Use of the prearrange tool
causes the mounter to pickup a component with a nozzle and hold it over the camera.
Use of the ADA tool enables easy image creation. The image test tool checks whether the created
data can be used for actual placement operation. The image test tool can also be used for mark
data.
The ADA and image test tools have the following restrictions depending on the processing target.
○: Can be executed. ×: Cannot be executed or execution is not necessary.
Processing target ADA Image test
Chip, electrolytic capacitor, transistor ○ ○
SOP,SOJ,QFP,PLCC,LCC ○ ○
BGA,CSP × ○
Connector × ○
Fiducial mark × ○
Bad mark × ○

An outline of the procedure for creating image data using the above support tools is given below.

Attach nozzles Attach nozzles Select an image

to ANC. to heads. library.

Select the component type in Image data edit Specify the image code

the image code list window. window opens. and processing method.

Components supported by ADA Components not supported by ADA

Prearrange Enter dimensions.

Illumination setting Enter dimensions.

ADA Illumination setting

Image test
Chapter 5 Libraries 5-23

5-1-2-1 Setting Nozzles to ANC


Set nozzles to use to available ANC stations. Open the ANC shutter in advance, Nozzle
Info.>Slide Channel>Open. When setting them, fit the nozzle cutoff to the positioning pin in the
ANC station and insert the nozzle vertically.

Nozzle top view

Cutoff

5-1-2-2 Setting Nozzles to Heads


Menu: Manual>Nozzle Info.

This system is capable of automatic nozzle setting to a head.


Do not manually install a nozzle to a head. If a nozzle is not installed properly, it can hit other
Caution
parts of the machine to result in head damage.
This menu allows you to see which nozzles are in the heads and ANC.

ANC operation allows a head to move. When using this menu, do not stick head, hands,
Warning or other parts of the body inside the mounter. Serious injury can result. Also make sure
non-operators are a safe distance from the machine.
Chapter 5 Libraries 5-24

Automatic Nozzle ID Recognition


Click <Scan Nozzles> button.
The scan camera scans each head for nozzle presence.
Then the main teach camera scans the ANC to read the nozzle ID labels. The heads remove
nozzles and put them onto available nozzle stations. 1
The main teach camera scans the remaining nozzles that have been removed from the heads. The
result is shown in [ANC] table. [Head] table shall be blank since all the nozzles have been
removed from the heads.
To attach a specific nozzle to a specific head for ADA or the image test, enter the nozzle number,
and click <Execute> button. When ADA or image test finished, to remove the nozzle and place it
to a nozzle station, enter the head with the nozzle and nozzle number=0. Then click <Execute>
button.
Note: This operation can be performed in Prearrange> [ANC] tab>Manual Nozzle Operation.

5-1-2-3 Prearrange
Prearrange allows a specified component to be picked up by a specified nozzle. The Prearrange
window can be accessed from the Image Library edit screen.
Window:
Camera: Select a camera to process the specified component. The camera
selected in the Image Library edit window shall appear.
Head: Select a head to process the specified component.
Teach & Move Pallet: You can access the Teach dialog box.

■ Set Default tab

Specify pickup position of the component used for


ADA or image test. Under [Feed Style], select a feed
style. Depending on your choice, unnecessary
settings are dimmed. Enter all the active settings and
click <Set> button. Coordinate data will be entered
to [Pickup Coordinates] and [Reject Coordinates] in
[Pick & Reject] tab.

If you specify “Not Use” to library data setting


fields, [Pickup Coordinates] cannot reflect the offset
settings of the feeder/pallet library, nor [Reject
Coordinates] cannot be entered.

In using a tray component, be sure to specify tray


library data for [Tray Library], since [X Position] and
[Y Position] settings won’ be effective without the
component pitch setting of the tray library data.

<Feeder Indexing> button is enabled only when


<ST-F> or <ST-R> button is selected. Clicking
<Feeder Index> button allows the feeder to perform
indexing motion.

1 If the nozzles in the heads are more than the ANC can accommodate, the operation results in an error. Remove
nozzles from the ANC as necessary and redo the operation.
Chapter 5 Libraries 5-25

■ Pick & Reject tab

When the cursor is at a setting field of [Pickup


Coordinates] or [Reject Coordinates], the Teach
dialog box can be opened by right-clicking the
mouse. By using the Teach dialog box, you can
adjust the coordinates or move the tray pallet.

To pick up a component, select [Task], <Pick Up


Component>, <Execute>. After the pickup, the head
moves to the Head Escape coordinates.

After performing ADA or image test, a tray


component can be returned to the tray by selecting
<Return Component>. Also, a component can be
rejected to the reject coordinates by selecting <Reject
Component>.

You can also manually attach the component to the


nozzle. The specified head starts vacuuming by
selecting [Vacuum], <Use>, and <Execute>. Attach
the component in the direction of the package state.

To remove the component manually, move the head


to the machine front and place your hand below the
head. Then select [Vacuum], <Not Use>, <Execute>
to stop the vacuum and receive the component. If
the component keeps stuck to the nozzle, select
[Vacuum Break], <Use>, and <Execute>.

■ Scan Position tab

[Move the head to fixed camera’s scan position.] is


available only when a fixed camera is specified.
Click <Execute> button and the component-loaded
head moves down for 5mm. Then the head assembly
travels to the scan position over the fixed camera. In
this state, select the VCS Control dialog box and
click <ADA> or <Image Test> button. Clicking
<Escape> button enables the head to move up to its
XY movement height.

When the scan camera is specified, you can specify


the head position where ADA or image test is
performed on-head. Enter coordinates of the head
position to [Escape Point] with teach entry
(right-click the mouse). Manual entry from the
keyboard is also available.

To rotate the component, select a rotation angle


under [Rotate Angle] and choose <Rotate> button.
To rotate by different angle from the suggested
options, enter offset angle to [Angle Offset], which is
added to the specified angle for obtaining desired
angle.

To adjust the T-axis speed, before executing rotation,


specify per cent of the maximum speed (=100%) by
dragging the slider of [T-axis Speed].

* The function [Move the head to Escape Point for


on-head scanning.]does not work for now.

■ ANC tab
For ANC tab, see Chapter 8 Running a Job>ANC Initial Setting.
Chapter 5 Libraries 5-26

5-1-2-4 Illumination Adjustment


The illumination adjustment tool supports the creation of illumination settings to be used for
image processing. It measures combinations of main and sub illuminations automatically and
then allows the operator to select the most suitable setting. This tool supports the processing of all
the components and fiducial marks. This section explains how to create component image data.

Note: To use this function, the mounter software (higher than Ver3.13) and image software (GV300 or
higher) are required. With the mounter software (Ver3.13), this function cannot be used for BGA
and CSP/BGA components, since it does not support side illumination.

■ Illumination Adjustment Procedure


Action:
① Open the image data edit window for the target component. (Correct component thickness
must be entered.) Select Prearrange > [Set Default] / [Pick& Reject] and enter the
pickup-point (ST No.) to pickup the component with an appropriate nozzle.
② When creating new image data, first execute ADA to create temporary image data. (Correct
component thickness must be entered.)
Note: In the case of components not supported by ADA, necessary information must be entered
manually to create temporary image data.
Note: The illumination adjustment tool measures illumination settings in such a way that the size of the
target component can be recognized accurately. So accurate component size and lead width must
be entered in the image data.
③ Click [Illumination adjustment tool] button (indicated by “A”) in the image data edit
window.
④ The [Illumination adjustment tool] window appears, so select “ALL” to measure all the
combinations (256 combinations) or “ODD” to omit some measurements (64 combinations).
(Indicated by “B”)
Note: Numbers “0” to “15” given on the vertical and horizontal lines indicate main and sub
illumination settings (in 16 steps), respectively.
⑤ Click [Execute] button. A message “Execute searching for the proper Illumination?” appears,
so click <OK> to start measurement.
⑥ When measurement is complete, results will be displayed. (The results shown below are
when ODD is selected.)
Green cells indicate the illumination settings that are judged to be appropriate, and orange
cells indicate the setting with the maximum sore.
Note: If measurement is performed with “ODD” selected, some cells will be empty since some
combinations are omitted.
However, if the results in empty cells are within the appropriate illumination setting range, they
can also be used. (Example: blue cell indicated by “C”)
Chapter 5 Libraries 5-27

The score’s threshold value is entered automatically based on the measurement results.
(Indicated by “D”) This can be changed freely. For instance, if you want to narrow down the
measurement results, drag the threshold slide bar to the right (to higher score). Only the higher
score combinations will be displayed in color (in this example, combinations of score 493 or
higher will be displayed in color, since the threshold value is 493).

D
B

⑦ Click an appropriate illumination setting and then click <Decide>. The main and sub
illumination will be set automatically.
⑧ Execute the image test, and check that the Image Library edit window does not show any
reflection of the nozzles and a clear image of the component is displayed.
⑨ If you want to change the illumination settings, repeat steps ⑧ and ⑨.
Note: For the gain and offset, the initial values of the image data will be used. (“0” for chip components,
and “10” for leaded components such as ICs)
To change the gain/offset values, change them manually and then re-execute measurement.

5-1-2-5 ADA
ADA acquires various data required for image processing by measuring the component
automatically, and creates image data. Conventionally, component dimensions have to be
measured using calipers to enter image data. This is an inefficient method since it consumes a lot
of labor and time. Use of ADA reduces both labor and time, enabling efficient data creation.
■ Target Component Codes
Image codes for chip, transistor, SOP, SOJ, PLCC, LCC and QFP components are supported. BGA,
BGA/CSP and connectors are not supported. There are no restrictions on component size.
Chapter 5 Libraries 5-28

How To Perform ADA


Action: ADA is performed via the Image Library edit window.

Component thickness setting is critical for ADA and image test. When the Thickness setting is entered to
the component library, the setting is displayed in this field. When not entered, the default “15.00”
(M1/M1a -> "10.50") is displayed. In this case, be sure to re-enter the correct value.

① In the Image Library edit window, type the image code and comment, and select the camera.
② Type the component thickness in [Comp. Thickness] and select the head in [Head].
③ Click <Prearrange> button to open the Prearrange dialog box. Using this dialog box, let the
machine head pick up a component 1 from the ST-F 2 or tray feeder. (You can also manually
attach the component to the head.)
④ Click <ADA> button. 3When you select the Fixed camera or Scan camera, the message
“Move the head to camera's scan position?” appears. Click <Yes> button.
⑤ When the process is successfully completed, [OK] is displayed in the Result box. Then the
message “Are you sure to overwrite?” appears. Press <Yes> button. When the process fails,
[NG] is displayed. In this case, follow the given message. See Chapter 14 MI Messages.
⑥ Lastly, click <Image Test> button to execute image test. When the process is normally
completed, it proves the data is available for operation.
⑦ Click File>Save to register the data.
Note: When ADA is performed in multiple-view process, after the first sub-view is captured, select
[Multi View], “Subview2”, <Next> button to move on to the next sub-view. Repeat it for the rest
of sub-views. In ADA using multiple-view process, after executing ADA, the guide window
won’t be displayed. To display the guide window, click <Guide> button. While the guide
window is displayed, you cannot switch to other sub-view.
Note: Multiple-view process cannot be performed via the scan camera.

1 Use a component free of deformities such as bent leads. Also it is recommended the pickup angle conform to the
package angle.
2 Pickup direction of the component must conform to the packaged direction when set to the ST-F.

3 The camera illuminates only when capturing a component. The captured image is stored and displayed on the
VCS monitor.
Chapter 5 Libraries 5-29

5-1-2-6 Image Test


Whether the created data is error-free can be checked.

Component
Action: Attach a component to a nozzle through Prearrange.
① In the Image Library dialog box, make sure [Thickness] displays the correct setting. If not,
type the proper value.
② Under [Head], select the head to use. Click <Image Test> button to execute image test.
1When a fixed camera is selected, the message “Are you sure to overwrite?” appears. Press

<Yes> button.
③ When the image test ends in success, [OK] is displayed in the Result box. If the image test
fails, [NG] is displayed. In this case, follow the displayed message.
④ Remove the component from the nozzle through Prearrange.
⑤ Click File>Save to register the data.

Note: When image test is performed in multiple-view process, after the first sub-view is captured, select
[Multi View], “Subview2”, <Next> button to move on to the next sub-view. Repeat it for the rest
of sub-views. In image test using multiple-view process, after executing ADA, the guide window
won’t be displayed. To display the guide window, click <Guide> button. While the guide
window is displayed, you cannot switch to other sub-view.

Window: (VCS monitor)


Expect Size: Component size entered to the Image Library dialog box
Actual Size: Measured component size
DX (Difference X): X offset from the nozzle center
DY (Difference Y): Y offset from the nozzle center
DA (Difference A): Rotational offset relative to the X,Y axes
Permission: Size permission entered to the Image Library dialog box

Mark
Action:
① In the Fiducial/BadMark Image Library edit window, click <Image Test> button.
② When the image test ends in success, [OK] is displayed in the Result box. If the image test
fails, [NG] is displayed. In this case, follow the displayed message.
③ Save the data.

Window: (VCS monitor)


Accept: Match. threshold entered to the Image Library dialog box
Score: Score obtained in the fiducial matching process
DX (Difference X): X offset from the fiducial coordinate
DY (Difference Y): Y offset from the fiducial coordinate
Mode: Bad mark color. 0: White, 1: Black
Bright: White/black threshold of the bad mark
Measure: Measured brightness of the bad mark

1 Image test can be performed twice or more continuously. Under [VIS Test], enter how often to perform image test
to the left entry field. To the right field, the execution count is displayed realtime.
Chapter 5 Libraries 5-30

5-1-2-7 Zoom In the Image Test Display


In the Image Library display, the vision image screen can be zoomed in.

a) b) c) d)

When this is clicked, the display mode will be back to the


[Standard Mode]
a) [Standard Mode] from the [Zoom Mode]. The image size will be
button
back to the original size.
When this is clicked, the display mode will be [Zoom Mode],
[Zoom Mode]
b) which enables the [Zoom in] and [Zoom out] button. Also, you
button
can drag the vision image to scroll in the [Zoom Mode].
c) [Zoom in] button Zoom in the vision image up to three more levels.
[Zoom out] Zoom out the vision image which has been zoomed in., until the
d)
button original size at last.

Original Size Zoom in at 1st level Zoom in at 2nd level Zoom in at 3rd level

Note: This Zoom function is also available in the mark Image Library edit window.
Chapter 5 Libraries 5-31

5-1-3 Vision Processing and Libraries

5-1-3-1 Vision-related Libraries

Image code Image library

Component library Nozzle No. Nozzle library

Fiducial data &


Bad mark data
Component code

Mark code

Pickup data Placement & Mark data

Code: In the Placement&Mark Data editor, enter a component code for a component process
(placement) step, a mark code for a mark process (fiducial/bad mark) step.

5-1-3-2 Exporting settings to Comp-LB at data-saving of Image-LB

When you open an Image library recode by double-clicking Image code name in Component
Library, you will see the following messages when you tried to save the Image library after
editing.

If you click [Yes] here, the new Image library code you specified, the Camera type, and
Comp.Thickness value will be exported into the resourced component library record.
If you do not want to change any settings of the resourced component library record, click [No].
Note: If [Yes] is clicked, the three settings of Image code, Camera (Centering), and Comp.Thickness in
the resourced component library will be modified according to the edited image library. If there is
at least one setting of them that should not to be modified, select [No] here, and later you should
change only the necessary setting of the component library manually.
Chapter 5 Libraries 5-32

5-1-4 Component Library


Menu: Program>Library>Component Library
Library>Component Library
Register component data to the component library.
Note: You can either show or hide the columns of [Delay Vacuum On], [Coplanarity], [Polarity], and
[Simlt. Pickup Perm.] by selecting Edit>View(Simple/Detail).
Window:
File>Save: Overwrites the currently saved data. When the saving finished,
[Saving in complete.] appears. Click <OK> button. The saved data can
be utilized for programming.
Edit: Menus pulled down from the Edit menu are used in the same way as
the menus of the program editor. See Chapter 2.
Library: Access the image library or nozzle library.
Component Code: Serves to link the component library and program editor.
* Up to 38 characters can be entered.
Comment: Any appropriate comment
* Up to 40 characters can be entered.
Image Code: Serves to link the component library and image library. To use a
pre-registered image code, click the right mouse button to list the
codes and select from them.

Component Image Data

When the same component is set on both ST-F and ST-R, the same component
image code can be used in common. This image data must be created based on
the component image when picked up from ST-F. This is also true even when the
component is set only on ST-R.

Delay Vacuum On: Specify whether to use the Delay Vacuum On function (Use/Not Use).
This function allows the head to stop vacuuming during the head
down for pickup and restart when the head is completely lowered.
This prevents a component to be picked up while the head is still
moving down. Using this function may increase cycle time, though.
Centering: Specify the camera to process the component. Click the right mouse
button to list available cameras and select one. (Varies with installed
options)
Fixed Camera1
Fixed Camera2
Fixed Camera3
Scan Camera
The camera specified here is used for the job run. (the camera specified
for the image library editor is not used.)
Nozzle No.: Serves to link the component library and nozzle library. Click the right
mouse button to present the spin box for specifying the nozzle number
Coplanarity: Specify whether to check the coplanarity of the component (optional).
To enable this function, the following two items should be selected.
a. [Coplanarity Check] in User Parameter
b. [Coplanarity Check] in SystemParameter>MachineConfig.1
Polarity: Specify the polarity of the component (Non-polarized/ Polarized/
Polarized(no-prerotation) ).
When the component is polarized and yet you don’t want to
pre-rotate the component, select “Polarized(no-prerotation)”.
Chapter 5 Libraries 5-33

For information on pre-rotation, see Chapter 10, User Parameter,


Functions.

Pre-rotation is not available in the following case:


When the component is 5mm square or smaller and is processed by the scan
camera using the process mode of either [Chip], [Transistor], [Terminat.], [Blob],
or [CPL].
In this case, disable the use of pre-rotation. See Note in Chapter 9, User
Parameter, Functions.

Simlt. Pickup Perm.: Simultaneous pickup permission.


* Increment : 0.01mm
See Multiple/Simultaneous Pickup in Chapter 2.
Thickness: Component thickness
* Increment : 0.01mm
Size X/Y Enter the component’s X-directional size (width) and Y-directional
size (length). This data will be referred to when the graphic view
function of i-PULSE’s offline software iOSII is used. (These
parameters can be left empty when performing production.)
Vision Process Result: By setting [Vision Process Result] setting to “Disabled”, you can place
the component disregarding the result of the vision processing. In this
case, the component positional offset won’t be compensated. By
default, “Enabled” is entered so the result of the vision processing is
applied.
Placement in Scan Process: Specify whether to perform placement while the scan camera is
moving. This allows the head to start placement before the scan
camera finishes scanning. To use this function, specify “Enabled” in
the cell.
Optimization Priority: Specify the placement order of each component by setting
“Optimization Priority” for each component code. The allowable
numbers are 0 to 99. When there is no need to set priority, set “0”.
Chapter 5 Libraries 5-34

5-1-4-1 Advanced Settings


Menu: Component Library>Tool>Advanced Setting
You can define miscellaneous settings related to the pickup, placement, and vision process of the
component. Usually default settings will do. But when there is a need to change the setting, click
the line of the component and select this menu.
Window:
Retry Count: Vision Process Retry: Limit of the retry count for vision process errors
(0-9)
Pickup Retry: Limit of the retry count for pickup errors (0-9)
Choke/Feeder Retry: Limit of the retry count for nozzle choking or
feeder errors (0-9)
Disable preliminary tray supply: No matter of the Feed Style (Tray or
Feeder), the component with checked here does not go to the next
action after pickup until vacuum level check is finished. As soon as
pickup error is detected, machine stops immediately with alarm.
In case of Tray-fed component, any preliminary tray action will be
disabled, such as returning pallet into stocker at cancel or component
shortage.

Vision Process Time: This setting is used for the calculation of Simple Placement
Optimization, The parameter cannot be edited..

Detailed Thickness: Component Pickup Surface Height: Specify the length from the
bottom to the pickup surface. No need to specify when it is the same
as the component thickness.
Comp Pickup Surface Height

Distance from camera


Thickness

Camera

Z offset for vision process: Specify the offset value for vision process if
the target surface for vision process is not at the bottom of the
component.
Chapter 5 Libraries 5-35

Comp Pickup Surface Height

Distance from camera


Z offset for vision process
Thickness
Camera

Placement Speed (XY、Z、θ)

Placement speed. (Unit: %)


1(minimum speed) to 100 (maximum speed) See the chart below.
Slow Speed Control (Z)
If this checkbox is checked, the Z-axis speed just before placement operation is controlled
according to the specified conditions (user parameter).
See Chapter 10 User Parameters>Slow Placement Distance/Speed.

Pickup Speed (Z)

Pickup speed. (Unit: %)


1 (minimum speed) to 100 (maximum speed)See the chart below.
Slow Speed Control (Z)
If this checkbox is checked, the Z-axis speed just before pickup operation is controlled according
to the specified conditions (user parameter).
See Chapter 10 User Parameters>Slow Pickup Distance/Speed.

Placement speed

Pickup speed
Z
Pickup point

Placement point

Placement Timing

Head suction off ← Head down complete at PCB (Positive Value: OFF before, Negative Value: Off
after)
Vacuum Brkr on command at PCB → Vacuum Brkr off command
X,Y-axis motors stop at PCB → Head down command
Head down complete signal at PCB → Head up command

Pickup timing

Head down complete signal at feeder → Head up command


X,Y-axis motors stop at feeder → Head down command
Chapter 5 Libraries 5-36

Others

Vacuum Check > Enter pickup threshold [mmHg]


If you want to set the pickup vacuum threshold value for each component, make setting for this
item. The default is “0”. (If “0” is set, the pickup vacuum threshold value for the nozzle that picks
up this component will be used.)

Default > Feeder/Pallet


If the feeder/pallet to be used for this component has been specified, it will be entered in
[Feeder/Pallet] automatically when the component code is entered in the pickup data or when
<Copy Code> button is clicked.
Default > Packaging/Tray
If the component feeder/tray to be used for this component has been specified, it will be entered
in [Packaging/Tray] automatically when pickup data is created.
Limit of Correction> X/Y/T
Specify the upper limit of correction for image processing. An image processing error will occur if
this setting is exceeded by the correction result. If this is set to “0”, the setting made for [Pass
Score for Vision Process Result (XY, T)] (User Parameter >Parameters) will be referred to.
Chapter 5 Libraries 5-37

5-1-5 Image Library


Menu: Program>Library>Component Library> Library>Image Library
Library >Image Library
Component image data are registered to the image library.

5-1-5-1 Selecting a Component


Select the Image or Image Library menu and the below dialog box appears. To create new
component image data, select a component type from the left pane of the window by
double-clicking. You can move on to the editor window.

To create a new image library record, select a component type


by double-click.

Both methods cause


the same result.

To create a new image library record,


click a type of component first, then
click on the <New> button.

Description of the selected component type appears.

To edit an existing data, click a component type on the left pane and the selection cursor moves
to the first line of the selected component type. Select data by double-clicking and the editor
window will open.
Window:
Component: Components are sorted by component type. In addition, the same
type of components are alphabetically arranged.
Comment: Shows the comment entered to the Image Library dialog box.
Type: Shows component type.
Txt Convert: Saves the entire list as a text file. Click <Txt. Convert> button and
the Save As dialog box is opened for you to perform saving. The
saved text file in a floppy disk can be printed out by using an
application software in the external PC.
Open: Select a component and click <Open> button to open the Image
Library dialog box.
Close: Closes the dialog box and returns to the previous one.
Chapter 5 Libraries 5-38

5-1-5-2 Image Data Configurations

Component Name Comment

Component Image Data Size Data Permissions

Fixed Camera 1

Camera Fixed Camera 2

Fixed Camera 3

Scan Camera

Multiple-view Process
Process Options
Pre-alignment Note: Not used.

Missing lead/Ball Data Specify missing leaded/balled positions


for ICs/BGAs.

Illuminator Settings This setting is crucial in determining


how well the target is captured.

Specify judgment condition etc. when using


Advanced Settings the component orientation check function.
Chapter 5 Libraries 5-39

Click this button to open Clicking this button opens the missing lead/ball window. In the
an existing file. case of BGA/CSP, the random ball arrangement is set.
Click this button to save Click this button to open the If component types have been grouped, click
the current file. Advanced Setting Window. this to select another component type.

Used to select whether the F. camera


on-the-fly function is to be used. Also used
to set speed. (M2 and M4e Only.)

Image box:
Component data setting area Shows an explanatory graphic for the
selected component type. The direction of
the graphic corresponds to the direction of
the monitored image.

The above shows a typical image data editor window.


Window:
File>Open: Opens the list of existing image data of the selected component type
(e.g. QFP for the above screen). Select a file and click <Open>
button. To delete a file, select a file and click <Delete> button.
File>Save: Saves the current file with a name (image code) and comment.
Comment is not necessarily required.
File>Close: Closes the Open File dialog box.
Component: Component type selected in the previous dialog box. When there
are additional options to select, click <Mode> button to make a
choice.
Image Code: When creating new image data, enter a desired code. When editing
an existing data, its code is displayed. Image code serves to link the
image library and component library.
* Up to 14 characters can be entered.
Comment: Any appropriate comment
* Up to 40 characters can be entered.
For information about Camera, Multi Views, Pre-align, and other settings, see the following
section.
Chapter 5 Libraries 5-40

5-1-5-3 Image Library Advanced Setting

Menu: Image Library > Advanced Setting > Component Orientation Check
This function identifies the orientation of the picked-up component. For some component
types, this function can also be used to identify the front/rear of the component.
Assuming that the illustration on the left shows the image of a component when it is picked up
in correct orientation. However, if the component is picked up in reversed orientation and its
image is captured (see the illustration on the right), lead A will not be shown at the position
where it should be recognized, but shown in a position where it should not be.

Lead A Not shown in the original position

Recognized at
another position

Image captured when Image captured when


the component is in the component is in
correct orientation reverse orientation

Like the above example, if the component has a “judgment feature” that can show clear
difference in the image between when the component is in correct orientation and when it is in
reverse orientation, front/rear judgment can be made by setting the “Component Orientation
Check” condition.

●Setting example for front/rear judgment

Condition setting to perform component orientation check (front/rear check) for the
component shown below is explained on the next page.

Distance X
Feature search area
(Specify the size)

Distance Y

Center of component when recognized


Chapter 5 Libraries 5-41

Component Orientation Check Setting Window

Menu:

Search Area 1 / 2 Up to two sets of feature search conditions can be set. If two sets are set,
the orientation check result will be OK only when both results are OK.
(If one or both fails, the orientation check result will be NG.)

Distance X X-directional distance from the center of the component to the center of
lead (feature section)

Distance Y Y-directional distance from the center of the component to the center of
lead (feature section)

Area Size X Size of search area (X direction) for the feature section

Area Size Y Size of search area (Y direction) for the feature section

Validity _Brightness
Select one of the following three brightness conditions.
Disabled : The component orientation check function is disabled (not used).
Enable on Bright : The orientation check result is OK if the average brightness inside
the search area is higher than the brightness threshold.
Enable on Dark : The orientation check result is OK if the average brightness inside
the search area is lower than the brightness threshold.

Brightness Threshold
The average brightness inside the search area after image test iscompleted
is measured, and then approximately half of the measured brightness is
entered as the brightness threshold.
Note: When setting distances X and Y, the distance (mm) from the component’s center to the feature
section and its direction (±) must be taken into account. The X and Y directions (coordinate
system) used for component orientation check are shown below. This differs from the PCB’s
coordinate system, so care must be taken when setting the direction (±) of the distances X and
Y.
Y(-)

X(-) X(+)

Y(+)

Note: The component orientation check is performed only when normal position detection image
processing is completed successfully. This means that the search areas are determined with the
correction result of the component position (X, Y, angle) taken into account. As a result, relative
Chapter 5 Libraries 5-42

position between component’s center and search area will never be deviated even if the
component pickup position shifts.

Note: The brightness threshold is set based on “BRIGHT” result displayed when image test is
performed.

Average brightness in search area If two search areas are used, the average
brightness of search area 2 will be displayed.

Note: The component orientation check is not available for BGA, connector and BGA/CSP
components.

●Orientation check setting example


If “Enabled on Bright” is set for one search area and “Enabled on Dark” for the other (when
two search areas are used), component orientation check can be performed with higher
accuracy, since the two brightness thresholds will not be satisfied if the component is picked up
in an excessively wrong orientation. The following is an example in which both “Enabled on
Bright” and “Enabled on Dark” are set.
Component size X: 13.00mm
Component size Y: 11.00mm

Search area 1
Search area 1
Distance X: 0.00mm
Distance Y: -5.50mm
Area size X: 5.00mm
Area size Y: 2.00mm
Validity _Brightness:
“Enabled on Dark”
Search area 2 Brightness threshold: 100
Search area 2
Distance X: 0.00mm
Distance Y: 5.50mm
Area size X: 5.00mm
Area size Y: 2.00mm
Validity _Brightness:
“Enabled on Bright”
Brightness threshold: 100
Chapter 5 Libraries 5-43

5-1-5-4 Camera Assignment


Refer to the camera specs. shown below for assigning an appropriate camera for the
component (use for image test and ADA).

M1 Series

Camera Max. Size of Applicable Lead/ball condition


component/Mark (Damage check enabled/disabled)
Whole View □34mm
Lead pitch 0.5mm or more
Fixed camera FC05
4 Views □54mm Ball diameter 0.8/0.4mm or more
(option)
Ball pitch 1.0/0.8mm or more
3 Views 80x34mm
Whole View □24mm
Lead pitch 0.4mm or more
Fixed camera FC04
4 Views □42mm Ball diameter 0.6/0.3mm or more
(option)
Ball pitch 0.75/0.65mm or more
3 Views 60x24mm
Whole View □20mm
Lead pitch 0.3mm or more
Fixed camera FC03
4 Views □35mm Ball diameter 0.5/0.25mm or more
(option)
Ball pitch 0.65/0.5mm or more
3 Views 50x20mm
Scan camera (standard) □20mm Lead pitch 0.5mm or more
Max. 22mm x 17mm for
rectangular component Ball diameter 0.25mm or more (Note)
Ball pitch 0.5mm or more (Note)
Teach camera Fiducial mark
(φ0.5-5.0mm)
Bad mark
(Max. search area 5mm)
Note: When BGA/CSP compatible scan camera is selected (in the case of M1a and M1 plus)
Note: If a scan camera and a fixed camera are used together within the same cycle, the component
size applicable for heads 1 and 6 will be the same as that applicable for the scan camera even if
the component is to be processed by the fixed camera.

■M2/M4 series

Camera Max. Size of Applicable Lead/ball condition


component/Mark (Damage check enabled/disabled)
Whole View □34mm
Lead pitch 0.5mm or more
Fixed camera
4 Views □54mm Ball diameter 0.8/0.4mm or more
FC05-2 (option) (Note)
Ball pitch 1.0/0.8mm or more
3 Views 80x34mm
Whole View □20mm
Lead pitch 0.3mm or more
Fixed camera
4 Views □35mm Ball diameter 0.5/0.25mm or more
FC03 -2(option) (Note)
Ball pitch 0.65/0.5mm or more
3 Views 50x20mm
Whole View 12x11mm
Lead pitch 0.3mm or more
Fixed camera
4 Views 24x22mm Ball diameter 0.3/0.2mm or more
FC02(option) (Note)
Ball pitch 0.5/0.5mm or more
3 Views 37x11mm
Scan camera (standard) Max.□18mm
Lead pitch 0.5mm or more
Max. 22mm x 17mm for
rectangular component Ball diameter 0.25mm or more (Note)
Ball pitch 0.5mm or more (Note)
Min.□0.5mm
Fiducial mark
(φ0.2-3.0mm)
M2
Bad mark
Teach
(Max. Search Area 3 X 3mm)
camera
Fiducial Mark
M4 (φ0.5-5.0mm)
series Bad Mark
(Max. Search Area 5 X 5mm)
Chapter 5 Libraries 5-44

Note: With M4a, fixed cameras are not supported.

5-1-5-5 Multiple-view Process


Usually the component image is captured as a whole picture. But when the component is too
large for the camera view, it can be captured in two to four (user selectable) separate pictures
that are combined to create one picture. In multiple-view process, the head moves over the
component for the number of views you specify.

Component is too large for Multiple-view process allows for


the camera view... covering the overall component.

Camera view overlapping

The below figure lists available view division patterns:

Two Three Two


horizontal views horizontal views vertical views

1 2 1 2 3 1
2

Three
Four views vertical views
1 2 1
4 3 2
3

Component image data is created based on its packaging state. Therefore, view
division direction, horizontal or vertical, must be selected based on the
component packaging direction.
Chapter 5 Libraries 5-45

5 - 1 - 5 - 6 Fixed Camera On-The-Fly Function

With M2/M4e/M4s, “on-the-fly capture”, that captures a component image while the head is
moving over the fixed camera, can also be selected in addition to the “still capture” that
captures a component image while the head is placed stationary over the fixed camera.
Selection of “on-the-fly capture” reduces component recognition time required by the fixed
camera, resulting in shorter production tact time.
Note: “On-the-fly capture” cannot be used for BGA (type 6) and BGA/CSP (type 10) components.
Furthermore, “on-the-fly capture” cannot be used if multiple-view process is used, irrespective
of component type.

③Select the on-the-fly


capture speed.
If “Still” is selected, still
capture will be selected.
(This setting is reflected in the
actual production program.)

①Select the camera to be used. ②Select “Whole View” in [Multi Views].


(This setting is not reflected in the actual (This setting is reflected in the
production program.) actual production program.)

Action: Setting Procedure


① Select a fixed camera in [Camera].
② Select “Whole View” in [Multi Views].
③ Select the on-the-fly capture speed in [F. Camera On-The-Fly Capture]. Normally, “High
Speed” must be selected. However, if the component is not stable during capture, select a
lower speed.
④ Execute image test and make sure the test result is satisfactory (“OK”).
⑤ Save the data
Note: The setting made to select whether to use the fixed camera on-the-fly function, and the multi
view and speed settings are referred to during production, unlike the settings made in
[Camera] and [Comp. Thickness]. So, make sure that the data is saved before closing the
window.
Note: When there is only a mounting step using Fixed Camera Recognition in a cycle, Still-capture
will be faster than the On-the-fly-capture. Thus, in such case, automatically Still-capture is
selected during production no matter of the Image library settings.

5-1-5-7 Dimensions Settings and Others

Common Settings

Dimensions Settings
Enter dimensions-related data of the scan target. When placing a cursor at a setting field, an
explanatory graphic for the setting and its description are displayed in sub-windows.
Note: An item displayed in yellow suggests its setting is out of the valid range.
Chapter 5 Libraries 5-46

Size Permission (1-100%)


The permission applied to the body size. Smaller the setting is, more severe the requirement
will be. Normally, specify 20% at "Size Perm." Set 30% at "Size Perm." for chip components with
the shorter side of less than 2.0mm, such as 1005 chip and 1608 chip. If the size of a component
is considerably irregular, use 40%.

Nozzle

Side view

Minimum permission limit (dotted line)

Bottom view

Normal placement Tilt placement: Permission


limit is exceeded. · Retry

Offset Permission (1-100%)


The permission applied to the pickup position relative to the nozzle center. The default value
of 10% is set at "Offset Perm." for SOP, SOJ, PLCC, LCC, and QFP. If the offset is large, increase
this value.

Lead Bend Permission


The permission applied to the horizontal lead bending.

Note: The standard values have been entered to each threshold/permission setting.
Chapter 5 Libraries 5-47

5-1-5-8 Missing Leads Assignment


When the component has missing leads, click View>MissingData to open the View dialog box.
Then enter missing lead numbers to the Missing Data fields. As shown in the below
illustrations, leads are numbered clockwise. (Numbering is performed based on the monitored
component image.) The result of your entry is graphically shown in the View dialog box.

The last lead

Example 1 The first lead (No.1) Example 2

The missing lead setting for Example 1 shall be as shown below (you can enter settings in any
order):

When you finish entering for a field, press <TAB> key to move to the next field.
The graphic shown in the View dialog box reflects the settings of the mold size, overall size,
lead count, lead width, and lead pitch, providing a good overview of the current settings.
Settings for Chip, Blob, CPL, Connector, BGA, and BGA/CSP are respectively described in the
following sections.

5-1-5-9 Chip Components


In the Image Data dialog box of Chip, select a component type by clicking <Mode> button.
Available component types are as followings. (Refer to the “Details of Vision Processing
Modes” for the details of each mode.)
For older version data which uses this mode for standard chip
Edge-midpoint
recognition (Re-processed by CPL in case of an image error)
Corner Search Used for special component which cannot be processed by CPL
Overall Chip Used for special component which cannot be processed by CPL
Outer Ends Chip Suitable for components having two shiny electrodes
CPL Used for standard chip components. (This mode is normally used.)
Chapter 5 Libraries 5-48

5-1-5-10 Blob Process

Blob Horizontal / Blob Vertical


White blobs with sizes more than "Blob X" and "Blob Y" are recognized as electrodes. In view of
allowance, enter 1/4 to 1/2 electrode size for "Blob X" and "Blob Y". Noises which come under
the size can be neglected.

Blob Area
Larger than the white blobs entered in “Blob area” are recognized as electrodes.
If you do not etner the blob area, approx. one-eighth area calculated from Overall XY and will
be automatically entered in “Blob area”.

Result of Image Processing (Display of the monitor screen)

Blob size: White blob dimensions are recognized as electrodes.


Detected areas are displayed in descending order as; [0], [1], [2]….
Area: The area white blobs are recognized as electrodes. (Unit: 0.01mm2)
Expert Sqr Size: Diagonal length of the rectangle defined by outer XY sides of white
blobs entered in Image Library edit window.
Actual Size : Actual measurement diagonal length of the rectangle defined by
outer XY sides of white blobs.

5-1-5-11 1CPL Process


Normally, specify zero at “Prov.Position.” You can change the size and position of the
provisional positioning window.
Normally, specify zero at “Min Detect Size.” When a value is set to “Min Detect Size,” the
system recognizes an image having the Min Detect Size or longer length and ignores an image
having shorter length than the Min Detect Size.

5-1-5-12 Connectors

Applicable Component Styles


One-side leaded connector: With two or more leads. Only the leads reflect light. Center-leadless
lead row or center-molded lead row (see the below figure) can also be handled.
Two-side leaded connector: With two or more leads per side (4 or more in total). Only the leads
reflect light. Center-leadless lead rows or center-molded lead rows can also be handled
provided the opposing lead rows are symmetrical; if not symmetrical, the connector must be
handled with the one-side leaded mode.
Note: On the former vision software version than GV405, the minimum lead number per side was
eight.

1 CPL: Coarse Part Location (Provisional Positioning)


Chapter 5 Libraries 5-49

One-side leaded (Center-leadless lead row) (Center-molded lead row)

Two-side leaded (Cener-leadless lead rows) (Center-molded lead rows)

Placement Point (the center of the inspected lead rows)

Placement point Placement point

Lead Row / 2 Lead Foot / 2 Lead Row / 2 Overall Y / 2


Chapter 5 Libraries 5-50

Settings
Only settings that require notice are described in this section.

Handle Lead
Lead count from either end to the center to inspect for lead bending. This does not mean the
present lead count.
Handle Lead = 5 Handle Lead = 4 Handle Lead = 4

5 leads 5 leads 4 leads 4 leads 4 leads 4 leads

5 leads 5 leads 4 leads 4 leads 4 leads 4 leads

Normally, specify zero at "Handle Lead". At this setting, the number of the leads is not counted,
but, "Lead Pitch" and "Lead Row" are checked, which is equivalent to counting leads. When
some center leads are lacking, or there is a large electrode in the middle, all the leads are not
equally spaced, and specify the number of handled leads, counting from the end, at "Handle
Lead". Never set the number of all the leads at "Handle Leads".
Example: In the below figure, type 4 at "Handle Lead".

4 leads 4 leads

For connectors with objects other than leads, which are arranged in the same direction as the
leads, when "Lead Row" is beyond "Lead Bend Perm", end leads are skipped in processing by
"Skip Lead Count" to avoid the recognition of such objects as leads. When "Lead Row" is not
beyond "Lead Bend Perm", even though "Skip Lead Count" contains 1 or more value, all the
end leads are recognized as they are. Specify 4 at "Skip Lead Count". Objects other than leads
are skipped up to max. 4.
Example: Even though "Skip Lead Count" is 4, only a single object is skipped on either side.

Lead Foot
If the video image of the leads looks like the below figure, enter the length indicated by the
arrow:
Chapter 5 Libraries 5-51

Skip Lead Count


Some connectors have mold stick-outs at the outer sides of the lead row, which may be
mis-identified by the vision system as leads. To prevent this, specify how many wrong-pitched
stick-outs to be skipped. If the interval between such stick-outs equals the lead pitch, they are
not skipped.
Example: Skip Lead Count = 4

Four wrong-pitched stick-outs are skipped.


Example: Skip Lead Count = 4

When there is only one wrong-pitched stick-out, only this one is ignored.

Lead Row
Length between each outermost lead.

How to calculate the Lead Row setting:


(Lead count/side - 1) x LeadPitch = LeadRow
For a missing-leaded component, assume the leads are not missing in this calculation.
When the difference between this setting and the actual measurement is;
± {LeadBendPerm + (LeadPitch/3)} or less, the component will be accepted.
Chapter 5 Libraries 5-52

Prov. Position
When the component is picked up at its off-centered position, the component position when
presented to the camera for image acquisition is off-centered accordingly. When the amount of
the off-centering exceeds an acceptable range, provisional positioning error will result. For the
component to be vision-processed at a desired position, [Prov. Position] setting needs to be
modified.

Example: To pick up a component at an off-centered point as shown below, set [Prov. Position] to “15”.

Provisional positioning windows

The right and left position of the


component video image shot by
the upward-looking camera is
reversed.
Chapter 5 Libraries 5-53

* When [Prov. Position]=0


The following shows how the size and position of the provisional positioning windows are
determined by the component dimensions. This algorithm applies to all the connector modes
(one-side leaded mode and two-side leaded mode).

Overall X

Overall Y

Prov. Position = 0

Center of the window

Overall Y / 2

Overall Y
Overall X / 4 Lead Pitch  3

Overall X

Overall Y

Prov. Position = 0
Center of the window

Overall Y / 2
Overall Y

Overall Y
Overall Y / 2

Overall X / 4 Lead Pitch  3


Chapter 5 Libraries 5-54

* Moving the provisional positioning windows


By modifying the first digit of [Prov. Position], you can change the position of the provisional
positioning windows.
Followings are available for [CPL] or [Connector] processings:

Prov. Position = ?0 Prov. Position = ?4 Prov. Position = ?8

Prov. Position = ?1 Prov. Position = ?5 Prov. Position = ?9

Prov. Position = ?2 Prov. Position = ?6

Prov. Position = ?3 Prov. Position = ?7

Note: From GV407 version, the Prov. Position parameter has been available also for [SOP], [SOJ],
[PLCC], [LCC], and [QFP] processing. However, only the four types of above, [?0], [?1], [?2],
and [?9] can be used for them.
Chapter 5 Libraries 5-55

* Resizing the provisional positioning windows


By modifying the second digit of [Prov. Position], you can resize the provisional positioning
windows.

[When "Prov. Position" =0~9]

[When "Prov. Position" =10~19]

[When "Prov. Position" =20~29]

[When "Prov. Position" =30~39]

[When "Prov. Position" =40~49]

Note: The “Prov.Position=30~39” is available from vision software version of GV403 or later.
Note: The “Prov.Position=40~49” is available from vision software version of GV405 or later.
Chapter 5 Libraries 5-56

* Provisional positioning windows referenced from Component center


So far, the provisional positioning windows were always referenced from the nozzle center.
Thus, sometimes that caused recognition errors because the windows were put far from the
component for the pickup offset from the component center.
Now, by inputting “1” in the digit of hundreds, the provisional positioning windows are put
referenced from the component center, not the nozzle center, no matter of the pickup offset.

Action: [Prov.Position] = 1**


Example: When [Prov.Position] is “100”, the Provisional positioning windows are put as below:

Note: The function avobe is available from vision software version of GV405 or later.
Chapter 5 Libraries 5-57

* Prov. Position values quick reference


Note: The “Prov.Position=40~49” is not below, but see the “Prov.Position=30~39” as reference.
The former shows almost half size of window than the latter..
0 10 20 30

1 11 21 31

2 12 22 32

3 13 23 33

4 14 24 34

5 15 25 35

6 16 26 36

7 17 27 37

8 18 28 38

9 19 29 39
Chapter 5 Libraries 5-58

* Prov. Position values quick reference (continued)

Bottom-side leaded Left-side leaded Top-side leaded Right-side leaded

9
Chapter 5 Libraries 5-59

Lead Search Direction


This function is peculiar for Connector mode which allows you to specify Lead Search
Direction. For example, a component which has difficult leads to be recognized by standard
process (Lead search from outside) could be recognized successfully by changing the
lead-search-direction from outside to inside.
NOTE: This function is available from the vision software version GV405 or later.

Choose proper processing from 0 to 3 by referring to the descriptions below:


0: Lead search line is put on the outside leads. (Standard)
1: Lead search line is put on the inside leads.
2: Lead search line is put on the outside leads first, then it moves to further outside
until the lead tip is detected.
3: Lead search line is put on the inside leads first, then it moves to further inside until
the lead tip is detected.

Lead Search Direction: 0 Lead Search Direction: 1

Lead Search Direction: 2 Lead Search Direction: 3


Chapter 5 Libraries 5-60

5-1-5-13 BGAs and CSPs

Inapplicable Components
Components with mold that reflects light, such as CBGA361T1.27-DC71, CBGA240T1.27-ISO,
CCGA625T1.27-ISO, and TBGA240T1.27-DC56, cannot be vision-processed properly.
Some CSPs use polyimide tape as their packaging material. Note that the polyimide tape is
easily delaminated to rise above the ball bumps, resulting in mis-imaging of the component.

Editing Placement Coordinates for BGAs and CSPs


Usually placement coordinates editied with teach entry may include some offsets, since the
operator manually aligns the camera center (cross hairs on the CRT monitor) to the placement
point. For components such as a chip, QFP, and SOP, whether their placement coordinates are
accurate can be checked by checking the placed components. But for a BGA or CSP, such visual
checking is not feasible. Unless the placement coordinates of a BGA or CSP are accurate,
satisfactory placement cannot be performed. Therefore we strongly recommend to use CAD
data as placement data for BGAs and CSPs.
When teaching placement coordinates of a BGA or CSP via the main teach camera, the
maximum allowable coordinate offset is one-third of the ball diameter.

Ball check
For BGA and BGA/CSP components, the ball check method can be selected from
“Presence(outmost)”, “Presence(all)”, “Presence&Damage(all)” and “No Checks”. However,
“Presence&Damage(all)” cannot be selected in the case of scan camera.

Note: If “No Checks” is selected, ball positioning will be performed, starting with the ball that is
located, among those located inside the four-edged search area, at the most outside position.
Unlike “Presence(outmost)”, this method may judge that a ball is located on the circumference
even if it is not. In this case, deviation in position or angle may occur, so “No Checks” must be
selected only for special components that cannot be positioned by any other methods.

Note: The system can recognize missing balls that are lost during manufacturing. When the ball does
not appear white (not reflect the front lighting), the system recognizes ball absence.
Note: When a ball is flattened to a considerable extent, the system can detect it. However, this ability
is crucially affected by the illuminator settings including the side light’s.
Settings for BGA and BGA/CSP are respectively described in the following sections.

Settings for BGA mode (ImageLibrary>SelectComponent>BGA)

BGAs can be handled either via the BGA mode or the BGA/CSP mode. But we
recommend you to use the BGA/CSP mode for handling BGAs.

As for Ball Pitch, Ball Size, Ball Count X, Ball Count Y, Upper-right Ball Coordinate, Lower-left
Ball Coordinate, click on each setting field and see the graphical description displayed in the
sub-windows.
Chapter 5 Libraries 5-61

Component
Click <Mode> button to select a ball alignment pattern.
0: Full grid, matrix 0

1: Full grid, staggered


1
2: Perimeter grid, matrix
3: Perimeter grid, staggered 2
4: Partial grid, matrix
5: Partial grid, staggered 3

Upper-right Ball Coordinate (When [Component] is 2 or 3)


Note: For information on how to identify the ball position, see Missing Ball Assignment later in this
section.
Enter the ball position number of the upper right corner of the innermost ball square. For both
examples shown below, the setting shall be “0303”.

Lower-left Ball Coordinate (When [Component] is 2 or 3)


Enter the ball position number of the lower left corner of the innermost ball square. For both
examples shown below, the setting shall be “0909”.
Chapter 5 Libraries 5-62

Ball Pitch Perm.


An error occurs when the measured ball pitch is out of the range of,
Specified ball pitch +/- Ball pitch permission.
Larger the value, less severe the requirement will be.
When
Measured ball pitch < Specified ball pitch - Ball pitch permission,
or
Measured ball pitch > Specified ball pitch + Ball pitch permission,
an error occurs.
Increment: 0.01mm/0.0001inch, Standard: 20

Ball Circum. Perm.


Check is made on how much the ball circumference measured in the process of [Ball Check]
>Presence&Damage is in the circumference permission range determined from actually
measured ball size. Larger the value, less severe the requirement will be.
Unit: %, Standard: 40

Circumference
Actual measured ball size=(A+B)/2

Circumference permission range

This permission is determined in percent. Even if the ball is proper, the circumference may be
slightly out of the range.
Example: Improper ball:
The circumference is about 45% out of the range. When the setting is 40, the error mark is
displayed.

NG
Circumference permission = NG/(OK+NG)
OK
Chapter 5 Libraries 5-63

Ball Size Perm.


An error occurs when the measured ball size is out of the range of the Ball Size Permission in
the process of [Ball Check]>Presence&Damage. Larger the value, less strict the requirement
will be.
Unit: %, Standard: 40
Note: When
Measured ball size < Theoretical ball size x (100 - Ball size permission) ÷ 100
or
Measured ball size > Theoretical ball size x (100 + Ball size permission) ÷ 100,
an error occurs.
Theoretical ball size = Ball size x Ball reduction ratio ÷ 10

Ball Reduction
Standard: 80
Unit: %
Note: Used for compensating the measured ball size when the imaged ball is reduced in size due to
lighting conditions.

Ball Check
4: No Cheks
Ball checks are not performed.
5: Presence (outmost)
Vision-align the component based on its outermost ball square. Check for ball presence only
for the outermost ball square.
6: Presence&Damage
Vision-align the component based on its outermost ball square. Check for ball presence, ball
size, and ball diameter for all the balls.
7: Presence
Vision-align the component based on its outermost ball square. Check for ball presence for
all the balls. Faster than the process 6.

Missing Ball Assignment (View>MissingData)


When the Component setting is either:
0: Full grid, matrix
1: Full grid, staggered
2: Perimeter grid, matrix
3: Perimeter grid, staggered
The first ball (00, 00)

When balls are missing in the filled circle positions, their positions are:
BGA on the left: (X=02, Y=03)
BGA on the right: (X=06, Y=02)

The missing ball setting for the BGA on the left is shown below. The first two digits indicate the
X position, the last two indicates the Y position. Enter the setting from the leftmost of the top
line.

Missing balls
Chapter 5 Libraries 5-64

When the Component setting is either:


4: Partial grid, matrix
5: Partial grid, staggered
First, assign the area to skip the ball check process. Squares are numbered from the outermost
one (1st square, 2nd square...).

In the above left BGA, 4th, 5th, and 6th squares are devoid of balls. Enter their numbers (04, 05,
and 06) as shown below. Up to two square positions can be set to a field. Be sure to use the top
line for this setting. (For the above right BGA, enter “0405” to the first field.)
Second, enter the missing ball setting to the second line following the procedure for the
Component settings: 0, 1, 2, or 3.

Vacant squares

Missing balls

Note: BGA with three or more sequential missing balls in the outermost ball square may not be
vision-processed properly.

Example 2

Example 1

Example 3
Chapter 5 Libraries 5-65

Settings for BGA/CSP mode (ImageLibrary>SelectComponent>BGA/CSP)


As for Ball Pitch, Ball Size, Ball Count X, Ball Count Y, click on each setting field and see the
graphical description displayed in the sub-windows.

Component
Click <Mode> button to select a ball alignment pattern.

0 1 2 3

Matrix Staggered XY Dif Pitch Matrix XY Dif Pitch Staggered

Note: A BGA/CSP must have munimum of 4 balls up to 32 balls per outermost ball row to be
handled. Also balls in a row must be sequentially aligned, with no irregularly skipped balls.
However, even when these limitations are met, some components with unique ball pattern (see
the below example.) cannot be processed. In such a case, consult us.
Example: The following ball pattern cannot be processed:

Perimeter Grid
Enter the outermost square number of the lacked-ball perimeter. For both examples shown
below, the setting shall be “4”.

Partial Grid
Enter the innermost square number of the lacked- ball perimiter. For both examples shown
below, the setting shall be “4”.

Note: To enable the Partial Grid setting, enter other than “0” for Perimeter Grid.
Note: To enable the Perimiter Grid setting, enter the bigger value than its value for Partial Grid until
the inner ball grids disappear.
Note: Instead of using Perimeter Grid setting and Partial Grid setting, you can specify the lack of ball
position by “Rondom Ball Arrangement” function that is described later.
Chapter 5 Libraries 5-66

Ball Pitch Perm.


An error occurs when the measured ball pitch is out of the range of,
Specified ball pitch +/- Ball pitch permission.
Larger the value, less severe the requirement will be.
When
Measured ball pitch < Specified ball pitch - Ball pitch permission,
or
Measured ball pitch > Specified ball pitch + Ball pitch permission,
an error occurs.
Increment: 0.01mm/0.0001inch, Standard: 20

Ball Circum. Perm.


Check is made on how much the ball circumference measured in the process of [Ball Check]
>Presence&Damage is in the circumference permission range determined from actually
measured ball size. Larger the value, less severe the requirement will be.
Unit: %, Standard: 40

Circumference
Actual measured ball size=(A+B)/2

Circumference permission range

This permission is determined in percent. Even if the ball is proper, the circumference may be
slightly out of the range.
Example: Improper ball:
The circumference is about 45% out of the range. When the setting is 40, the error mark is
displayed.

NG
Circumference permission = NG/(OK+NG)
OK

Ball Size Perm.


An error occurs when the measured ball size is out of the range of the Ball Size Permission in
the process of [Ball Check]>Presence&Damage. Larger the value, less strict the requirement
will be.
Unit: %, Standard: 40
Note: When
Measured ball size < Theoretical ball size x (100 - Ball size permission) ÷ 100
or
Measured ball size > Theoretical ball size x (100 + Ball size permission) ÷ 100,
an error occurs.
Theoretical ball size = Ball size x Ball reduction ratio ÷ 10
Chapter 5 Libraries 5-67

Ball Reduction
Standard: 80
Unit: %
Note: Used for compensating the measured ball size when the imaged ball is reduced in size due to
lighting conditions.

Ball Check…
9: Presence (outmost)
Vision-align the component based on its outermost ball square. Check for ball presence only
for the outermost ball square.
10: Presence&Damage
Vision-align the component based on its outermost ball square. Check for ball presence, ball
size, and ball diameter for all the balls.
11: Presence
Vision-align the component based on its outermost ball square. Check for all the ball
presence for all the balls. Faster than the process 9.
12: No Checks
Ball checks are not performed.

Starting Side…
0: Auto
The side with the largest number of balls and lesser missing balls is selected for the first side
for ball check.
1: Upper Side
2: Right Side
3: Lower Side
4. Left Side
Select the first side for ball check when the side with the largest number of balls is not reliable
due to misalignment, etc.

Random Ball Arrangement…


Menu: Image Library > View

Action: To specify the random ball arrangement for BGA/CSP components, execute the following steps.
① Click <View> button in the image data edit window to open the View window.
② The component is displayed graphically with all balls present, according to the X/Y ball
count, ball size, ball pitch specified in the image data edit window.
③ Click unnecessary balls (i.e. balls that do not exist on the actual component) with the
mouse. They will turn from white to black. Black balls will be ignored during image
processing, so make sure that unnecessary balls are clicked to provide the actual ball
arrangement.
④ If the graphic display size is too large or too small, slide the scroll bar to reduce or enlarge
the size.
⑤ To restore the original ball arrangement (i.e. all the balls including unnecessary ones are
present), click <View> button.

①click <View> button.


Chapter 5 Libraries 5-68

④Clicking this enables you to change the


graphic size using the scroll bar.

② The component is displayed graphically (white


indicates existing balls, black indicates non-existing
balls). Balls switch from white to black and vice versa
alternately each time they are clicked.

⑤Clicking <Initialise> button restores the


original ball arrangement (i.e. all the balls
including unnecessary ones are present).

BGAs can be handled either via the BGA mode or the BGA/CSP mode. But we
recommend you to use the BGA/CSP mode for the following reasons. When
using the BGA/CSP mode, missing balls assignment can be easily performed
by using the ball numbering system used in the component catalog. Also the
limitations stated on page 5-64, Note, won’t occur when the BGA/CSP mode is
used.

Comparison between BGA mode and BGA/CSP mode


BGA mode

Setting Upper-right Ball Lower-left Ball Ball-less Square


Ball Pattern Coordinate Coordinate (Missing Data)
Full grid -- -- Not required
Perimeter grid Required Required Not required
Partial grid -- -- Required

BGA/CSP mode
Setting Perimeter grid Partial grid
Ball Pattern
Full grid Not required Not required
Perimeter grid Required Not required
Partial grid Required Required

BGAs can be handled either via the BGA mode or the BGA/CSP mode. But we
recommend you to use the BGA/CSP mode for the following reasons. When
using the BGA/CSP mode, missing balls assignment can be easily performed
by using the ball numbering system used in the component catalog. Also the
limitations stated on page 5-64, Note, won’t occur when the BGA/CSP mode is
used.
Chapter 5 Libraries 5-69

Illuminator Settings
Increased quantity of the side light results in brighter side portion and then center portion.
Adjust the quantity so that the center of a ball is slightly dark.

Note: Do not use the outside light.


Note: The best way: Prepare a ball-missing BGA component. Adjust illuminator settings so that the
image of normal balls can be clearly captured, while ball-missing portion is unseen.

5-1-5-14 Fiducial Marks


Register the fiducial model for the fiducial image data using a sample board from the
production lot. This model will be the reference to be compared with the actual fiducial on each
board. The fiducial process allows the system to measure and compensate X/Y/T offsets and
stretch/shrink of the board pattern to achieve precise placement for the overall program.

Fiducial Process
There are two ways to perform the fiducial process:
1. Pattern matching
This process allows for comparison between the registered fiducial model and an actual
fiducial on a board to see the offset between them. Its capability is hardly susceptible to the
inconsistent lightness of the overall or part of the fiducial image, or to the unclear fiducial
image due to its unsmooth surface. i-PULSE recommends you to select this process.
2. Center search based on fiducial shape
This process does not require time-consuming model registration unlike the pattern matching.
It merely requires you to select a fiducial shape to perform fiducial center search.

Fiducial Mark Centering


The coordinates of the center of the fiducial model must correspond to the programmed
fiducial coordinates. If the fiducial model is registered off-centered, the offset value is added to
the compensation value to result in mis-compensation for all the production lot. To prevent this,
in teaching the fiducial model, the Model centering allows a fiducial to be centered
automatically by the vision process system, not manually, for proper registration. Before this
feature can be used, the fiducial shape and size must be specified.

Sum m ary of pr ocessing

Patter n m atc hing Register shape and Mo d e l c e n t e r i n g Acquire model


dimensions.
( Actual m odel)

Patter n m atc hing Register shape and


dimensions. Acquire model
( T em plate m odel)

Center search by Register shape and


s p e c i f yi n g s h a p e dimensions.

Fiducial Surface Material and Illuminator Settings


Chapter 5 Libraries 5-70

The ideal fiducial surface is of bare copper or of gold/nickel plating.


Fiducial surface for epoxy fiberglass board may be of leveled solder, copper, and gold plating.
For ceramic board may be of silver/palladium. These materials, especially leveled solder, may
have irregular reflectance because of their unsmooth surface. Accordingly, the captured
fiducial image may not be just like the real target. To improve this, prior to registering the
fiducial model, adjust the Inside/Outside Light settings of the main teach camera.

Note: When it is impossible to obtain a satisfactory model image even after adjusting illuminator
settings, see the Distance X/Y setting in the following section.

Creating Fiducial Data

Mode select

Combo Box

Note: Mark image data cannot be opened/edited in Image Library, but in Program editor. Mark
image editor window above should be opened at:
Program>Tool>Fiducial/Badmark or icon on the Program Edit screen
Window:
File>Open: Opens the list of existing fiducial data. Select data and click <Open>
button. To delete data, select data and click <Delete> button.
File>Save: Saves the current file with a name and comment. Comment is not
necessarily required.
File>Close: Closes the Fiducial Data dialog box.
Algorithm: Click the mode select combo box to select “Pattern Matching” or
“Center Search”. If “Pattern Matching” is selected, comparison will
be made between the mark data registered to the image library and
the mark captured by the camera.
If “Center Search” is selected, fiducial marks can be processed by
specifying the model shape, even if the model is not acquired. For
this, the mark center is searched using the mark shape. This
algorithm simplifies operation since no model is registered.
Chapter 5 Libraries 5-71

Mark Code: To create new fiducial data, enter a desired code. To edit existing
data, click File>Open to select data. This code serves to link the
fiducial data and the placement & mark data.
* Up to 14 characters can be entered.
Comment: Any appropriate comment.
* Up to 40 characters can be entered.
Model Select “Actual Model” or “Template Model”. If “Actual Model” is
selected, the image captured by the camera is registered as the
model data. If “Template Model” is selected, a geometric figure is
generated automatically by the computer and registered as the
model data. However, “Template Model” can be used for Mark
Shapes 1 to 4 and 11 to 14 only.
Chapter 5 Libraries 5-72

Search Mode With M2, a search mode can be selected. (Not possible with M1 /
M1plus/M4)
Standard: Creates a standard-resolution model and performs
standard search.
Fine: Creates a high-resolution model and performs search.
Super Fine: Creates an ultra-high-resolution model and performs
search.
NOTE: When using Pattern Matching algorithm, if the mark size to acquire “Model” is too large,
sometimes error may occur. In case of M1/M1 plus, usually the largest mark size would be
about 3 mm. In case of M2/M4, you can handle with the error by changing Search Mode setting
to the one of lower resolution, and there is no limit for the mark size if it is within the
field-of-view size.
Fiducial Shape: Used for the model centering function for model registration, or for
the center search process not using the model. Select the number of
the fiducial shape (not an alphabet) referring to the list box below
the image box and enter the number to the setting field.
(Double-click an item in the list box is also available to set the
number.)
Available shapes are as follows:
Circle:
Square: Q
Diamond:
Triangle: S
Cross:
Check:
Corner Square:
Mark X: Enter the actual length of the mark in horizontal direction.
* Increment : 0.01mm
Mark Y: Enter the actual length of the mark in vertical direction.
* Increment : 0.01mm

Fiducial Model

Mark Y

Mark X Fiducial mark

Distance X/Y: Usually fiducial process is performed at the programmed fiducial


coordinates (to which camera center is aligned). In case of the
machine that is not equipped with coaxial light 2, sometimes the
fiducial may not be shot clearly at this location depending on the
mark material or ambient lighting even under the best adjusted
illumination. In this case, while in teach mode, shift the camera to
where the image is clearly seen.
* Increment : 0.01mm

2 Coaxial light is equipped with M2 machine as standard, but it is optional for M1, M1 plus, and M4 machines.
Chapter 5 Libraries 5-73

Y offset

X offset

Viewed at the camera center Viewed at slightly shifted position


(Not clear image) (Clear image)

ACTION:
To avoid problems, follow the procedure below to use [Distance
X/Y].
①Open the Mark Image Editor, and perform “Model centering” to
move the camera center to the Fiducial mark center without
inputting any values for [Distance X/Y].
②Open the Program Edit screen, and acquire the camera position
where is just on the mark center to the Placement&Mark data.(If
you have designed coordinates of the mark position, input the
values.)
③Add [2.00](mm) to each of XY coordinates for mark center, and
modify the coordinates in Placement&Mark data.
④Open a teaching screen on the Placement&Mark data, and move
the camera to the coordinates specified at step③ using “Move”
command.
⑤Go back to the Mark Image Edit screen, and input [-2.00](mm) for
each of Distance X/Y.
⑥Perform “Model centering” command to move the camera center
to the mark center.
⑦Acquire Model when using Pattern Matching.
⑧Perform [Image test] to check, and save the data if OK.
NOTE:
Depending on the condition of mark or neighboring pattern, adjust
the offset value accordingly instead of “2.00”. However, be sure to
follow the same procedure above. If the amount of offset are
different between [Distance X/Y] and the addition of mark
coordinates, that may cause placement shift. (Their absolute values
should be same, but plus/minus orientation should be reversed.)
Search Area: Search area means the area within which fiducial search is
performed. The center of the search area corresponds to that of the
fiducial model.

Model
Search area

A: Model X
B: Search area
B A B

Define the Search Area setting based on the print offset or


expansion/contraction, or board positioning offset in clamping.
Normally about 1mm is adequate.
Chapter 5 Libraries 5-74

A fiducial can be in varying location relative to the programmed


coordinates for its purpose. Accordingly, you should suppose the
following case should occur:

When the model is fitted over the fiducial,


it is contained within the search area.

OK

Model

Search area

However, when the model fitted over the fiducial falls out the
search area, the fiducial cannot be detected.

The
T h emodel
T fitted over the fiducial
falls out the search area.

Model Model Captured image

Search area

In the above case, the model and the captured image do not fit
completely to result in process failure. To prevent this, define the
search area size large enough to cover the variation in fiducial
location.
* Increment : 0.01mm
Match. Threshold: The threshold value that compares the images between the fiducial
model and the actual fiducial image. Enlarging this setting makes
the criteria more severe.
For example, when the model is hexagonal and if a similar round
object exists in the search area, it may be mis-recognized as the
fiducial if the threshold setting is too low (not severe enough). In
such a case, you must raise the setting.
On the other hand, when there is no chance of confusing objects that
appear in the search area, it is recommended to make the criteria
less severe to reduce possible fiducial error. Fiducial mark generally
has diverse reflectance. As the result, the mark may be distorted
when captured as an image. With too severe criteria, the system
fails to detect the mark even though the mark exists.
In the latter case, it is not recommended to change the default
setting.
Search Interval: This parameter implies the intervals to search the target mark in
search area. Default value is “4”(pixels). If there are any similar
objects in the search area, that may sometimes found as the target
mark wrongly, try smaller value, 4 3 2 1, to perform more
precise check by smaller intervals. However, the smaller value will
make the recognition time longer.
Chapter 5 Libraries 5-75

Model Centering

Summary
This function allows the sample fiducial to be centered automatically for model registration. Be
sure to use this function in using CAD data for programming. This function makes fiducial
compensation exact by matching the fiducial center to the model center for even accurate
placement.
Manual mark centering 3 without using this function can yield offset.

Placement point

Registered model

CAD data Mark center offset Placement coordinate


X 80.0 2.0 78.0
Y 40.0 2.0 38.0

This function allows for matching the fiducial center to the model center automatically.

Placement point

Registered model

CAD data Mark center offset Placement coordinate


X 80.0 0.0 80.0
Y 40.0 0.0 40.0

This function is available only for the below fiducials:

Color: White or black. Contrasts sharply against the surrounding.

Number of blobs: One (recommendation) or two.

Model X/Y setting: 1.2 to 1.5 times as large as the usual size.

When using the Distance X/Y feature, centering is performed away from the camera
center for the specified offset.

3 In most cases, the operator performs two-point teach by visually aligning the teach camera center (cross hairs of
the VCS monitor) to the fiducial for two points.
Chapter 5 Libraries 5-76

Action: Center search based on fiducial shape:


① In the Fiducial Data dialog box, select [Center Search] for [Algorithm].
② Enter all the required data.
③ When the coordinates of the fiducial center are not yet entered, click
Prearrange>Teach&Move Pallet to perform teach entry. When the coordinates have been
entered, use the Trace menu to move the main teach camera to the coordinates.
④ Adjust the Gain, Offset, and Inside/Outside Light settings so the fiducial image is shot
clearly.
⑤ Click <Image Test> button to execute image test. Confirm the test ends in success.
⑥ Save the data.

Pattern matching:
① In the Fiducial Data dialog box, select [Pattern Matching] for [Algorithm].
② Enter all the required data.
③ When the coordinates of the fiducial center are not yet entered, click
Prearrange>Teach&Move Pallet to perform teach entry. When the coordinates have been
entered, use the Trace menu to move the main teach camera to the coordinates.
④ Adjust the Gain, Offset, and Inside/Outside Light settings so the fiducial image is shot
clearly.
⑤ Click <Model Centering> button to center the fiducial.
⑥ Click <Acquire Model> button to acquire the model.
⑦ Click <Image Test> button to execute image test. Confirm the test ends in success.
⑧ Save the data.
Chapter 5 Libraries 5-77

5-1-5-15 Bad Marks

Bad Mark Process


Logic Mark On Mark Off
Positive Skip Place
Negative Place Skip

Mark Color
For easy recognition, mark the bad mark in silver or white for glass epoxy boards, and mark in
black for ceramic boards.
There is no restriction in shape. The size would be φ2.0mm to φ5.0mm.
The mark color should be in contrast with the surrounding board.
Board Mark color
Glass epoxy (dark green) White or silver
Ceramic (white or pale green) Black

Creating Bad Mark Data

Mode select

Combo Box

Window:
File>Open: Opens the list of existing bad mark data. Select data and click
<Open> button. To delete data, select data and click <Delete>
button.
File>Save: Saves the current file with a name and comment. Comment is not
necessarily required.
File>Close: Closes the Bad Mark Data dialog box.
Image Type: Click <Mode> button to switch [Bad Mark (white)] and [Bad Mark
(black)].
Mark Code: To create new data, enter a desired code. To edit existing data, click
<Open> button to select data.
* Up to 14 characters can be entered.
Chapter 5 Libraries 5-78

Comment: Any appropriate comment.


* Up to 40 characters can be entered.
Brightness: Threshold value to see white or black of the inspection point;
Higher score than this represents white, lower black. (standard :
127)
Search Area X: Length of the inspection area in the X (horizontal) direction.
Usually enter 1 to 2mm.
* Increment : 0.01mm
Search Area Y: Length of the inspection area in the Y (vertical) direction.
Usually enter 1 to 2mm.
* Increment : 0.01mm
Search area X

Search area Y

Bad mark

Note: Define smaller search area than the bad mark size; The mark location may vary from board to
board.
Action:
① In the Bad Mark Data dialog box, select the bad mark color (white/black) for [Image
Type] by clicking <Mode> button.
② Enter all the required data.
③ Click Prearrange>Teach&Move Pallet to perform one-point teach for the coordinates of
the bad mark center.
④ Adjust the Gain, Offset, and Inside/Outside Light setting so the bad mark image is shot
clearly.
⑤ Click <Image Test> button to execute image test. Confirm the test ends in success.
⑥ The bad mark should ideally score Measure=255 in the image test. Re-adjust the
illuminator setting and redo the image test until the score approaches 255 as possible.
⑦ Move the main teach camera to capture the resist part around the bad mark. Adjust the
Inside/Outside Light setting and execute image test until Measure=0 is obtained.
Note: If Measure=255 and 0 cannot be obtained even the illuminator setting is properly adjusted, add
the Measure value for the bad mark and the resist part, divide the value by 2, and enter the
value to [Brightness] of the Bad Mark Data dialog box.
⑧ Save the data.
For white bad marks, when the brightness in the specified search area at "Search Area Y" and
"Search Area X" is more than "Brightness", OK is issued.
For black bad marks, when the brightness in the specified search area at "Search Area Y" and
"Search Area X" is less than "Brightness", OK is issued.
Chapter 5 Libraries 5-79

5-1-6 Nozzle Library


Menu: Library>Component Library>Library>Nozzle
Library>Nozzle Library
Register nozzle-related data.
Window:
File>Save: Saves your editing.
Tool>AirPressure: Measures the vacuum pressure of each nozzle. For information, see
Chapter 9.
Nozzle: Nozzle name.
Example: NOZZLE_N004, NOZZLE_N020
* Up to 14 characters can be entered.
Comment: Any annotation for the nozzle.
* Up to 20 characters can be entered.

Nozzle No.: Number used in a nozzle name. Serves to link the nozzle library
and the component library. 1 to 20 are used as standard nozzle
numbers.
Example: NOZZLE_N004 -> 4, NOZZLE_N020 ->20
Choke Threshold: Threshold for detecting nozzle choking. Use the default setting
normally.
* Increment : mmHg
Pick Threshold: Threshold for detecting improper pickup. Use the default setting
normally.
* Increment : mmHg
Directionality: For a non-directional nozzle, enter “Free” (default). For a
directional nozzle, enter “Fixed” or “Fixed (reversible)”.
“Fixed”: Non-reversible type. Component pickup is possible only at
a pre-determined angle.
“Fixed (reversible)”: Reversible type. Component pickup is possible
when the nozzle is rotated 180 degrees from the pre-determined
pickup angle.
Inner Diameter: Nozzle inner diameter.
* Increment : 0.01mm
Vacuum Check: Specify whether to perform abnormal pressure detection
(Enabled(Pickup/Choke)/Disabled/Enabled(Choke Only)). When
“Enabled(Pickup/Choke)” is specified, the system checks for
nozzle choking or pickup error by inspecting the vacuum pressure
when exchanging nozzles or picking up/placing the component.
When " Enabled (Choke Only)" is specified, if the vision process has
been successfully done, placement will be performed regardless of
the pickup pressure. This function is useful when the pickup
pressure is not stable because the pickup surface is not flat.
Special Nozzle: Normal: normal nozzle
Grip: grip nozzle
Side Y: side pickup nozzle, offset in Y direction
Side X: side pickup nozzle, offset in X direction

To use a side pickup nozzle, enter the offset value in the [Side
Pickup Offset] cell.
Chapter 5 Libraries 5-80

Delay for Vacuum ON: This function is for a specially designed nozzle called Grip Nozzle.
Delay time for the start of gripping after the head has been lowered
is specified here. To use a Grip Nozzle, specify “Fixed” in the
[Special Nozzle] cell.
Range: 300 to 999 ms

Nozzle Length
(same as normal nozzle)

Grip Length

Component Thickness

Grip Nozzle

Grip Length: Specify the length of the gripping part of a special nozzle (grip
nozzle, side pickup nozzle).
Grip Nozzle: 1.5 to 2.0 mm
Side Pickup Nozzle: 3.0 to 5.5 mm
Side Pickup Offset: Specify the offset value for a side pickup nozzle to move after the
head has been lowered. When the head is to move in the negative
direction after it has been lowered, a negative (-) value shall be
specified.

Example:
“Side Y” is specified in [Special Nozzle] (Side Pickup Nozzle, offset
in Y direction) and a negative value is entered in [Side Pickup
Offset]
① The head moves down at the offset point in the Y direction from
the pickup point.
② The head moves in the negative Y direction.

Grip Length

Grip Nozzle (viewed from the side of the machine)

Side Pickup Offset

Grip Nozzle (viewed from above)


Chapter 5 Libraries 5-81

Comp. Remain Check: This function checks if a component remains on the nozzle instead
of being placed on a board by vision process with the scan camera
or by vacuum pressure.
Disabled
Vacuum pressure
Vision process
“Enable or Disable” is specified in the User Parameter>Functions.
ANC ID: Specify the ID number for an ANC hole for a specially designed
nozzle.
Remain Check Code: Specify the image code for comp. remain check.

Specifying the Pickup Angle for Directional Nozzles


To specify the pickup angle for the directional nozzle, enter the angle to [Package Angle] of the
tray library or the packaging library.
Note: In this case, create the component image data at the nozzle angle 0 degree (the angle the nozzle
is seated in ANC).

Timings When Automatic Nozzle ID Recognition is Performed


Automatic nozzle ID recognition is performed in the below three situations:
1. When you select Manual>Nozzle and choose <Scan ANC> button.
2. When you press START button. (only when the nozzle ID is not still acquired.)
3. When the job run is stopped (cycle stop) and re-started after the ANC channel is actuated
(open/close).
Chapter 5 Libraries 5-82

5-2 Feeder-related Libraries

Feeder-related libraries link to the pickup data editor.

Packaging Packaging library


Tape feeder
Stick feeder

Pickup data editor Bulk feeder


Feeder Feeder library

Tray

Pallet

Pallet library Tray library Tray feeder

5-2-1 Contents of Feeder-related Libraries

CONTENTS
Pallet library Link data and pickup order for tray feeders
Tray library Component layout on a tray and others
Packaging library Component packaging information
Feeder library Feeder machine information
Chapter 5 Libraries 5-83

5-2-2 Pallet Library


Menu: Program>Library>Pallet Library
Library>Pallet Library
Register link assignment between pallets in use of the tray feeder.
Maximum 500 records can be registered here.

A link assignment for a pallet name is entered from


001 to 100 maximum in the right pane.
When clicking a pallet name in the left pane of
the window, the right pane changes accordingly.

Window:
File>Save: Saves the edited data.
Tool>Teach: Accesses the Teach dialog box.
Pallet: Any pallet name is acceptable. This name represents a group of
linked pallets 4. It serves to relate the pallet library and the pickup
data editor (program editor).
* Up to 14 characters can be entered.
Feed Style: Select from MX-RT1/MX-ST2/MX-20 / MXR(L)/MXR(R).
Pattern: Pickup pattern (see below).

X One-way Y One-way

X
X Two-way Y Two-way

4 Pallet library data must be created even for a pallet with no link. In this case, use only the Pallet No.1, X Offset 1,
and Y Offset 1 fields.
Chapter 5 Libraries 5-84

Speed:: Set the Hook axis speed to pull out/return the pallet. The maximum
value is “1000” as 100% speed, and set your desired speed by the
unit of 0.1%.
If “0” is set, the value in the Manual>MX-20/MXR-20>Transfer
Speed is referred.
Pallet No.: Enter the pallet number in the order of linking.
When there is no link: “0” for the Pallet number2 or later fields
When [Feed Style] = MX-20/MXR(L)/MXR(R): “1-20”
When [Feed Style] = MX-RT1/MX-ST2: “1”

When double-click on the pallet number field in the right-window,


the pallet will be pulled out. When the number is 0, the pallet on the
shuttle will be returned in the stocker.
X/Y Offset: X/Y offsets from the reference coordinates. See the following
description:
Chapter 5 Libraries 5-85

Registering Reference Coordinates

MX-RT1
The reference coordinates on MX-RT1 are pre-registered. Their X,Y correspond to the front
right corner of the tray when the tray is installed to the mounter. Their Z corresponds to the
pallet upper surface.

Reference coordinate (XY)

To re-define the reference coordinates, follow the below steps:


Action:
① Click Tool>Teach to open the Teach dialog box.
② In [Tray Teach] tab, under [Unit], select “MX-RT1”.
③ Teach the reference coordinates (XY) .
④ Click <User Origin> button.
⑤ Make sure [X][Y] fields display “0”.
⑥ Attach a nozzle to a head. Under [Axis], select “Z axis”. Teach the upper surface of the
pallet.
⑦ Click <User Origin> button.
⑧ Make sure [Z] field displays “0”.
Chapter 5 Libraries 5-86

MX-20/MX-ST2/MXR
The reference coordinates on MX-20/MXR/MX-ST2 are pre-registered. Their X,Y coordinates
correspond to the front right corner of the tray when the tray is positioned against the pallet’s
positioning blocks. (in use of a JEDEC tray, the front positioning blocks) Their Z corresponds to
the pallet upper surface. To re-define the reference coordinates, follow the below steps:

Rear stop point

Front stop point

Action:
① Click Tool>Teach to open the Teach dialog box.
② In [Tray Teach] tab, set [Unit], [Shuttle Position], [Pallet No.] appropriately. Set [Mode] to
<Out>. Click <Move Pallet> button so the specified pallet moves out.
③ Teach the reference coordinates (XY) .
④ Click <User Origin> button.
⑤ Make sure [X][Y] fields display “0”.
⑥ Attach a nozzle to a head. Under [Axis], select “Z axis”. Teach the upper surface of the
pallet.
⑦ Click <User Origin> button.
⑧ Make sure [Z] field displays “0”.

Note: To define reference coordinates one by one for each pallet, access Manual>MX-20/MXR for
entering offset values to each reference point.
For any tray feeder, to use more than one tray on the same pallet, enter coordinate offsets of
each tray from the reference coordinates to [X Offset] and [Y Offset] fields of the pallet library.
Example: Create pallet library data for MX20 with which to link the pallet number 1, 4, and 5.

Tray B

Tray A Tray C
Front stop point

Pallet No. 1 Pallet No. 4

The setting for the pallet library (right half part) is shown below. Due to space limitation, each
setting field that is actually located side by side is vertically arranged.

Pallet No. X Offset Y Offset (Description)


#1 1 0 0 Tray A
#2 1 0 170 Tray B
#3 4 0 0 Tray C
#4 0 0 0 None
Chapter 5 Libraries 5-87

Note: When using JEDEC pallets, the front/rear positioning block offset values are X=0/Y=170. To
obtain accurate offset values, teaching must be performed.
Link to the library can be made for the same tray only.
Note: MX-ST2 and MX-20 share the same concept of reference point, except that they differ in their
structure. When using JEDEC pallets, the front/rear positioning block offset values are
X=0/Y=180. To obtain accurate offset values, teaching must be performed.
Note: MX-RT1 and MX-20 share the same concept of reference point, except that they differ in their
structure. When using JEDEC pallets, the front/rear tray offset value is approximately Y=140.
To obtain accurate offset value, teaching must be performed.

5-2-3 Tray Library


Menu: Program>Library>Tray Library
Library>Tray Library
Register tray data for use of the tray feeder. Before creating the tray library data, the pallet
library data must be created.
Window:
Tray: Tray name. Serves to relate the tray library and the pickup data
editor (program editor).
* Up to 500 records can be registered.
* Up to 14 characters can be entered.
Pitch X: Component pitch in the X direction.
* Unit : 0.01mm
Pitch Y: Component pitch in the Y direction.
* Unit : 0.01mm
Count X: Number of component columns (X direction).
* The number can be entered up to 999.
Count Y: Number of component rows (Y direction).
* The number can be entered up to 999.
Original X: X coordinate of the original pickup point
* Unit : 0.01mm
Original Y: Y coordinate of the original pickup point
* Unit : 0.01mm

Count Y

Pitch Y

Origin
Pitch X Original X/Y

Count X

Component Height: Height from the tray bottom to the component upper surface.
* Unit : 0.01mm
Chapter 5 Libraries 5-88

Package Angle: Packaging angle based on the image scanning angle (when viewed
from the machine front). Available settings are 90, 180, -90, and 0.
Counter-clockwise rotation when viewed from above is the positive
angle. Used to link the same components with different packaging
angle.

A B

To link the component A and B shown above, register [Package


Angle] of B based on [Package Angle] of A. When [Package Angle]
of A is “0”, enter “90” for B. In the pickup data editor, assign A and
B the same component code to link them. With this procedure, you
need not vary the placement angle setting between A and B. The
placement angle setting is based on the image scanning angle.
Error Skip Not used.
Postpone Retry Disabled = Retry disabled, Enabled = Retry enabled. Use of this
function allows retries to be performed collectively at the end of the
program, instead of being performed separately.
However, retries occurring during “postpone retry” will be handled
as normal retries.
To use this function, “Postpone Retry” must be enabled (User
Parameter > Functions(2) > Postpone Retry Step Tray Component
Only).

Setting Tray Library Data (1)


Applies to trays positioned against the positioning blocks of the pallet (in use of a JEDEC tray,
the front positioning blocks).
First, enter [Count X] and [Count Y] settings manually. Then perform teach entry for other
settings (Tool>Teach>TrayTeach).
Action:
Type in [Count X] and [Count Y] settings so they correspond to the location of the third teach
point. In the below example: [Count X]=4, [Count Y]=3.
① Set the tray to a pallet. Set the pallet to MX-40. (See Chapter 7Tray Feeders.)
② Open the Board Data dialog box (Program>File>BoardData) and enter the board size
correctly. (for the case of MX-20)
③ In the tray library editor, click the line to edit. Then click Tool>Teach to open the Teach
dialog box.
④ In [Tray Teach] tab, under [Unit], select a tray feeder. Under [Shuttle Position], select a
pallet stop position. When [Unit] is "MXR", select "Front". When [Unit] is “MX-RT1”,
select “Front” or “Rear” (either will do).
The steps 5 through 6 stated below apply only to MX-20, and MXR. As for MX-ST2, manually
set the pallet to the front or rear pickup position.
⑤ Under [Pallet No.], enter the pallet number.
⑥ Under [Mode], click <Out> button. Then click <Move Pallet> button. The specified pallet
enters the mounter.

When choosing <Move Pallet> button in the Teach dialog box, do not stick head, hands,
Warning or other parts of the body inside the mounter. Serious injury can result. Also make sure
non-operators are a safe distance from the machine.
Chapter 5 Libraries 5-89

⑦ Perform three-point teach for the points illustrated below:

Three teach points are graphically


suggested on the Teach dialog
box.

Y=3

Original pickup point

X=4

While the main teach camera cannot cover the entire tray, it can handle
three-point teach. Within the camera work range, teach the farthest point from
the original pickup point as the third teach point. The third teach point must
correspond to the Count X/Y settings you have entered.

Then the system calculates the original pickup coordinates (Original X/Y) and the component
pitch (Pitch X/Y). The result is displayed in the corresponding fields.

After performing the three-point teach, correct the Count X/Y settings to the
original settings. Otherwise, the system calculates the component count
according to the Count X/Y settings used for the three-point teach, resulting in
component mis-counting.

⑧ Teach the component height. This teaching is for Z height of the component, therefore the
specified nozzle must be set to the specified head prior to teaching.
⑨ Under [Axis], select “Z axis”. Under [Head], enter the head referred to in the previous
step. Move the head above the center of an arbitrarily chosen component.
⑩ Place a sheet of paper with thickness of ordinary photo-copy paper over the component.
Under [Axis], enter “Z axis”. Lower the nozzle slowly toward the component. If necessary,
slow down the axis speed using [Axis Speed] setting. When the nozzle reached the paper,
try to pull the paper to see the nozzle height is proper. When the paper is lightly caught
between the nozzle and component, the nozzle height is best adjusted.
⑪ Subtract 0.1mm from the Z height obtained in the previous step. This means the nozzle
pushes down the component for 0.1mm.Type in the value to TrayLibrary > [Component
Height] field.
⑫ Close the Teach dialog box by clicking <X> button.
⑬ Click File>Save to save the data. Close the tray library editor by clicking <X> button.

Setting Tray Library Data (2)


Applies to trays not positioned against the positioning blocks of the pallet. In this case, you
must notify the system of the offset of the tray.
First, enter [Count X] and [Count Y] settings manually. Then perform teach entry for other
settings (Tool>Teach>TrayTeach).
Action:
Type in [Count X] and [Count Y] settings so they correspond to the location of the third teach
point. In the below example: [Count X]=4, [Count Y]=3.
① Set the tray to a pallet. Set the pallet to MX-40. (See Chapter 7 Tray Feeders.)
② Open the Board Data dialog box (Program>File>BoardData) and enter the board size
correctly. (for the case of MX-20 and MXR)
③ Click Library>Pallet Library to open the pallet library editor.
④ Click the X Offset or Y Offset field of the pallet. ([X Offset] and [Y Offset] settings must be
entered beforehand.)
⑤ Click Tool>Teach to open the Teach dialog box.
Chapter 5 Libraries 5-90

When choosing <Move Pallet> button in the Teach dialog box, do not stick head, hands,
or other parts of the body inside the mounter. Serious injury can result. Also make sure
Warning
non-operators are a safe distance from the machine.

⑥ In [Tray Teach] tab, under [Unit], select a tray feeder. Under [Shuttle Position], select a
pallet stop position. When [Unit] is "MXR", select "Front". When [Unit] is “MX-RT1”,
select “Front” or “Rear” (either will do).
The steps 7 through 8 stated below apply only to MX-40, MX-20, and MXR. As for MX-ST2,
manually set the pallet to the front or rear pickup position.
⑦ Under [Pallet No.], enter pallet the number.
⑧ Under [Mode], click <Out> button. Then click <Move Pallet> button. The specified pallet
moves into the mounter.
⑨ Click <Trace> button. The main teach camera moves toward above the front right corner
of the tray.
⑩ Open the tray library editor. Click the line to edit. Click <User Origin> button in the Teach
dialog box.
Then follow the steps 7 through the end of Setting Tray Library Data (1) in the previous section.

Tray Trace Feature


This feature allows the specified head to move to the specified tray component.
Select a line to trace in the tray library editor. Then open the Teach dialog box. Then specify the
tray feeder to [Unit], pallet stop position to [Shuttle Position], pallet number to [Pallet No.], and
component position to [X Pos.] and [Y Pos.]. Click <Trace> button to execute.
Note: Manual entry of the tray library data using ready-to-use database or actual measurements is
also available.
Chapter 5 Libraries 5-91

5-2-4 Packaging Library


Menu: Program>Library>Packaging Library
Library>Packaging Library
Window:
Packaging: Packaging name. Serves to relate the packaging library and the
pickup data editor (program editor).
Pitch: Normally not used.
When you want two or more times of feeding action for a pickup
operation, make this setting in addition to “Feeder Pitch” setting
(see the feeder library).
Example: To feed components (component pitch: 8mm) using a
F1-84 feeder (feeder pitch: 4mm), set this item to 8.00. Since the
feeder pitch for the F1-84 feeder is set to 4.00 (4mm), components
will be jogged twice automatically when they are picked up, when
using this packaging code for the F1-84 feeder.
Height: Packaging height based on the pickup Z origin. The height for tape
feeders can be described as below:

Punched tape Adhesive tape Embossed tape

Z=0

Punched tape: Tape thickness.


Adhesive tape: Component height - 1.5mm. (-1.5mm is the
compensation value for adhesive-tape-specific feeders.) For
example, when the component is 2mm thick, 0.5mm shall be
entered to [Height].
Embossed tape: 0.
For precise measurement, teach the Z coordinate of the component
in the pickup data editor.
Stick feeder: 0
For precise measurement, teach the Z coordinate of the component
in the pickup data editor.
* Increment : 0.01mm
Package Angle: Packaging angle based on the image scanning angle (when viewed
from the machine front). Available settings are 90, 180, -90, and 0.
Counter-clockwise rotation when viewed from above is the positive
angle. Used to link the same components with different packaging
angle.

A B

To link the component A and B shown above, register [Package


Angle] of B based on [Package Angle] of A. When [Package Angle]
of A is “0”, enter “90” for B. In the pickup data editor, assign A and
B the same component code to link them. With this procedure, you
need not vary the placement angle setting between A and B. The
placement angle setting is based on the image scanning angle.
Chapter 5 Libraries 5-92

5-2-5 Feeder Library


Menu: Program>Library>Feeder Library
Library>Feeder Library
Window: For the current version, only feeder name is required for [Feeder] field. Enter this name to
PickupData>[Feeder/Pallet]. Leave other settings as default.
Feeder: Names of PS / F1-series feeders can be provided as available
choices. Click the right mouse button to present the list.
Lot No.: Planned for future use.
Feeder Code: Do not change..
Feed Pitch: Use the default setting.
Feed Height: Planned for future use.
X Offset: Use the default setting.
Y Offset: Use the default setting.

Setting for Multi-stick Feeders (PS-MS3)


A multi-stick feeder has two or more pickup points. Their positions are defined by coordinate
offsets from the reference pickup point that corresponds to the connector position of the feeder.
Such coordinate offsets are registered to the feeder library editor. Enter feeder names
corresponding to each pickup point (PS-MS3-A, PS-MS3-B,... PS-MS3-I) one by one to [Feeder].
Then enter offsets from the reference pickup point to [X Offset] and [Y Offset].
Chapter 5 Libraries 5-93

5-3 Copying Data from the Master Library

Menu: Library>Copy Library

Master Library and User Library


Master library is pre-loaded to the machine and is of read-only. It is normally hidden. On the
other hand, user library can be written and read, and is always shown. You can edit it as you
like.
When you find useful data in the master library, copy it to the user library.

Action:
① Select MainMenu>Library>CopyLibrary to open the upper dialog box.
② Under [Copy From], click <Master Library> button.
③ Click a button of the desired master library. The below dialog box appears.

④ From the left pane (Master Library), select data you want to copy.
⑤ Click <Copy> button. The confirmation message asks you for confirmation. Confirm the
message and copying starts. The copied data is displayed to the right pane (User Library).
⑥ Click <Close> button to close the dialog box.
Chapter 5 Libraries 5-94

Copying the Backup Library Data One by One


The System>BackUp&Restore menu allows the entire backup library data to be restored to the
system, but not individual library data. The CopyLibrary menu allows you to restore or copy
individual library data.
① Insert the floppy disk containing the library data to the floppy disk drive of the mounter.
② Click Library>Copy Library.
③ Click <Backup Floppy> button from [Copy From] . [Look in] field for entering the data
path appears at the lower part of the dialog box.
④ Click <Browse> button to browse for the folder containing the source data. The selected
folder is displayed in [Look in] field.
⑤ Click a button of the desired library. The Backup Library list appears.
⑥ From the Backup Library list on the left, select data you want to copy.
⑦ Click <Copy> button. The confirmation message asks you for confirmation. Confirm the
message and copying starts. The copied data is displayed to the User Library list on the
right.
⑧ Click <Close> button to close the dialog box.
Note: FDD is optional.
Chapter 6 Coplanarity Checker 6-1

Chapter 6
Coplanarity Checker

- Specifications
- Data Settings
- Maintenance
Chapter 6 Coplanarity Checker 6-2

6-1 Specifications

6-1-1 System Configuration


The chart below shows System Configuration of “Coplanarity Checker”device.

Moun t er USB2. 0 T a r get Com p.

Noz zl e

Comp on en t
Recei vi n g
Tr a n sm i tt in g
La ser Sen sor

DC24 V
La ser Amp.
Sen sor Ca ble
DC Power

Coplanarity Checker

Laser Sen sor

6-1-2 Coplanarity Detecting Sequence


1) In advance to detect Coplanarity, a component image is recognized to correct the pickup
offset.
2) Coplanarity Checker irradiates the laser at the middle length of lead foot to make the laser
diffused-reflected and then measure all the lead height of a component.

T op View Sid e View

L ead Fo ot

L ead Fo ot

3) In comparison to the assumed plane 1, leads height offsets are checked. If there are excess
lead offsets to “Lead Height Tolerance”, errors will occur.

1
Assumed plane is an average height of all the detected leads of a component.
Chapter 6 Coplanarity Checker 6-3

6-1-3 Laser Sensor Specifications


The chart below shows the laser sensor specifications for the Coplanarity Checker.
Items Specifications
Lead height detecting accuracy ±5μm
Laser wavelength 658nm
Laser maximum output power 1mW
Laser class 2
Laser measurement range 30±5μm
Working lifetime 5 years (continuous use)

Note: In case that leads are bent horizontally, the Coplanarity Checker may not be able to detect the
bent leads correctly.
Note: The laser sensor works only when the mounter handles the components which Coplanarity
Check function is selected and activated while the mounter is running. When the mounter
pauses, the laser sensor pauses either.
When handling Coplanarity Checker, pay careful attention to it as hereafter.
• Do not soil the surface of laser transmitting/receiving windows with water, oil, or
fingerprints to prevent it from beam refraction. Keep the laser transmitting/receiving
windows away from dirt or dust to prevent it from blocking the beam as well.
• Do not let sunlight or ambient light such as the same wavelength light directly into the
receiving window.
• Do not look at laser beam directly and also do not look at laser reflected by a mirror.

6-1-4 Laser Amplifier Specifications


Items Specifications
Power requirements 24V DC±10% (supplied from the mounter.)
Power consumption Approx. 350mA
USB Interface Conform to USB2.0 Full speed (USB1.1 compatible)

6-1-5 Detecting Time


Items Coplanarity Detecting Time
10mm square 1.35 sec.
15mm square 1.50 sec.
30mm square 2.00 sec.
45mm square 2.75 sec.
Chapter 6 Coplanarity Checker 6-4

6-1-6 Available Components for the check


Coplanarity Checker can handle QFP, LQFP, TQFP, SOP, SSOP, TSOP, TTSOP, and connectors.
But it is not possible to handle ball components or odd-shaped lead components.
The maximum component size is equal to the specifications of your mounter.

Maximum number of leads: 400 leads


Missing leads: Supported
Thick lead: Not supported

Components that have any wider leads than the others


cannot be checked. (inside the red circles)

Connector’s lead: One side/both sides leads connectors are supported.


(with some limitations)

In case of the component like the left drawing,


leads at both sides (inside of red circle) cannot
be checked.

6-1-7 Pass/Fail Criteria


Pass or Fail is resulted comparing Lead offsets in Z-axis direction (coplanarity) to Assumed
plane of the component.

6-1-8 Restriction for production


The maximum number of Coplanarity Check data for a program;
The maximum number of Image Libraries with Coplanarity Check data for one program is 99
records.
Chapter 6 Coplanarity Checker 6-5

6-2 Data Settings

To enable Coplanarity Check function, check [Coplanarity Check] box in MainMenu>System


>UserParameter>CoplanarityCheck.
See Chapter 10 Stopping a Job Run.

6-2-1 Procedure to create Coplanarity Check data


Coplanarity Check data consists of several data settings such as Component Shape, Threshold,
etc.
Coplanarity Check data are registered in each Image Library code (SOP/QFP/Connector).
Action:
1) Open Image Library window.
2) Do Image Test.

Note: Before creating Coplanarity Check data, pickup offset should be corrected.
Do Image Test before checking coplanarity.
i: Open [Prearrange] window and pick up a component with a nozzle.
Confirm component pickup angle so that it should be as same as when actual production.
And Take care not to have much offset between component center and nozzle center.
For detail operations of [Prearrange] window, see Chapter 5 Libraries>Prearrange.
ii: Click [Image Test] and execute component image test.
Repeat [Image Test] until you will get OK in [Result].
iii: The result of Image Test will be used for coplanarity check in the next step. Keep the
component attached to the nozzle and go to the next step hereafter.

3) Open [Coplanarity Check data].


Click [Coplanarity Check data] icon in [Image Library] window. (See the figure below.)

Coplanarity Check
data edit icon

Coplanarity Check data is automatically produced when [Coplanarity Check data] screen” is
opened from an Image Library data. Thus, in order to create new Coplanarity Check data, open
the Image Library data first, and then open the [Coplanarity Check data] screen”.
Chapter 6 Coplanarity Checker 6-6

4) Open [Coplanarity Check data].


Coplanarity Check data consists of settings such as Component Shape, Threshold, etc. See the
followings for details of each setting.

■Component Discrimination
Items Descriptions
Code Image Library code name is displayed.
Component Type is displayed.
Component Type
(QFP/SOP/Connector)

■Component Shape
Input values in items below. (Items differ for each Component type.)
Each item in Component Shape is set automatically from Image Library data when Coplanarity
Check data window is opened. If the sizes are not accurate, Coplanarity Check would fail. In
this case enter accurate sizes from the component design dimensions.
Items Comment
Component Size X (Note-1) Lead Count X, at one side
Component Size Y (Note-1) Lead Count Y, at one side
Lead Pitch X Lead Width
Lead Pitch Y Lead Foot
Note-1: “Component Size” is outer dimension of the component.

Note: Image Library of Connector does not have some values such as Component Size X/Y etc.
Those values are acquired by Mounter’s calculation automatically when Coplanarity Check
data is newly created. If the calculated value is not equal to the designed dimensions or actual
measured dimensions, correct the values manually. (The figures below are internally used to
acquire connector’s dimensions by values in Image Library.)
Reference: Figures to acquire connector’s dimension
(“”: Items in Coplanarity Check data, [ ]: Items in Image Library)
“Lead Width” = [Lead Pitch] x 0.4
“Lead Count” = ([End Lead Pitch] / [Lead Pitch]) + 1
“Component Size X” or”Component Size Y” (longer side) = [Lead Row]

The initial values are set by the figures above, but correct them if necessary. For example, in
case of Connector, “Component Size” (Outer Dimension) should be bigger than “Lead Row”
actually.
Chapter 6 Coplanarity Checker 6-7

QFP
Lead Pitch X

Component Size Y

Lead Count Y Lead Width

Lead Pitch Y

Lead Count X
Lead Length

Component Size X

SOP/QFP Connector

Component
Size Y Component
Size Y

Lead Width Lead Pitch


Lead Width Lead Pitch X
Lead Count X

Lead Count X
Lead Row

Component Size X Component Size X


Chapter 6 Coplanarity Checker 6-8

■Threshold
Item Description
Enter a Threshold to evaluate whether the component is good or bad.
Lead Height Component coplanarity is judged in comparison with Assumed Plane 1
Tolerance calculated from the lead heights, to the biggest offset lead of upper or
lower direction. Default setting is 0.10.

Note: Enter “Lead Height Tolerance” in consideration of solder thickness, etc.

He ight of the most bent lead

Lead Height

Assumed Plane Height

■Advanced Setting for Coplanarity Check data


Click [Coplanarity Check data]-[Tool]-[Advanced Setting] to open [Coplanarity Check Data
Advanced setting] window.
Item Description Range
10 to 2000 [micro sec.]
Sampling Cycle Set a Laser projection cycle.
(Default: 40 micro sec.)
Measurement Height Set a component height for
0 (default)
Offset measurement.

Scanning Speed Set a scanning speed for measurement. 300 (default)


Set a smoothing level of measurement
Filter Level 7 (default)
data.
Slice Level Set a threshold for error judgment. 10 (default)
Distance between
Set an invalid length between two sides. 500 (default)
Two sides
Measurement valid
Set a valid range of measurement data. 0.150 (default)
Width

* Use default settings (initial values) for Advanced Settings normally.


Only in case of Bumpered QFPs as shown below, input 4.5 in “Measurement Height Offset”.

1
Assumed Plane is an average height of all the detected leads of a component.
Chapter 6 Coplanarity Checker 6-9

■Component Image
Component image is displayed in [Component Image] window in [Coplanarity
Check data] screen. In case leads are failed in Coplanarity Check test, they are
indicated in red color.
Ex.:SOP

Component Packaging angle


(When facing to Mounter)
NG Lead

Component image
(in Coplanarity Check edit screen)

Note: The error leads are indicated in red color and the missing leads are indicated in light blue
color.
5) Execute Coplanarity Check test.
After all necessary items are entered, execute Coplanarity Check test as follows:

1 Click [Create Com. Image] button to display the component image.


The image is drawn by [Component Shape] data. Compare the image with the actual
component to check whether the [Component Shape] data are correct. (Component Size
X/Y is defined as outer length of Lead, and the Lead part is overlaid with the mold part in
the image drawing.)
2 Confirm the component is still attached to the nozzle since the step “2) Do Image Test”. If
the component is not attached, do the step “2) Do Image Test” again.
3 Click [Execute Test] button to do Coplanarity Check test.
4 In [Test Result] box, the result OK or NG is displayed. If the result is NG, check the error
status by a message and a [Component Image] display.
Chapter 6 Coplanarity Checker 6-10

The picture below shows NG status that several Leads of QFP exceed the “Lead Height
tolerance”.

6) Edit Component Library.


After saving Coplanarity Check data, open Component Library screen to enable Coplanarity
Check function for the target Component code.
Set “Coplanarity” to [Use] in Component Library. (On the contrary, set it as [Not use] if
Coplanarity check is not needed for production.)

Caution:
If “Coplanarity ” function is set to [Use] for the Component Code without creating Image
Library, a Program Data Check error will occur.
Chapter 6 Coplanarity Checker 6-11

6-3 Maintenance

6-3-1 About Maintenance


This chapter explains Daily Maintenance of the Laser sensor. In advance to start Maintenance
job, be sure to turn off the power of the Mounter to stop laser projection.

■Cleaning the surfaces of transmitting and receiving windows.

If oil, fingerprints, etc. are on the laser transmitting/receiving windows which may cause beam
refraction, or if dust or dirt which may cause beam blocking is on these windows, it may affect
the measurement accuracy. Clean the windows periodically.

• Blow off larger dust or dirt with a camera lens blower.


• Wipe off smaller dirt, fingerprints, etc. with a soft lens cleaning paper gently.
• For persistent dirt, wipe off carefully with a cleaning paper soaked by a little alcohol.

■Cleaning the controller

Wipe off adhered dirt from the controller with a clean and soft cloth gently.
Chapter 6 Coplanarity Checker 6-12

- - - Blank Page - - -
Chapter 6 Tray Feeders 7-1

Chapter 7
Tray Feeders
Chapter 6 Tray Feeders 7-2

7-1 MX-20

7-1-1 Features
MX-20 can accommodate up to 20 pallets. Each pallet can have two JEDEC1 trays (one tray
in the case of trays larger than JEDEC1). Up to 40 kinds of components can be supplied if
the pallet has two trays, and up to 20 types if the pallet has only one tray.
Ease of operation has been improved by introducing the pallet status display function.
LED display Description

Lit (green) Indicates that the pallet is used by the current program and
contains components.
Lit (red) Indicates that the pallet has no components.
Lit (orange) Indicates that the pallet does not have sufficient components
(shortage alarm). (The component count set for shortage alarm has
been reached.)
Not lit Indicates that the pallet is not used by the current program.

7-1-2 Operation

7-1-2-1 Data Creation Procedure


Menu: Program > Board Data > Board Size
Library > Tray Library
Library > Pallet Library
Manual > MX-20

When using MX-20, make sure to enter the board size (Y).
(Program >Board Data > Board Size).

7-1-2-2 Pulling out/ Returning Pallet


Action:
① Open Pallet Library, and find a record which has the pallet number to pull out in the right
window of the Pallet Library screen. (If there is not the desired pallet number, input the
number temporary.)
② Double-click on the pallet number field, and a message, “Execute?”, will come up.
③ When you click “OK” here, the pallet will be pulled out on the shuttle.
④ To return the pallet on the shuttle back to the stocker, double-click “0” field in the pallet
number, and click “OK” button.
Note: If there is other pallet detected in the destination when you tried returning the pallet on the
shuttle, error will occur.

7-1-2-3 Taking out Pallet from stocker

Action:
① Check whether any pallet is on the shuttle.
If there is, press the [Set up] switch on the rear side panel. Then, the pallet on the shuttle
will be return to the stocker.
Note: When any pallet is on the shuttle position, you cannot open the rear cover of MX-20 because the
rear cover is locked.
② Open the rear cover of MX-20 and open the pallet stopper.
Chapter 6 Tray Feeders 7-3

③ Take out the desired pallet.


④ Loosen the tray clamps and pull the tray toward the front.

Posi t i oni ng bl ock

Posi t i oni ng bl ock


A, B

T ray cl am p

⑤ Align the tray to the positioning blocks.


⑥ Push the tray clamps against the tray and tighten them firmly.
⑦ Carry out the above steps for the other tray.
Note: The example shown above uses JEDEC trays and JEDEC pallet. Pallets designed for use in
Japan have no positioning blocks A and B, and have only one tray clamp. So, only one tray can
be set.
⑧ Carry out the above steps for the other pallets.
⑨ Return the tray-installed pallets to the stocker.
⑩ Close the pallet stopper and cover.
⑪ Enter the width (Y) of the PCB to be processed (Program > Board Data > Board Size). This
will automatically determine the component feed position of MX-20 shuttle. If 310mm
(Note) or smaller value is entered or no entry is made (0), the front shuttle position will
be selected.

3 1 0 m m o r l es s
( No t e)
Conv eyor W i d er t h a n 3 1 0 m m
( No t e)

Sh u t t l e
Front position

Sh u t t l e
Rea r p o s i t i o n

T hi s area cannot be used i f


t he board wi dt h i s l arger
t han 310m m .(Note)
Chapter 6 Tray Feeders 7-4

Note: For M1 plus : 270mm

⑫ Enter a tray name in [Tray] (Library > Tray Library), and enter necessary data (e.g.
“Original X/Y”, “Count X/Y” and “Component Height”). See Chapter 5 Libraries>Tray
Library.
Note: If tray library data has been entered, just enter the tray name (Program > Pickup Data).
⑬ When using pallets accommodating the same components and trays within the same
stocker, a link to the pallet library must be made. See Chapter 5 Libraries>Palette Library.

7-1-2-4 Production Run


■Starting Operation
Before starting operation, make sure the MX-20’s cover and pallet clamp are closed. An error
will occur if they are open.
■Out of Component
When the components on the pallet have run out, an out of component error will occur and the
mounter stops automatically, enabling the operator to carry out setup change. Even before an
out of component error occurs, setup change can be carried out by pressing Cycle Stop switch
to stop the mounter. The LED on MX-20 lights up in red to indicate the pallet that has no
components.

In the explanation given below, switches at the rear side are used. To enable rear-side switches
instead of front-side switches, press Enable switch on the rear side.
Action:
① Find the pallet that contains no components. (LED is lit in red.)
② Press Alarm Off switch to stop alarm and then press Recovery switch.
③ Setup switch lamp begins to blink and the pallet will return into MX-20, so wait a while.
When Setup switch lamp lights up, setup can be performed. Do not open the cover before
Setup switch lamp lights up, since this will cause a cover interlock error to occur.
④ Switch Auto/Manual switch to “Manual”, open the cover, open the pallet stopper, place
components in the pallet, close the pallet stopper, close the cover, and then finally switch
Auto/Manual switch to “Auto”.
⑤ Press Setup switch. The Start switch lamp goes out and the LED turns from red to green.
Setup is now complete.
⑥ Press Start switch to resume operation.

Note: Setup can be performed only for the pallets whose LED is lit (red). Please note that the
component count will be reset to “X=1, Y=1” when Setup switch is pressed, even if setup has
not been completed.
Note: If the specified pallet was not detected by sensor as being pulled out, the other pallet linked in
the pallet library will be pulled out instead.

■ Errors During Production


If an error occurs with MX-20 during production or manual operation, carry out proper action
by referring to Chapter 14 How to manage the MXR troubles.
Chapter 6 Tray Feeders 7-5

7-2 MXR-20

7-2-1 Features
MXR-20 can accommodate up to 20 pallets.

7-2-2 Operation
Same as MX-20, so refer to the operating method given in “5-1 MX-20”. However, MXR-20’s
pallets have no positioning blocks A and B, and have only one tray clamp. So, only one tray can
be set.

7-2-2-1 Production Run


■Starting Operation
Before starting operation, make sure the MXR’s cover and pallet clamp are closed. An error will
occur if they are open.
■Out of Component
When the components on the pallet have run out, an out of component error will occur and the
mounter stops automatically, enabling the operator to carry out setup change. Even before an
out of component error occurs, setup change can be carried out by pressing Cycle Stop switch
to stop the mounter. The type of components that have run out and the pallet No. can be
checked in the message window or Component Count window.
In the explanation given below, switches at the rear side are used. To enable rear-side switches
instead of front-side switches, press Enable switch on the rear side.
Action:
① The message window or in the Component Count window, find the pallet that contains
no components.
② Press Alarm Off button to stop alarm and then press Recovery switch.
③ Setup switch lamp begins to blink and the pallet will return into MXR, so wait a while.
When Setup switch lamp lights up, setup can be performed. Do not open the cover before
Setup switch lamp lights up, since this will cause a cover interlock error to occur.
④ Switch Auto/Manual switch to “Manual”, open the cover, open the pallet stopper, place
components in the pallet, close the pallet stopper, close the cover, and then finally switch
Auto/Manual switch to “Auto”.
⑤ Press Setup switch. The Setup lamp will go out and setup is now complete.
⑥ Press Setup switch to resume operation.

Note: Please note that the component count will be reset to “X=1, Y=1” when Setup switch is pressed,
even if setup has not been completed.
Note: If the specified pallet was not detected by sensor as being pulled out, the other pallet linked in
the pallet library will be pulled out instead.

■ Errors During Production


If any troubles occur at Pallet Out/In action during Production or Manual Operation, carry out
proper action by referring to Chapter 14. How to manage the MXR troubles.
Chapter 6 Tray Feeders 7-6

7-3 MX-ST2

The tray feeder MX-ST2 (optional) can be installed to the rear side of the mounter. It can
accommodate two JEDEC trays or one larger-than-JEDEC tray. Tray pallets are available in two
types: for JEDEC tray and for larger-than-JEDEC tray.

7-3-1 Features
There are two pallet positions. When the pallet is slid toward the conveyor, the first click
position is Set Position 1 for use of one JEDEC tray, and the second click position is Set
Position 2 for use of two JEDEC trays or one larger-than-JEDEC tray. (Fig.1)
Pallet position and board width limitation
The pallet slides on the plane on which the moveable rail of the conveyor moves and
pallet position limits board size in the Y direction. (Fig.1) With a board of less than 270mm,
two JEDEC trays can be used.
With MX-ST2 installed, to enable operation on the rear side, the rear control panel with
four operation switches is installed to the mounter. (Fig.2) Also, Enable switch is added
to the front control panel. The front and rear operation panels are alternately enabled;
either panel with lighting Enable switch is currently enabled.

7-3-2 Operation
Action:
Suppose the below steps are performed during a job run.
① Open the rear cover of the mounter.
② Draw out the pallet of MX-ST2 and set a tray or trays to the pallet.
③ According to Features stated above, position the pallet to either Set Position 1 or 2.
④ Close the rear cover of the mounter.
⑤ Press Start switch. The pallet is clamped in position.

When the loaded components have run out, follow the below steps. The below steps assume
the rear control panel is used. To enable the rear control panel (when the front control panel is
enabled), press Enable switch on the rear.
① The lack of components on MX-ST2 makes a warning sound go off, the yellow lamp of the
alarm beacon flicker, Alarm Off switch light up, and Recovery switch flicker. (Fig.2)
② Press Enable switch on the rear control panel to enable the rear control panel.
③ Press Alarm Off switch to stop the warning sound.
④ Press Recovery switch.
⑤ Press Setup switch. The pallet is unclamped.
⑥ Open the rear cover of the mounter. Draw out the pallet of MX-ST2 and set a tray or trays
to the pallet. Put back the pallet to the original position where the pallet clicks. (Set
Position 1 or 2)
⑦ Press Setup switch. This allows the pallet to be clamped and Setup switch to go out.
This also resets the component remaining count to X=1 Y=1.
⑧ Close the rear cover of the mounter.
⑨ Press Start switch to re-start.

Note: Warning sound may be given due to other reason than component lack of MX-ST2. (for
example, component lack of a tape feeder.) See the message provided by the system for the
reason.
Chapter 6 Tray Feeders 7-7

Note: Set the pallet with components carefully not to let the components jump out. If improperly set
components are found during a job run, follow the below steps.
① Press Cycle Stop switch to stop the mounter.
② Press Enable switch on the rear control panel to enable the rear control panel.
③ Press Setup switch. The pallet is unclamped.
④ Draw out the pallet and correct the component position. Put back the pallet to its original
position.
⑤ Press Setup switch. The pallet is clamped.
⑥ Press Start switch to re-start.

In executing automatic conveyor width setting (optional), the pallet in Set Position 2 will get in
Caution the way of execution. Before execution, please slide the pallet toward Set Position 1 to secure
space.
Fig.1

Machine Front

Max. 270mm Max. 270mm Max. 410mm

Larger-than-JEDEC tray JEDEC tray

Set position 2

Set position 1
Machine Rear

Fig.2

Cycle
Start Enable Setup
Stop
Chapter 6 Tray Feeders 7-8

---Blank page---
Chapter 7 Running a Job 8-1

Chapter 8
Running a Job

Pre-operation check and initial settings


Performing a non-feeder run and slow run
(test mode)
Performing a job run and monitoring the
performance
Edit&Teach Mode during Job-run
Chapter 7 Running a Job 8-2

8-1 Registering the Operator

Menu: Management>RegisterOperator
Prior to executing job run, register the operator(s) here. The registered operator(s) become
selectable for the Operator field in the Run dialog box.

Action:
① Click <New> button.
② The Operator Entry/Deletion dialog box appears. Under [Operator Entry] field, enter an
operator name and click <Register> button. To register more than one operator, repeat
this step as necessary.
③ Click <X> button to close the dialog box.
④ Confirm that the registered operators are displayed in the Register Operator dialog box.
When you click an operator name and then click <Decide> button, it is displayed for the
Operator field in the Run dialog box by default. At the same time, it is entered to the
Operator field of Management>OperatorRecord as the next operator.
⑤ Click <Close> button to close the dialog box.
Chapter 7 Running a Job 8-3

8-2 Pre-operation Check and Initial Setting

8-2-1 Summary
The following lists what to check before a program can be run. If you fail to check them, an
error may result.
Necessary data are entered to Program> Board Data.
Required nozzles for the program have been set to the heads/ANC.
When using MX-20/MXR, all the pallets are correctly stored in the stockers.
The feeder location conforms to the program.

8-2-2 Checking Board Data


Menu: Program> Board Data
Check mainly the following points:
In use of MX-20, the board width (Y) must be correctly entered (Coordinates, Board Size).
Registration method (Edge/Pin) is correctly selected (Conveyor Speed, Registration).
The maximum component height to place and the maximum pre-processed component
height must be correctly entered (Max Pre-processed Component Height).

8-2-3 ANC Initial Setting


We recommend you to set all the required nozzles on ANC and remove unnecessary nozzles
from ANC and the heads beforehand.

Warning
Do not manually attach a nozzle to a head. Incomplete nozzle setting can result. In this
case, the head can hit other part of the mounter to cause machine damage.

But you can remove a nozzle from a head manually.

Menu: Manual>Nozzle Info.

Check the nozzle location in ANC and the heads. Relocate the nozzles if necessary.
Chapter 7 Running a Job 8-4

ANC operation allows the head assembly to move. When executing this menu, do not
stick head, hands, or other parts of the body inside the mounter. Serious injury can
Warning
result. Also make sure non-operators are at a safe distance from the machine.

Automatic Nozzle ID Recognition


Click <Scan ANC> button. The scan camera scans each head for nozzle presence. Then the
main teach camera scans ANC to read the nozzle ID labels. When there is a nozzle/nozzles in
the head, they will be unloaded onto ANC. Then the main teach camera scans the placed
nozzle/nozzles for their ID labels.
The result is shown in [ANC] table. [Head] table is reset since no nozzle is in the head. [Nozzle
ID] field shows “Done” to indicate the process has been completed.

After executing automatic nozzle ID recognition, be sure to compare the


displayed result with the actual ANC setting if they match. Make sure in
particular that when a nozzle is present, the corresponding field of the ANC
table indicates as such (not blank).

When the nozzle ID label is stained with dirt, automatic nozzle ID recognition
may fail. In this case, wipe the nozzle ID label clean according to the
instruction in the Service Manual.

Manual Definition
If the automatic nozzle ID recognition fails, you can manually correct the nozzle Nos. In this
case click <Manual Definition> button to determine the change. Note that you cannot add or
subtract nozzles with this setting.
Note: In the nozzle library, the same kind of nozzle can have only a set of data.
Relocating Nozzles
Nozzles can be exchanged between the specified heads and ANC.
To attach a nozzle to a head : Enter the corresponding “nozzle ID” into the box below the head
to which the nozzle is to be attached.
To remove the nozzle from a head : Enter “0” into the box below the head from which the
nozzle is to be removed.
When an entry is made for every head, click <Execute> to exchange the nozzles.
Setting the Axes Speed
Under [Axis Speed for Scanning ANC], specify the axes speed (X, Y, and Z) for nozzle
exchange.
Actuating the Slide Channel
Click <Open> button to open the ANC slide channel. Click <Close> button to close.
Note: With M2 standard-ANC (20 nozzles) and M4e, the heads that can be used vary with the station
No.
M2: ANC #1 to #8 (No restrictions on #9 and subsequent nozzles and 2nd ANC’s #21 to 32)
M4e: ANC #1 to #4 (No restrictions on #5 and subsequent nozzles)
M2
Station No. Head 6 Head 5 Head 4 Head 3 Head 2 Head 1
#1 × × × × ○ ○
#2 × × × × ○ ○
#3 × × × ○ ○ ○
#4 × × × ○ ○ ○
#5 × × ○ ○ ○ ○
#6 × × ○ ○ ○ ○
#7 × ○ ○ ○ ○ ○
Chapter 7 Running a Job 8-5

#8 × ○ ○ ○ ○ ○
#9 ○ ○ ○ ○ ○ ○

#32 ○ ○ ○ ○ ○ ○

M4e
Station No. Head 3 Head 2 Head 1
#1 × × ○
#2 × ○ ○
#3 × ○ ○
#4 × ○ ○
#5 ○ ○ ○
↓ ↓ ↓ ↓
#20 ○ ○ ○

Note: With models other than M2 and M4e, any heads can be used irrespective of station No.

8-2-4 MX-20/MXR Initial Setting


When a job run ended normally, all the pallets of MX-20/MXR are to be returned to their
stocker. Before running a job, make sure the status of each pallet in the following window:
Menu: Run>Run>MX Info.
The pallet numbers from 1 to 20 are used for MX-20/MXR.
When the pallet exists in the stocker, “o” is shown in [Status] field. In the latter case, access the
MX-20/MXR dialog box. In use of MXR, the similar MX-20 dialog box is opened.
Menu: Manual>MX-20>MovePallet

Checked numbers indicate that the pallet is present in the stocker.


Chapter 7 Running a Job 8-6

Action:
① Make all the axes return to their origins. (if necessary)
② Under [Pallet], specify an unchecked pallet.
③ Under [Mode], select <In> button.
④ Select <Execute> button. The specified pallet will return into the stocker.
⑤ Repeat ② through ④ for the remaining unchecked pallets.

Note: The [MX-20/MXR] dialog box can be open by the operator, who is authorized to edit System
Parameter at Operator Management. If you are authorized to edit Pallet Library, you can move
pallet in the Pallet Library mode, instead of [MX-20/MXR] menu. For details, see Chapter 5,
Libraries > Pallet Library.

8-2-5 Confirming Feeder Setting

Menu: Run>Run>Feeder
You can check the feeder setup status in [Feeder Layout] window. If a required feeder is not
present, the corresponding feeder station is displayed in red. Note that this window indicates
only the presence/absence of the feeders and doesn’t take into account whether the correct
feeder is installed. You have to visually check whether the correct feeder is in the assigned
feeder station.
Besides checking [Feeder Layout] window, check setting conditions of the actual feeders by
confirming each feeder’s green LED lamp. If the feeder is lifted up from the proper position,
the placement head can hit the feeder.
When you do right-click on a certain ST number of the Feeder screen, automatically the cursor
jumps to the pickup data which is specified the ST number to set.
Chapter 7 Running a Job 8-7

8-3 Running a Job

8-3-1 Running a Job


Menu: Run>Run
Executes job run.
Before executing job run, check the machine setup according to Pre-operation Check and Initial
Setting on page 8-3.
When [Run] in [Run Menu] is clicked, [Select Program] window appears, and select a program
to run from the program list. After a program is selected,[Run] window (as shown below)
appears. To quit the Run mode, click <close>button.
When no program is registered in the Plan dialog box, the Select Program dialog box appears
prompting you to select a program to run.

1 3 5 6 12
10 11
2 4 7 8 9 13

14
15
16
17 26
18
19

20

25
27 21 22 23 24

Window:
1. Start: Starts job run. Confirm that Start switch starts to blink and press
Start switch. If nozzle ID recognition has not been done yet, it will
be performed automatically just before production.
2. Signal I/O: Displays the Signal I/O window.
3. Select Program: A program can be selected in this window.
4. Nozzle Info.: Displays the Nozzle Info. window.
5. Edit & Teach Used to edit the program during operation.
6. Resume Step: Displayed only before starting the job run. When you run the
current program for the second or later time, the next step from the
last production session is shown. To apply this setting to the current
job, click the arrow button next to [Resume Step] setting.
7. Full/Partial: The graphic shows the program steps. Select between the full mode
and partial mode by clicking the graphic.
Full mode: When the blue arrow indicates the top step, [Start Step]
and [Repeat Offset Step] settings are applied only to the first PCB.
Chapter 7 Running a Job 8-8

Partial mode: When the blue arrow indicates the middle step, [Start
Step] and [Repeat Offset Step] settings are applied to all PCBs.
8. Axis Speed: Slows down all the axes speed based on the maximum speed
(=100%).
9. Pause Mode: You can pause a job run for every single PCB.
[No pause]: Job run continues without a pause.

[Pause before PCB release]: Job run pauses before a PCB is released
(after it is populated).

[Pause after PCB release]: Job run pauses after a PCB is released

[Pause before PCB load]: This setting makes the production run to
pause without loading the next board after the current board has
been sent out.
10. PCB Transfer: The upper two squares indicate the ready signal status of the
pre-process and post-process. When the conveyor flow direction is
left to right, the left square is for the pre-process and the right
square is for the post-process. The below graphic indicates the
conveyor state.
11. Run Mode: <Normal> :
Normal operation (Pick up → Vision process → Place)
<No Component> :
Vision processing is normally performed, but component on/off
check and offset compensation are not performed. Also pickup
vacuum check is not performed so the pickup retry won’t occur.
No Feeding :
Feeder indexing is not performed for allowing non-component run.
No Comp. Countdown :
Component count-down (reduction) is not performed for
preventing machine down due to component lack sensing.
No PCB Change :
Repeats a program without changing the board. Deselecting this
option, the current board exits the mounter and the next board
enters to continue. Irrespective of selecting this option or not, you
can end running by pressing Cycle Stop , Cancel switch.
Endless :
Regardless of PCB Count, no-component run continues endlessly.

The four options under <No Component> can be selected or


deselected during a non-component run.

12. Feeder Info.: Displays the location and status of the feeders (updated in every ten
seconds). [Feeder Layout] window is opened and closed alternately
by clicking the <Feeder> button. When the mounter is not running,
a light blue portion indicates a present feeder, and a red portion
indicates an absent feeder. When the mounter is running, an
yellowish green portion indicates a proper feeder, a red one
indicates an error feeder, and an yellow one indicates a feeder short
of components (when shortage alarm has been given). When you
attempt to start a job run, if an absent or improperly set feeder is
detected, this window appears so you can identify which feeder
setup to correct.
13. Inventory: Displays the residual status of the components (updated in every
ten seconds). The size of this window is minimized and restored
alternately by clicking the <Inventory> button.
● List tab
Chapter 7 Running a Job 8-9

[Producible PCB]: Producible PCB count using the remaining


components. This data corresponds to the minimum of [Producible
PCB] for each component.
[Alarm Set]: Set the board count to give an alarm for component
replenishment.
[Producible PCB (chart)]: This bar shows the proportion of the
producible PCB count (green part) and the alarm set count (yellow
part) relative to the [Board Count] setting entered in the Plan editor.
The bar end on the right corresponds to 100% of [Board Count]
setting. As a job is proceeded, the green part is reduced
proportionally.
[Component Code]: Lists component names used in the program.
[Remain/Set]: Indicates the residual component count and the
loaded component count (the sum of [Set] values in [Details] tab).
[Count/Program]: Component count used in the program.
[Producible PCB]: Producible PCB count using the remaining
components.
● Details tab
Shows the component counts (programmed, loaded, and
remaining) for each component. Components are classified
according to their feed styles.
14. Program: Shows the current program name.
15. Operator: Operator name. Only operators registered for
Setup>RegisterOperator are selectable here. Job run can be
performed without registering an operator.
16. PCB Count: Enter number of PCBs to produce. Once the job run starts, the
display changes to show the number of produced PCBs (Produced
PCB count/Total PCB count). The display is updated realtime.
17. Start Step/Execute Step: Enter the program step to start job run. Once the job run starts,
the display changes to show the currently processed step.
18. Repeat Offset Step: When the program has repeat assignments and you want to start
job run from a particular repeat block, enter the repeat offset step
(program step with [Task: Repeat Offset]). Once the job run starts,
the display changes to show the currently processed repeat offset
step.

You can start a job run from a particular step of a particular repeat block by
specifying [Start Step] and [Repeat Offset Step]. In this case, all the necessary
fiducial steps are executed even when the fiducial steps precede the start step.

19. End Step: Shows the last step of the current program.
20. Time/Cycle: The actual cycle time taken for the latest placement step.
21. [Current Setting]tab: The current settings in the User Parameter are displayed.
Clicking the <Management Data> button displays the Performance
Record for the currently running program.
22. Time/Placement: The time calculated by dividing "Time/Cycle" by the number of
heads used for the placement step.
23. CPH The estimated number of components to be mounted within an
hour for a certain number of boards.
24. Time/PCB: Time required to manufacture a PCB.
25. Remaining Time: Remaining time until the current job run ends.
Chapter 7 Running a Job 8-10

26. PCB Skip When this button is executed at [Cycle Stop] mode during
production, the mounting operation for the PCB will be stopped
immediately and the PCB board will be transferred out to the Exit
position. (After the next PCB is transferred in, normal production
steps will be carried out.)
27. [Component Count] tab:
The [Component Count] tab page can display station information
for ST-F, ST-R, MX-RT1, MX-20, MX-ST2, MXR(L) and MXR(R). For
“Component Code”, “Pallet” and “ST No.”, the data entered in the
data edit window will be referred to and displayed. For “Pallet”,
[P1(P2,P3…)#] (pallet No.), “X1 Start” and “Y1 Start”, the pallet
library will be referred to and the corresponding data will be
displayed.
Based on this setting, check the following points.
Chapter 7 Running a Job 8-11

[Feeder Skip]
“0” in the [Feeder Skip] in ST-F/ST-R means the feeder can be used, and “1” shows the feeder
cannot be used.
When pickup/vision-process error occurs at one feeder consecutively, the system sends the
head to another feeder having the same component. At this point, “1” is set to the [Feeder Skip].
This only happens when [Use other feeder when pickup/vision-process error occurs] in
UserParameter>Alternate is enabled.
[Feeder Skip] can also be set manually.

Note: For information on alternate function, see Chapter 10, User Parameter > Alternate.
[Priority]
Basically, if there are plural feeders that have the same component code, the use of feeders are
in ascending order of the pickup No. However, you can prioritize a certain feeder by setting
“1” to [Priority].
[Priority] : 0=Not Prioritize, 1=Prioritize

Note: To apply the setting to the job run. click the <Apply> button.
Component count setting is correct (ST-F, ST-R).
[Set Count] indicates the number of components set at the beginning. [Remain Count] serves as
the component reduction counter. At the component changeover, [Set Count] and [Remain
Count] are set to the same value. As the job run proceeds, [Remain Count] is reduced as the
components are used.
The default of both [Set Count] and [Remain Count] is “9999999”. You can modify this default
if necessary. See Editing Default Values for the Job Run later in this chapter.
To copy all [Set Count] settings to each corresponding [Remain Count] setting, click <Reset
All> button. To copy only the selected [Set Count] setting to [Remain Count] setting, click
<Reset Line> button.
When running a particular program for the first time
When you open the Run dialog box, you will see the default “9999999” entered in [Set Count]
and [Remain Count] fields. When necessary, change [Set Count] settings by typing and click
<Reset All> button. All [Set Count] settings are copied to the corresponding [Remain Count]
settings. To copy only the selected [Set Count] setting to [Remain Count] setting, use <Reset
Line> button.
When running a particular program for the second or later time
Basically [Set Count] and [Remain Count] settings are preserved from the previous
production sessions. But there are some exceptions as described below.
Either before or during the production session, if you modify pickup data of either
[Component Code], [Feed Style], [ST No.], [Feeder/Pallet], order the pickup data is
entered, or the number of pickup data entries, [Set Count] and [Remain Count] settings
are defaulted to “9999999”.
Chapter 7 Running a Job 8-12

Component count setting of PS-MS3


The component count of PS-MS3 is managed stick by stick. Since [Component Count] tab
doesn’t provide feeder names by which we can identify which stick of PS-MS3 is referred to
(PS-MS3-A, PS-MS3-B, ...), [ST No.] setting herein is utilized. Based on [ST No.] setting of
PS-MS3-E (where PS-MS3-E is electrically connected), [ST No.] of the remaining sticks are
assigned as shown in the below table.

PS-MS3- A B C D E F G H I
ST-F -2 -2 -1 -1 ST No. of PS-MS3 (where PS-MS3-E is +1 +1 +2 +2
electrically connected)
ST-R +2 +2 +1 +1 ST No. of PS-MS3 (where PS-MS3-E is -1 -1 -2 -2
electrically connected)

Example: When PS-MS3 is electrically connected at ST No. 20 of ST-R, [ST No.] setting for each stick is
assigned as follows.
PS-MS3- A B C D E F G H I
ST No. 22 22 21 21 20 19 19 18 18

Note: When a stick is emptied and you replace it with a new stick, press the PS-MS3’s FEED switch
to index a component.
To enable the system to give an alarm in component shortage (residual sensing), enter the
correct component count to [Set Count] and [Remain Count] before running a program.

The Shortage Alarm setting in Program>PickupData takes effect only when


[Set Count] and [Remain Count] settings in the Run dialog box are entered
correctly.

When you enter [Set Count] and [Remain Count] settings correctly, you can utilize the
inventory information. See the following section for information.
The initial pickup point for each tray matches Run>ComponentCount setting.
The default of both [X Start] and [Y Start] is “1”. You can modify this default if necessary. See
Editing Default Values for the Job Run later in this chapter.
When running a particular program for the first time
When you open the Run dialog box, you will see the default “1” entered in [X Start] and [Y
Start] fields.
When running a particular program for the second or later time
Basically [X Start] and [Y Start] settings are preserved from the previous production
sessions. But there are some exceptions as described below.
Either before or during the production session, if you modify pickup data of either
[Component Code], [Feed Style], [ST No.], [Feeder/Pallet], order the pickup data is
entered, or the number of pickup data entries, [X Start] and [Y Start] settings are
defaulted to “1”.
Note: Even when you modified only the pickup data of tape feeders, [X Start] and [Y Start] settings
will be defaulted.
Chapter 7 Running a Job 8-13

You can specify [X Start] and [Y Start] by typing. The below example shows a half-used tray in
use of the pickup pattern of "X One-way". (PalletLibrary>Pattern)

To start from this component, enter


X Start = 5, Y Start = 3.

Y Start = 3

X Start = 5

You can also specify the initial pickup position for each pallet. When there is a pallet link
assignment, the order [X Start]/[Y Start] setting is entered conforms to the order of the link
assignment in the pallet library. For information about link, see Chapter 5, Pallet Library.
By default, a job starts from the first pallet of a link assignment. But you can also specify where
to start. For example, to start from the pallet of [P3#], enter "3" to [Start Position] field.
To enable the system to give an alarm in component shortage (residual sensing), enter [Start
Position], [X Start], and [Y Start] settings correctly.

The Shortage Alarm setting in Program>PickupData takes effect only when


[Start Position], [X Start], and [Y Start] settings in the Run dialog box are
entered correctly.

When you enter [Start Position], [X Start], and [Y Start] settings correctly, you can utilize the
inventory information. Information on the inventory information is described earlier in this
chapter.
Editing Default Values for the Job Run

You can edit the default values used in the job run in the Edit Defaults dialog box. This dialog
box can be opened by clicking <Edit Defaults> button in [Component Count] tab. Your editing
can be applied to the next job session. Also during the cycle stop of the job run, you can default
the current values by clicking <Default All> button in [Component Count] tab.
Action:
① Click the <Start> button.
② “Start job run?” appears. Click the <Yes> button.
③ The Start switch on the machine front panel blinks.
④ Press the Start switch. The job run starts.

When pressing the Start switch, do not stick head, hands, or other parts of the body
Warning inside the mounter. Serious injury can result. Also make sure non-operators are a safe
distance from the machine.

Before pressing the Start switch, make sure no foreign obstacles are left in the mounter or
Caution
tray feeder. Otherwise, costly machine damage can occur.
When the job run is over, the performance record is shown.
Chapter 7 Running a Job 8-14

Component Lack and Feeder Changeover


In [Component Count] tab, [Remain Count] field of the emptied pickup point turns red.
When replenishing components to the emptied feeder, the component count setting should be
updated. When setting a new tray to a pallet, its initial pickup point setting is defaulted (X
Start=1, Y Start=1) by pressing Setup switch.
Component Count Setting
When replenishing components to an emptied feeder, type in the component count in
[ST-F/R]>[Set Count] and click <Reset Line> button. Then click <Apply Count> button to
determine.
When setting a new tray to a pallet, type in the initial pickup point to [X Start], [Y Start] of the
pallet. Then click <Apply Count> button to determine.
Note: When the mounter is in cycle–stop mode, the component count setting for a feeder or tray can
be changed.
Note: It is not necessary to click <Apply Count> button for each setting you have changed. When all
the settings have been changed, click <Apply Count> button only once.
Note: For information on component changeover due to an emptied feeder, see Chapter 9, Replenishing
Components and Using Maintenance Menus.

8-3-2 Last-time Production


Menu: Run>Last-time Production

Run window opens, and the Program that was produced at last time is automatically selected.

8-3-3 Pass PCB Through


Menu: Run>Pass PCB Through
Allows a board to pass through the machine without placement job nor board registration at
the specified speeds. After specifying each conveyor speed, click <Decide> button. Then click
<Execute> button to execute. [PCB Transfer] shows the PCB transfer status graphically.
Note: If you start production with the program which has no mount steps, machine will perform the
same action as the PASS PCB Through mode.
Chapter 7 Running a Job 8-15

8-3-4 Line Search (ASJ) 1


Menu: Program>Tool>Teach>Trace>SearchLine

Line search allows the driving axes to move. When performing line search, do not stick
Warning head, hands, or other parts of the body inside the mounter. Serious injury can result.
Also make sure non-operators are at a safe distance from the machine.

Before starting line search, make sure no foreign obstacles are left in the mounter or tray feeder.
Caution
Otherwise, costly machine damage can occur.
Line search allows you to search for the program step line with the X,Y coordinates nearest from
the current head position.
During job run, by bringing the head to the placement point whose coordinates setting should
be adjusted or checked and then executing line search, the program step line for the placement
point can be found.
Note: Line search requires the board size setting (X, Y) to be completed beforehand via Program>
Board Data>Coordinates>Board Size. The X or Y setting, whichever larger, is applied as the
search range for the main teach camera.
Action:
① Click Program>Tool>Teach to open the Teach dialog box.
② Under [Head], select “Main Teach Camera”.
③ Bring the main teach camera to the placement point.
④ Click <Search Line> button under [Trace] tab in the Teach dialog box.
⑤ The program step line is found and highlighted. The main teach camera also moves to be
aligned to the placement point.

8-3-5 Coplanarity Checker (optional)


To enable the Coplanarity Check Function, check [Coplanarity Check] box in System>User
Parameter>CoplanarityCheck.
For details, see Chapter 10, Parameter Setting.

Error Messages
When an error occurs while coplanarity check or production is performed, the error
code/error message and the head No. appear by red color in [Status Report] window.
In this case, check the indicated cause of the indicated head.

1 ASJ: Automatic Search and Jump


Chapter 7 Running a Job 8-16

8-3-6 Intelligent Feeder (optional)


Menu: System>UserParameter>IntelligentFeeder
To Enable the Intelligent feeder function, check <Enable the Intelligent Feeder Function> box.
For details, see Chapter 10, Parameter Setting.

Component Exhaustion
If components have run out during operation, execute the following steps.
Action:
① Remove the feeder from the feeder bank.
② Remove the emptied component reel, and install a new component reel.
③ Scan the "Show / Hide" barcode to display the ID registration window (InputBar).
④ Scan the feeder’s barcode. →The feeder information will be displayed.
⑤ Scan the component’s barcode.
⑥ Scan the "Register Database" barcode.
→The “Remain Count” in the production window will be reset to the initial value.
⑦ Check whether the count has been reset to the initial value. If so, scan the "Show / Hide"
barcode to close the ID registration window.
⑧ Attach the feeder to the feeder bank.

Clearing an Error
If an error (e.g. suction NG, feeder trouble and removal lock errors) other than the “out of
component” error has occurred, clear the error as follows.
Action:
① ALARM OFF→Recovery
② Check the error contents by observing the message and LEDs.
→For feeder LED display contents, refer to Chapter 13, Intelligent Feeder.
③ Remove the feeder from the feeder bank, and make necessary corrections.
④ Scan the "Show / Hide" barcode to display the ID registration window (InputBar).
⑤ Scan the feeder’s barcode.
⑥ Scan the component’s barcode.
⑦ Scan the "Register Database" barcode. The remaining count will not be initialized.
⑧ Check whether “OK” is displayed. If so, scan the "Show / Hide" barcode to close the ID
registration window.
⑨ Attach the feeder to the feeder bank.

Note: When <Comp. Exhaustion Automatic Release> is enabled in UserParameter>Functions(1), you


can restart the production by skipping ③ through ⑧. To skip these procedures, feeders should
not be removed from the feeder bank.
Chapter 7 Running a Job 8-17

Relocating Components
To set components that are different from those registered to the database into the feeder,
execute the following steps.
Action:
① Remove the feeder from the feeder bank.
② Scan the "Show / Hide" barcode to display the ID registration window (InputBar).
③ Select the remove mode using the mouse.
→In the InputBar window, select Mode > Remove. The following dialog box appears, so
click <OK> button.

④ Scan the feeder’s barcode.


⑤ Remove the old components from the feeder.
⑥ Scan the "Cancel Database" barcode.
⑦ If “OK” is displayed, set new components in the feeder.
⑧ Scan the feeder’s barcode.
⑨ Scan the new component’s barcode.
⑩ Scan the "Register Database" barcode.
⑪ Check whether “OK” is displayed. If so, scan the "Show / Hide" barcode to close the ID
registration window.
⑫ Attach the feeder to the feeder bank.

Removing Components
To remove components from a feeder, execute the following steps.
Action:
① Remove the feeder from the feeder bank.
② Scan the "Show / Hide" barcode to display the ID registration window (InputBar).
③ Select the remove mode using the mouse.
→In the InputBar window, select Mode > Remove. The following dialog box appears, so
click <OK> button.
④ Scan the feeder’s barcode.
⑤ Scan the "Cancel Database" barcode.
⑥ Check whether “OK” is displayed. If so, scan the "Show / Hide" barcode to close the ID
registration window.
⑦ Remove the components from the feeder.
Chapter 7 Running a Job 8-18

8-4 Edit & Teach Mode during a Job Run

Menu: Run>Run>Edit&Teach
You can perform program editing, teach, manual operations, and image processing during a
job run. Also your editing can be applied to the current job run.
When you stop the running mounter by pressing Cycle Stop switch or the mounter stops due
to fiducial process error, component lack, or other reason, <Edit&Teach> button becomes
available. By pressing <Edit&Teach> button, you can enter the Edit&Teach mode ([Mode] of
Status Display changes from “Pause” to “Edit”.). In this mode, you can perform most of the
menus that are available when the mounter is not running and the workaround menus. But
there are inexecutable operations such as unclamping the PCB or moving actuators through
[Signal Output] window.
Note: For information on the workaround menus for the job run, see the next section.
After editing data (program, library data, user parameters, etc.) and saving it in the Edit&Teach
mode, click <Apply> button and the updated data is downloaded. You can resume the job run
according the updated data.
When data is edited and saved, the program name shown on the [Run] window is displayed in
red characters, with a description of “Modified”. The “Modified” will disappear and the
program name’s color will be back to normal after <Apply> button is pressed. That is to avoid
forgetting to press <Apply> button.

However, before the updated data can be applied, the data is checked for executability by the
conventional [Check Program] process and the check process in which the updated data is
compared against the original data. When any of the following error is found, the updated data
cannot be applied to the job run.
When a program step is added or deleted.
When the head assignment is modified.
When [Task] setting of the program is modified.
When Board Data>Coordinates>Board Origin is modified.
When an error is detected through [Check Program] process.
When an error is found by [Check Program] process or the data comparison check, you have to
either re-edit the data, resume the job run using the original data, or cancel the job run.
Chapter 7 Running a Job 8-19

Inexecutable Menus while in the Edit&Teach mode


Board Data>Load Board

Run menus (all)


Maintenance menus (all)

Manual>SignalI/O>SignalOutput
Manual>Actuator
Manual>LoadBoard
Manual>ConveyorWidth
Manual>AirPressure
Manual>Axis>Conveyor
Nozzle Library

Exit
<AWC> switch
Tool>Backup&Restore
Tool>CADData
Tool>MTData
Tool>Font

System>ReenterPassword
System>SystemParameter
System>Upgrade
System>AutomaticBackup
System>SystemBackup
System>SystemRestore
System>NetworkNeighborhood
System>NetworkProperties
Chapter 7 Running a Job 8-20

8-5 Workaround Menus for the Job Run

Menu: Run>Run>Edit&Teach
Allows you to skip the use of particular components and adjust fiducial coordinates only for
the current job session. The adjustment you make won’t affect the program. While the machine
pauses, click <Edit&Teach> button. Then <Skip Components> button and <Fiducial Step>
button become available.

8-5-1 Skipping Error Components


Action:
① Press Alarm Off switch to stop to stop the alarm sound.
② Press Recovery switch.
③ Click <Edit&Teach> button in the Run dialog box.
④ Click <Skip Components> button. The Skip Assignment dialog box appears.
⑤ Click the error components.
⑥ Select <Current Component Only> or <All Remaining Components>.
⑦ Close the dialog box.
⑧ Press Start switch to resume.
Note: Skip assignment you make is applied only to the current job run. It won’t affect the program.

8-5-2 Skipping Retrial by Comp. Remain Check


Allows you to skip the retrial caused by the comp. remain check.
Action:
① Press Alarm Off switch to stop to stop the alarm sound.
② Press Recovery switch
③ Click <Edit&Teach> button in the Run dialog box.
④ Click <Skip Remain Check> button. The Skip Assignment dialog box appears.
⑤ Click the error components.
⑥ Close the dialog box.
⑦ Press Start switch to resume.
Chapter 7 Running a Job 8-21

8-5-3 Adjusting Fiducial Coordinates


When a job run stopped due to incorrect fiducial coordinates programming, you can continue
the job after adjusting the fiducial coordinates by teach entry.
Action:
① Press Alarm Off switch to stop to stop the alarm sound.
② Press Recovery switch
③ Click <Edit&Teach> button in the Run dialog box, and click <Fiducial Step> button.
The Edit Fiducial Coordinates dialog box appears.
Note: From iMS v.3.32, the “EditFiducial Coordinates dialog box” will automatically come up when
fiducial error happens.

From iMS v.3.41, you can select whether to display the “EditFiducial Coordinates dialog box”
automatically or not in the User Parameter.

④ Click <Move> button to move the main teach camera to the fiducial coordinates.
⑤ If necessary, click <Set Illumi.> button and adjust the illumination.
⑥ Align the main teach camera to the fiducial coordinates and click <Teach Coordinates>
button.
⑦ The confirmation message “Decide the current coordinates?” appears. Click <OK> button
and close the dialog box.
⑧ Press Start switch to resume.
Note: Adjustment you make is applied only to the current board. It won’t affect the program.
Note: When the fiducial error occurred by other reason than coordinates offset (for example, stained
fiducial mark), after you scan the fiducial coordinates by the teach camera, do not decide the
coordinates by clicking <Teach Coordinates> button.

8-5-4 Image Re-check function


When the mounter pauses by an error, you can recheck the last recognized image.
Action:
① Press ALARM OFF switch to stop the alarm sound.
② Press RECOVERY switch.
③ In Status Report window, make sure which head No. had the error.
④ In Run window, click <Edit&Teach>.
⑤ Click <Re-check Image>.
⑥ Select [Re-display Image] tab and click the head No. the error occurred.
Note: You can also re-test the last images held in the mounter memory. To execute image test again,
click the head No. in [Retest Image] tab window.
Chapter 7 Running a Job 8-22

---Blank page---
Chapter 8 Replenishing Components and Using Maintenance Menus 9-1

Chapter 9
Replenishing Components and
Using Maintenance Menus

Status Report Window


In case the System Stops Responding
Using manual menus
Using maintenance menus
Job interruption and resuming
Replenishing components
Chapter 8 Replenishing Components and Using Maintenance Menus 9-2

9-1 Status Report Window

This window reports the machine state on realtime basis. If an error occurs during operation,
refer to this window for the corresponding error message. Error messages are displayed in
yellow or red. When the corresponding error is not shown in the current view of the window,
search for the message by scrolling up the window. To see the details of the message, click the
message and press <F1> key. The Details window will appear.

If machine failure occurred with an unknown reason, click the <Log> button
immediately after the machine shutdown. This will save necessary log files.
See the following Gathering and Outputting Log Files for information.

1
2
3
4
5
6

Note: The initial letter of the message number indicates the type of the message:
V: Vision process—related (VCS) error
D: Controller-related error
M: MMI software—related error
Window:
1 <Small> button: Minimizes the Status Report window. To restore the original size,
click <Status Report> button on the taskbar.
2 <Reset> button: Clears the displayed messages.
3 <TVS Response Display> button:
Opens the Vision Process Report window. You may want to refer to
the detailed results of the vision processing. After the vision
processing, click <Response> button at the lower left corner of this
window to load the results.
4 <Screen Copy> button: Opens the SCR Copy dialog box. By using this dialog box, you can
print a window image (bitmap) or text data through a network
printer, also can save the data in a floppy disk. For information on
how to use this function, click <Help> button in the SCR Copy
dialog box.
5 <Error Message Only> button:
Displays only error messages.
6 <Log> button: Saves existing log files. See the following section for details.

Gathering and Outputting Log Files


In case the system fails, we may request you to gather log files of the system for offering
technical support. By executing this feature, you can gather necessary log files and save them as
a compressed ZIP file on the hard disk. You can also output the ZIP file onto a floppy disk.

Log Files to be Gathered


Message log, MT log, management data, internal system data, system parameters, user
parameters, program, and libraries
Action:
① Click <Log> button. The below dialog box appears.
Chapter 8 Replenishing Components and Using Maintenance Menus 9-3

② Click <Yes> button and the system starts to gather and save the log files. When the system
is finished with the process, the below dialog box appears.

③ To save the log files on a floppy disk, insert a floppy disk into the floppy disk drive and
click <Yes> button.
Note: When a particular system error occurred, the system automatically gathers and saves the log
files. In this case, the system asks you whether to save the log files on a floppy disk.
Chapter 8 Replenishing Components and Using Maintenance Menus 9-4

9-2 In the Case the System Stops Responding

If the system fails and further operation is prevented, you may have to restart the system as
stated below.

9-2-1 Quitting the System


The following shows procedures to quit the system in case that the system hangs. This process
will delete any unsaved work.
Note: Do not quit the system by simply rotating the Main switch; be sure to follow procedures
below.

Procedure
Action:
① Click the icon of the mounter at the lower right corner of the screen. The [Information]
dialog box appears.

② Click the [Information] dialog box.


③ Press <Shift>, <Alt>, and <S> keys simultaneously. The following “Status Report Control”
window appears.

④ Click <Shut Down> button. The "Shutting Down" window will appear.
⑤ In the case of M2/M4, the entire screen turns black and a message “Microsoft Windows It
is now safe to turn off your computer” appears. When this message appears, make sure
that the warning lamp on the right of the MAIN switch is not blinking, and then turn the
MAIN switch counter-clockwise (90 degrees) to turn OFF the power.
In the case of M1/M1plus, a message “It is now safe to turn off your computer.” will appear,
so turn the [MAIN] switch counter-clockwise to turn OFF the power.

Warning DO NOT click the <Restart> button. If you do so, a system failure can
result.
Chapter 8 Replenishing Components and Using Maintenance Menus 9-5

⑥ Leave the system for roughly 15 seconds. Rotate the Main switch clockwise to restart the
system.

9-2-2 Restoring the System


You may have to restore the system programs (the MMI program and the controller program)
in such a case as you failed to upgrade the system. When the menu of System>SystemRestore is
not available due to a system failure, follow the below steps to perform restore.
① Click the icon of the mounter at the lower right corner of the screen. The [Information]
dialog box appears.
② Click the [Information] dialog box.
③ Type in “restore”. (The display won’t change.)
④ Click on the machine monitor in the [Information] dialog box.

Click on the monitor.

⑤ The confirmation dialog “Are you sure to restore MMI system ?” appears. Click the <OK>
button to execute the restore process.
Chapter 8 Replenishing Components and Using Maintenance Menus 9-6

9-3 Using Manual Menus

The manual menus are used for pre-operation arrangements and troubleshooting. The below
table summarizes the use of manual menus. For detailed information , see the following part.

Manual Menu Purpose Related Error


Axis Moves a specified head manually for —
checking each axis movement.
Origin Allows specified axis/axes to return to Axis overrun and emergency stop
its/their origin. Used for the system startup (emergency stop switch is
and the servo motors down in case of an pressed).
error.
Warming Up Performs Warm up and Self-checking. —
Nozzle Info. See Setting Nozzles to Heads (Chapter 5), and —
ANC Initial Setting (Chapter 8).
Actuator Allows to check each actuator movement Failures of clamps, board stopper,
and to measure its timing. pickup, vacuum breaker, and
others.
Load Board Loads a board to the mounter. Used to —
specify loading speed, board weight setting,
and registration method.
Signal I/O Allows to check signal input/output status Failures of clamps, board stopper,
of switches, motors, actuators, and sensors. ANC, and others.
Used to check for wire disconnection.
PCB Sensors Displays the condition of each sensor. —
Feeder Bank Locks/unlocks the CFB. —
Air Pressure Measures air pressure. Used to adjust the Pickup error (retry), placement
Choke Thresh./Pick Thresh settings. error (placement offset), and
nozzle choking.
Conveyor Moves the conveyor rail and makes the —
Width conveyor axis return to origin.
Feeder Info. Specifies feeder type to be used for manual —
feeder operation.
MX-20 Checks the stocker for palette presence, and MX-20-related error
moves a specified pallet in and out.
MXR Checks the stocker for palette presence, and MXR-related error
moves a specified pallet in and out.
MX-ST2 Clamps/unclamps MX-ST2 —

Note: To open the [MX-20]/[MXR] menu , the operator log-in level should be authorized to edit
System Parameter.
Chapter 8 Replenishing Components and Using Maintenance Menus 9-7

9-3-1 Axis
The Axis menu allows for manual operation of the driving axes. You can make the specified
axis/axes inch (move by very small stages).
Note: The T axis cannot inch.

The Axis menu allows the specified motor, conveyor, or tray feeder pallet to move.
Warning When executing axis movement via this menu, do not stick head, hands, or other parts
of the body inside the mounter. Serious injury can result. Also make sure non-operators
are at a safe distance from the machine.

Caution Before executing axis movement, make sure no foreign obstacles are left in the mounter or tray
feeder. Otherwise, costly machine damage can occur.
Menu: Manual>Axis

Mounter

Indicates current head position.

Select a driving axis/axes.

Select a head.

Adjust axis speed.

Axis overrun interlock is not effective unless the axis origin acquisition has been performed.
Caution When the axis origins are not acquired, if you attempt to move the XY axes with the head
lowered too far, the head tip can hit an obstruction such as the conveyor rail. Also if you
attempt to move the S axis with the head lowered too far, the head tip can hit the mirror used
for the scan camera. Unless otherwise required, be sure to perform the manual axis operation
with the axis origins acquired.
Action:
① Under [Axis], select a driving axis/axes.
② Under [Head], select a head.
③ Under [Axis Speed], adjust the axis speed (1-100). To speed up, drag the slider to the right.
④ Hold down the left mouse button on an arrow button to execute axis movement. To stop
the movement, release the mouse.
⑤ Close the dialog box by clicking <X> button.
Chapter 8 Replenishing Components and Using Maintenance Menus 9-8

XY axes: The head moves horizontally in eight directions.

Z axis: The up and down arrow buttons are used. The head moves
up or down.
T axis: The right and left arrow buttons are used. With the right
arrow button, the head rotates counter-clockwise in the
downward looking perspective. With the left arrow button,
the head rotates clockwise.

S axis: With the right arrow button, the scan camera moves to the
right. With the left arrow button, to the left.

Action:
① Under [Tray Feeder], select a tray feeder.
② Under [Pallet No.], select the pallet number
③ Under [Pallet Movement], select <Out> or <In> button.
④ When selecting <Out> button in the previous step, under [Stop Position], select <Front> or
<Rear> button.
⑤ Click <Execute> button.

Conveyor
Runs the specified conveyor. Under [Conveyor], select a conveyor and click <Move> button.
Then click <Run> button to run the conveyor.

Head Rotation
Rotates the T axis of the specified head. Select a head and enter rotation angle. Then click
<Rotate> button to rotate the head.
Chapter 8 Replenishing Components and Using Maintenance Menus 9-9

9-3-2 Origin
Performs zeroing of the axes position. This task is used when starting the system, when the
emergency stop is executed, or when the servo motors are turned off due to an error.

The Origin menu allows the specified axes to move. When executing this task, do not
Warning stick head, hands, or other parts of the body inside the mounter. Serious injury can
result. Also make sure non-operators are at a safe distance from the machine.

Before zeroing the axes position, make sure no foreign obstacles are left in the mounter or tray
Caution
feeder. Otherwise, costly machine damage can occur.
Menu: Manual>Origin

Action:
① Click axis/axes to perform zeroing. To zero all the axes, no need to select an item.
② Click <Execute> button. To zero all the axes, click <All Axes> button.
③ “Execute zeroing axes position?” appears. Click <Yes> button to execute. Or click <No>
button to cancel.

Note: The machine origin of the X,Y direction is located at the front right area of the mounter (the
rightmost area of the front feeder bank). The center of Head1 moves to this point in zeroing axes
position.

Note: The yellow indicator “- -“ next to each origin indicates that the axis has not returned to its origin.
The blue indicator “○“ indicates that the zeroing has been done.

Rear feeder bank

Head assembly

Conveyor

Front feeder bank

Machine origin
Machine front
Chapter 8 Replenishing Components and Using Maintenance Menus 9-10

9-3-3 Warm Up
With this menu, the machine performs warm-up operation, while simultaneously self-checking
its performance. If an error is found in this process, an error message will be displayed. At a
system startup at which a specified interval has passed since the last warm-up, the system asks
you whether to execute warm-up. Irrespective of this interval, you can execute warm-up any
time you want by selecting this menu.

Warm-up operation allows the specified machine parts to move. When executing
warm-up operation, do not stick head, hands, or other parts of the body inside the
Warning
mounter. Serious injury can result. Also make sure non-operators are at a safe distance
from the machine.

Before starting warm-up, make sure no foreign obstacles are left in the mounter or tray feeder.
Caution
Otherwise, costly machine damage can occur.

Menu: Manual>Warm Up

Execute tab
Clicking <Execute> button executes warm-up operation for all the items specified in [Setting]
tab.
Clicking <Aging> button executes warm-up operation repeatedly until <Stop> button is
clicked.
Note: Only items in the tabs (XY-axes, Conveyor, Head, and more) whose top check box is checked
will be warmed up.
Chapter 8 Replenishing Components and Using Maintenance Menus 9-11

Setting tab

Open each tab (XY-axes, Conveyor, Head...) and specify items to be warmed up in detail. To
warm up only the items specified in a single tab, check the top check box in the tab and click
<Execute> button.
Use [Actuator Clearance] as default setting.

Warmup tab
Aging Time
Specify the length of time to warm up the machine. When the set time has passed, the alarm
sounds and the machine stops. When you enter “0”, the warm-up operation will not stop until
you click the <Stop> button.

Note: Normally, use the default setting for [Wait] and [Clearance].

Time tab
Specify interval between executions of warm-up operation. At a system startup at which the
specified interval has passed since the last warm-up, the system asks you whether to execute
warm-up. Setting increment is hour.
Note: When you enter “0” for the warm-up interval, the system won’t ask you for confirmation on
whether to execute warm-up.
Chapter 8 Replenishing Components and Using Maintenance Menus 9-12

9-3-4 Signal I/O


Signal I/O is the abbreviation of signal input/output. The signal input refers to the input signal
via the sensors. The signal output refers to the command transmitted to the actuators.
The Signal Input (Monitor) window shows On/Off of the motors and actuators. The Signal
Output (Control) window serves as controls to move actuators for checking their movement.
Note: The Signal Input and Signal Out put windows are opened at the same time. You can move
actuators using the Signal Output window while simultaneously checking the signal input
status in the Signal Input window.

9-3-4-1 Signal Input Monitor


You can check On/Off of the sensors real-time. “1” indicates on, “0” off. When a sensor of a
switch, motor, and actuator responds, the change of the sensor status is shown real-time. Wire
disconnection or sensor failure can also be detected.
Menu: Manual>Signal I/O>Signal Input (Monitor)

These menus allow you to check each sensor status real-time. You can specify the sampling
cycle for the status check.

List tab

Changing the Sampling Cycle


Action:
① Select Option>SampingCycle.
② Enter sampling cycle (ms) larger than the minimum of 100, and click <OK> button.
③ Select Tool>StartScan to start checking.
④ Select Tool>EndScan to end.

Note: Use the minimum sampling cycle (100) normally.


Note: The combination of an address and bit number (0-7) represents a signal. The name of each
signal is shown in the Details tab.
Chapter 8 Replenishing Components and Using Maintenance Menus 9-13

Details tab

Action:
① Select Tool>StartScan to display each sensor status.
② Select Tool>EndScan to end.

FIO tab

Feeder tab
When the feeder is not set, “1” is displayed.
When the feeder is set, “0” is displayed.
Chapter 8 Replenishing Components and Using Maintenance Menus 9-14

Motor tab

Motor Sensor tab


Window:
ALM: Alarm
MEL: Minus End Limited
PEL: Plus End Limited
MARK: Mark
INP: In Position
MSLD: Minus Slow Down
PSLD: Plus Slow Down
EZ: 0 Phase
ORG: Origin
Chapter 8 Replenishing Components and Using Maintenance Menus 9-15

9-3-4-2 Signal Output Control


The Signal Output (Control) window serves as controls to turn on or off actuators. “1” in the
window indicates On state, “0” Off state. “0” and “1” toggle as you click on it, and the
corresponding actuator is turned on or off simultaneously. This operation allows you to check
actuator movement in troubleshooting. When an actuator moves properly in this operation, its
sensor responds and the Signal Input (Monitor) window shows the real-time result. The
combination of an address and bit number (0-7) represents a signal. The name of each signal is
shown in the Details tab.

Turning on/off signal output in the Signal Output (Control) window allows an actuator
Warning to move. When executing this operation, do not stick head, hands, or other parts of the
body inside the mounter. Serious injury can result. Also make sure non-operators are a
safe distance from the machine.

Caution Before performing signal output on/off operation, make sure no foreign obstacles are left in the
mounter or tray feeder. Otherwise, costly machine damage can occur.
Menu: Manual>Signal I/O>SignalOutput(Control)

List tab

The signal output operation allows an actuator to move. Make sure


non-operators keep a safe distance from the machine before starting the
operation.

Action:
① Click the cell corresponding to the desired actuator.
② The actuator is turned on or off and the display changes accordingly.
Note: Sampling cycle can be specified in Tool>SamplingCycle.
Chapter 8 Replenishing Components and Using Maintenance Menus 9-16

Details tab

The signal output operation allows an actuator to move. Make sure


non-operators keep a safe distance from the machine before starting the
operation.

Action:
① Click the cell corresponding to the desired actuator.
② The actuator is turned on or off and the display changes accordingly.
Example:

Moving Up and Down the Board Stopper


① Select Manual>Signal I/O to open the Signal Input and Signal Output windows.
② Select [Details] tab for the Signal Input window.
③ Select [Details] tab for the Signal Output window.
④ Select the Signal Output window. Scroll down to the line of “Board Stopper Up”.
⑤ Click [State] of the line and “0” switches to “1”. The board stopper lifts. At this time, confirm
that [State] of “Board Stopper Up” in the Signal Input window switches from “0” to “1”.
⑥ To move down the board stopper, in the Signal Output window, click [State] of “Board
Stopper Up” and “1” switches to “0”. At this time, [State] of “Board Stopper Up” in the Signal
Input window shall switch from “1” to “0”.
Chapter 8 Replenishing Components and Using Maintenance Menus 9-17

Feeder tab
This tab allows you to operate the tape feeders set in the front and rear feeder banks.

Action:
① Scroll left or right to display the desired feeder number (1-60).
② Click “0” of the desired feeder number “0” switches to “1”.
③ Click <Execute> button allows the feeder for one feeder indexing.
Chapter 8 Replenishing Components and Using Maintenance Menus 9-18

9-3-4-3 Signal Input Map


Signal Input Map 1/2
Address Bit No. Signal Name Address Bit No. Signal Name
2100h 0 Front Servo Switch 2106h 0 Y2 Regenerative Resistor Thermal
Alarm
1 Front Start Switch 1
2 Front Cycle Stop Switch 2 Ready Input
3 Front Cancel Switch 3 Board Available Input
4 Front Alarm Off Switch 4 UPS Power (1=Ok)
5 Front Recovery Switch 5 UPS Option (1=Enabled)
6 Front Enable Switch 6 Air Pressure Down (failure)
7 (reserved) 7 Servomotors On
2101h 0 Front CFB Setup Switch 2107h 0 ANC1 Close
1 Rear CFB Setup Switch 1 ANC1 Open
2 Go Rear Switch (front) 2 ANC2 Close
3 Go Front Switch (rear) 3 ANC2 Open
4 MX40B Upper Setup Switch 4 Buffer Stopper Up
5 MX40B Lower Setup Switch 5 Buffer Stopper Down
6 MX40B Upper Stocker Select 6 Entrance Buffer Arrival (2)
7 MX40B Lower Stocker Select 7 Main Conveyor Arrival (2)
2102h 0 Front Emergency Stop Switch 2108h 0 Front CFB Right-side Lock
1 Rear Emergency Stop Switch 1 Front CFB Left-side Lock
2 External Input for Emergency Stop 2 Front CFB Right-side Unlock
3 Safety Area Sensor 3 Front CFB Left-side Unlock
4 Front Cover Open Detect 4 Rear CFB Right-side Lock
5 Rear Cover Open Detect 5 Rear CFB Left-side Lock
6 MX Interlock 1 (failure) 6 Rear CFB Right-side Unlock
7 MX Interlock 2 (failure) 7 Rear CFB Left-side Unlock
2103h 0 X Regenerative Resistor Thermal Alarm 2109h 0 Front CFB Check 1
1 Y1 Regenerative Resistor Thermal Alarm 1 Front CFB Check 2
2 2 Rear CFB Check 1
3 3 Rear CFB Check 2
4 4
5 5
6 6 MXST2 Position 1
7 7 MXST2 Position 2
2104h 0 Board Stopper Up 210Ah 0 Rear Servo Switch
1 Board Stopper Down 1 Rear Start Switch
2 Main Clamp Up 2 Rear Cycle Stop Switch
3 Main Clamp Down 3 Rear Cancel Switch
4 Side Clamp On 4 Rear Alarm Off Switch
5 Side Clamp Off 5 Rear Recovery Switch
6 Rear Clamp On 6 Rear Enable Switch
7 Rear Clamp Off 7 Rear Setup Switch
2105h 0 Conveyor Entrance 210Bh (reserved)
1 Main Conveyor Arrival :
2 Conveyor Exit 2113h
3 Entrance Buffer Arrival
4 Exit Buffer Arrival
5 Conveyor Width Limit (for MX use)
6
7 Beam Sensor
Chapter 8 Replenishing Components and Using Maintenance Menus 9-19

Signal Input Map 2/2


Address Bit No. Signal Name Address Bit No. Signal Name
2114h 0 MX40B/20F Stocker Interlock 2116h 0 MX40B Relay Unit (upper) Stocker-side
or MXR(L) Door Interlock Hook Up
or MXR(R) Door Interlock
1 MX40B Pallet Interlock in Relay Unit 1 MX40B Relay Unit (upper) Shuttle-side
Hook Up
2 MX40B Shuttle Interlock 2 MX40B Relay Unit (lower) Stocker-side
or MXR(L) Pallet Advance End Hook Up
or MXR(R) Pallet Advance End
3 MXR(L) Pallet Bar Open 3 MX40B Relay Unit (lower) Shuttle-side
Hook Up
or MXR(R) Pallet Bar Open
4 MX20F Relay Hook Down 4 MX40B Relay Unit (upper) Advance End
or MXR(L) Pallet Bar Close /MX20F Relay Hook Advance End
or MXR(R) Pallet Bar Close
5 MXR(L) Hook Lock 5 MX40B Relay Unit (lower) Advance End
or MXR(R) Hook Lock
6 MXR(L) Hook Unlock 6 MX40B Relay Unit (upper) Retract End
or MXR(R) Hook Unlock
7 MXR(L) Pallet Detect on Hook 7 MX40B Relay Unit (lower) Retract End
or MXR(R) Pallet Detect on Hook
2115h 0 MX40B (upper)MX-20 Cover Interlock 2117h 0 MX40B/20F Front Shuttle Position
or MXR(L) Stocker Interlock or MXR(R) Stocker Interlock
1 MX40B (lower) Cover Interlock 1 MX40B/20F Rear Shuttle Position
or MXR(L) Pallet Side Clamp or MXR(R) Pallet Side Clamp
2 MX40B (upper)MX-20 Pallet Interlock in 2 MX40B/20F Pallet Detect on Shuttle
Stocker or MXR(R) Pallet Rear Clamp
or MXR(L) Pallet Rear Clamp
3 MX40B (lower) Pallet Interlock in Stocker 3 MX40B/20F Pallet Clamp Off on Shuttle
4 4 MX40B (upper)/20F Pallet Stopper Off in
Stocker
5 5 MX40B (lower) Pallet Stopper Off in
Stocker
6 MX40B Relay Unit (upper) Pallet Detect 6
7 MX40B Relay Unit (lower) Pallet Detect 7
Chapter 8 Replenishing Components and Using Maintenance Menus 9-20

9-3-4-4 Signal Output Map


Signal Output Map 1/2
Address Bit No. Signal Name Address Bit No. Signal Name
3100h 0 Switch Lamp 1 On 3103h 0 +24V Permit
1 Switch Lamp 1 Flash 1 Permit Servo Switch On
2 Switch Lamp 2 On 2 Servomotors Power On
3 Switch Lamp 2 Flash 3
4 Switch Lamp 3 On 4
5 Switch Lamp 3 Flash 5
6 Switch Lamp 4 On 6
7 Switch Lamp 4 Flash 7 Enable Rear-side Operation
3101h 0 Switch Lamp Group Select Bit 0 3104h 0 Main-light Bit 0
1 Switch Lamp Group Select Bit 1 1 Main-light Bit 1
2 Switch Lamp Write Data 2 Main-light Bit 2
3 System Power Off 3 Main-light Bit 3
4 Warning Sound 4 Sub-light Bit 0
5 Hazard Sound 5 Sub-light Bit 1
6 Beam Sensor Power On 6 Sub-light Bit 2
7 7 Sub-light Bit 3
3102h 0 Alarm Beacon 1 On 3105h 0 Head 1 Vacuum
1 Alarm Beacon 1 Flash 1 Head 2 Vacuum
2 Alarm Beacon 2 On 2 Head 3 Vacuum
3 Alarm Beacon 2 Flash 3 Head 4 Vacuum
4 Alarm Beacon 3 On 4 Head 5 Vacuum
5 Alarm Beacon 3 Flash 5 Head 6 Vacuum
6 Alarm Beacon 4 On 6 Head 7 Vacuum
7 Alarm Beacon 4 Flash 7 Head 8 Vacuum
Chapter 8 Replenishing Components and Using Maintenance Menus 9-21

Signal Output Map 2/2


Address Bit No. Signal Name Address Bit No. Signal Name
3106h 0 Head 1 Vacuum Break 310Ch 0
1 Head 2 Vacuum Break 1
2 Head 3 Vacuum Break 2
3 Head 4 Vacuum Break 3
4 Head 5 Vacuum Break 4
5 Head 6 Vacuum Break 5
6 Head 7 Vacuum Break 6
7 Head 8 Vacuum Break 7
3107h 0 Board Stopper Up 310Dh 0 Communication Request 1
1 Main Clamp Up 1 Image Capture 1
2 Side Clamp On 2 LED
3 Rear Clamp On 3 LED
4 Buffer Stopper Up 4 Message ID
5 5 Message ID
6 6 Message ID
7 7 Message ID
3108h 0 ANC1 Open 310Eh 0 Coplanarity Check Comp. ID No.
1 ANC2 Open 1 Coplanarity Check Comp. ID No.
2 MXST2 Clamp On 2 Coplanarity Check Comp. ID No.
3 3 Coplanarity Check Comp. ID No.
4 Manual Mode 4 Coplanarity Check Comp. ID No.
5 5 Coplanarity Check Comp. ID No.
6 Front Feeder Bank Power On 6 Coplanarity Check Comp. ID No.
7 Rear Feeder Bank Power On 7 Coplanarity Check Comp. ID No.
3109h 0 Front CFB Lock 310Fh 0 MX40B Relay Unit (upper) Stocker-side Hook Down
or MXR(L) Door Lock
1 Front CFB Unlock 1 MX40B Relay Unit (upper) Shuttle-side Hook Down
or MXR(L) Hook Lock
2 Rear CFB Lock 2 MX40B Relay Unit (lower) Stocker-side Hook Down
or MXR(L) Pallet Bar Open
3 Rear CFB Unlock 3 MX40B Relay Unit (lower) Shuttle-side Hook Down
or MXR(L) Pallet Side Clamp
4 Ready Output 4 MX40B (upper)/20F Pallet Stopper Off in Stocker
or MXR(L) Pallet Rear Clamp
5 Board Available Output 5 MX40B (lower) Pallet Stopper Off in Stocker
6 UPS 1 Output 6 MX40B/20F Pallet Clamp Off on Shuttle
7 UPS 2 Output 7 MX20F Relay Hook Up
310Ah 0 DLC DIM 2^0 3110h 0 MX40B/20F LED Control Address 0
or MXR(R) Door Lock
1 DLC DIM 2^1 1 MX40B/20F LED Control Address 1
or MXR(R) Hook Lock
2 DLC DIM 2^2 2 MX40B/20F LED Control Address 2
or MXR(R) Pallet Bar Open
3 DLC DIM 2^3 3 MX40B/20F LED Control CLK
or MXR(R) Pallet Side Clamp
4 DLC CH 2^0+1 4 MX40B/20F LED Control S-IN
or MXR(R) Pallet Rear Clamp
5 DLC CH 2^1+1 5 MX40B/20F LED Control ENB
6 DLC CH 2^2+1 6 MX40B/20F LED Control LAT
7 DLC CH 2^3+1 7
310Bh 0 DLC WE 3111h 0
1 DLC Light On 1
2 2
3 3
4 4
5 5
6 6
7 7
Chapter 8 Replenishing Components and Using Maintenance Menus 9-22

9-3-5 Actuators
Actuators means devices to cause a machine to function. In this system, actuators specifically
means pneumatic-driven devices and parts feeders. The Actuator menu allows you to check
each actuator movement and to measure its timing.

The Actuator allows the specified actuator to move. When executing actuator operation
Warning via this menu, do not stick your head, hands, or other physical parts inside the mounter.
Serious injury can result. Also make sure non-operator are at a safe distance from the
machine.

Caution Before executing the actuator operation, make sure no foreign obstacles are left in the mounter
or tray feeder. Otherwise, costly machine damage can occur.

Menu: Manual>Actuator

On/Off tab

Action:
① Under [Select Actuator], select a type of actuator.
② Click <OFF> button for the desired actuator. <OFF> button turns to <ON> and the
actuator starts to operate.
③ Click <ON> button. <ON> button turns to <OFF> and the actuator stops to operate.
Window:
Head Vacuum Head1 Vacuum, Head2 Vacuum, Head3 Vacuum, Head4 Vacuum,
Head5 Vacuum, Head6 Vacuum
Board Clamp Board Stopper Up, Main Clamp Up, Side Clamp Up, Rear Clamp
ON, Buffer Stopper Up
Head Vacuum Breaker Head1 Vacuum Breaker, Head2 Vacuum Breaker, Head3 Vacuum
Breaker, Head4 Vacuum Breaker, Head5 Vacuum Breaker, Head6
Vacuum Breaker
ANC ANC Open
MX-St2 MX-ST2 Clamp On
Chapter 8 Replenishing Components and Using Maintenance Menus 9-23

Timing tab
Measures actuator on/off timing.

Action:
① Click <Measure> button. The actuator on/off timing is measured and the results are
displayed one by one from the No.001 Board Stopper Up/Down.
② Click <End> button to end.
Window:
ON(1) Displays the time between when the ON command is issued until
the OFF sensor is turned OFF.
ON(2) Displays the time between when the OFF sensor is turned OFF until
the ON sensor is turned ON.
Total Displays the total time of ON(1) and ON(2).
OFF(1) Displays the time between when the OFF command is issued until
the ON sensor is turned OFF.
OFF(2) Displays the time between when the ON sensor is turned OFF until
the OFF sensor is turned ON.
Total Displays the total time of OFF(1) and OFF(2).
Max/Min Displays the maximum and minimum values among the values
obtained during the period between the start of measurement until
the end of measurement.
Note: Measurement is repeated unless <End> button is clicked.

Feeder tab
Performs feeder indexing for the specified feeder.
Action:
① Under [Location], select <ST-F> or <ST-R> button.
② Enter the feeder station number to the entry field using the spin edit.
③ Click <Execute> button. The specified feeder is activated and makes one feed.
Chapter 8 Replenishing Components and Using Maintenance Menus 9-24

9-3-6 Load Board


Menu: Manual>Load Board
Runs the conveyor to load or unload a board.

Adjust each conveyor speed.

Select a clamp sequence:


Rear-Side: Rear clamp→Side clamp
Side-Rear: Side clamp→Rear clamp

Clicking this button unclamps the board.

If the board is too heavy to reach the


stopper, move this slider to the right.

Two-side Placement
When <One-side> is specified under [Placement], the loaded board starts to exit the mounter as
soon as the board is unclamped. When <Two-side> is specified, the loaded board starts to exit
the mounter after the board is unclamped and also the support pins (adjust plate) are
completely lowered.
Be sure to specify <Two-side> when the board has pre-processed components at its bottom.
This prevents interference between the components and the support pins.

Loading and Clamping a Board


Action:
① Place a board at the conveyor entrance where the beam of the entrance sensor is blocked.
② Under [Task], select <Clamp a board> button.
③ Under [Registration], select a registration method.
④ Under [Placement], select <One-side> or <Two-side>.
⑤ Click <Execute> button. The conveyor starts to run, and a board is loaded and clamped in
place.
Chapter 8 Replenishing Components and Using Maintenance Menus 9-25

Task Setting
Send out clamped board: Unloads a board set in the processing position.
Pass a board through: Passes a board through without clamping it in the processing
position.
Clamp a board: Loads and then clamps a board in the processing position.
Buffer a board in entrance...: Holds a board standby on the entrance conveyor.
Buffer a board in exit...: Holds a board standby on the exit conveyor.

Clamping a Long Board


A long board (X) may stop before hitting the stopper when the board is edge-registered. In this
case, select <Rear-Side> button under [Clamp Sequence].
A long board tends to be side-clamped with a strong force since more clamping pins are used
for such a board, causing the board not be moved by the following rear-clamping. In this case,
rear-clamp the board first to make X positioning, then side-clamp it.

Configuration of the Entrance, Main, and Exit Conveyors


For the left-to-right conveyor, the entrance, main, and exit conveyors can be configured as
shown below:

Machine

Main Conveyor
ALL

M1/M1a
Entrance Conveyor Main Conveyor Exit Conveyor

Entrance Conveyor Main Conveyor M1/M1a

ALL
Main Conveyor Exit Conveyor

Note: The entrance conveyor and exit conveyor are optional.

9-3-7 PCB Sensors


Menu: Manual>PCB Sensors
Allows you to view the PCB sensor status graphically. When a PCB sensor responds, the
corresponding portion of the graphic turns yellow.
Chapter 8 Replenishing Components and Using Maintenance Menus 9-26

9-3-8 Feeder Bank


Menu: Manual>FeederBank
When the optional CFB (Changeable Feeder Bank) is installed, locks or unlocks the CFB
manually.

Manual tab

Action:
① Click <Lock> or <Unlock> button.
② Click <Execute> button

Automatic tab

Action:
① Click <Execute> button. The CFB is unlocked, and then locked.
② Click <Close> button to end the operation.
Chapter 8 Replenishing Components and Using Maintenance Menus 9-27

9-3-8-1 Handling CFB (Changeable Feeder Bank)


IFB Board (FBD Board) in a CFB (Changeable Feeder Bank) may be damaged if the
CFB-connector (see the picture below) is connected or disconnected when the power is being
supplied to the CFB. I
he connector must be disconnected when the power voltage is not supplied. It’s able to check
with the LED status on the feeders. The connector must be connected before the CFB is locked
with the machine.
The “Feeder Back” screen from Manual Menu >Feeder Bank described on the previous page
must be used for locking/unlocking the CFB.

<CFB Connector>

Removing CFB
① Open the Feeder Bank screen, and unlock the CFB.
② The power turns off automatically. Check the LED on the feeders if it’s lit off.
③ Loosen Ring Lock on the CFB-connector and plug off. Pull the air hose off.
④ Pull the CFB off.

Installing CFB
① Push the CFB into the Machine.
② Plug the CFB-connector in and lock the Ring Lock. Push the air hose in.
③ Open the Feeder Bank screen, and lock the CFB.
④ The power turns on automatically. Check the LED on the feeders if it’s lit on.
Note: The Ring Lock on the connector must be locked certainly. Do not run the machine when the
Ring Lock is released. It may cause a “feeder is not activated” error.
Chapter 8 Replenishing Components and Using Maintenance Menus 9-28

9-3-9 Conveyor Width


Menu: Manual>Conveyor Width
This menu is available only when the automatic conveyor width adjuster (optional) is installed
and at the same time the automatic mode is selected (manual mode uses the conveyor handle.).
By default, the manual mode is selected. To switch to the automatic mode, click <Off> button
on the Status Display (<Off> turns to <AWC>.).
Window:
Move Axis: Hold down an arrow button with the mouse to move the adjustable
conveyor rail. [Axis Speed] is adjustable (10-100%) only after the
conveyor axis has returned to its origin.
Return to Origin: Click <Origin> button to make the conveyor axis return to its origin.
For safety consideration, the conveyor once widens itself for about
20mm and then moves to the origin. After the origin acquisition,
<AWC> button on the Status Display will be enclosed by square
brackets (AWC turns to [AWC].).
Move: Click <Move> button to adjust the conveyor to the specified width.
For safety consideration, the conveyor is once widened 40mm more
than the specified width and then narrowed to the specified width.
If a support pin is present outside the valid range, an error message
prompting you to remove the support pin will appear.
Note: The Set Conveyor (Auto) dialog box is also accessible via Program>File>BoardData. See
Chapter 2, Annotating and Editing Board Data for information.
Note: When selecting <Start> button in the Run/TestRun dialog box to start a job run, a confirmation
dialog for executing conveyor width setting will appear. Confirming this dialog allows for
adjusting the conveyor to the width specified in the Board Data dialog box.
Note: When the automatic conveyor setting mode is selected and MX-40 or MX-20 is used with its
pallet in the front stop position, the pallet will once escapes to the rear stop point for safety
consideration. (The pallet will return to the original position in a job execution.) In use of
MX-ST2, the front pallet stop position is not available.
Chapter 8 Replenishing Components and Using Maintenance Menus 9-29

9-3-10 Air Pressure


Menu: Manual>Air Pressure

Window:

Vacuum On
Click (check) a desired head and the head starts vacuuming. Click the head again (uncheck) and
the head stops vacuuming.

VacuumBreak On
Click (check) a desired head and the head starts vacuum-breaking. Click the head again
(uncheck) and the head stops vacuum-breaking.
Before performing vacuum-breaking, make sure that the nozzle is removed from the head.
CAUTION Performing vacuum-breaking with the nozzle attached may cause the nozzle to pop out
from the head. (This especially happens with small-bore nozzles.)

9-3-11 Nozzle Info.


Menu: Manual>Nozzle Info.

See Chapter 8, Running a Job > ANC Initial Setting.


Chapter 8 Replenishing Components and Using Maintenance Menus 9-30

9-3-12 Feeder Info.


Menu: Manual>Feeder Info.
When manually operating a feeder on the feeder bank using Signal I/O or Actuator menu, use
this menu to specify which feeder to use. Since operation sequence of feeders may vary
depending on the feeder type, Feeder Info. setting is referred to by the system for identifying
the feeder in use and selecting appropriate operation sequence. At present, only PS-32A
requires this setting. Other feeders can operate properly without this setting. When operating
feeders in job run, the system identifies the feeders in use based on the feeder library, therefore
Feeder Setting menu need not be used.

Action:
① Place the cursor in the line that corresponds to the feeder lane with a PS-32A installed.
② Click the right mouse button to present the list of feeders. Select “PS-32A”. “PS-32A” is
entered to the Feeder field and “7” to the Feeder Code field.
Note: Do not change the feeder code “7” entered to the PS-32A line.
Chapter 8 Replenishing Components and Using Maintenance Menus 9-31

9-3-13 MX-20
Menu: Manual>MX-20
Allows you to check the pallet location in MX-20 and to manually move the pallets. Also allows
you to perform initial setting of MX-20, especially in case of an error occurrence.
Note: To open this menu, the operator log-in level should be authorized to edit System Parameter.
Moving any Pallets or setting Transfer Speed can be also done in Pallet Library screen.
For details, see Chapter 5, Libraries > Pallet Library.

Move Pallet tab


Window:
Pallet: Shows pallet on/off status of the stocker. Where the pallet is present,
the box next to each pallet number is checked.
Shuttle: When no pallet exists on the shuttle, “None” is shown. When a
pallet exists on the shuttle, “Stocker” and its pallet number is shown.
<Reset Elevator> button Clicking this button moves the elevator to where all the pallets can
be taken out.

Adjusting Initial Setting and Correcting Errors of MX-20


Always be reminded to match the actual pallet setting with the display of [Pallet] and [Shuttle].
The system cannot keep track of the pallet location in the event of an error or other situation.
Under [Pallet], a checked box indicates the pallet presence at the corresponding location, an
unchecked box, pallet absence. Check or uncheck a box by clicking as necessary.
For countermeasure of errors, see Chapter 14, Data > MX-20 Hook Position.

Drawing Out a Pallet from the Stocker


Action:
① Under [Pallet No.], select the pallet number with the scroll arrows.
② Under [Mode], click <Out> button.
③ Under [Shuttle Position], select “Front” or “Rear” where the pallet will stop.
④ Click <Execute> button.
⑤ “Execute?” appears. Click <Yes> button to execute.
Chapter 8 Replenishing Components and Using Maintenance Menus 9-32

Returning a Pallet to Upper/Lower Stocker


Action:
① Under [Mode], click <In> button.
② Click <Execute> button.
③ “Execute?” appears. Click <Yes> button to execute. The pallet will return to the stocker.

Note: Normally, all the pallets are to be back in the stocker when a job is completed. Compare the
display with the actual pallet setting to see if they match. If not, return all of them into the
stocker.

Transfer Speed tab


Allows you to set each pallet transfer speed and the elevator speed for job run (1-1000,
Increment: 0.1%).
Action:
① Click the entry field to modify the current setting.
② Enter value between 1 to 1000.

Reference Coordinates tab


Set the referenced value based on the shuttle origin. Normally already set at factory.

9-3-14 MXR-20
Menu: Manual>MXR-20
Allows you to check the pallet location in MXR-20 and to manually move the pallets. Also
allows you to perform initial setting of MXR-20, especially in case of an error occurrence.
Note: To open this menu, the operator log-in level should be authorized to edit System Parameter.
Moving any Pallets or setting Transfer Speed can be also done in Pallet Library screen.
For details, see Chapter 5, Libraries > Pallet Library.

Move Pallet tab


Window:
Pallet:: Shows pallet on/off status of the stocker. Where the pallet is present,
the box next to each pallet number is checked.
Shuttle Pallet: When no pallet exists on the shuttle, “0” is shown. When a pallet
exists on the shuttle, its pallet number is shown.
Chapter 8 Replenishing Components and Using Maintenance Menus 9-33

Note: There are another commands for MXR operation such as [Lock/Unlock] of Hook, and
[ON/OFF] of Door Lock. These commands, however, are for emergency use and should not be
used for normal operation. For the action towards problems, see Chapter 14, Data > How to
manage the MXR troubles.

Adjusting MXR-20 Initial Setting


Always be reminded to match the actual pallet setting with the display of [Pallet] and [Shuttle].
The system cannot keep track of the pallet location in the event of an error or other situation.
Under [Pallet], a checked box indicates the pallet presence at the corresponding location, an
unchecked box, pallet absence. Check or uncheck a box by clicking as necessary.

Drawing Out a Pallet from the Stocker


Action:
① Under [Pallet No.], select the pallet number with the scroll arrows.
② Under [Mode], click <Out> button.
③ Click <Execute> button.
④ “Execute?” appears. Click <Yes> button to execute.

Returning a Pallet to the Stocker


Action:
① Under [Mode], click <In> button.
② Click <Execute> button.
③ “Execute?” appears. Click <Yes> button to execute. The pallet will return to the stocker.

Note: Normally, all the pallets are to be back in the stocker when a job is completed. Compare the
display with the actual pallet setting to see if they match. If not, return all of them into the
stocker.

Note: Pressing [Set up] switch on the rear-side panel will also return the Pallet to the stocker.
Chapter 8 Replenishing Components and Using Maintenance Menus 9-34

Transfer Speed tab


Allows you to set each pallet transfer speed and the elevator speed for job run (1-1000,
Increment: 0.1%).

Action:
① Click the entry field to modify the current setting.
② Enter value between 1 to 1000.

9-3-15 MX-ST2
Menu: Manual>MX-ST2
This menu allows you to clamp or unclamp MX-ST2.
Chapter 8 Replenishing Components and Using Maintenance Menus 9-35

9-4 Using Maintenance Menus

The maintenance menus (Manual>Maintenance) are utilized for pre-operation arrangements


and troubleshooting. The below table summarizes the use of each maintenance menu. For
detailed information , see the following part.
However, they are mainly for Service engineer, and hardly used for standard operation.
Maintenance Menu Purpose Related Error
Servo Off Shuts off power to the servomotors. —
ANC Test Checks the ANC operation. ANC error
Illumi. Test Checks the camera lighting. Illumination error
Feeder Bank Checks feeder operation on the feeder Feeder bank error
bank.
Nozzle Scan Test Checks nozzle scan function Nozzle error
Check Feeder Refer to SET MASTER in the Parts Pickup error
Feeders Instruction Manual.
Conveyor Test Checks conveyor repeatability. Board set error
SW Check Mode Checks switch operating. Once
performed, machine system needs to
be rebooted.
Intelligent Feeder Checks Intelligent Feeder LED status
etc.

Note: Selecting Manual>Maintenance>Servo Off makes the Power Control dialog box to appear.
Turn on/off the relevant power before starting maintenance operation. See Servo Off in the
following section.
Chapter 8 Replenishing Components and Using Maintenance Menus 9-36

9-4-1 Servo Off


Menu: Manual>Maintenance>ServoOff
This menu allows you to turn on/off power supply to each part of the machine.

Window:
Permit Turning-on...: Enables or disables the use of Servo switch on the front control
panel.
Servomotor: Turns on/off power to the servomotors.
Front Feeder Bank: Turns on/off power to the front feeder bank.
Rear Feeder Bank: Turns on/off power to the rear feeder bank.
Conveyor, Air cylinder...: Turns on/off power to the conveyor dc motors and the
pneumatically driven actuators. These do not include the actuators
of the heads (for pickup operation).
Action:
① Click <On> or <Off> button for each power supply.
② Click <Execute> button.
③ Click <Close> button to close the window.
Chapter 8 Replenishing Components and Using Maintenance Menus 9-37

9-4-2 ANC Test


Menu: Manual>Maintenance>ANC Test
This menu allows for testing open/close operation of ANC. It also allows for open/close
operation manually.

Testing Open/Close Operation


Action:
① Click <Execute> button. ANC starts open/close operation. The sensor response time is
measured and displayed.
② Click <Stop> button to end the check.

Note: ANC repeats open/close operation unless <Stop> button is clicked.


Window:
Open-sensor On [ms]: Operation time from when the open command is issued to when the
open sensor is turned on
Close-sensor On [ms]: Operation time from when the close command is issued to when the
close sensor is turned on
Note: The execution cycle can be specified (Min. 100ms).

Manual Open/Close Operation


Action:
① To open the slide channel, under [Slide Channel], click <Open> button.
② To close, click <Close> button.
Chapter 8 Replenishing Components and Using Maintenance Menus 9-38

9-4-3 Illumi. Test


Menu: Manual>Maintenance>Illumi.Test
This menu allows you to test the illumination of the main teach camera.

Action:
① Click <Start Illm.Test> button. The illumination test starts. <Start Illm.Test> button turns
to <End Illm.Test> button.
② Click <End Illm.Test> button to end the test.
Note: The test will continue until you click <End Illm.Test> button.

9-4-4 Feeder Bank Check


Menu: Manual>Manual >Feeder Bank
Here is to check feeder operation, which set on the feeder bank.
This menu is not used usually.
Chapter 8 Replenishing Components and Using Maintenance Menus 9-39

9-4-5 Nozzle Scan Test


Menu: Manual>Maintenance>NozzleScanTest

Action:
① Set a nozzle/nozzles on ANC.
② Under [Enter nozzle No.], enter the nozzle number according to the setting of the previous
step.
③ Under [Exec Count], enter how many times to perform test.
④ Click <Execute> button and the main teach camera scans the nozzle/nozzles in ANC.
When the test finished, [ANC Inf.] field displays the identified nozzle number Also
[Result] field displays the result (OK/NG).
⑤ Click <Close> button.

Note: If the test ended in failure (NG), make sure the nozzle ID labels are clear of dust or stains.

Auto Nozzle Change


Tests automatic nozzle exchange process.
Action:
① Remove all the nozzles in the head assembly.
② Set six nozzles on ANC nozzle stations 1 through 6.
③ Under [Auto Nozzle Change], click <Yes> button.
④ Click <Test> button. Six nozzles on ANC are all attached to the head assembly and then
returned to the original location on ANC.

9-4-6 Checking the Feeder's Pickup Point


Menu: Manual>Maintenance>CheckFeeder
For information on how to use this menu, see SET MASTER in the Feeder User's Manual.
Chapter 8 Replenishing Components and Using Maintenance Menus 9-40

9-4-7 Conveyor Test


Menu: Manual>Maintenance>ConveyorTest
Here is to check conveyor operation.
This menu is not used usually.
Chapter 8 Replenishing Components and Using Maintenance Menus 9-41

9-5 Stopping and Restarting a Job Run

9-5-1 Stopping a Job Run


There are four methods to stop the machine:
Cause Machine State
Cycle Stop Pressing of Cycle Stop The placement cycle finishes, and then each axis
switch stops.
Component lack Power to the servomotors remains on.
Error Power to the actuator remains on, so pickup and
board clamp remain on.
Immediate Activation of the interlock Each axis stops immediately.
Stop function 1 Power to the servomotors remains on.
Power to the actuator remains on, so pickup and
board clamp remain on.
Emergency Pressing of Emergency Stop Each axis stops immediately.
Stop switch Power to the servomotors is shut off. Restarting
Activation of the overrun requires zeroing of the axis positions.
sensor Power to the actuators, including the pickup
actuator, is shut off, and board clamp is released.
Safety Stop Opening of a cover in the Each axis stops immediately.
AUTO mode. Power to the servomotors is shut off, but there is
no need to perform zeroing of the axis positions.
Power to the actuator remains on, so pickup and
board clamp remain on.

9-5-2 Restarting a Job Run


If the machine stops during running, restart the machine as follows:

Cause of Stop Restarting Procedure

Pressing of Cycle Stop Start

Opening of the front or Alarm Off X Close the cover X Recovery X Start
rear cover
Component lack Alarm Off X Recovery X Replenish components X Start
Pressing Alarm Off X Release EMERGENCY STOP X Remove cause of
of EMERGENCY STOP
the error X Servo X Zero the axes position X Restart
Activation of the overrun Alarm Off X Remove cause of the error X Cancel X Servo X
sensor
Zero the axes position X Restart

Note: Zero the axes position via the Manual>Origin menu.

Restarting from the Stop by an Error


When Servo switch is lit after the machine stops:
The machine is suspended, warning sound is emitted for alarm, and the relevant error message
appears on the screen.

1 The safety interlock function works if you open the machine front/rear cover or enter hand or part of the body
inside the machine (only when the optional CE safety guard, SGA-2 safety sensor, or GS-1 safety guard is
installed) during machine operation.
Chapter 8 Replenishing Components and Using Maintenance Menus 9-42

Action:
① Press Alarm Off switch to silence the alarm sound.
② Press Recovery switch.
③ Press Cancel switch to cancel the job run mode.
④ Eliminate the cause of the error referring to the error message.
When Servo switch is not lit after the machine stops:
The machine stops, hazard sound is emitted for alarm, and the relevant error message appears
on the screen.
Action:
① Press Alarm Off switch to silence the alarm sound.
② Press Recovery switch.
③ Press Cancel switch to cancel the job run mode.
④ Eliminate the cause of the error referring to the error message.
⑤ Press Servo switch.
⑥ Zero all the axes position in Manual>Origin.

Restarting from the Stop by Opening a Cover


Action:
① Press Alarm Off switch to silence the alarm sound.
② Close the cover.
③ Press Recovery switch.
④ Press Start switch to restart, or press Cancel switch to cancel the job run mode.
Chapter 8 Replenishing Components and Using Maintenance Menus 9-43

9-6 Component Handling in Machine Shutdown

If the machine stops during running, be careful that the component may drop from the head.
If Cycle Stop switch is pressed to suspend the machine, the machine completes the
component placement, and then stops. Therefore there is no problem.
If EMERGENCY STOP switch is pressed to stop the machine in an emergency, the component
remains picked up. But when Cancel switch is pressed, vacuuming at the head is turned off.
So receive the component with your hand.
If Servo switch is still lighting, bring the head to within the reach of your hand via
Manual>Axis, and then let the component be released via Manual>Actuator>On/Off and
receive the component with your hand.
If the front or rear cover is opened and then Cancel switch is pressed, vacuuming at the head
is turned off, and the component drops from the head.
Chapter 8 Replenishing Components and Using Maintenance Menus 9-44

9-7 Replenishing Components

9-7-1 Shortage Alarm


During a job run, when the residual component count has reached the specified setting, the
system alerts the operator to component shortage with lighting the lamp of the alarm beacon.
To enable this feature, perform the following settings:

Set [Shortage Alarm] (Program>PickupData) to the component count to give an alarm.

Set [Set Count] and [Remain Count] (Run>Run>ComponentCount>ST-F/ST-R) to the


replenished component count correctly.
When the residual component count has reached [Shortage Alarm] setting, the lamp of the
alarm beacon lights up. After that, when the remaining components have been used up, a
warning sound goes off, the lamp starts to blink, and the job run is paused. You can resume
operation by replenishing components and then press Start switch.

9-7-2 Replenishing Components


Follow the below steps when component lack occurs.

Operation Mounter status


- Component count reaches the shortage alarm count. →
Yellow alarm beacon lights up. → Out of Component →
Yellow alarm beacon blinks. / Alarm sounds (warning
sound). / [Alarm Off] switch lamp lights up. / Mounter
pauses.
①Press the [Alarm Off] switch. Alarm sound stops. →[Alarm Off] switch lamp goes out.→
Yellow alarm beacon goes out.
②Press the [Recovery] switch. -
③Replenish components. -

④Make an entry in [Set Count] and * Make an entry in [Set Count] and click <Reset Line> button
[Remain Count] (Run > Run > to copy it into [Remain Count]. Click <Apply Count> button
Component Count).→ * to register the entries.

⑤Press the [Start] switch to resume


operation.
Chapter 8 Replenishing Components and Using Maintenance Menus 9-45

9-7-3 Replenishing Components for CFB


When using CFBs (Changeable Feeder Bank), follow the below steps in the event of component
lack.

Action Machine State


- Shortage alarm count is reached.→ Yellow
alarm beacon lights up. → Out of Component
→ Yellow alarm beacon blinks. / Alarm sounds
(warning sound). / [Alarm Off] switch lamp
lights up. / Mounter pauses.
①Press the [Alarm Off] button. Alarm sound stops. / [Alarm Off] switch lamp
goes out. / Yellow alarm beacon goes out.
②Press the [Recovery] switch. -
③Press the [Go Rear] switch. Pickup point moves to rear side.→ [Go Rear]
(This declares the start of setup.) switch lamp lights up. →[Setup] switch lamp
lights up.
④Press the [Setup ] switch. Clamps on front bank are released. “9999999”
(default) is set in [Remain Count] (Run > Run >
Component Count). This happens only with
components for which remain count is “0”. “0”
(enables use of feeder) is set in [Feeder Skip]
(Run > Run > Component Count).
⑤Disconnect the cable and air hose from -
the main body.
If safety sensors (option) are installed, their
cables must also be disconnected.
⑥Pull out the feeder bank. -
⑦Replenish components. -
Or attach another CFB to the main body.
⑧Press the [Go Rear] switch. [Go Rear] switch lamp blinks. → Bank is
(This declares the end of setup.) clamped. Pickup point returns to front side. →
[Go Rear] switch lamp goes out when mounter
is ready for operation.
⑨Press the [Start] switch to restart the The production will restart.
production.
Chapter 8 Replenishing Components and Using Maintenance Menus 9-46

9-8 Other Menus

9-8-1 About

Menu: System>About

Shows the system version Nos. and ID information.

9-8-2 Reentering a Password

Menu: System>ReenterPassword

Allows you to reenter a password without rebooting the system. Enter new password and click
<OK> button to restart the system.

9-8-3 Enabling/Disabling the Screen Saver

Menu: Tool>Enable Screen Saver


Tool >Disable Screen Saver

The screen saver helps prevent burned-in images and prolongs monitor life. With the Enable
Screen Saver mode selected, if you have not moved the mouse or pressed a key for a certain
period of time, the screen saver automatically goes on. The screen saver is disabled when the
Teach, Axis, or Run dialog box is open.
Moving the mouse or pressing a key will make the screen saver disappear.

9-8-4 Network Neighborhood


Menu: System>NetworkNeighborhood
Allows you to access a computer on the network.

9-8-5 Network Properties


Menu: System>NetworkProperties
Set the network properties of your system. Consult TenryuTechnics for information.

9-8-6 Calculator
Menu: Tool>Calculator
See the online Help for information.
Chapter 9 Parameter Setting 10-1

Chapter 10
Parameter Setting

User Parameter
System Parameter
Chapter 9 Parameter Setting 10-2

10-1 User Parameter

10-1-1 Reject Location

Menu: System>UserParameter>RejectLocation

Set coordinates to reject defective components. Up to 8 sets of coordinates can be registered.


[Reject Location] setting in the packaging library and tray library is associated to the data
number (No. 3–10) in this tab.
The data lines, Reject Tray (F)/(R), are used when [Reject/Reuse] setting in pickup data is set
to “Standard” in case of ST-F or ST-R Feed Style data.

Action:

① Click <Teach> button to open the Teach dialog box.


② Bring the main teach camera to the desired position, and enter the coordinates.
③ Return to the User Parameter dialog box. Click <Save> button.
④ Click <Close> button to close the dialog box.
Chapter 9 Parameter Setting 10-3

10-1-2 Reject Conveyor Offset

Menu: System>UserParameter>RejectConveyorOffset

Set coordinate offsets for the component reject location on the reject conveyor. When using the
specified reject location (pickup coordinates of the station where the reject conveyor is
connected), the offset setting here is not necessary.
Up to 10 coordinate offsets can be registered. [Reject Location] setting in the pickup data is
associated to the data number (No. 1–10) in this tab when [Reject/Reuse] is set to “Reject
Location”.
Note: For information on how to use the reject conveyor, see the instruction manual for the reject
conveyor.

10-1-3 Head Escape


Menu: System>UserParameter>HeadEscape
Set coordinates to which the head escapes when the feeder is emptied. Use the default
coordinates normally.
Action:
① Click <Teach> button to open the Teach dialog box. Teach the desired head escape
coordinates.
② To use the entered coordinates as the head escape coordinates, select “Head escapes to...”
check box.
Chapter 9 Parameter Setting 10-4

10-1-4 Timings
Menu: System>UserParameter>Timings
Set the sequence timings. Use the default setting normally.

Window:
1. XY Stop --> Mark Sensing
2. Conveyor Transfer Time at Error Stop
3. XY Stop for First Placement --> XY Move for Compensated Placement Position
4. XY Stop for First Placement --> Head Down
5. XY Stop --> Image Scan
6. XY Stop --> Detect Nozzle
7. Component Reject, Z Lowest End --> Head Up
8. Component Reuse, Z Lowest End --> Head Up
9. S-axis Stops --> Start Placement
10. Z Upper End --> S-axis Moves
11. Delay for [Delay Vacuum On]
12. Conveyor Run Time after PCB Clamp
13. Delay for PCB Simul. Transfer
14. Timer for PCB Clamp Timing
15. Timer for Placement Start after PCB Clamp
16. Wait PCB Entrance -> Pre-process Signal Off
17. PCB Vacuum Break Time
18. Pre-transfer at clamp down (2-side-board)(0:Down sensor ON, 100:Up sensor OFF) [%]
19. XY Stop -> Bad Mark Sensing

Note: Unit: ms
Chapter 9 Parameter Setting 10-5

10-1-5 Link
Menu: System > User Parameter > Link

■ Enable link between ST-F and ST-R


If this checkbox is checked, the link between the front and rear feeder banks is enabled.
● [Pickup Priority]
Specify the feeder bank that is to be used with priority. If components of the same code are
present on both front and rear feeder banks, select “ST-F” to give priority to the front feeder
bank, or select “ST-R” to give priority to the rear feeder bank.
■ Use other feeder when pickup/vision-process error occurs
[Limit of Error Count (0: Disable, 1…9: Limit Count)]
If pickup/vision-process error occurs continuously with the same feeder, the pickup point is
automatically shifted (linked) to another feeder to which the same component code is
registered.
If pickup/vision-process error occurs continuously with the same feeder and the error count
reaches “Limit of Error Count”, the mounter cancels use of that feeder and instead uses another
linked feeder (i.e. a feeder to which the same component code has been registered).
“1” will be set in [Feeder Skip] in [ST-F]/[ST-R] tab page (Run > Component Count).

Note: This function is enabled by entering a value (1 to 9) in [Limit of Error Count].

● [Feeder Skip]
“0” in [Feeder Skip] in [ST-F]/[ST-R] tab page (Run > Component Count) indicates that the
feeder is usable, and “1” indicates that the feeder is not usable. A setting can also be made
manually in [Feeder Skip].

■ Operation at the Time of "Improper Component Feeding”


Used to specify how the mounter should operate in case of improper component feeding (e.g.
feeder hardware trouble).
When “Error Stop” is selected, the mounter will stop if an improper component feeding error
occurs. In this case, an error message “D1310 Improper component feeding” will be displayed.
(Note: “D1310” is used for automatic logging)
Chapter 9 Parameter Setting 10-6

When “Retry” is selected, normal retry will be performed (i.e. retry is performed until the
“Choke”/”Feeder Retry” (Component Library > Advance Setting) or “Limit of Error Count” is
reached). In this case, an error message “D1599 Improper component feeding” will be
displayed.

10-1-6 Alarm Beacon


Menu: System>UserParameter>AlarmBeacon

You can modify the alarm beacon status for notifying component shortage or exhaustion. The
saved setting is enabled only after you restart the system.
■ Yellow light doesn’t blink during PCB arrival wait (Don’t distinguish by alarm beacon)

■ Alarm Beacon-Type1 (Yellow ON -> Green blinks during cycle stop)

■ Use signal tower’s 4th light (Beacon3 white) for front/rear component shortage

■ Feeder Station Skip Alarm


Chapter 9 Parameter Setting 10-7

10-1-7 Parameters
Menu: System>UserParameter>Parameters
Set the miscellaneous parameters. Use the default setting normally.
Window:
1. Pass Score for Vision Process Result (XY) [0.001mm]
2. Pass Score for Vision Process Result (T) [0.001mm]
3. Clearance for Automatic Conveyor width Setting [0.001mm]
Set the play between the conveyor rails and the PCB. This setting is automatically applied
to the automatic conveyor width setting. Normally, use the default setting.
4. ZT-axis Speed after Activating <Cancel> switch [0.1%]
5. Preliminary Head Speed [0.1%, 0: Disabled]
6. Pickup Area Travel Height [0.001mm]
7. Automatic Pickup-point correction Interval [Number of Times]
This setting is used when [APC] setting in Pickup Data is enabled.
8. Automatic Pickup-point Correction Tolerance [0.001mm]
This setting is used when [APC] setting in Pickup Data is enabled.
9. Z-axis Speed in Return of Component [0.1%]
10. Slow Placement Distance [0.001mm, 0: Disabled]
11. Slow Placement Speed [0.1%, 0: Disabled]
In order to place the component, the head moves up/down from the Z-axis lower-end position
for the distance set by parameter 10 at the speed set by parameter 11.
This parameter is enabled by checking the “Slow Speed Control” checkbox (Component
Library > Advanced Setting > Pickup/Placement Speed > Placement: Z).
12. Slow Pickup Distance [0.001mm, 0: Disabled]
13. Slow Pickup Speed [0.1%, 0: Disabled]
In order to pickup a component, the head moves up/down from the Z-axis lower-end position
for the distance set by parameter 12 at the speed set by parameter 13.
This parameter is enabled by checking the “Slow Speed Control” checkbox (Component
Library > Advanced Setting > Pickup/Placement Speed > Pickup: Z).
Chapter 9 Parameter Setting 10-8

10-1-8 Functions
Menu: System>UserParameter>Functions(1)(2)
Select Enable/Disable for each function. Use the default setting normally.

Window:
[Production]
1. Image Capture during Return of Scan Camera
2. Pre-rotation
3. Return of Nozzle to ANC
4. Comp. Remain Check by Vision Process
5. Comp. Remain Check by Vacuum Pressure
6. Unclamp PCB while waiting for next process
7. Comp. Exhaustion Automatic Release
8. Definition of Nozzle Lib Negative Press Judgment
9. Vacuum Check before Nozzle Change
10. Scan Camera escape by comp. size check
11. Dividing Multiple pickup cycle by component size
12. Production with the limit of PCB Count
13. Manual Fiducial Auto Open
14. The First Feed After Starting Production
15. When a PCB is already on the conveyor at production

[PCB Arrival Wait]


16. Preliminary Pickup during PCB Arrival Wait
17. Preliminary Feeder Operation
18. Preliminary Travel to Mark during PCB Arrival Wait

[Mark Process]
19. Preliminary Fiducial Process
20. Preliminary Bad Mark Process
Chapter 9 Parameter Setting 10-9

Optimization Postpone
19. Simple Pickup Optimization within 1-cycle
20. Simple Placement Optimization within 1-cycle
21. Automatic Nozzle Arrangement
22. Postpone Retry Step (Tray Component Only)
23. Postpone Component Exhaustion Process
24. Response when Component Exhaustion

MMI Functions
25. MMI Display Type
26. Input a Decimal point
27. Component Count Management
28. Program Restriction for editing during production
29. Common Plan
30. Remains error screen when Warnings before Run

Others
31. Automatic Acquisition of Log Data
32. Automatic Acquisition of Log Data at Emergency Stop
33. Axis Operation while cover is open.
34. Rear Feeder Setting lnterlock Detection
Chapter 9 Parameter Setting 10-10

10-1-9 Coplanarity Check (Optional)


Menu: System>UserParameter>Coplanarity Check

Check [Coplanarity Check] box to enable the coplanarity check function.

10-1-10 Nozzle
Menu: System> UserParameter> Nozzle

You can check the information and change the settings regarding nozzles when Nozzle
Presence Check or Nozzle ID Recognition can not be performed properly.

* The number of the heads varies with the machine.


Chapter 9 Parameter Setting 10-11

■ Head Nozzle Code


It can be used when you have the NG result at recognition process for nozzle on head.(Check of
nozzles on placement heads.)
Action:
① Check that the Head Nozzle Code corresponding to the machines is selected in the Head
Nozzle Code box as follows.
M1/M1a/M1plus → M1_NOH
M2 → M2_NOH_2
M4 → M4_NOH
② Click the <Edit> button. The following window appears.

③ Select the Head No. in the Head box.


④ Click the <Image Test> button.
When Image Test Result is NG, check to see whether there is any dirt on the mirror and nozzle
ID mark sticker, or the condition of the illumination.

■ Station Nozzle Code


It can be used when the Nozzle No. can not be recognized or checking the presence of nozzles
in ANC is NG.

Action:
① Check that the Station Nozzle Code corresponding to the machines is selected in the
Station Nozzle Code box as follows.
M1/M1a → M1_NOS
M1plus → M1plus_NOS
M2 → M2_NOS_2
M4 → M4_NOS
② Click the <Go> button. The following window appears.
Chapter 9 Parameter Setting 10-12

③ Select the ANC No. in the Move the head to specified nozzle station box.
④ Click the <Execute> button.
⑤ “ Execute ? ANC:# “ message appears. Click the <OK> button to move the Teach Camera
above the specified ANC station.
⑥ Click the <Image Test> button.
When Image Test Result is NG, check to see whether there is any dirt on the mirror and nozzle
ID mark sticker, or the condition of the illumination.

■ Fixed Nozzle Info.


When a nozzle is fixed on a head, this nozzle is called Fixed nozzle and it can be set here.
Fixed nozzle can not be changed in ANC.

Action:
① Place the fixed nozzle on a specified head.
② Enter the Nozzle ID in the cell for the head.
Chapter 9 Parameter Setting 10-13

10-1-11 Travel Height


Menu: System>UserParameter>Travel Height
You can shift the pickup area travel height upward by entering offset value.

10-1-12 Others
Menu: System > User Parameter > Others

10-1-12-1 Performance Record


Chapter 9 Parameter Setting 10-14

■ Reset performance record simultaneously with the resetting of PCB count.


(Real-time data accumulation at arbitrary timing)
If this checkbox is checked, data accumulation is performed, starting from when the PCB
COUNTS counter is reset until it is reset the next time. The accumulated data will be output to
a file per accumulation block.

Note: The PCB COUNTS counter can be reset only in the following cases.
① While production is not performed or the mounter is stopped by CYCLE STOP
② When the PCB remaining count is 5 or larger
The counter will never be reset in any other cases.

Even if the production program is switched from one to another between PCB COUNTS
counter resets, the statistic data (per station) will contain the results of both the programs, since
it is accumulated as the same performance record.

■ Reset performance record when different program is executed

■ months before to delete Performance Record (0=Disable)


Performance record earlier than the set months will be deleted.

■ Output folder for real-time accumulation file (csv format) per PCB (for network only)
If this checkbox is checked, the performance record is updated at the production end of each
PCB, enabling real-time checking of the accumulated data. The designation (on the network) to
which the data is to be output must be specified. The data is output to the specified PC in CSV
format, so it can be opened using Microsoft Excel etc.

Operation CANCEL Operation


start start

PCB 1 PCB 2 PCB n PCB n+1

Performance record is accumulated per job.

Performance record is accumulated Performance record is accumulated at


in real-time per PCB. arbitrary timings.

■ Output folder for Performance Record (Arbitrary) accumulation file (for network only)

If this checkbox is checked, the performance record (R********.Dat), that is created when “Reset
Performance Record” (Main Menu > Management) is selected, will be converted to a CSV file
(R********.CSV) and output to the specified folder on the PC on the network.
Chapter 10 Parameter Setting 10-15

10-1-12-2 Production Info. Transfer (optional)


Menu: System > User Parameter > Others

When using the Production Info.Transfer function, the board transfer signals and the
connections for network (LAN) should be both established between the machines as a
precondition. Specify the path to the folder shared on a network for “Pre-process Info. Folder”
and “Post-process Info. Folder”.

Transferred information

Settings for a pre-process and a


post-process machine.
Select this box and specify the path to the
shared folder on a network when
information needs to be transferred.

Select this box when releasing an


error automatically and
continuing the production.

Note: When the system cannot refer to the specified path because of an error in the designation of the
folder or a network failure, the files cannot be read. In such cases, the production information
will not be transferred correctly and the Production Info.Transfer error will occur.

If “Release error state automatically” check box is selected, the production information will be
discarded and the bad mark will be captured again to continue the production even if a
Production Info.Transfer error occurs.

●Transferred program name


There are three kinds of program names transferred from the upstream machine to the
downstream machine.
① Program name recognized by QR code
② Program name transferred from the upstream machine
③ Program name changed manually

●Check for matching of the transferred information


Compare the stored board number in the transferred information with the counted board
number by the downstream machine in order to check whether the transferred information
matches between the machines. If these number do not match, the Production Info.Transfer
error will occur and the following processing needs to be done.
Chapter 10 Parameter Setting 10-16

① A machine pauses with an alarm.


② Adopt the stored board number in the transferred information to equalize the board
number at an error release. (equalize the counted board number with the stored board
number.)
③ For only a PCB which an error is detected on, the transferred information about bad mark
etc. will be discarded.

Comparison is made on a main clamp, neither the entrance nor the exit buffer.

Note: When “Release error state automatically” check box is selected, a machine will not pause with
an alarm, but proceed to step ② and ③ to continue the production.

Note: If a PCB has been replaced in the process of the production due to a conveyor transfer error, an
error may not be detected at checking for matching of the transferred information. There is
likelihood of producing defective boards with missing components.
Action:
① Set the parameters for the target machine(s).
To receive information from the pre-process machine, select the “Pre-process Info. Folder
(for network only)” check box.
To send information to the post-process machine, select the “Post-process Info. Folder (for
network only)” check box.
When not using this function, clear the check boxes.
② To specify the path to the target folders, click the search button(...) and select the target
folder (e.g. “¥¥Machine_name¥dat”)

Example: Connecting Three Machines and transferring the information through them

■ 1st machine: Select the “Post-process Info. Folder (for network only)” check box and specify
the path to the target folder.

Specify the same path.

■ 2nd machine: Select the “Pre-process Info. Folder (for network only)” and “Post-process Info.
Folder (for network only)” check boxes and specify the paths to the target folders.

Specify different path.

■ 3rd machine: Select the “Pre-process Info. Folder (for network only)” check box and specify
the path to the target folder.

Specify the same path.


Chapter 10 Parameter Setting 10-17

■ Connection between Machines


Action:
① Connecting Two Machines

No.1 No.2

Ethernet 100BASE-T
To connect the machines, use the LAN cable (cross cable)
② Connecting Three Machines

No.1 No.2 No.3

Ethernet 100BASE-T

HUB

To connect the machines, use the LAN cable (straight cables and HUB).

■ Cautions and Limitations


1. In the programs for each machine, the same step “No.”s and “X and Y coordinates” value
must be used for bad mark steps.
Example:

2. Target machines must be next to each other.


Example For a three-machine line, the information cannot be transferred from the 1st
machine to the 3rd machine. Make the setting to transfer the information in
the order of 1st -> 2nd -> 3rd machine..

3. In the following cases, an error may not be detected correctly. There is likelihood of
producing defective boards with missing components.
①When a PCB has been replaced in the process of the production due to a conveyor
transfer error or something.
②When picking up a PCB which has been carried out from the pre-process machine
manually, and putting another PCB into the current machine.
Chapter 10 Parameter Setting 10-18

③When picking up a PCB which has been carried out from the current machine manually,
and putting another PCB into the post-process machine.
Note: When a PCB needs to be taken out from the machine during the production, cancel the
production firstly. And when putting the PCB back, put it back to the same machine. (Do not
put the PCB into a pre-process or a post-process machine.)

4. Machines with a buffer function such as a buffer conveyor must not be used between the
target machines .

5. When using the Production Info.Transfer function, the board transfer signals and the
connections for network (LAN) should be both established between the machines as a
precondition. Specify the path to the folder shared on a network for “Pre-process Info.
Folder” and “Post-process Info. Folder”.

10-1-13 QR code(optional)
Menu: System >UserParameter>QR code
Illumination settings for a teach
camera to recognize the QR code.
Select whether to perform
Production Switching by Click B for For more details, refer to Chapter 5
QR code or not. Processing Type. Libraries Illumination Setting.

• Code size [mm]


• Code digit

QR code recognition test


Click <Image Test> button to perform the vision processing
of QR code, and the code is displayed in “Result”

X and Y coordinates of QR code from the machine origin.(stopper


position.) [mm] When Code coordinates (2) are enabled, if QR
code can not be recognized at the specified Code coordinates, the
recognition will be performed again at the Code coordinates (2).

When “Enables Production Switching by QR code” check box is selected, the QR code will be
recognized before the production and the program change will be preformed.
QR code recognition is a function to switch the program automatically. The program can be
switched by Production Info.Transfer as well. (more details below.)

① Auto-switch by QR code recognition


Recognize the QR code at the programmed Code coordinates and with
illumination settings in User Parameter with a PCB clamped on a main
clamp. Switch to the recognized program automatically.
② Auto-switch by Production Info.Transfer
Chapter 10 Parameter Setting 10-19

After loading a PCB, acquire the production information from the


pre-process machine and switch to the program contained there.

★ Maximum registry number : 5000 records

“Code digit” specifies the number of characters in QR code which can be used as a program
name. The characters in QR code are counted from the beginning of the string of the code.

Example: When 「abcdefg12345」 is contained in QR code and “10” is specified for “Code digit”, the
program name will be 「abcdefg123」.

Note: When a QR code includes such unacceptable characters as listed below in its string, only
*alphanumeric characters that are located right before the unacceptable character can be used
as a program name.
*letters or digits, or both

¥/:,;*?"<>|

★ Maximum : 38 characters long

Note: Click <Teach> button to teach the Code coordinates.


Chapter 10 Parameter Setting 10-20

■Code recognition
Recognized code can be checked on the screen by clicking <Image Test> button.

Good result image (OK)


Recognized code

The amount of deviation from


the programmed Code
coordinates.
(with reference to upper left)

X(+)

Y(+)

Bad result image (NG)


Chapter 10 Parameter Setting 10-21

■Switch the production program manually

When following error occurs at switching the program automatically, an operator can switch
the program manually.
A) QR code could not be recognized.
B) The production program corresponding to the recognized QR code did not exist.
C) The production program corresponding to the transferred program name did not exist.

When the error A) , B) or C) above occurs, Select Program window automatically appears to
select the process from three choices below.
① Highlight the program and click <Select > button to select it.
② Click <Continue> button to continue the current production.
③ Click <×> or <Close> button to close the Select Program window. If the QR code could
not be recognized resulting in an error, QR code will be recognized again at restarting the
production.

Executing any process from ① to ③ above recovers from an error. Push Start switch to restart
the production.

Note: An error occurred at switching the program cannot be released by Recovery switch.

Perform any process mentioned above.

Button to determine Button to continue


Select Program window the current program
the program

When closing the Select Program


window with either button, QR code
will be recognized again.

QR code recognition error

■Timing of transferring the information between machines


In upstream, the machine will output the information to the downstream machine when the
current machine starts unloading a PCB. In downstream, the machine will input the transferred
information *at the completion of loading the PCB.

*The completion of loading the PCB


• In the case of a machine with a buffer stopper : When a PCB detects the buffer sensor.
In the case of a machine without a buffer stopper : When a PCB is clamped on a main clamp.
Chapter 10 Parameter Setting 10-22

10-2 Traceability
Menu: System>SystemParameter>Parameter>MachineOrigin(ST)

Menu: System>UserParameter>PCB_CodeScan
Traceability is the function to create Placement History Record. This function manages each
board production with a unique ID called Board ID. Not only Board ID, Traceability function
creates and manages the following data; production date, used components, component lot
No., machine serial No., used feeder models, feeder IDs, nozzle IDs, and head Nos. used for
each production. If any mis-placements are found, you can trace which board and component
caused the mis-placement.

10-2-1 Preparations

■Board ID

A) For preparation, you need to assign a unique Board ID to each board and to print the
bar-coded Board ID on the board beforehand. The barcode (QR code or Data matrix)
printed on the board is used as Board ID. (PCB Code Scan option is needed.)
If you check [Enable Auto-Assignment of Board ID] box in
UserParameter>PCB_CodeScan, unique Board IDs will be created even if there are no
barcodes. In addition if the printed barcode is not a unique Board ID, this function creates
a new unique Board ID automatically.

B) Auto-assignment rules to create Board ID


When you select “Enable Auto-Assignment of Board ID”, Board ID is output in order
of ”Program name (or Board barcode)” + “date and time” + “the numbers of produced
board” (Produced boards after the machine is powered on.) as the example below.

Example
Program name; ABC_TEST, Production start time; 2007/09.21 15:10, 1000th produced
board after the machine is powered on.
ABC_TEST092115101000

■Lot No.

When you register components of Intelligent-feeder database to barcodes, you should


include Lot No. of component reel. You can extract and register Lot No. from the barcode
put on the component reel. In case of the barcode does not include Lot No. information,
re-print the barcode so to include Lot No. and put the new barcode on the component reel.
Chapter 10 Parameter Setting 10-23

10-2-2 Setup for PCB Code Scan

Note: To use a barcode as Board ID, input the location of barcode scan and the scan brightness.

Select whether to perform Click B for Illumination settings for a teach camera to
PCB Code Scan and/or Processing Type. scan the PCB Code.
Production Switching or not. For more details, refer to Chapter 5 Libraries –
“Illumination Settings” in the Operation Manual.

Board Code scan test


Click <Image Test> to perform the vision test of Board code,
and the code is displayed in “Result”

X and Y coordinates of PCB Code from the machine origin.


Select Code type. (stopper position.) [mm] If PCB Code scan fails when Code
coordinates (X2,Y2) are enabled, the scan will be performed
again at the Code coordinates (X2,Y2).
Always the first scan is performed at the standard (left input)
- Board Code size [mm] “Code coordinates”.
- Code digit

After loading and clamping a PCB, PCB Code Scan is performed with the Code coordinates
and the lllumination input in User Parameter.
When “PCB Code Scan + Production Switching” box is selected, PCB Code is scanned before
starting the production and production will switch to the scanned program automatically.
★ Maximum registry number : 5000 records
“Code digit” is the number of characters to be used as a program name quoted from PCB code.
The numbers of characters are counted from the beginning of the PCB code string.

Example: When “abcdefg12345” is contained in PCB code and “10” is specified for “Code digit”, the
program name will be “abcdefg123”.

Note: When a PCB code includes such unacceptable characters as listed below in its string, only
alphanumeric characters (letters or digits, or both) that are located right before the
unacceptable character is used as a program name.
¥/:,;*?"<>|
★Maximum : 38 characters long

Note: Click <Teach> to input Code coordinates by teaching.


Note: You can check the result of PCB code scan in Image Test window. See “Screen to check the
code recognition” in the former page.
Chapter 10 Parameter Setting 10-24

10-2-3 Placement History File


Note: Placement History Files are saved in the specified folder selected in “Location of History Data”
in iOSII. They are sorted out in folders each day as below.

Board ID

20070921

ABC_TEST092115101000.csv
ABC_TEST092115101001.csv
ABC_TEST092115101002.csv
ABC_TEST092115101003.csv
ABC_TEST092115101004.csv
ABC_TEST092115101005.csv

20070922

Placement History files are saved in ID.csv format.

In csv files, data is output in the following order.


= Board ID, Date, Component code, Lot No., Machine Serial No., Feeder ID, Feeder serial No.,
Nozzle ID, Head No.

Example
ABC_TEST092115101000,2007/09/21 15:10:05,ERJ2GE0R00,A00243,A99999,61,522,32,201
ABC_TEST092115101000,2007/09/21 15:10:05,ERJ2GE0R00,A00243,A99999,61,522,32,202
ABC_TEST092115101000,2007/09/21 15:10:05,ERJ2GE0R00,A00243,A99999,61,522,32,203
ABC_TEST092115101000,2007/09/21 15:10:05,ERJ2GE0R00,A00243,A99999,61,522,32,204

10-2-4 Board ID for Multi-board


Machine can recognize one Board ID per one board. Even all small boards of Multi-panel have
Board ID, only one Board ID is acquired.
Only on the following conditions, all small boards of multi-panel can get Placement History.

Sample of Placement Data


Step No.1 Start of repeat placement
Step No.2 Placement step
Step No.3 Placement step
Step No.4 Placement step
Step No.5 End of repeat placement
Step No.6 Repeat offset - A000002
Step No.7 Repeat offset - A000003
Step No.8 Repeat offset - A000004
Chapter 10 Parameter Setting 10-25

A000004 A000003

4th 3rd

A000002 A000001

2nd 1st

① There is only one repeat block and no other placement steps out the repeat
block.
② The last 6 digits of Board ID are numbers.
③ Board ID numbers of small boards increase in order from the right to left of the
lowest boards and right to left of the next upper boards.
④ Repeat placement order of small boards is the same to the Board ID as 7-3.
⑤ Repeat placement flag is enabled in ¥your application path¥iOSENVCF.ini file
as below.
[Trace]
Block_On=1 *1=Enable, 0=Disable
Chapter 10 Parameter Setting 10-26

10-3 Intelligent Feeder (optional)

Menu: System>UserParameter>IntelligentFeeder
To enable the Intelligent Feeder, check [Enable the Intelligent Feeder Function] box and other
features in this window.

Procedures:
① To enable the Intelligent Feeder, check [Enable the Intelligent Feeder Function” box and
save the User Parameter. And the Client communication software will starts up.
To disable the Intelligent Feeder function, uncheck the box.
(All the feeder LED indicators will be invalid.)

② Make sure the features of the Intelligent Feeder in this window.


■Intelligent Function (Select one of three features.)
Not Use: Disables the Intelligent feeder function. However, the LEDs on the
intelligent feeders (out of component / component shortage / feeder error)
will remain enabled.)
Setup Verifier: Enables the feeder set mistake prevention function.
Relocatable: Enables the relocatable function (optional).
■Dispense with reregistration when removed
Re-registration to the database is not necessary even if a feeder is removed from the feeder bank.
→If this checkbox is not checked, registration to the database needs to be performed again if a
feeder is removed from the feeder bank.
■Start production despite mislocation
If the feeder set mistake prevention function is enabled, production can still be started even if a
feeder is mis-located (feeder LED is lit in pink or flashing).
■Allow to use intelligent feeder and also others
Check this checkbox when using PS and F1 feeders (non-intelligent type) with the intelligent
feeder.
■Distinguish Component exhaustion and Pickup error
If this checkbox is checked, the feeder status and LED display will also be distinguished between
“out of component” and “pickup NG”.
Chapter 10 Parameter Setting 10-27

→At this moment, this checkbox must not be checked.

■Enable ID Registration
If this checkbox is checked, the barcode input software (InputBar) will be started automatically
when the MMI is started.
→Even if the barcode input software is not started automatically, it can still be started manually
by right-clicking the client software icon on the taskbar and clicking [Execute InputBar].
■Enable Server
If this checkbox is checked, the server software will be started on the mounter when the MMI is
started.
To run the server on another PC on the network, this checkbox must be unchecked.
■Feeder OFF recognition time
Set the time to be expired before judgment that the feeder has been removed is made.
(Default: 2,000ms)
■Server connection time
Set the time to be expired before judgment that a communication error with the server has
occurred is made. (Default: 5,000ms)
■Simple check LED ON time
Set the time during which the feeder LED is to be lit when a simplified check is performed.
(Default: 2,000ms)
(→Currently, the time set here is used as the time during which the feeder LED is to be lit when
the station is checked.)

③ If any settings are changed, click <Save> button to save the changes.
Chapter 10 Parameter Setting 10-28

10-4 System Parameter

To enable the changes you made to the system parameters, turn off the system
once and then restart the system.

10-4-1 Machine Origin (ST)


Menu: System>SystemParameter>Parameter>MachineOrigin(ST)
Allows you to adjust coordinates of each feeder station (ST-F/R).
To perform teach entry, click in the line of the desired station and click <Teach> button to
access the Teach dialog box. When the teach entry has been done, return to the Machine Origin
(ST) dialog box and click <Save> button.

10-4-2 Head/ANC Setup


Menu: System>SystemParameter>Parameter>Head/ANC Setup

Head tab
To assign particular head not to be used, uncheck the head. Then click <Save> button.

ANC tab
To assign particular nozzle station not to be used, enter “0” to [Nozzle] field of the
corresponding nozzle station number (ANC No.) Then click <Save> button.
Chapter 10 Parameter Setting 10-29

10-4-3 Adjusting Cameras


This parameter has been adjusted prior to shipment. Use the default setting normally.
Menu: System>SystemParameter>Tool>AdjustCamera
Window:
Resolution: Measures the resolution of the specified camera.
Tilt: Measures tilt of the specified camera.
Focus: Measures focus of the specified camera.
Brightness: Measures brightness of the specified camera.
Nozzle Center: Measures nozzle rotational center of the specified head.

10-4-4 Backing Up & Restoring Data


Menu: System>SystemParameter>Tool>Backup&Restore
Allows for backing up and restoring system parameters.

Backing Up System Parameters


Action:
① Insert a floppy disk into the floppy disk drive of the mounter.
② Click <Back Up> button.
③ “Execute?” appears. Click <Yes> button to execute.
④ When the backup process is completed, “System parameters have been backed up.”
appears. Click <OK> button to finish.
Chapter 10 Parameter Setting 10-30

Restoring System Parameters


Action:
① Insert the floppy disk containing the system parameters into the floppy disk drive of the
mounter.
② Click <Restore> button.
③ “Execute?” appears. Click <Yes> button to execute.
③ When the restoring process is completed, “System parameters have been restored.”
appears. Click <OK> button to finish.
Note: Do not change the default backup directory (A:).
Chapter 11 Management Data 11-1

Chapter 11
Management Data

Viewing the performance record


Viewing the log data
Viewing the operator record
Registering the access-level configurations
Chapter 11 Management Data 11-2

11-1 Performance Record

This section explains how to display and output performance records


Menu: Management > Performance Record
■Per Job
●Accumulating performance records per job
Action:
① Select Management > Performance Record.
② Select “Per Job”.
③ Select “mm” or “mil” for the unit.
④ Click <OK> button.
⑤ By referring to “Program Name”, “Start Date” and “End Date”, select the desired file.
Two or more files can be selected by clicking them while holding down the [Ctrl] key.
In addition, by clicking one file and then another while holding down the [Shift] key, all
the files between and including those two files can be selected collectively.

Note: To select two or more files, they must belong to the same production program. (It is not
possible to select files belonging to different production programs.)

⑥ Click <OK> button to display performance records. (If two or more files have been
selected, the sum of the performance records of those files will be displayed.)
⑦ If you want to output the records in text format, insert a floppy disk and select File > Text
Convert.
⑧ Select 3.5-inch FD (A:) and click <OK> button.
⑨ A message “Convert to text data?” appears, so click <Yes>. The records will be output in
text format to the floppy disk.

●Total Time items


Run Time, Job Start Time, Job End Time, Actual Run Time, Pause Time, Error Stop Time, Pause
in Component Lack (Feeder), Pause in Retry Count Limit (Feeder), Pause in Component Lack
(Tray), Pause in Retry Count Limit (Tray), Retry Time, PCB Transfer Wait (for Pre-process),
PCB Transfer Wait (for PCB arrival), PCB Transfer Wait (for Post-process), PCB Transfer Wait
(for PCB exit), ANC Exchange Time, Fiducial ProcessTime, Bad Mark Process Time, MX Feeder
Pallet Exchange Time, MX Feeder Tray Replenish Time, Feeder Replenish Time

●Performance items
(Total/Per Head/Per Tray/Per Tray Pickup/Per Station/Per Nozzle/Per Feeder / Per
Component / Per Image)
Produced PCB Count, Pause Count, Fiducial Process Count, Fiducial Mis-recognition Count,
Fiducial Coordinates Adjust Count, Bad Mark Process Count, Bad Mark Mis-Recognition
Count, Bad Mark Process Skip Count, Bad Mark Process Un-skip Count, Pickup Count, Place
Count, Pickup Error Count, Vision Process Error Count, Coplanarity Error Count, Interrupt
Count, Reject Count, Reuse Count, Choke Count, ANC Exchange Count (off), ANC Exchange
Count (on), Pickup Error Count in Comp Exhaustion, Feeder Link Count, PCB Skip Count,
Pickup Error / Pickup Count (%), Vision Error / Pickup Count (%)
Chapter 11 Management Data 11-3

●Error items
EMG-stop SW Activate Count, EMG-stop Error Count, Conveyor Error Count, Feeder Drive
Error Count, Feeder Mis-set Error Count, ANC Error Count, Coplanarity Error Count, MX20
Error Count, MX40 Error Count, Pickup Error Count, Vision Process Error Count, Choke Count,
MXR Error Count

●Compensation Data (Station / Tray / Tray Pickup / Component / Image / Fiducial)


X Max, X Min, X Average, Y Max, Y Min, Y Average, T Max, T Min, T Average, Negative Press.
Avg., Stretch Max, Srretch Min, Stretch Average
Note: In the case of performance records that have been accumulated using MMI software Ver3.20 or
older, “Performance items” and “Compensation Data” are not displayed per component.

■Arbitrary
Unlike “Per Job”, “Arbitrary” accumulates performance records at arbitrary timings.
(The same items as “Per Job” are accumulated.)
Use of this function enables easy accumulation of performance records for unit period or for
one week etc.
The following two methods of accumulating performance records at the arbitrary timings are
available.
●Resetting performance record
Management > Reset Performance Record
Performance records will be accumulated, starting from when “Reset Performance Record” is
executed until it is executed again.

●Resetting PCB count


System > User Parameter > Others
Performance records will be accumulated, starting from when the PCB count is reset until it is
reset again. To use this function, “Reset performance record simultaneously with the resetting
of PCB count” (User Parameter > Others) must be enabled.
For details, refer to “Chapter 9, Performance Record”.
Chapter 11 Management Data 11-4

●Accumulating performance records by arbitrary setting


Action:
① Select Management > Performance Record.
② Select “Arbitrary”.
③ Select “mm” or “mil” for the unit.
④ Click <OK> button.
⑤ By referring to “Program Name”, “Start Date” and “End Date”, select the desired file.
(Two or more files can be selected.)
⑥ Click <OK> button to display performance records. (If two or more files have been
selected, the sum of the performance records of those files will be displayed.)
⑦ If you want to output the records in text format, insert a floppy disk and select File > Text
Convert.
⑧ Select 3.5-inch FD (A:) and click <OK> button.
⑨ A message “Convert to text data?” appears, so click <Yes>. The records will be output in
text format to the floppy disk.
Operation CANCEL Operation
start start

PCB 1 PCB 2 PCB n PCB n+1


・・・ ・・・

Performance record is accumulated per job.

Performance record is accumulated Performance record is accumulated at


in real-time per PCB. arbitrary timings.

■Total

Used to display the total of accumulated performance records. The following two kinds of total
performance records are available: Total and User.
“Total Record” displays the total records accumulated from mounter shipment up to now.
(Cannot be reset)
“User Record” displays the total records accumulated from a reset made by the user up to now.
(Can be reset any time)
Action:
① Select Management > Performance Record.
② Select “Total”.
③ Select “mm” or “mil” for the unit.
④ Click <OK> button to display performance records.
⑤ Select Edit > Load, then select from “Total Record” or “User Record”.
⑥ If you want to output the records in text format, insert a floppy disk and select File > Text
Convert.
⑦ Select 3.5-inch FD (A:) and click <OK> button.
⑧ A message “Convert to text data?” appears, so click <Yes>. The records will be output in
text format to the floppy disk.
Chapter 11 Management Data 11-5

●Total Time items


Run Time Production start time - Production end time
(Total time in run mode)
Actual Run Time Run Time - Pause Time - Error Stop Time - PCB
Transfer Wait (for PCB Arrival)
Pause Time Temporary stopped time
Fiducial Process Time XY travel to mark ~ Recognition completed
Bad Mark Process Time XY travel to mark ~ Recognition completed
MX Feeder Pallet Exchange Time Operating time of shuttle, elevator etc.
MX Feeder Tray Replenish Time Travel over pickup point completed ~ Feeding form
tray completed (head wait time)
PCB Transfer Wait (for Pre-process) Pre-process ready ON ~ Entrance sensor ON
PCB Transfer Wait (for PCB Arrival) Travel to head wait point completed ~ PCB clamp
PCB Transfer Wait (for Post-process) Production one PCB completed (arrival at exit buffer)
~ unloading start
PCB Transfer Wait (for PCB exit) PCB unclamp ~ Loading completed
Retry Time Reject start ~ Re-pickup ~ Vision recognition OK
Idle Time Power-ON Time - Run Time
Pause in Component Lack (Feeder) Pause time due to output of component
(remain counter = 0)
Pause in Retry Count Limit (Feeder) Pause time due to retry over
(pickup/vision-process error)
Pause in Component Lack (Tray) Pause time due to output of component
(remain counter = 0)
Pause in Retry Count Limit (Tray) Pause time due to retry over
(pickup/vision-process error)
ANC Exchange Time XY travel to ANC ~ Removal completed (Z up)
Power-ON Time MMI operation time = MMI start to MMI end
Feeder Replenish Time Travel over pickup point completed ~ Feeding from
feeder completed (head wait time)
Error Stop Time Pause time due to errors (excluding emergency stop)
Power-ON Count Number of times MMI is started
Power-OFF Count Number of times MMI is exited

●Performance items
Same as “Per Job” and “Arbitrary”.

●Error items
Same as “Per Job” and “Arbitrary”.
Chapter 11 Management Data 11-6

11-2 Log

Menu: Management>Log
The system records the events that occurred during the machine operation. Such a record is
called log and can be used for keeping track of when and what event occurred during the
machine operation.

To View the Log Data


Action:
① Click Management>Log. The Log dialog box appears.
② Click File>Open. The Open File dialog box appears. Select a file.
③ Click <OK> button. In a while, the file opens to display log data.
④ To output the log data as text data, insert a floppy disk into the floppy disk drive and click
File>TextConvert.
⑤ The Browse for Folder dialog box appears. Select the floppy disk drive (3.5 Floppy A:) and
click <OK> button.
⑥ The confirmation message “Convert to text data?” appears. Click <Yes> button to save the
output text data in the floppy disk.

Window: The following lists the items of the log data.

[Message Log] tab


Error No., Date, Contents, Task No., Library Error No., Unit, Issue of Control Message, Serial
No.

[Machine Log] tab


[Mounter] tab Date, Program Step, Offset, Component Code, Head, Nozzle No., Feed
Style, Unit #, Fiducial #, Vis-error Code, Vacuum Threshold, Status,
Place(prgm) (X,Y,Z,T), VISION Offset (after Camera tilt) (X,Y,Z,T), Actual
Place(finally determined)(X,Y,Z,T), Place Feedback (X,Y,Z,T), Pickup
(prgm) (X,Y,Z,T), Pickup Offset (X,Y,T), Pickup (X,Y,Z,T), Pickup
Feedback (X,Y,Z,T),Feeder ID, Feeder kind, Vision Offset (before Camera
tilt correction)(X,Y,Z,T), Corrected Place (with Fiducial correction)
(X,Y,Z,T), AMF Correction(X,Y,T), MAP Correction(X,Y,T), Control Mode
[Fiducial] tab Date, Program Step, Offset, Mark Code, Point Count, Fiducial #, Status
(1,2), Mark (X1,Y1,X2,Y2), Feedback (X1,Y1,X2,Y2), Mark Offset (after
Camera tilt correction)(X,Y,PCB Offset (T), Vis-error Code (1,2), PCB
Stretch, Mark Offset (before Camera tilt correction)(X,Y), MAP
Correction(X,Y),

[Message Count (Non-resettable)] tab


Shows how often each message has been issued. This data cannot be reset.

[Message Count (Resettable)] tab


Shows how often each message has been issued. This data can be reset by clicking
Edit>Initialize.
Chapter 11 Management Data 11-7

11-3 Operator Management

Menu: Management>Operator Management


This menu allows you to register access level and password of various operator levels.

Window:
Title: Up to 30 titles can be defined.
Password: Under [System Password], enter the system password. The
registered password for each title appears. You can change them as
necessary.
Placement Data: Specify whether or not to allow for modifying the placement data.
Pickup Data: Specify whether or not to allow for modifying the pickup data.
Component/Image/Feeder/Pallet/Packaging/Tray/Nozzle (Library):
Specify whether or not to allow for modifying each library data.
User Parameter: Specify whether or not to allow for modifying the user parameter.
System Parameter: Specify whether or not to allow for modifying the system
parameter.
Action:
① Under [System Password], enter the system password.
② Click [Decide] button. Registered passwords appear in [Password] fields.
③ Enter or change titles and/or passwords.
④ Right-click to show the combo-box for [Placement Data], [Pickup Data], [Library], [User
Parameter] and [System Parameter]. Select “Allowed” or “Forbidden” for each item.
⑤ Click <Save> button to save the data.
Note: The system password is provided to only the authorized administrators.
Chapter 11 Management Data 11-8

11-4 Plan

Menu: Management>Plan
Specify a program to be selected for production. The specified program is automatically loaded
when [Run] window opens.
Note: You can execute a job run without registering programs here. In this case, when opening the
Run dialog box, the Select Program dialog box appears prompting you to select a program.

Action:
① Click [Program] field of the first line.
② Right-click the mouse or click <Open> button (the second button from the left).
③ The Select Program dialog box appears. Select a program and click <Select> button.
④ The selected program appears in the first line.
⑤ Click a [Order] column to turn it into “1”.
Note: You can list up several programs on the Plan window, but it is only one program which can has
“1” in the [Order] column. This function is used if you produce the same program every time.
Chapter 11 Management Data 11-9

11-5 Operator Record

11-5-1 Registering the Operator


Menu: Management>Register Operator
This menu allows you to register or delete an operator.

Registering a New Operator


Action:
① Click <New> button to open the Operator Entry/Deletion dialog box.
② Under [Operator Entry], type in the operator name (up to 20 characters).
③ Click <Register> button.
④ Click <X> button to return to the previous screen.

Deleting an Operator
Action:
① Click <New> button to open the Operator Entry/Deletion dialog box.
② Under [Operator Deletion], select an operator name to delete.
③ Click <Delete> button.
④ Click <X> button to return to the previous screen.

11-5-2 Operator Record


This menu allows you to refer to the operator in charge for a certain manufacturing session.
Menu: Management>OperatorRecord

Window:
Operator: Name of the operator
Start Date: Date and time to start the manufacturing session
End Date: Date and time to end the manufacturing session
Chapter 11 Management Data 11-10

---Blank page---
Chapter 12 Utility Menus 12-1

Chapter 12
Utility Menus

Backing up and restoring various data


Converting machine data to text data
Formatting a floppy disk
Upgrading the system
Troubleshooting (at Mounter-Start)
Chapter 12 Utility Menus 12-2

12-1 Backup & Restore

Note: For information on backing up and restoring system parameters, see Chapter 9, System
Parameter.

12-1-1 Backing Up Data


Menu: Tool>Backup&Restore>Program BackUp
This menu allows you to copy user data (user parameters, library data, programs, and others)
from the mounter hard disk to a floppy disk.

Action:
① Insert a floppy disk into the floppy disk drive of the mounter.
② Select data to back up.
③ Click <Execute> button.

Changing the Backup Directory


Action:
① Click Directory>BackupDirectory to open the Select Backup Directory dialog box.
② Click <…> button to open the Browse for Folder dialog box. Select “3½Floppy(A:)” or a
folder in Network Neighborhood and click <OK> button.
Note: The hard disk drive of the mounter cannot be specified as the backup directory.
Chapter 12 Utility Menus 12-3

Clear List box


If you do right-click on the list box of the Backup Dir., the <Clear the list box> button will
appear. Click the button if you want to clear the history of the list box.

12-1-2 Restoring Data


Menu: Tool>Backup&Restore>Restore

This menu allows you to restore data saved on the floppy disk to the mounter hard disk.

Action:
① Insert the floppy disk with the saved data into the floppy disk drive.
② Select data to restore.
③ Click <Execute> button.
Chapter 12 Utility Menus 12-4

12-1-3 Converting Machine Data to Text Data


Menu: Tool>Backup&Restore>TextConvert
This menu allows you to convert data on the mounter hard disk into a CSV file. The converted
file can be edited via general-purpose spreadsheet program.

12-1-4 Import
Menu: Tool>Backup&Restore>Import
Program data can be imported from the CSV format data.
Chapter 12 Utility Menus 12-5

12-1-5 Formatting a Floppy Disk


Menu: Tool>Backup&Restore>Format
This menu allows you to format a floppy disk.
Action:
① Insert a floppy disk you want to format into the floppy disk drive.
② Under [Capacity], select “1.44MB” or “720KB”.
③ Under [Format Options], select a option/options as necessary.
④ Click <Start> button.
Chapter 12 Utility Menus 12-6

12-2 Automatic Backup

12-2-1 Backing Up Data Automatically


Menu: System>AutomaticBackup>AutomaticBackup
Automatic backup of data is performed on shutting down the system. Specify options for
performing the automatic backup.

Window:
Enables Automatic Backup at system shut down:
System backup is performed at system shut down automatically
after the interval specified at “Backup Interval”.
If machine power is off before reaching at the specified interval,
backup would not be performed.
Enables Automatic Backup at specified time:
Performs Automatic backup at the specified time on each day.
What to Backup: Check item(s) to back up.
Backup Date: Date when the last backup was performed.
Backup Interval: Specify the time interval between executions of automatic backup
operation. Automatic backup will not be performed when you shut
down the system before the specified interval has passed since the
last backup.
<Execute> button: Clicking <Execute> button executes the backup operation now.
Note: Automatic Backup will make backup files in the hard disk of the mounter. Yet, as a precaution
against possible hard disk failure, we recommend you to back up your crucial data to floppy
disks.
Chapter 12 Utility Menus 12-7

12-2-2 Restoring Data


Menu: System>AutomaticBackup>Restore
This menu allows you to restore data backed up by the automatic backup.

Window:
What to Restore: Check item(s) to restore.
Backup Date: Date when the last backup was performed.
<Execute> button: Clicking <Execute> button executes the restore operation.
Chapter 12 Utility Menus 12-8

12-3 System Backup

Menu: System>SystemBackup
This menu allows you to back up the system programs (the MMI program and the controller
program) to the backup storage directory on the hard disk.

Window:
Fast: Only the updated programs from the last time backup are taken as
backup.
Full: All system programs are taken as backup.
<Back Up> button: Starts the backup operation.

12-4 System Restore

Menu: System>SystemRestore
This menu allows you to restore the system programs (the MMI program and the controller
program) that have been backed up.

Window:
<Restore> button: Starts the restore operation.
Note: In case the menu of System>SystemRestore is not available due to a system crash, see Chapter 9,
In Case the System Stops Responding for workaround procedure.
Chapter 12 Utility Menus 12-9

12-5 Upgrading the Vision Processing System

The vision processing system can be upgraded by replacing the IC card of the vision processing
system.
Action:
① Turn off the mounter.
② Remove the front cover of the lower part of the mounter.
③ Remove the IC card from the TVS4 board.
④ Install new IC card to the TVS4 board.
⑤ Install the front cover which has been removed in ②.
⑥ Turn on the mounter.
⑦ Click System>UserParameter>Others. Click <Update Version> button to update the
version No. of the system.
Note: When there are two IC card connectors (upper/lower), use the lower one.
Chapter 12 Utility Menus 12-10

12-6 Troubleshooting (at Mounter-Start)

If the machine system does not start properly when the power is turned ON (e.g. the screen
turns blue when Windows starts up, and then Windows freezes), the following
troubleshooting may be helpful.

■Restoring the Hardware Profile/Configuration


Use the Windows hardware setting recovery function to restore the hardware settings that
were in effect previously when Windows started correctly.
Action:
Turn ON the [MAIN] switch to turn ON the power to the mounter.
When “BIOS Setting Screen ”Award....”” appears in the screen, press the [Space] key.
“Hardware Profile/Configuration Recovery Menu” appears, so press the [L] key and then
[Enter] key.
If recovery is successful, Windows will start automatically, followed by MMI.

■System Restore / Hard Disk Recovery


If the machine system does not start properly even if the above “Hardware
Profile/Configuration Recovery” is executed, restore the system or recover the hard disk to
restore the machine system.
For details on the system restoring method, refer to “Backing Up & Restoring Data” in Chapter
10.
For details on the hard disk recovery method, refer to “Hard Disk Recovery Procedure”
Chapter 13 Intelligent Feeder 13-1

Chapter 13
Intelligent Feeder

■ Set Up
■ Creating a Database
■ Running the Server Software
■ Data
Chapter 13 Intelligent Feeder 13-2

13-1 Set Up

13-1-1 Software Structure


The intelligent feeder system consists of the following software programs.
Software Storage Location
1 Server communication software (CompSvr.exe) Mounter or PC
2 Client communication software (CompClient.exe) Mounter
3 Barcode input software (InputBar.exe) Mounter or PC
4 Barcode print software (BarcodePrint.exe) PC

■Server Communication Software (hereafter called server software)


This software controls the ID database. Feeder-correlated component barcodes, initial
component count, component count, and feeder status information are registered to this ID
database. It can be run on only one mounter or PC via network.

■Client Communication Software (hereafter called client software)


The client software sends requests from the mounter software to the server software.
Normally, the operator does not need to be aware of this. If the intelligent feeder function is
enabled on the mounter, this software starts up automatically when MMI starts up. This
software communicates with the server software by TCP/IP.

■Barcode Input Software


This software sends barcodes that are scanned by the operator using a barcode reader to the
server software. Barcode types that can be scanned are feeder ID barcodes, component
barcodes and command barcodes. This software communicates with the server software by
TCP/IP.

■Barcode Print Software


This software prints component barcodes and affixes them to component reels. Feeder ID and
command barcodes can also be printed. Data files created by the server software are read and
output in barcode format.

ID database conceptual diagram

Feeder ID barcode
Component library
Component barcode

Feeder ID

ID database
Feeder barcode Component barcode Component code Other
F2-825-000045A 3N1CR1/8-100JV 1005C ...
F2-84-0000001A 341B5K0863 1005R ...
Chapter 13 Intelligent Feeder 13-3

13-1-2 Operation Environment


The intelligent feeder function can be used in the following three kinds of environment, and
the features of each environment are given below.

■Using the Intelligent Function by One Mounter Only

Barcode reader
Server software

Client software Barcode input


software

Mounter software

Mounter

Features
・It is not necessary to build a local area network (LAN).
・The intelligent feeder function can be used right away without making network settings.
・Databases can be created on the mounter only.
2、

■Using the Intelligent Function by One Mounter and One PC

Server software

Client software

Mounter software Barcode input software Barcode input software

Mounter PC

LAN

Features
・Databases can be created in any location (mounter, PC) since registration can be
performed on the PC.
Chapter 13 Intelligent Feeder 13-4

■Using the Intelligent Function by Two or More Mounters

PC
LAN LAN
Server software

Barcode input
Client software Client software
software

Barcode input Barcode input PC


software software

Mounter Mounter

Features
・Unified management of feeder ID and component barcodes registered by two or more
mounters is possible.
・Unified database management allows you to use feeder ID and component barcodes
for two or more mounters once they are registered.
・Databases can be created in any location (mounter, PC) since registration can be
performed on the PC.
Chapter 13 Intelligent Feeder 13-5

13-1-3 Installing the Software Programs


This section explains how to install the intelligent feeder related software programs (i.e. server
software, barcode input software, barcode print software) to a PC.
Action:
① Display the contents of the recovery CD-ROM (supplied with the mounter) using
Windows Explorer etc.
② Open the “Intelligent” folder on the CD-ROM and double-click “Setup.exe” to start the
installer.

③ The following language selection window appears, so select the desired language and
then click <Next> button.

④ Intelligent Tool Setup window appears, so check the contents and then click <Next>
button.
⑤ [Choose Destination Location] window appears. If you want to install the software in a
folder other than the default folder, specify the desired folder. (Default folder is
“C:¥Program Files¥Intelligent”.) After the folder is specified, click <Next> button.
⑥ The Setup Type window appears, so select the desired setup method.
→To install all the software programs, select [Complete] and click <Next> button.
→To install only the necessary software programs, select “Custom” and click <Next>
button. The Select Components window appears, so check the checkboxes for the software
programs to be installed, and then click <Next> button.
Chapter 13 Intelligent Feeder 13-6

Component selection
window for custom
installation

⑦ The [Ready to Install the Program window] appears, so click <Install> button to start
installation.
⑧ When installation is complete, the [Install Shield Wizard Complete] window appears, so
click <Finish> button to finish installation.

Note: To uninstall the intelligent feeder related software programs (i.e. server software, barcode
input software, barcode print software), select Control Panel > Add or Remove Programs >
Intelligent Tool > and then click [Change/Remove] button.
Chapter 13 Intelligent Feeder 13-7

13-1-4 Connecting to a Server


Communication with the server software, client software and barcode input software is carried
out using TCP/IP. An explanation of how to make necessary communication settings is given
below.

■Setting the Server Software


From the taskbar of the server software window, select Option > Setup. (Or right-click on the
server software icon and select “Setup”.)
The following dialog box appears, so enter a port No. in [Port No.]. (The default is “1400”.
Normally, the default setting is satisfactory, so do not change it.)

■Setting the Barcode Input Software and Client Communication Software


From the taskbar of the barcode input software window, select Option > Setup Server. (Or
right-click on the barcode input software icon on the Windows task bar and select “Setup
Server”.)

For the client software, right-click on the client software icon on the Windows task bar and
select “Setup Server”.)

The Setup Server window appears, so specify the server’s IP address and port No. By default,
“127.0.0.1” is set for the IP address, and “1400” for the port No. Make sure that the same port
No. as that for the server software is set. When using the server software by only one mounter,
the default IP address and port No. can be left unchanged. When using the server software for
another mounter or PC, the IP address by which the server software is run must be specified.
Chapter 13 Intelligent Feeder 13-8

After the IP address and port No. are been set, select Option > Connect in the case of barcode
input software. (Or right-click on the barcode input software icon on the Windows task bar and
select “Connect”.)

(For the client software, right-click on the client software icon on the Windows task bar and
select “Connect”.)
When connection is established, the background color for the icon will change to blue.

If connection has failed, check whether the settings are correct.


Once the settings are made, an attempt to establish connection with the server software will be
made automatically when the software starts up next time.

Note: To run the server software on a PC, make sure that the server software is started up before the
client software and barcode input software.
Chapter 13 Intelligent Feeder 13-9

13-2 Creating a Database

13-2-1 Registering Component Barcodes


This section explains how to register the link information between component codes and
component barcodes to the server’s database.
Up to 12,000 component barcodes can be registered.

Action:
① Scan the "Show / Hide" barcode to display the ID registration window (InputBar). (Note
1)
② Scan the component’s barcode.
The scanned barcode will be input in [Comp. Barcode] and [Comp. Code] under [Comp.
Information]. (Note 2)
If you want to link the component code with an existing component code registered to the
mounter’s component library, select that component code from the Comp. Code combo
box. (Note 3)
③ The default initial component count that has been set will be entered in [Initial Count]. If
necessary, enter a desired component count. (Note 4)
If the “EIAJ” checkbox is checked (the checkbox is displayed when Option > Setup is
selected), the component count written on the barcode will be set in [Initial Count] when
an EIAJ-compatible barcode is scanned.
Example: 3N1CR1/8-100JV 5000
In this example, “5000” given after a space will be set as the initial component value.
In fact, the first and next spaces are searched and the number found between the spaces
will be set.
④ The lot No. that has been set for Lot No. in the Setup window will be entered in [Lot No.].
If necessary, enter a desired lot No. (Note 5)
⑤ From the Target Comp. Record combo box, select the component that is similar to the one
you are going to register. By setting the target component record, a component code can
be created and exported to the component library via a floppy disk. For details, refer to
“Registering to the Component Library”. (Note 6)
This setting is not necessary if the link with an existing component code has been set at
step ②. (Note 7)
⑥ Scan the "Register Comp." barcode.
⑦ “OK” will be displayed if registration is completed successfully. If registration has failed,
read the displayed message, make necessary corrections, and then scan the "Register
Comp." barcode again.
⑧ Scan the "Show / Hide" barcode to close the ID registration window (InputBar).
Chapter 13 Intelligent Feeder 13-10

Note 1: Before registering component barcodes, make sure that InputBar is already
running.
Note 2: The scanned barcode can be entered automatically only if the “Set Comp. Name
from Barcode” checkbox is checked (the checkbox is displayed when Option >
Setup is selected).
Note 3: The feeder types displayed in the combo box are obtained from the library file
present in the location set in [Library path] in the Setup window (that appears
when Option > Setup is selected).
→When referring to a mounter’s library from the server PC, the path for the
library to be referred to can be specified. For details of setting method, refer
to “Setup”.
Note 4: The initial component count can be set in [Default Comp. Initial count] in the
Setup window (that appears when Option >Setup is selected).
Note 5: The Lot No. is extracted from the barcode affixed on a component reel.
For more details, refer to “Component Barcode Extraction Method” hereafter.
Note 6: This setting can be made only if the “Create Comp. Library when registering
Comp. Barcode” checkbox is checked (the checkbox is displayed when Option
> Setup is selected).
Note 7: The “Create Comp. Library when registering Comp. Barcode” checkbox must be
unchecked (the checkbox is displayed when Option > Setup is selected).
Chapter 13 Intelligent Feeder 13-11

13-2-2 Component Barcode Extraction Method


Use this function when you want to extract a part of the barcode.

■Barcode Extraction Method


Specify the item to extract.

■Between Keyword
Use this when there are common characters in barcodes.

■Keyword
Specify the separating characters in the barcodes for extraction.

■Position
Specify the position of the key word starting from “0”.

Example:
1111/2222/3333

When acquiring “1111” as a component barcode, select “Comp. Barcode” for [Barcode
Extraction Method], “/” for [Keyword], and “0” for [Position].
1111 / 2222 / 3333
0 1 2
When acquiring “3333” as a component barcode, select “Lot No.” for [Barcode Extraction
Method], “/” for [Keyword], and “2” for [Position].
1111 / 2222 / 3333
0 1 2
When acquiring “1111/2222” as a component barcode, select “Initial Count” for [Barcode
Extraction Method, “/3” for [Keyword], and “0” for [Position].

1111/2222 /3 333
0 1
Chapter 13 Intelligent Feeder 13-12

13-2-3 Registering to the Database


When a component barcode has been registered, the link information between the registered
component barcode and feeder barcode (ID) must be registered to the server’s database. Up to
5,000 feeder barcodes (ID) can be registered.
Action:
① Set a component in the feeder.
② Scan the "Show / Hide" barcode to display the ID registration window (InputBar).
③ Scan the feeder’s barcode.
④ Scan the component’s barcode.
⑤ Scan the "Register Database" barcode.
⑥ “OK” will be displayed if registration is completed successfully. If registration has failed,
read the displayed message, make necessary corrections, and then scan the "Register
Database" barcode again.
⑦ Scan the "Show / Hide" barcode to close the ID registration window (InputBar).
⑧ Attach the feeder to the mounter.

Now, registration to the database is complete. If you have more components and feeders to be
registered, repeat the above procedure for each component and feeder. The following
procedures can be referred to when they need to be executed.

13-2-4 Registering to the Component Library


This section explains how to register the component barcodes that have been registered to the
server’s database to the mounter’s component library. Use of this function eliminates the need
to create component data on the mounter. This function is available only for the component
codes that are registered by selecting a “Target Comp. Record” when registering a component
barcode.
Action:
① Scan the "Show / Hide" barcode to display the ID registration window (InputBar).
② Insert a floppy disk into the FDD (Note 1).
③ Select File > Create Comp. Library.
④ The following message will appear, so click <Yes>.

⑤ When registration is completed successfully, a message box will appear to inform you.
⑥ Insert the floppy disk into the mounter’s FDD (Note 2), and from the main menu, select
Tool > Backup & Restore > Individual Restore > to open the [Component Library]. Select
the component that has been registered in “Registering to the Database”, and then restore
it.
Note 1: If the component code has been created on the server PC, the FDD on the server PC
must be used. If it has been created on a mounter, the FDD on that mounter must be
used.
Note 2: The created component library file will be restored in the directory (A:¥Backup¥) of the
FDD. It is not possible to change the directory. Prepare a FDD with USB connector.
Chapter 13 Intelligent Feeder 13-13

13-2-5 Re-registering to the Database


This section explains how to register the link information between component barcodes and
feeder barcodes (ID) to the server’s database. The differences from “Registering to the
Database” are that this re-registration function allows you to set any remaining component
count and to register the information to a feeder that has already been linked to a component
barcode.
Example: This function can be used in the middle of production when components in use have
been assigned to another feeder or when you want to set a remaining component count with
the consumed count deducted.
Action:
① Scan the "Show / Hide" barcode to display the ID registration window (InputBar).
② From the InputBar’s taskbar, select Mode > Remove, and then click <OK> to start
registration remove mode.
③ Scan the feeder’s barcode.
④ Scan the new component’s barcode.
⑤ Change the current remaining count displayed in the ID registration window.
⑥ Scan the "Reregister Database" barcode.
⑦ “OK” will be displayed if re-registration is completed successfully. If re-registration has
failed, read the displayed message, make necessary corrections, and then scan the
"Reregister Database" barcode again.
⑧ Scan the "Show / Hide" barcode to close the ID registration window (InputBar).

Note: Re-registration of database is only possible while production is not run.

13-2-6 Canceling Database Registration


This section explains how to cancel the link information between component codes and feeder
barcodes (ID).
Action:
① Scan the "Show / Hide" barcode to display the ID registration window (InputBar).
② From the InputBar’s taskbar, select Mode > Remove to start registration remove mode.
③ Scan the feeder’s barcode.
④ Scan the "Cancel Database" barcode.
⑤ “OK” will be displayed if registration is cancelled successfully. If cancellation has failed,
read the displayed message, make necessary corrections, and then scan the "Cancel
Database" barcode again.
⑥ Scan the "Show / Hide" barcode to close the ID registration window (InputBar).

Note: You can skip step ② when <Disable Remove Mode> button is checked in
InputBar>Option>Setup Window.
Chapter 13 Intelligent Feeder 13-14

13-2-7 Deleting a Component Barcode


This section explains how to delete a component barcode that has been registered.
Action:
① Scan the "Show / Hide" barcode to display the ID registration window (InputBar).
② Scan the component’s barcode.
③ Scan the "Delete Comp. Barcode" barcode.
④ A message “Execute the Delete Command?” will appear, so click <Yes>.
⑤ “OK” will be displayed if the barcode has been deleted successfully. If deletion has failed,
read the displayed message, make necessary corrections, and then scan the "Delete Comp.
Barcode" barcode again.
⑥ Scan the "Show / Hide" barcode to close the ID registration window (InputBar).

Note: Deletion of component barcode is only possible while production is not run.
The feeder linked with the component barcode to be deleted will be deregistered. The
deregistered feeder needs to be re-registered to the database.

13-2-8 Inquire Database


This section explains how to check the component barcodes that have been linked with feeder
barcodes (ID).
Action:
① Scan the "Show / Hide" barcode to display the ID registration window (InputBar).
② Scan the feeder’s barcode.
③ Scan the "Inquire Database" barcode.
④ If the database is referred to successfully, the results will be displayed, so check them.
→If the scanned feeder barcode (ID) is not linked with a component barcode, a message
“This Feeder barcode is not registered in ID Database” will appear.
⑤ Scan the "Show / Hide" barcode to close the ID registration window (InputBar).

13-2-9 Compare Database


This section explains how to check whether the combination of a component code and a feeder
barcode (ID) is correct.
Action:
① Scan the "Show / Hide" barcode to display the ID registration window (InputBar).
② Scan the feeder’s barcode.
③ Scan the component’s barcode.
④ Scan the "Compare Database" barcode.
⑤ The verification result will be displayed, so check it.
“OK” will be displayed if the combination is correct.
→If the combination is not correct, a message “Relation between Feeder and Comp. is
improper.” will appear and the correct component barcode will be displayed.
⑥ Scan the "Show / Hide" barcode to close the ID registration window (InputBar).
Chapter 13 Intelligent Feeder 13-15

13-2-10 Checking the Station


This section explains how to find the lane (feeder) in which a component is to be set.
Action:
① Scan the "Show / Hide" barcode to display the ID registration window (InputBar).
② Scan the component’s barcode.
③ Scan the "Check Station" barcode.
④ The result will be displayed in a message window, so check it.
→If a feeder has already been set in the target lane, the LED will indicate the confirmation
status (light blue/flash) temporarily.
→For instance, a component (1005C), that is to be set in lane 34 on the front feeder bank, is
set in lane 30, a message window will appear showing: ① the lane No. in which the
component has been set by mistake, ② the correct lane No., and ③ the component name.
[Feeder position error. ST-F-30 -> ST-F-34"1005C" ID:62-20]
① ② ③

Note: The station check command can be accepted only while production is halted.
The station check function can be executed, for instance, just after <Run> button in the
production window is clicked to start production, and after production is halted to exchange
components. (In either case, production will remain halted until the [Start] button on the
mounter is pressed.)
Chapter 13 Intelligent Feeder 13-16

13-3 Running the Server Software

13-3-1 What is the Server Software?


The server software (CompSvr.exe) controls the ID database (relationship between feeder IDs
and component barcodes) and component table (relationship between component barcodes
and component codes). It also contains a barcode creation software program as a part of its
function to enable creation of command, feeder and component barcodes.
Here explains how to run the server software.

Server Software Window

13-3-2 Starting/Exiting the Server Software


■Starting
Double-click the server software icon on the Windows taskbar.

■Exiting
To exit the server software, select File > Exit from the taskbar.
When the server software is exited, connection between the barcode input software and client
software will be shut off. To use the intelligent feeder function again after the software is exited,
restart the mounter if it has been run on the mounter. In the case of PC, from the Windows
taskbar, select Start > Program > Intelligent Tool > CompSrvr.
Chapter 13 Intelligent Feeder 13-17

13-3-3 ID Database

The ID database shows the link information between feeder ID barcodes and component
barcodes.
This section explains the functions of the ID database.

■Creating a Feeder Barcode Print File


Action:
① Select the feeder(s) whose barcode is to be printed. To select two or more feeders
collectively, drag the mouse while holding down the left button of the mouse.

② After a feeder record(s) is selected, click <Print File> button. The following dialog box will
appear, so enter the name of the file to be saved and the directory in which the file is to be
saved, and then click <Save>.
→The default file name is “feeder.prb”.

③ When the file is saved successfully, a message informing you will appear, so click <OK>
button.

REFERENCE: For feeder barcode printing, refer to “Printing Barcodes”.


Chapter 13 Intelligent Feeder 13-18

■Backing up the ID Database


Action:
① From the taskbar, select File > Backup ID Database.
② The following dialog box will appear, so enter the name of the file to be saved and the
directory in which the file is to be saved, and then click <Save>.
→The default backup file name is “backup_id_database.idb”.

③ A message “Do you want to backup?” appears, so click <Yes>.

④ When the file is backed up successfully, a message informing you will appear, so click
<OK> button.

Note: It is recommended that the ID database be backed up frequently.


When backing up the ID database, the component table must also be backed up at the same
time to ensure consistency.

■Restoring the ID Database


Action:
① From the taskbar, select File > Restore ID Database.
② A dialog box appears, so select the file that was backed up (see “Backing up the ID
Database”), and click <Open>.
③ A message “Do you want to restore?” will appear, so click <Yes>.
④ When the file is restored successfully, a message informing you will appear, so click
<OK> button.

Note: When restoring the ID database, the component table must also be restored at the same time to
ensure consistency.
Chapter 13 Intelligent Feeder 13-19

■Backing up a Component Table


Action:
① From the taskbar, select File > Backup Comp. Table.
② The following dialog box will appear, so enter the name of the file to be saved and the
directory in which the file is to be saved, and then click <Save>.
→The default backup file name is “backup_comp_table.tb”.
③ A message “Do you want to backup?” appears, so click <Yes>.
④ When the file is backed up successfully, a message informing you will appear, so click
<OK> button.

Note: It is recommended that the component tables be backed up frequently.


When backing up component tables, the ID database must also be backed up at the same time
to ensure consistency.

■Restoring a Component Table


Action:
① From the taskbar, select File > Restore Comp. Table.
② A dialog box appears, so select the file that was backed up (see “Backing up a Component
Table”), and click <Open>.
③ A message “Do you want to restore?” will appear, so click <Yes>.
④ When the file is restored successfully, a message informing you will appear, so click
<OK> button.

Note: When restoring a component table, the ID database must also be restored at the same time to
ensure consistency.
Chapter 13 Intelligent Feeder 13-20

13-3-4 Component Table List

A list of registered component tables can be displayed.


This section explains how to delete a component table and create a barcode print file.

■ Importing a Component Table


Action:
① From the taskbar, select Option > Comp. Table to display the Comp Table List window.
② Click the <Import> button, and select a CSV file to import from the list.
③ When a dialog box appears for your confirmation, click <Yes> to start the import.

Note: The CSV file format should be followed as the figure-1 below:
<Figure-1>
ABC1234567890,1005C,10000
HR00001,1005R,
HR00002,1005R,,
MAZT10000,SOP08,100

Comp.Barcode (necessary), Comp.Code (necessary), Comp.InitialCount (Not necessary)


* If the Comp.InitialCount is not specified, the default value (10,000) would be set for it.
Available Key input
Comp.Barcode: Within 38 letters consisting of the acceptable letters such as uppercase
alphabet, numeric character, +, -, ., space, $, /, %.
Chapter 13 Intelligent Feeder 13-21

Comp.Code : Within 38 letters


Comp.InitialCount : 1~9,999,999

Note:
If there is a registration of the same Comp.Barcode, select whether to overwrite it or cancel the
import. When you select “overwrite”, and if the record has been related with feeder already,
the relation would be rearranged with the new setting. Then, the Comp InitialCount would be
initialized.
If there are any problems in the file to import, the import processing would be canceled.
Correct the problem cause, and try the importing again. (At that time, no record would be
imported.)
If the maximum number of registration were achieved during the importing, the import
processing would be quitted. (The importing would be performed until the total registration
would be the maximum.)
This function can be performed at non-production state.

■Deleting a Component Table


Action:
① From the taskbar, select Option > Comp. Table to display the Comp Table List window.
② Select the component table(s) to be deleted. To select two or more component tables
collectively, drag the mouse while holding down the left button of the mouse.

③ After a component table record(s) is selected, click <Delete> button. A message “Do you
want to delete selected item?” will appear, so click <Yes>.
Note: The feeder linked with the component to be deleted will be deregistered. The deregistered
feeder needs to be re-registered to the database.
Chapter 13 Intelligent Feeder 13-22

■Creating a Component Table Barcode Print File


Action:
① From the taskbar, select Option > Comp. Table to display the Comp Table List window.
② Select the component table(s) whose barcode is to be printed. To select two or more
component tables collectively, drag the mouse while holding down the left button of the
mouse.
③ After a component table record(s) is selected, click <Print File> button. The following
dialog box will appear, so enter the name of the file to be saved and the directory in which
the file is to be saved, and then click <Save>.

④ When the print file is saved successfully, a message informing you will appear, so click
<OK> button.

⑤ Close the Comp Table List window.

REFERENCE: For component table barcode printing, refer to “Printing Barcodes”.

Creating a Print Command File


This section explains how to create a command barcode print file that is necessary for operation
of the intelligent feeder function. This file allows you to make duplication of command
barcodes when command barcode reading accuracy has dropped or when the barcode reader is
used in multiple locations.

■Creating a Command Barcode Print File


Action:
① From the taskbar, select File > Create Command File.
② The following dialog box will appear, so enter the name of the command print file to be
saved and the directory in which the file is to be saved, and then click <Save>.
→The default file name is “command.prb”.
③ When the print file is saved successfully, a message informing you will appear, so click
<OK> button.

REFERENCE: For command barcode printing, refer to “Printing Barcodes”.


Chapter 13 Intelligent Feeder 13-23

13-3-5 Creating a Component Barcode Print File


This function enables creation of a print file for component barcodes that are to be registered to
the database. Any contents (component name, component count) can be set in the file.
When creating link information between component codes and component barcodes, this
function can be used to use existing component codes that have been registered to the
mounter’s component library.

■Creating a Component Barcode Print File


Action:
① From the taskbar, select File > Create Comp. File.
② The Print Comp. Barcode window will appear, displaying the component codes that are
stored in the folder specified in [Comp. Library Path].
→If this window is opened on the mounter, the component codes that are stored in the
mounter’s component library will be displayed (by default). If it is opened on the PC, the
specified folder (path) will be referred to and the results displayed. In either case, the
folder to be referred to can be specified by changing the folder displayed in [Comp.
Library Path].
→The default folder is “D:¥MtSystem¥Mmi¥Dat¥Lib_Dat¥”.

①Click the browse button.

②Specify the folder to be


referred to.

③ Select the component(s) for which a barcode print file is to be created. To select two or
more components collectively, drag the mouse while holding down the left button of the
mouse. After a component(s) is selected, click <Print File> button.
④ The following dialog box will appear, so enter the name of the file to be saved and the
directory in which the file is to be saved, and then click <Save>.
→The default file name is “compLib.prb”.
⑤ When the print file is saved successfully, a message informing you will appear, so click
<OK> button.
⑥ Close the Print Comp. Barcode window.

REFERENCE:
・To add a new component code, enter its component code name in [New Comp. Barcode] and
click <ADD> button.
・For command barcode printing, refer to “Printing Barcodes”.
Chapter 13 Intelligent Feeder 13-24

Note: Characters that can be used for barcode printing are given below. No other characters can be
printed.
Alphabet (upper-case letters only), numeric character, space, - (minus), $, /, +, %, . (dot)
Note: Only one-byte characters can be used.

13-3-6 Machine List


The machine list displays a list of IP addresses of the mounters connected to the server.
The status of the client (mounter) can still be checked if the server PC and mounter are
connected via LAN even though they are located at a distance from each other.

■Displaying the Machine List


Action:
① From the taskbar, select Option > Connected Machine List to display the Machine List
window.

Note: If the barcode input software (InputBar) is already running on the mounter (client), two of the
same IP addresses are displayed as shown above. However, only “Initialize” or “---” is
displayed for the barcode input software side.
② Check the name (IP address) and status of each machine.
One of the following is displayed in [Status].

Initialize
Setup
Drive
Edit & Teach
Setup Error
Run Error
Edit & Teach Error
Emergency Stop
System Error
Shutting down
Chapter 13 Intelligent Feeder 13-25

13-3-7 Printing Barcodes


This section explains how to print feeder ID barcodes and component barcodes. Before printing
them, make sure that a barcode print file is created and the barcode print software
(BarCodePrint.exe) is installed in the PC to which a printer is connected.

■Printing Barcodes
Action:
① Start the barcode print software (BarCodePrint.exe).
→Like ordinary Windows applications, select Start > Program > Intelligent >
BarcodePrint.

② Click <Open File> icon on the main menu. The following “Open” dialog box will appear.
③ Select a barcode print file that has been created, and click <Open> button.

④ The following barcode print preview will appear, so check whether the barcode names etc.
are correct.

⑤ If they are correct, click <Print> button to print the barcodes.

Note: Barcodes must be printed on commercially available labels (Maxell J8359-20(3×24 A4)).
Chapter 13 Intelligent Feeder 13-26

13-4 Data

13-4-1 Feeder LED Display Contents


The LED on feeders indicates the feeder status and meaning of errors.
Blinking
No. Status Color LED
frequency (ms)
1 Wire-breakage None --- ---
2 Energized (Note) Yellowish green Lit ---
Intelligent feeder recognized
3 Green Lit ---
(Note)
Component identification NG
4 Pink Flash 100
(Mis-location)
5 Component confirmation OK Green Blink 500
6 Not used for production Blue Blink 500
7 Not registered to database Blue Flash 100
8 Component Exhaustion Orange Lit ---
9 Shortage alarm Orange/green Lit alternately 500
10 Pickup NG skip Orange Flash 100
11 Feeder trouble Pink Lit ---
12 Removal lock Orange Blink 500
13 Simplified check Light blue Flash 100
Alternative position
14 Pickup-undefined lane Green/blue Lit alternately 500
(Relocate)
Alternative position
15 Green/pink Lit alternately 500
Pickup-defined lane (Relocate)
Not used for production
16 Blue/pink Lit alternately 500
Pickup-defined lane (Relocate)

Note: These feeders are recognized as intelligent feeders when "START" button in the production
window is clicked. If a feeder is not recognized as the intelligent feeder, its feeder LED will be
lit continuously in yellowish green.
Chapter 13 Intelligent Feeder 13-27

13-4-2 Auxiliary Explanation for Relocatable Function

■Displaying the Remaining Count in the Production Window


When the relocatable function is used, the pickup points vary with the feeder arrangement. So,
if the actual feeder (component) arrangement differs from the program data, the remaining
count and some other parameters given in the production window are not interlocked with the
actual feeder arrangement. The remaining count is controlled by the ID database.

Management data cannot follow feeder


location changes arranged by the
feeder arrangement of Relocatability
function.

■Performance record
Although the pickup points vary with the feeder arrangement if the relocatable function is
used, the Performance record is accumulated without change to components (feeder
arrangement) taken into account. So, if the actual feeder (component) arrangement differs from
the program data, the Performance record will also differ from the actual data.

■Prior-check
A prior-check to see whether or not components set in a feeder can be picked up will not be
performed at the start of production. For instance, if components are located outside the head’s
movable range, a “feeder NG: retry limit arrival” error will occur since the head cannot pick up
the components. (However, this error does not apply to the database, therefore, the head will
restart to pick up components if the components are relocated in an area where they can be
picked up.)

■Skip Setting Method


It is not possible to make skip setting for pickup points individually. To skip certain
components, make skip setting per line in the mount data.
Chapter 13 Intelligent Feeder 13-28

■Setting the Pickup Offset


Even if a pickup coordinate offset is set for the pickup data of a component, it will be cleared if
that component (feeder) is relocated to another position by the relocatable function. (The offset
will remain effective if the component is relocated in the same position as that in the pickup
data.) So when setting components (feeder) in a position that differs from the pickup data,
attention must be paid to the pickup coordinate misalignment.
→To set a pickup coordinate offset for the components (feeder) that are set in a position that
differs from the pickup data, execute the following steps.
①Halt production and activate the "Edit & Teach" mode.
②Select Program > Pickup Data window.
③Since components set in a position that differs from the pickup data have been
added to the pickup data (one line is added), check the pickup point No. and set the
pickup coordinate offset.
④Select Save > Apply > START. This will perform pickup operation with the offset
reflected.
Note: If the relocatable function is used, only the data of the currently executed program can be
edited in halt edit mode during production. The changes (updates) to the program data are
reflected continuously during production. However, they will not be reflected if production is
canceled, since they are also canceled at that time.
■Restrictions on Use of Side Pickup Function and Angle Settable Nozzle
Components for which the side pickup function has been set should not be set in any positions
other than those set in the program data (pickup data). This also applies to the components that
are to be picked up by an angle settable nozzle (i.e. Nozzle Library > "Directionality" setting is
other than “Free” is set).
Chapter 13 Intelligent Feeder 13-29

13-4-3 Command Barcodes


Command barcodes necessary for operation of the intelligent feeder function are shown below.
They can be printed by starting the barcode print software (BarCodePrint.exe) and selecting the
file named “command.prb”.
For details, refer to “Chapter 14 Running the Server Software”.
Chapter 13 Intelligent Feeder 13-30

--- Blank page ---


Chapter 14 DATA 14-1

Chapter 14
DATA

Nozzle and Applicable Components


MX-20D Hook Position
How to manage MXR troubles
MMI Messages
Example of nozzles and applicable components (recommended) ※For both N type (M2) / M type (M1/M1plus/M4)
0 1 2 3 4 5 6 7 8 10 15 30 35 54 (mm)

CHIP – Dimensions
0603 2012 3225 7032 4564 5664
IC – Mold dimensions
2913 4525 6332 7343 5660
MELF - Diameter 1005 2918 5025 6432

1608 3216 3528 6536

Resistors
001 002 002 003 004 004 005 005
Ceramic caps 003 005 006
Tantalum caps
003 004 005

Electrolytic caps
004 005 006
Trimmers
Transistors
002 003 004 005 006
Hall elements

SOP, TSOP, CSP


004 005 006/018
018 019 020
018/019 019/020
QFP, BQFP
018 019 020
PLCC, SOJ
018 019 020
LCC, BGA
018 019 020
MELF
012 013

D1.0 D1.4 D2.2 Nozzle No. 001 002 003 004 005 006 012 013 017 018 019 020 021※ 022※

D1 1 D1 6 ID(or equiv.) (0.25) (0.45) 0.7 1.2 2.0 3.5 0.8 1.2 - - - - (0.45) (0.45)

* The numbers in the table are nozzle Nos. (005 = N/M005) OD 0.4x0.5 0.6x0.9 1.3 1.8 3.0 4.5 2.0 2.5 - - - - 0.7x0.9 1.0x0.9

Pad OD - - - - - - - - 3.5 6.0 8.0 10.0 - -


* is overlapped zone.
*The Applicable component size for No.021and No.022 is same as that for No.002, but outer dimension of nozzle tip are
* Standard nozzles may not meet specific components
different each other. Select proper one for the minimum mount-pitch on the program.
by conditions of shape or surface type. Nozzle No. 002 021 022
* Custom nozzles can be made for such specific components. Minimum 0.2 0.25 0.45
mount-pitch
12.DATA 14-3

14-1 MX-20 Hook Position


The error “[D1251 MX-20 No Pallet on Shuttle (Pallet-n)” may be caused by misalignment of MX-20D
hook. This instruction describes what should be carried out after this error.

■ [D1251 MX-20 No Pallet on Shuttle (Pallet-n)” Error


When the pallet is missing in the stocker by operator’s mistake, this error happens and the machine
stops with an alarm as shown in <Fig.1>. It might be checked if the pallet stays in the correct slot of
stocker at the first setout.
However, this error may happen also when the pallet was not brought out correctly from the stocker.

<Fig.1>

The pallet might stop at the half way if it was not brought out from the stocker. The hook of MX-20D
may interfere with the pallet if the machine is continued to operate such as an origin homing. In the
worst case, these parts will be damaged and it makes the machine stop to run the production.
NNeevveerr ccoonnttiinnuuee tthhee ooppeerraattiioonn wwiitthhoouutt rreem moovviinngg tthhee ppaalllleett wwhhiicchh
ssttooppppeedd aatt tthhee hhaallff wwaayy oorr ssttaayyss iinn tthhee ssttoocckkeerr.. TThhee ppaalllleett sshhoouulldd bbee
rreem moovveedd bbyy hhaanndd..
Also, all other pallets must be checked if they are staying in correct positions of the stocker surely.
A misaligned hook of MX-20D may cause this error. The pallet may not be brought out from the
stocker or stopped at the half way. If the position of hook is suspicious, all pallets should be removed
from the stocker and do the origin homing without any pallets in MX-20D before start the
investigation.
12.DATA 14-4

■ Checking Hook Position


In order to prevent this error, the periodical inspection of hook origin position (shown in <Fig.2>) is
recommended to carry out.

<Fig.2>

The hook origin must be in a position where it does not interfere with pallets. The ideal position is
shown in <Fig.3>.

<Fig.3>
12.DATA 14-5

■ Hook Position Adjustment


If it’s within ±0.5mm, the hook can be aligned by a play of screw holes as shown in <Fig.4B>.

<Fig.4>

<Fig.4B>

The rough alignment of more than ±0.5mm can be done by loosening of tenstioner screw as shown in
<Fig.4A> and positioning of belt, then proceed the fine alignment as above <Fig.4B>.

<Fig.4A>
12.DATA 14-6

14-2 How to manage MXR troubles


When any troubles occur during MXR operation, especially at production, incorrect way of problem
handling may cause further machine damage or breakdown status unexpectedly. After you clear the
alarm and press the recovery switch at error, basically go to the “Edit &Teach” mode and follow the
procedure below to handle the problem.
Note: If the cause of the trouble were not clear, press the EMG stop button to stop production for safety, not
the Cancel switch. During the cancel process, the Shuttle moves to return the Pallet, and that may
cause further machine damage.

■Procedure
① Confirm the error message number and description displayed in the message window.
② Select [Manual] > [MXR], and confirm the current status of 1. Pallet, 2. Supplied Pallet, 3. Pallet
No. shown on the window.

1. Pallet
The Pallet number currently stored in
the stocker (Elevator) is indicated by
レ mark.
2. Supplied Pallet
The Pallet number extracted to the
p i c k u p s t a g e wi l l b e d i s p l a y e d .
3. Pallet No.
I n p u t t h e P a l l e t n u m b e r t h a t yo u wa n t
to extract to the pickup stage.

③ If machine stopped with a Pallet on the Shuttle, turn the Servo off and remove the Pallet by hand.
If Pallet were halfway out of the stocker and you could not remove it, pull out the shuttle by
hand to remove the Pallet. (At the servo off condition, the shuttle can be moved by hand.)
Check the removed Pallet for any damages or deformation. If there were any remarkable
damages or deformation, also make a visual inspection for the other Pallets in the stocker, hook,
and so on.

Pallet Shuttle

④ Open the MXR Backside door and the Door inside, and check if the Pallets in the stocker are
stored normally. If any Pallets were out of stocker, take them out from the stocker.
12.DATA 14-7

Door

Backside
door

⑤ Close the Door and Backside door of MXR, and turn the Safety switch to “AUTO”.
⑥ Check the Hook position so that it does not collapse with Pallets in stocker when it moves. If
Hook is where it may collapse with Pallets in stocker, pull the shuttle to your side by hand.
⑦ If EMG stop switch were ON, turn it off (release).

⑧ At the [MXR] window, check if the Hook works normally (Lock/Unlock).


(At unlocked state, Hook faces to the left. At locked state, it faces to the front. )
After checked, set it back to the unlocked state.

Unlocked state Locked state

⑨ At [MXR] window, check if the Pallet-bar works normally (Open/Close)


(At closed state, the Pallet-bar has contact with the Pallet. At open state, it has no contact with
the Pallet.)
After checked, set it back to the closed state.

Open state Closed state


12.DATA 14-8

⑩ Open the MXR backside door, and check if the door lock function (ON/OFF) works normally at
[MXR] window. After checked, close the MXR backside door and set the lock back to [ON] state.

OFF state ON state

⑪ Confirm that there are no obstacles in the area where MXR and XY axes can reach, turn on the
SERVO switch and initialize MXR origin. As initializing origin, please prepare to press the EMG
switch any time.
⑫ After initialized the MXR origin successfully, also initialize the rest of all axes origins.
⑬ Take out the 20th Pallet from the stocker.
⑭ Check on the “Not perform Pallet check” at [MXR] window, then speed adjustment bars for the
Hook axis and Elevator axis will appear. Set 10% or less for both axis.
⑮ Input “20” for the [Pallet No.], and click [OUT] button. Usually it causes error because 20th Pallet
has been taken out at step 13. However, [Not Perform pallet Check] has been checked on at step
14, and MXR will move like pulling out a Pallet without error.
⑯ After the MXR completed the action to pull out the Pallet, click the [IN] button next.
⑰ Shuttle will stop at the position where the Pallet is pulled out, and Hook will be unlocked. After
the Pallet returning action completed, measure the clearance (17mm) at marked position on the
drawing to judge if the position is right for pulling out Pallet.

Note: If the clearance were not good, please call to us.


12.DATA 14-9

17mm(Position to pull out Pallet)

0 phase position

Position to take out Pallet

Measuring Measuring
Place Point

⑱ Initialize MXR origin again.


⑲ Return the 20th Pallet in the stocker. Also return the other Pallets, which were taken out from the
stocker in the first step, after check for deformation or any problems.
⑳ Select [Manual] > [MXR], and be sure that the actual Pallet existence corresponds with the Pallet
number indication once again. If not, correct the indication manually and press the [Apply]
button to save the information.
21 With remaining the check on the “Not perform Pallet check” at [MXR] window, set the
Hook-axis speed and Elevator-axis speed to 10% or less, and try the Pallet IN/OUT command
several times to check if it works normally.
22 If no problem, check off the “Not perform Pallet check” to hide the speed-adjustment bars.
12.DATA 14-10

14-3 MMI Messages

Note: “%s” and “%m” represent character strings (e.g. cover name, conveyor name, switch name, motor
name” will be inserted.
“%d1” to “%d8” represent numbers (e.g. station No., pallet No., component count, retry count) will be
inserted.
No. Main Detail
100 Cannot handle the component. %m Inapplicable process code is downloaded or specified. Contact us.
102 Image library data is not found. %m Image library data that is not downloaded is specified. Check image library.
103 There is no resolution data for the specified camera. %m Check the user parameter to see if the resolution data is acquired, then contact us.
104 Cannot handle the component. %m Unauthorized process mode is specified. Contact us.
105 Failed in detecting threshold of the object. %m Check image data (outer dimensions) or illumination setting.
106 Your request for an image recheck cannot be granted. Your request for an image recheck cannot be granted.
107 Inapplicable unit or machine model is specified. Inapplicable unit or machine model is specified.
108 This function is currently not available. This function is currently not available.
114 Compensation angle exceeded acceptable range. %m Head%d1 Compensation angle exceeds 45 degrees. Check component data/thickness/condition,
illumination, and camera.
115 Compensation angle exceeded acceptable range. %m Head%d1 Compensation angle exceeds 20 degrees. Check component data/thickness/condition,
illumination, and camera.
116 Component size exceeded acceptable range. %m Head%d1 Check component data/thickness/condition, illumination, and camera.
117 Failed in provisional positioning. %m Head%d1 Check component data/thickness/condition, illumination, and camera.
118 Failed in positioning component. %m Head%d1 Check component data/thickness/condition, illumination, and camera.
119 Failed in provisional positioning. %m Head%d1 Check component data/thickness/condition, illumination, and camera.
120 Component data includes errors. %m Head%d1 Correct component data.
122 Internal Error 122 %m Head%d1 Check component data/thickness/condition, illumination, and camera.
123 Position or size of process area exceeds allowable range. %m Head%d1 Check component data/thickness/condition, illumination, and camera.
124 Guide window does not fit into screen. %m Head%d1 Check multiple view assignment and specified camera.
125 Internal Error 125 Number of windows exceeds the limit. Contact us.
126 Number of registered models exceeds the limit. Max number of models per program is 100.
127 Model size is too large. Make model size smaller.
128 Model size is too small. Make model size larger.
129 Wrong head number is specified. Check head number.
130 No model data is found. Model data that is not downloaded is specified . Check image data.
134 Mark detection fails. Check illumination, gain/offset data, equipment.
135 Mark detection fails. Check illumination, gain/offset data, equipment.
136 Wrong camera number is specified. Check camera number.
138 Model data is destroyed. Re-acquire model.
139 No fiducial mark is found. Check mark coordinates or lower matching threshold.
140 No fiducial mark is found. Check mark coordinates or lower matching threshold.
141 No fiducial mark is found. Check mark coordinates or lower matching threshold.
143 No fiducial mark is found. No part of the mark is found in search area.
146 Wrong order of offset capturing
147 Mark detection fails. Check illumination, gain/offset data, equipment.
148 Mark detection fails. Check illumination, gain/offset data, equipment.
149 Number of registered image data exceeds the limit. %m Head%d1 Decrease the number of image data.
150 Wrong multiple view assignment %m Head%d1 Check image data or contact us.
151 Positioning fails. %m Head%d1 Check component data/thickness/condition, illumination, and camera.
152 Lead detection failed. %m Head%d1 Check component data/thickness/condition, illumination, and camera.
153 Lead detection failed. %m Head%d1 Check component data/thickness/condition, illumination, and camera.
155 Result of lead-ends-distance detection for connector exceeds allowable range. Check component data/thickness/condition, illumination, and camera.
%m Head%d1
156 Lead pitch of measured component exceeds allowable value. %m Head%d1 Check component data/thickness/condition, illumination, and camera.
157 Lead pitch of measured component exceeds allowable value. %m Head%d1 Check component data/thickness/condition, illumination, and camera.
158 Gain and offset is not properly set. %m Head%d1 As the result of measurement, less number of leads are found.
159 Lead count is less than set value. %m Head%d1 Check component data/thickness/condition, illumination, and camera.
160 Lead count is less than set value. %m Head%d1 Check component data/thickness/condition, illumination, and camera.
161 Component corner detection fails. %m Head%d1 Check component data/thickness/condition, illumination, and camera.
162 BGA ball detection fails. %m Head%d1 Check component data/thickness/condition, illumination, and camera.
163 Ball size exceed allowable range. %m Head%d1 Check component data/thickness/condition, illumination, and camera.
164 Ball shape is not proper. %m Head%d1 Check component data/thickness/condition, illumination, and camera.
165 Cannot handle the component. Contact us.
166 Inapplicable illumination is assigned. Contact us.
167 Pre-angle-designation fails. Pre-rotation function is not available for the mount angle.
168 Internal Error 168 Both sizes do not match in image copy. Contact us.
170 Designation of brightness measurement is wrong. Contact us.
172 Downloading of model data fails. Contact us.
173 Wrong setting for communication. Contact us.
174 Internal Error 174 Invalid communication command is received. Contact us.
175 Communication fails. There is no valid packet. Contact us.
176 Communication fails. Timeout occurs during packet receiving. Contact us.
177 Communication fails. Check-sum error occurred in received packet. Contact us.
179 Downloading of model data fails. Recapture model or contact us.
180 Downloading of model data fails. Recapture model or contact us.
181 Display mode designation error Window switching mode is wrong. Contact us.
182 Image recognition by camera fails. %m Head%d1 Continuous image capture is out of spec. Contact us.
183 Continuous component recognition by camera fails. %m Head%d1 Check for valid head designation. Contact us.
184 Multiple-view process fails. %m Head%d1 Image acquisition fails or wrong assignment of result display of multiple-view process.
Contact us.
186 Chucking process failed. %m Head%d1 No vision target is found in chucking process.
187 Failed in positioning transistor. %m Head%d1 Disturbing image is shot in provisional positioning area. Or no vision target is found.
188 Failed in positioning process for CPL process. %m Head%d1 Check component data/thickness/condition, illumination, and camera.
190 Reverse and Direction Check Error. Check image data/component condition
200 Provisional positioning failed. %m Head%d1 Check component data/thickness/condition, illumination, and camera.
201 Provisional positioning failed. %m Head%d1 Check component data/thickness/condition, illumination, and camera.
202 Provisional positioning failed. %m Head%d1 Check component data/thickness/condition, illumination, and camera.
203 Provisional positioning failed. %m Head%d1 Check component data/thickness/condition, illumination, and camera.
204 Provisional positioning failed. %m Head%d1 Check component data/thickness/condition, illumination, and camera.
205 Provisional positioning failed. %m Head%d1 Check component data/thickness/condition, illumination, and camera.
206 Provisional positioning failed. %m Head%d1 Check component data/thickness/condition, illumination, and camera.
207 Provisional positioning failed. %m Head%d1 Check component data/thickness/condition, illumination, and camera.
208 Provisional positioning failed. %m Head%d1 Check component data/thickness/condition, illumination, and camera.
209 Provisional positioning failed. %m Head%d1 Check component data/thickness/condition, illumination, and camera.
210 Provisional positioning failed. %m Head%d1 Check component data/thickness/condition, illumination, and camera.
211 Provisional positioning failed. %m Head%d1 Check component data/thickness/condition, illumination, and camera.
212 Lead detection failed. %m Head%d1 Check component data/thickness/condition, illumination, and camera.
213 Lead detection failed. %m Head%d1 Check component data/thickness/condition, illumination, and camera.
214 Lead detection failed. %m Head%d1 Check component data/thickness/condition, illumination, and camera.
215 Lead detection failed. %m Head%d1 Check component data/thickness/condition, illumination, and camera.
216 Lead detection failed. %m Head%d1 Check component data/thickness/condition, illumination, and camera.
217 Lead detection failed. %m Head%d1 Check component data/thickness/condition, illumination, and camera.
218 Lead detection failed. %m Head%d1 Check component data/thickness/condition, illumination, and camera.
219 Lead detection failed. %m Head%d1 Check component data/thickness/condition, illumination, and camera.
220 Lead detection failed. %m Head%d1 Check component data/thickness/condition, illumination, and camera.
221 Lead detection failed. %m Head%d1 Check component data/thickness/condition, illumination, and camera.
230 Position of balls is not processible. %m Head%d1 Check component data.
231 Provisional positioning of whole balls failed. %m Head%d1 Check component data/thickness/condition, illumination, and camera.
No. Main Detail
232 Failed in ball detection for provisional angle. %m Head%d1 Check component data/thickness/condition, illumination, and camera.
233 Provisional angle is abnormal. %m Head%d1 Check component data/thickness/condition, illumination, and camera.
234 Failed in whole balls chucking process for provisional positioning. %m Head%d1 Check component data/thickness/condition, illumination, and camera.
235 Whole ball size is abnormal. %m Head%d1 Check component data/thickness/condition, illumination, and camera.
236 Failed in detection of balls for component center angle calculation. %m Check component data/thickness/condition, illumination, and camera.
Head%d1
237 Detected number of balls was short for component center angle calculation. Check component data/thickness/condition, illumination, and camera.
%m Head%d1
238 Component angle is abnormal. %m Head%d1 Check component data/thickness/condition, illumination, and camera.
239 Failed in ball detection in whole balls check. %m Head%d1 Check component data/thickness/condition, illumination, and camera.
240 Ball size is abnormal in whole balls check. %m Head%d1 Check component data/thickness/condition, illumination, and camera.
241 Ball form is abnormal in whole balls check. %m Head%d1 Check component data/thickness/condition, illumination, and camera.
242 Failed in ball detection labeling. %m Head%d1 Check component data/thickness/condition, illumination, and camera.
243 Lost candidate ball in size/area check after labeling. %m Head%d1 Check component data/thickness/condition, illumination, and camera.
244 Number of candidate balls in allowable range of X/Y/diagonal pitch exceeds Check component data/thickness/condition, illumination, and camera.
the limit. %m Head%d1
245 Number of main side vector valid balls is abnormal. %m Head%d1 Check component data/thickness/condition, illumination, and camera.
246 Failed in perimeter ball center detect blob process for component center Check component data/thickness/condition, illumination, and camera.
calculation. %m Head%d1
300 Provisional positioning failed. %m Head%d1 Check component data/thickness/condition, illumination, and camera.
301 Provisional positioning failed. %m Head%d1 Check component data/thickness/condition, illumination, and camera.
302 Provisional positioning failed. %m Head%d1 Check component data/thickness/condition, illumination, and camera.
303 Provisional positioning failed. %m Head%d1 Check component data/thickness/condition, illumination, and camera.
304 Lead detection failed. %m Head%d1 Check component data/thickness/condition, illumination, and camera.
305 Lead detection failed. %m Head%d1 Check component data/thickness/condition, illumination, and camera.
306 Lead detection failed. %m Head%d1 Check component data/thickness/condition, illumination, and camera.
308 Result of lead pitch detection exceeds allowable limit. %m Head%d1 Check component data/thickness/condition, illumination, and camera.
309 Lead detection failed. %m Head%d1 Check component data/thickness/condition, illumination, and camera.
310 Detected component size exceeds allowable limit. %m Head%d1 Check component data/thickness/condition, illumination, and camera.
311 Unavoidable error occurred in calculating correction value. %m Head%d1 Check component data/thickness/condition, illumination, and camera.
312 Result display of vision test fails. Contact us.
314 Lead center detection range is abnormal. %m Head%d1 Check component data/thickness/condition, illumination, and camera.
315 Lead count setting is abnormal. %m Head%d1 Check component data.
400 Provisional positioning failed. %m Head%d1 Check component data/thickness/condition, illumination, and camera.
401 Provisional positioning failed. %m Head%d1 Check component data/thickness/condition, illumination, and camera.
503 Nozzle ID detection failed. ANC(%d1) Check NOS data.
504 Nozzle ID detection failed. ANC(%d1) Check NOS data, station, and illumination.
505 Nozzle ID detection failed. ANC(%d1) Check NOS data, station, and illumination.
506 Nozzle ID detection failed. ANC(%d1) Check NOS data, station, and illumination.
507 Nozzle ID detection failed. ANC(%d1) Check NOS data, station, and illumination.
508 Nozzle ID error or index mark was not detected. ANC(%d1) Check NOS data, station, and illumination.
509 Index mark size is abnormal. ANC(%d1) Check NOS data, station, and illumination.
510 Nozzle ID detection failed. ANC(%d1) Check NOS data, station, and illumination.
511 Nozzle ID detection failed. ANC(%d1) Check NOS data, station, and illumination.
600 Angle of head mark (temporary) was not detected. Check NOH data.
601 Head recognition mark was not detected. Check NOH data.
602 Search area exceeded predetermined range. Check NOH data.
800 Dispense Check Process Error The status of dot/line cannot be detected correctly in the dispense check
801 Dot Size Error. Criteria (Expected Size:%d1, Size Perm:%d2), Result(Point:%d3, Dot size exceeds the allowable range in the dispense check.
Long Side:%d4, Short Side:%d5)
802 Dot Area Error. Criteria (Expected Size:%d1, Area Perm:%d2), Result(Point:%d3, Dot area exceeds the allowable range in the dispense check.
Area:%d4, Area Ratio:%d5)
803 Line Width Error. Criteria (Expected Width:%d1, Width Perm:%d2), Result(Ave. Line width exceeds the allowable range in the dispense check.
Width:%d3, Width Ratio:%d4)
900 The board arrival sensor is ON at the timing to drive PCB stopper.
901 The entrance sensor is ON at the timing to convey out PCB.
910 Choke pressure error at nozzle return to ANC on Head%d1 with Nozzle No.%d2. Check for nozzle choke or component remaining on nozzle.
%d3 > %d4.
920 Error status is detected on Coplanarity Checker. AC100V is not supplied from Check the connection between power switch at sensor processor and serial cable(COM1).
mounter or Serial cable is not connected with mounter. Contact us if it would not work properly still.
922 Operation Enabling SW has not been pressed. Operation by mouse or keyboard should be done with the Operation Enabling SW being
923 Operation is not allowed while cover is open. Close the cover.
924 Immediate stop at pickup NG %m %s-%d3 Head%d1 Nozzle No.%d2 Step:%d5 Check for pop-up attitude of the error component.
Offset:%d6
931 Distance between fiducial marks exceeded the permission. Check Mark, Board, Lighting, and Image Library data.
932 Conveyor Width cannot be changed because Main Clamp is ON. Turn Off the Main Clamp.
933 Request to change program for production %m
934 QR code cannot be recognized. Check the QR code state to be recognized.
935 The corresponding program to the detected %m PCB code does not exist. Create the program corresponding to the PCB code.
936 Tape End Process. (END Signal Received)
937 %m Production Program Selected A program has been selected by operator in the Auto-switching of Production Program
mode
938 Fluid Shortage Alarm Head%d1 Level%d2 Times%d3 Fluid is about to run out. Prepare a new syringe to replace.
939 %s-%d1 %m is skipped. Set the specified feeder to improve production efficiency.
940 %s-%d1 %m is not set. Check the feeder setting conditions. Improper setting may cause the feeder to collide with
the head.
941 The vacuum sensor of the vacuum stage does not turn ON. Check the vacuum stage.
942 Production Info. was not transferred. (Internal:%d1 Input:%d2 Conveyor:%s) Check LAN connections between machines.
950 %s No pallet in stocker. (Pallet-%d2) Check MXR setting
996 Undefined Vis-error Code
997 Control Message Code Error %d8
998 MT-LOG component name %m
999 Internal Error 999 Contact us.
1000 Emergency stop Remove the cause of the error.
1001 Instantaneous power failure is detected. Check capacity of power source.
1002 Power failure
1003 Safety area sensor responded. Remove the obstruct in the sensing area.
1004 Open %s was detected in the AUTO mode. Check the cover lock mechanism and the open cover sensors.
1005 Air pressure is low. Check the air connector on the machine rear side.
1006 %s Abnormal temperature of regenerative resistor is detected. Check the temperature of the regenerative resistor and cables for breaks.
1007 Servo OFF signal was detected. Check the AUTO/MANUAL switch or others to eliminate the cause for Servo OFF.
1010 Detected the %s axis end limit (+). Motor/mechanical error. Check the axis coordinates and contact us.
1011 Detected the %s axis end limit (-). Motor/mechanical error. Check the axis coordinates and contact us.
1016 Interlock worked while S axis was moving. Check the motor error, nozzle status, or component height.
1017 Z axis didn't reach the lowest position for nozzle exchange. Motor/ANC error. Check the error phenomenon and contact us.
1018 Nozzle was detected on the head by suction pressure inspection when nozzle Manual Nozzle set on head caused mismatch status with Nozzle info. Or problem of suction
was mounted to the head. pressure measuring circuit.
1019 XY axes didn't travel to the position for nozzle exchange. Motor/ANC error. Check the error phenomenon and contact us.
1021 Head1 interlock worked while XY axes are moving (head height: %d1 < %d2). Error at the head actuators/motors. Check the head height and contact us.
No. Main Detail
1022 Head2 interlock worked while XY axes are moving (head height: %d1 < %d2). Error at the head actuators/motors. Check the head height and contact us.
1023 Head3 interlock worked while XY axes are moving (head height: %d1 < %d2). Error at the head actuators/motors. Check the head height and contact us.
1024 Head4 interlock worked while XY axes are moving (head height: %d1 < %d2). Error at the head actuators/motors. Check the head height and contact us.
1025 Head5 interlock worked while XY axes are moving (head height: %d1 < %d2). Error at the head actuators/motors. Check the head height and contact us.
1026 Head6 interlock worked while XY axes are moving (head height: %d1 < %d2). Error at the head actuators/motors. Check the head height and contact us.
1027 Head7 interlock worked while XY axes are moving (head height: %d1 < %d2). Error at the head actuators/motors. Check the head height and contact us.
1028 Head8 interlock worked while XY axes are moving (head height: %d1 < %d2). Error at the head actuators/motors. Check the head height and contact us.
1030 Timeout in completing immediate stop This error is caused by effect of other errors. Check the other error messages near around.
1031 Motion-complete stop sequence error This error is caused by effect of other errors. Check the other error messages near around.
1032 Timeout in completing Cancel process This error is caused by effect of other errors. Check the other error messages near around.
1033 The placement correction value has exceeded the limit. (X: %d1->%d2 Y: %d3- Computing error for correction. Save Log file and contact us.
>%d4)
1050 Servomotors alarm at %s (alarm code:%d1) Error at servomotors or power source. Check the alarm code and contact us.
1051 Servomotors alarm at %s (alarm code:%d1) Error at servomotors or power source. Check the alarm code and contact us.
1052 Servomotors alarm at %s (alarm code:%d1) Error at servomotors or power source. Check the alarm code and contact us.
1053 Servomotors alarm at %s (alarm code:%d1) Error at servomotors or power source. Check the alarm code and contact us.
1054 Servomotors alarm at %s (alarm code:%d1) Error at servomotors or power source. Check the alarm code and contact us.
1055 Servomotors alarm at %s (alarm code:%d1) Error at servomotors or power source. Check the alarm code and contact us.
1056 Servomotors alarm at %s (alarm code:%d1) Error at servomotors or power source. Check the alarm code and contact us.
1057 Servomotors alarm at %s (alarm code:%d1) Error at servomotors or power source. Check the alarm code and contact us.
1058 Servomotors alarm at %s (alarm code:%d1) Error at servomotors or power source. Check the alarm code and contact us.
1059 Servomotors alarm at %s (alarm code:%d1) Error at servomotors or power source. Check the alarm code and contact us.
1060 Servomotors alarm at %s (alarm code:%d1) Error at servomotors or power source. Check the alarm code and contact us.
1061 Servomotors alarm at %s (alarm code:%d1) Error at servomotors or power source. Check the alarm code and contact us.
1062 Servomotors alarm at %s (alarm code:%d1) Error at servomotors or power source. Check the alarm code and contact us.
1063 Servomotors alarm at %s (alarm code:%d1) Error at servomotors or power source. Check the alarm code and contact us.
1064 Servomotors alarm at %s (alarm code:%d1) Error at servomotors or power source. Check the alarm code and contact us.
1065 Servomotors alarm at %s (alarm code:%d1) Error at servomotors or power source. Check the alarm code and contact us.
1066 Servomotors alarm at %s (alarm code:%d1) Error at servomotors or power source. Check the alarm code and contact us.
1067 Servomotors alarm at %s (alarm code:%d1) Error at servomotors or power source. Check the alarm code and contact us.
1068 Servomotors alarm at %s (alarm code:%d1) Error at servomotors or power source. Check the alarm code and contact us.
1069 Servomotors alarm at %s (alarm code:%d1) Error at servomotors or power source. Check the alarm code and contact us.
1070 Servomotors alarm at %s (alarm code:%d1) Error at servomotors or power source. Check the alarm code and contact us.
1071 Servomotors alarm at %s (alarm code:%d1) Error at servomotors or power source. Check the alarm code and contact us.
1072 Servomotors alarm at %s (alarm code:%d1) Error at servomotors or power source. Check the alarm code and contact us.
1073 Servomotors alarm at %s (alarm code:%d1) Error at servomotors or power source. Check the alarm code and contact us.
1074 Servomotors alarm at %s (alarm code:%d1) Error at servomotors or power source. Check the alarm code and contact us.
1075 Servomotors alarm at %s (alarm code:%d1) Error at servomotors or power source. Check the alarm code and contact us.
1076 Servomotors alarm at %s (alarm code:%d1) Error at servomotors or power source. Check the alarm code and contact us.
1077 Servomotors alarm at %s (alarm code:%d1) Error at servomotors or power source. Check the alarm code and contact us.
1078 Servomotors alarm at %s (alarm code:%d1) Error at servomotors or power source. Check the alarm code and contact us.
1079 Servomotors alarm at %s (alarm code:%d1) Error at servomotors or power source. Check the alarm code and contact us.
1080 Servomotors alarm at %s (alarm code:%d1) Error at servomotors or power source. Check the alarm code and contact us.
1081 Servomotors alarm at %s (alarm code:%d1) Error at servomotors or power source. Check the alarm code and contact us.
1082 Changed to safety stop" state because %s was opened." To resume production run, close the cover and press the Servo switch.
1083 Servo OFF signal was detected. Check the AUTO/MANUAL switch or others to eliminate the cause for Servo OFF.
1090 EMG stop switch (software) is activated. Detected EMG SW.
1097 System failure is detected. System is not functioning normally. Acquire the Log File and. contact us immediately.
1098 Drive phase error Contact us.
1099 Safety stop has been detected.
1100 System error Contact us.
1101 %s is open. Close the cover.
1102 Invalid program step number is specified. Correct Start Step and/or Repeat Offset Step.
1103 %s pallet stopper is open. Close the pallet stopper.
1104 Feeder setting error Check if the feeder's ready lamp (green) is on.
1105 Error program/library data Contact us with the explanation how the error state occurred.
1106 MX-20 pallet stopper is open. Close the pallet stopper.
1107 Safety guard sensor responded. Remove the obstacle from the sensing area. If no obstacle is present, clean the sensor and
check the sensor's wiring.
1108 Interrupted Cancel process. Reset the interrupted processes by using Manual menus.
1109 Forcible immediate stop (debug mode)
1110 Servo motors are off. You can turn them on.
1111 Detected %s axis immediate stop signal. Remove the cause of the error (open cover, etc.).
1112 Executed immediate stop. Failed to execute cycle stop. Current cycle is not complete.
1113 Timeout in completing the cycle stop Remove the cause of other provided errors.
1114 Cycle stop sequence error Remove the cause of other provided errors.
1115 Error in activating <Start> switch. Remove the cause of other provided errors.
1116 Error in activating <Cancel> switch. Identify the cause of error and reset the machine state using the Manual menus.
1117 Axes motion error This error is caused by effect of other errors. Check the other error messages near around.
1118 Dual axis following-up error (Y1axis %d1 Y2axis %d2 Tolerance %d3) Dual axis following-up error occurred. Check the movement of Y axes.
1119 %s positioning timeout (Target %d1 Feedback %d2 Tolerance %d3) Timeout for completion of positioning occurred. Check the system parameters for encoder
input for the axis.
1120 %s Software limit over (Target%d1 Limit%d2) Software limit over is detected. Check the parameter setting.
1121 Interlock against interference between Z-axis1 and S-axis worked (scan:%d1 S-axis error. Check the machine state and contact us.
%d2->%d3).
1122 Interlock against interference between Z-axis2 and S-axis worked (scan:%d1 S-axis error. Check the machine state and contact us.
%d2->%d3).
1123 Interlock against interference between Z-axis3 and S-axis worked (scan:%d1 S-axis error. Check the machine state and contact us.
%d2->%d3).
1124 Interlock against interference between Z-axis4 and S-axis worked (scan:%d1 S-axis error. Check the machine state and contact us.
%d2->%d3).
1125 Interlock against interference between Z-axis5 and S-axis worked (scan:%d1 S-axis error. Check the machine state and contact us.
%d2->%d3).
1126 Interlock against interference between Z-axis6 and S-axis worked (scan:%d1 S-axis error. Check the machine state and contact us.
%d2->%d3).
1127 Interlock against interference between Z-axis7 and S-axis worked (scan:%d1 S-axis error. Check the machine state and contact us.
%d2->%d3).
1128 Interlock against interference between Z-axis8 and S-axis worked (scan:%d1 S-axis error. Check the machine state and contact us.
%d2->%d3).
1129 Origin discrepancy between y dual axes %d1 < (%d2-%d3) Origin sensor for Y2 axis may be troubled.
1130 Nozzle presence check upon ANC cannot be performed. Process is not properly performed. Contact us.
1131 Nozzle presence check on head cannot be performed. Process is not properly performed. Contact us.
1132 Image capture of fiducial mark cannot be performed. Process is not properly performed. Contact us.
1133 Image capture of bad mark cannot be performed. Process is not properly performed. Contact us.
1134 Image capture of component cannot be performed. Process is not properly performed. Contact us.
1135 Nozzle specified in placement program is not identified in nozzle ID recognition. Check program and nozzle information.
1136 Error is found in program in recognition process for nozzle on head. Check placement program.
1137 Error program data is found in fiducial mark process. Check Fiducial in placement program.
1138 Error program data is found in bad mark process. Check Bad mark in placement program.
No. Main Detail
1139 Error program data is found in vision-processing component. Check component name in placement program and component library.
1140 Failed to identify fiducial mark. Check the fiducial mark data.
1141 Failed to identify bad mark. Check the bad mark data.
1142 Cannot perform bring back detection. Check the image library and the image code in the nozzle library.
1143 Cannot capture an image in bring back detection. Process is not properly performed. Contact us.
1144 Open %s was detected during axis movement in the MANUAL mode. Close the cover.
1145 The system switched to the MANUAL mode in the job run. Place the system in the AUTO mode.
1146 Job run cannot be performed in the MANUAL mode. Place the system in the AUTO mode.
1147 %s is not set up. Set up the CFB.
1148 No nozzle is set on the head. No nozzle is set on the head which is specified for fixed nozzle in the user parameter..
1149 Nozzle is set on the head. Auto nozzle change in scanning nozzles is prohibited. Manually return the nozzle(s) to ANC.
1150 Nozzle Information includes errors. Redo ANC scanning.
1151 Nozzles in heads and Nozzle Information contradict. Check nozzle setting. Contact us for ANC scanning error.
1152 ANC slide channel operation timeout. Actuate ANC slide channel in Manual>Actuator.
1153 Required nozzle isn't found in ANC. Set required nozzle in ANC.
1154 No available nozzle station. Check ANC.
1155 No PCB fed from pre-process machine (SMEMA). Check interface setting of pre-process conveyor.
1156 Entrance sensor gets ON without input of machine-not-ready signal (SMEMA). Check interface setting of pre-process conveyor.
1157 Axis status mismatch.(X:%d1 %d2->%d3 Y:%d4 %d5->%d6 [%d8]) Obtain log data by clicking the <LOG> button and contact us.
1158 Fluid shortage on Head %d1.
1159 Board jams between exit of pre-process and arrival sensor. Check conveyor belts and conveyor motors.
1160 Board jams between exit of pre-process and buffer sensor. Check conveyor belts and conveyor motors.
1161 Board jams between buffer sensor and arrival sensor. Check conveyor belts and conveyor motors.
1162 Board jams between arrival sensor and entrance of post-process. Check conveyor belts and conveyor motors.
1163 Main clamp error Check support pins and adjust plate for foreign obstacles.
1164 Side clamp error Check side clamp for foreign matter.
1165 Rear clamp error Check rear clamp for its set position.
1166 Board stopper error Actuate board stopper in manual menu (Actuator/LoadBoard).
1167 Conveyor error Check conveyor belts and associated sensors/cylinders.
1168 Machine-not-ready signal does not turn OFF. Check interface setting of pre-process conveyor.
1169 Machine-not-ready signal does not turn OFF. Check interface setting of post-process conveyor.
1170 The syringe is not set on Head %d1. Check the syringe for the head.
1171 Fluid shortage warning sensor error on Head %d1. Check the fluid shortage warning sensor and the syringe.
1172 Cannot create order to feed components. System error. Contact us immediately.
1173 MX-40 operation error Check MX-40 setting and conditions of MX-40B.
1174 Shuttle of MX40 contacts with conveyor rail. Check conveyor rail position against board Y at Board menu.
1175 MX-20 operation error (Pallet-%d1) Check MX-20 setting and conditions of MX-20F.
1176 MX-20's shuttle interferes with conveyor rail. Compare conveyor rail position with BoardData>BoardSize(Y).
1177 PCB is on the conveyor. Cannot adjust conveyor width. Remove the PCB from the conveyor.
1178 Detected support pins under the conveyor rail. Remove the support pins under the conveyor rail.
1179 LIM unit error. Check the component ID. Check the LIM unit.
1180 Reject conveyor %s %d1 is full. Remove components from the reject conveyor and restart.
1181 Interlock against interference between Z-axis1 and %s worked (Travel Error at the head actuators/motors. Check the head coordinates and contact us.
height:%d1 X:%d2 Y:%d3).
1182 Interlock against interference between Z-axis2 and %s worked (Travel Error at the head actuators/motors. Check the head coordinates and contact us.
height:%d1 X:%d2 Y:%d3).
1183 Interlock against interference between Z-axis3 and %s worked (Travel Error at the head actuators/motors. Check the head coordinates and contact us.
height:%d1 X:%d2 Y:%d3).
1184 Interlock against interference between Z-axis4 and %s worked (Travel Error at the head actuators/motors. Check the head coordinates and contact us.
height:%d1 X:%d2 Y:%d3).
1185 Interlock against interference between Z-axis5 and %s worked (Travel Error at the head actuators/motors. Check the head coordinates and contact us.
height:%d1 X:%d2 Y:%d3).
1186 Interlock against interference between Z-axis6 and %s worked (Travel Error at the head actuators/motors. Check the head coordinates and contact us.
height:%d1 X:%d2 Y:%d3).
1187 Interlock against interference between Z-axis7 and %s worked (Travel Error at the head actuators/motors. Check the head coordinates and contact us.
height:%d1 X:%d2 Y:%d3).
1188 Interlock against interference between Z-axis8 and %s worked (Travel Error at the head actuators/motors. Check the head coordinates and contact us.
height:%d1 X:%d2 Y:%d3).
1189 The sensor detected the temperature error on Head %d1. Check Temperature Controller and Cable connection.
1190 Error is detected in recovery process. Production is aborted. Program's internal factor. Gather log data and contact us.
1191 Head1 interlock worked while S axis is moving (head height: %d1 < %d2). Error at head actuators/motors. Check the head height and contact us.
1192 Head2 interlock worked while S axis is moving (head height: %d1 < %d2). Error at head actuators/motors. Check the head height and contact us.
1193 Head3 interlock worked while S axis is moving (head height: %d1 < %d2). Error at head actuators/motors. Check the head height and contact us.
1194 Head4 interlock worked while S axis is moving (head height: %d1 < %d2). Error at head actuators/motors. Check the head height and contact us.
1195 Head5 interlock worked while S axis is moving (head height: %d1 < %d2). Error at head actuators/motors. Check the head height and contact us.
1196 Head6 interlock worked while S axis is moving (head height: %d1 < %d2). Error at head actuators/motors. Check the head height and contact us.
1197 Head7 interlock worked while S axis is moving (head height: %d1 < %d2). Error at head actuators/motors. Check the head height and contact us.
1198 Head8 interlock worked while S axis is moving (head height: %d1 < %d2). Error at head actuators/motors. Check the head height and contact us.
1199 Message issue error. Message buffer is cleared.( Trouble:%d1 Ready:%d2 Stopped to issue messages temporarily, resulting in missing messages.
Get:%d3 Clear:%d4 )
1200 MX-40 Timeout in Locking Pallet Stopper in Stocker Check conditions of MX-40.
1201 MX-40 Timeout in Unlocking Pallet Stopper in Stocker Check conditions of MX-40.
1202 MX-40 Timeout in Relay Unit Stocker-side Hook Check conditions of MX-40.
1203 MX-40 Timeout in Relay Unit Stocker-side Hook Down Check conditions of MX-40.
1204 MX-40 Timeout in Relay Unit Shuttle-side Hook Up Check conditions of MX-40.
1205 MX-40 Timeout in Relay Unit Shuttle-side Hook Down Check conditions of MX-40.
1206 MX-40 Timeout in Shuttle Clamp Check conditions of MX-40.
1207 MX-40 Timeout in Shuttle Unclamp Check conditions of MX-40.
1208 MX-40 Stocker Interlock Check conditions of MX-40.
1209 MX-40 Pallet Interlock in Relay Unit Check conditions of MX-40.
1210 MX-40 Shuttle Interlock Check conditions of MX-40.
1211 MX-40 Failed in Assigning Elevator Moving Position Check conditions of MX-40.
1212 MX-40 Failed in Assigning Relay Unit Moving Position Check conditions of MX-40.
1213 MX-40 Failed in Assigning Shuttle Moving Position Check conditions of MX-40.
1214 MX-40 Timeout in Elevator Movement Check conditions of MX-40.
1215 MX-40 Timeout in Shuttle Movement Check conditions of MX-40.
1216 MX-40 Timeout in Relay Unit Movement Check conditions of MX-40.
1217 MX-40 Front Shuttle Position Sensor Not Respond after Shuttle Movement Check conditions of MX-40.
1218 MX-40 Rear Shuttle Position Sensor Not Respond after Shuttle Movement Check conditions of MX-40.
1219 MX-40 Shuttle Origin Sensor Not Respond after Shuttle Movement Check conditions of MX-40.
1220 MX-40 Relay Unit Advance End Sensor Not Respond after Relay Unit Moves Check conditions of MX-40.
to Stocker
1221 MX-40 Relay Unit Advance End Sensor Not Respond after Relay Unit Moves Check conditions of MX-40.
to Shuttle
1222 MX-40 Relay Unit Origin Sensor Responds after Relay Unit Returns to Origin Check conditions of MX-40.
1223 MX-40 Relay Unit Retract End Sensor Not Respond after Relay Unit Moves to Check conditions of MX-40.
Escape Position
1224 MX-40 Pallet Exists in Relay Unit Check MX-40 setting.
1225 MX-40 No Pallet in Relay Unit Check MX-40 setting.
No. Main Detail
1226 MX-40 Pallet Exists in Stocker Check MX-40 setting.
1227 MX-40 No Pallet in Stocker Check MX-40 setting.
1228 MX-40 Pallet Exists on Shuttle Check MX-40 setting.
1229 MX-40 No Pallet on Shuttle Check MX-40 setting.
1230 MX-40 Pallet No. Failure in Stocker Check MX-40 setting.
1231 MX-40 Pallet Exists in Relay Unit whereas Pallet Not Programmed Check MX-40 setting.
1232 MX-40 No Pallet in Relay Unit whereas Pallet Programmed Check MX-40 setting.
1233 MX-40 Pallet Exists on Shuttle whereas Pallet Not Programmed Check MX-40 setting.
1234 MX-40 No Pallet on Shuttle whereas Pallet Programmed Check MX-40 setting.
1235 MX-40 Failure in Setting Pallet Check conditions of MX-40.
1236 MX-40 Failure in Returning Pallet Check conditions of MX-40.
1237 MX-40 Failure in Returning Pallet Check conditions of MX-40.
1238 MX-40 Timeout for Elevator Returning to Origin Check conditions of MX-40.
1239 MX-40 Timeout for Shuttle Returning to Origin Check conditions of MX-40.
1240 MX-40 Timeout for Relay Unit Returning to Origin Check conditions of MX-40.
1241 MX-40 Error in Returning Pallet from Shuttle to Relay Unit Check conditions of MX-40.
1242 MX-40 Error in Returning Pallet from Relay Unit to Stocker Check conditions of MX-40.
1243 MX-40 Upper Cover Open Check conditions of MX-40.
1244 MX-40 Lower Cover Open Check conditions of MX-40.
1245 MX-40 Upper Pallet Interlock in Stocker Check conditions of MX-40.
1246 MX-40 Lower Pallet Interlock in Stocker Check conditions of MX-40.
1247 MX-40 Shuttle/Conveyor-width Position Error Check conditions of MX-40.
1248 Halt of message output: %d1times. System time: %d2. Halted time: %d3 seconds. Message buffer is full, and message is paused.
1249 Clearing of message buffer. Message buffer is cleared because message was paused for prescribed time.
1250 MX-20 Pallet Exists on Shuttle (Pallet-%d1) Check MX-20 setting.
1251 MX-20 No Pallet on Shuttle (Pallet-%d1) Check MX-20 setting.
1252 MX-20 Pallet Exists on Shuttle whereas Pallet Not Programmed (Pallet-%d1) Check MX-20 setting.
1253 MX-20 No Pallet on Shuttle whereas Pallet Programmed (Pallet-%d1) Check MX-20 setting.
1254 MX-20 Pallet Exists in Stocker (Pallet-%d1) Check MX-20 setting.
1255 MX-20 No Pallet in Stocker (Pallet-%d1) Check MX-20 setting.
1256 MX-20 Pallet Exists in Stocker whereas Pallet Not Programmed (Pallet-%d1) Check MX-20 setting.
1257 MX-20 No Pallet in Stocker whereas Pallet Programmed (Pallet-%d1) Check MX-20 setting.
1258 MX-20 Pallet No. Failure in Stocker (Pallet-%d1) Check MX-20 setting.
1259 MX-20 Timeout in Locking Pallet Stopper in Stocker (Pallet-%d1) Check conditions of MX-20.
1260 MX-20 Timeout in Unlocking Pallet Stopper in Stocker (Pallet-%d1) Check conditions of MX-20.
1261 MX-20 Timeout in Relay Hook Up (Pallet-%d1) Check conditions of MX-20.
1262 MX-20 Timeout in Relay Hook Down (Pallet-%d1) Check conditions of MX-20.
1263 MX-20 Timeout in Shuttle Clamp (Pallet-%d1) Check conditions of MX-20.
1264 MX-20 Timeout in Shuttle Unclamp (Pallet-%d1) Check conditions of MX-20.
1265 MX-20 Timeout in Elevator Movement (Pallet-%d1) Check conditions of MX-20.
1266 MX-20 Timeout in Shuttle Movement (Pallet-%d1) Check conditions of MX-20.
1267 MX-20 Timeout in Relay Hook Movement (Pallet-%d1) Check conditions of MX-20.
1268 MX-20 Timeout for Elevator Returning to Origin (Pallet-%d1) Check conditions of MX-20.
1269 MX-20 Timeout for Shuttle Returning to Origin (Pallet-%d1) Check conditions of MX-20.
1270 MX-20 Timeout for Relay Hook Returning to Origin (Pallet-%d1) Check conditions of MX-20.
1271 MX-20 Stocker Interlock (Pallet-%d1) Check conditions of MX-20.
1272 MX-20 Relay Hook Origin Sensor On (Pallet-%d1) Check conditions of MX-20.
1273 MX-20 Relay Hook Origin Sensor Off (Pallet-%d1) Check conditions of MX-20.
1274 MX-20 Relay Hook Advance End Sensor On (Pallet-%d1) Check conditions of MX-20.
1275 MX-20 Relay Hook Advance End Sensor Off (Pallet-%d1) Check conditions of MX-20.
1276 MX-20 Relay Hook Down Sensor On (Pallet-%d1) Check conditions of MX-20.
1277 MX-20 Relay Hook Down Sensor Off (Pallet-%d1) Check conditions of MX-20.
1278 MX-20 Pallet Clamp Off Sensor on Shuttle On (Pallet-%d1) Check conditions of MX-20.
1279 MX-20 Pallet Clamp Off Sensor on Shuttle Off (Pallet-%d1) Check conditions of MX-20.
1280 MX-20 Pallet Stopper Off Sensor in Stocker On (Pallet-%d1) Check conditions of MX-20.
1281 MX-20 Pallet Stopper Off Sensor in Stocker Off (Pallet-%d1) Check conditions of MX-20.
1282 MX-20 Failed in Assigning Elevator Moving Position (Pallet-%d1) Check conditions of MX-20.
1283 MX-20 Failed in Assigning Relay Hook Moving Position (Pallet-%d1) Check conditions of MX-20.
1284 MX-20 Failed in Assigning Shuttle Moving Position (Pallet-%d1) Check conditions of MX-20.
1285 MX-20 Shuttle/Conveyor-width Position Error (Pallet-%d1) Check conditions of MX-20.
1286 MX-20 Front Shuttle Position Sensor Not Respond after Shuttle Movement Check conditions of MX-20.
(Pallet-%d1)
1287 MX-20 Rear Shuttle Position Sensor Not Respond after Shuttle Movement Check conditions of MX-20.
(Pallet-%d1)
1288 MX-20 Shuttle Origin Sensor Not Respond after Shuttle Movement (Pallet- Check conditions of MX-20.
%d1)
1289 MX-20 Relay Hook Origin Sensor Not Responds after Relay Hook Movement Check conditions of MX-20.
(Pallet-%d1)
1290 MX-20 Relay Hook Advance End Sensor Not Respond after Relay Hook Check conditions of MX-20.
Movement (Pallet-%d1)
1291 MX-20 Cover Open (Pallet-%d1) Check conditions of MX-20.
1292 MX-20 Pallet Interlock in Stocker (Pallet-%d1) Check conditions of MX-20.
1293 MX-20 Elevator Slow-down Stop (Pallet-%d1) Check conditions of MX-20.
1294 Manual opening of ANC is detected. Unconformity in nozzle info. may occur. Perform nozzle scanning.
Job run cannot be continued.
1295 Cannot continue operation. Machine origin unidentified. Select <Edit&Teach> button, and perform Origin Initialize again.
1296 %s Returning to Origin. Origin Sensor Z-phase Distance Error(Z:%d1 - O:%d2 = Check the origin sensor and Z-phase input.
%d3 > %d4)
1297 %s operation error (Pallet-%d2) Check pallet information or MXR.
1298 The sensor detected the paper shortage in the dot station. Refill the cleaning paper.
1299 Dot station motor alarm. Check for cleaning paper jam or motor problem.
1300 Standard device %s initialization error Error at control board/driver. Contact us.
1301 Program error. Failed to initialize the task. System error. Contact us.
1302 Program error System error. Contact us.
1303 Drive signal does not turn ON. System is abnormal. System error. Contact us.
1304 Motor alarm at the initialization of %s. (alarm code %d1) Turn off servomotors via Maintenance>ServoOff. Ten minutes later, turn them on.
1305 Option device %s is not initialized properly. Error at control board/driver. Contact us.
1306 Vision system initialization error Check the setting of IC card and communication cable. Restart the system.
1307 Air pressure at initialization is abnormal. Check the air piping and restart the system.
1308 Command sequence error Contact us.
1309 Paused for postponed retry steps. Press <Recovery> switch and then <Start> switch.
1310 Improper component feeding %s #%d1 Head%d2 Stop operation and check feeding conditions.
1311 No available feeder %s #%d1 Head%d2 Stop operation and check for presence of feeder.
1312 Feeder error Head%d1 Out of component or no component at pickup point.
1313 Improper component feeding %s #%d1 Stop running and check the feeding conditions.
1314 Job run is paused. Set up the mounter and resume job run by pressing <Recovery> and <Start>.
1315 Component is detected on the nozzle in comp. Remain Check. Check the pickup/placement and take proper measures in the Edit & Teach mode.
1316 %m PCB code mismatch was detected. Auto program change was performed. Auto program change was performed.
1317 %m PCB code mismatch was detected. PCB code mismatch was detected.
No. Main Detail
1318 Nozzles in heads and Nozzle Information contradict. Check the nozzle setting. As for ANC scanning error, contact us.
1319 No program step to execute. Check the program setting (skip assignment, etc.).
1320 Reached the limit of vision-process retry count (%m %s-%d3 Head%d1 Nozzle Check the image library data and illumination setting.
No.%d2 Step:%d5 Offset:%d6)
1321 Reached the limit of pickup retry count (%m %s-%d3 Head%d1 Nozzle No.%d2 Check the pickup coordinates and nozzle choice.
Step:%d5 Offset:%d6)
1322 Reached the limit of feeder retry count (%m %s-%d3 Head%d1 Nozzle No.%d2 Check the component feeding conditions.
Step:%d5 Offset:%d6)
1323 Out of component (%m %s-%d3 Head%d1 Nozzle No.%d2 Step:%d5 Offset:%d6) After replenishing components, update the component count setting.
1324 Reached the limit of choke-pressure retry count (Head%d1 Nozzle No.%d2 Check the air pressure, air filter, and nozzle library data.
Step:%d5 Offset:%d6)
1325 There are no usable pickup points because of the supply task error. (%m %s- System error. Contact us.
%d3 Head%d1 Nozzle No.%d2 Step:%d5 Offset:%d6)
1326 Reached the limit of coplanarity retry count (%m %s-%d3 Head%d1 Nozzle Check the component's image code and coplanarity data.
No.%d2 Step:%d5 Offset:%d6)
1327 Timeout in coplanarity check Check the wiring of the LIM unit.
1328 Power to the LIM unit is off. Check the power to the LIM unit. Check the wiring of the LIM unit.
1329 LIM unit is in the local mode. Switch the LIM unit's mode to the host mode.
1330 Out of component. %s #%d1 Replenish components.
1331 Out of component. %s #%d1 (P%d2#-%d3 pallet) Replenish components.
1332 Components are running out. %s #%d1 rest %d2 pcs. Components are used down to Program>PickupData>ShortageAlarm setting.
1333 Components are running out. %s #%d1 (P%d2#-%d3 pallet) rest %d4 pcs. Components are used down to Program>PickupData>ShortageAlarm setting.
1334 Failed to lock CFB %s. Check CFB lock mechanism.
1335 Failed to unlock CFB %s. Check CFB lock mechanism.
1336 Setup ready error Check CFB lock mechanism.
1337 Setup complete error Check CFB lock mechanism.
1338 %s CFB is not set. CFB's set sensor is not responding. Set CFB in position.
1339 Communication error in image process
1340 Component lack. Setup is possible %s #%d1 Replenish components.
1341 Pickup points of component lack are displayed.
1342 Vision process sequence error. Execute system recovery. Check Vision processing unit or Cables for error.
1343 Failed to clamp MX-ST2. Failed to clamp MX-ST2.
1344 Failed to unclamp MX-ST2. Failed to unclamp MX-ST2.
1345 %s pallet setting error (Pallet-%d2) Check pallet information or MXR.
1346 %s origin acquisition error (Pallet-%d2) Check conditions of MXR.
1347 Cannot supply components from %s. Check the feeder.
1348 The feeder is specified as unavailable feeder. %s #%d1 Clear the Feeder Skip state for concerned pickup point(s).
1349 AMF measurement ends.
1350 Setup error This error is caused by effect of other errors. Check the other error messages near around.
1351 Retry step postpone table is full. Execute normal retry process. Retry step postpone table is full. Execute normal retry process.
1352 MAP measurement ends. MAP measurement ends. Press Start SW to convey out the board.
1353 Axis movements are unstable. Contact us.
1354 Axis movements are unstable. Contact us.
1355 There are no usable pickup points because the machine is in the changeover End the changeover state.
state. (%m %s-%d3 Head%d1 Nozzle No.%d2 Step:%d5 Offset:%d6)
1356 Reject conveyor on %s %d1 is almost out of space. Components on Reject conveyor are almost full. (Warning!)
1357 There are no usable pickup points because Feeder Skip is/are specified. (%m Clear the Feeder Skip state for concerned pickup point(s).
%s-%d3 Head%d1 Nozzle No.%d2 Step:%d5 Offset:%d6)
1358 Controller error System is not operating properly. Contact us.
1359 PCB code entry error Check the code entry equipment for settings and connections. To continue the job run,
check the PCB code and select the program.
1360 %s Motor alarm Execute Maintenance>ServoOff. Ten minutes later, turn on <Servo> switch.
1361 %S position exceeded the limit. Coordinates exceeded the setting of SystemParameter>WorkRange or
SystemParameter>Axis>TravelDist.
1362 %S detected EL(+). Overrun. Take origin again.
1363 %S detected EL(-). Overrun. Take origin again.
1364 Origin of %S is not taken. Check Origin menu.
1365 %S error Remove error.
1366 Error occurs at %S. Remove error.
1367 %m PCB code is not defined in the Plan. Define the PCB code in the Plan and select the program.
1368 Fiducial error (4point) Fiducial correction was not performed. Change the mark location.
1369 Timeout in %S operation. Check cylinders, motors, and sensors.
1370 ANC slide channel operates improperly. Check cylinders and sensors.
1371 The nozzle cannot be removed from the head. This nozzle station is not for removing nozzles from heads.
1372 Error occurs in image processing. Check image process system and communication cable.
1373 Device cannot be initialized. Contact us.
1374 Error in opening PS-BC shutter. %s (%d1) Check the shutter mechanism of the bulk feeder.
1375 Error in closing PS-BC shutter. %s (%d1) Check the shutter mechanism of the bulk feeder.
1376 Main clamp is abnormal. Check support pin for crash. Check adjust plate for foreign matters.
1377 PCB stopper is abnormal. Check PCB stopper for normal operation st Manual menu.
1378 Rear clamp is abnormal. Check rear clamp for normal operation.
1379 Side clamp is abnormal. Check side clamp.
1380 ANC shutter is abnormal. Check ANC shutter.
1381 Fiducial error (calculation) Computing error for correction. Save Log file and contact us.
1382 Conveyor error Check conveyor transfer belts and motors.
1383 Disconnect the cable and air tube for the CFB.
1384 ANC operation error Check ANC shutter and missetting of nozzle. Contact us for ANC scanning error.
1385 ANC scanning error Check ANC shutter and missetting of nozzle. Contact us for ANC scanning error.
1386 MX-40 pallet setting error Check pallet information or MX-40.
1387 MX-40 origin acquisition error Check MX-40.
1388 MX-40 shuttle contacts conveyor rail. Check conveyor rail position.
1389 Feeder cannot operate. Check feeder for misfeeding.
1390 Signal output operation error System is abnormal. Contact us.
1391 PS-32A tape-peeling error %s (%d1) Check Feeder Setting or check the feeder.
1392 PS-32A re-positioning error %s (%d1) Check Feeder Setting or check the feeder.
1393 PS-32A sequence clear command control error %s (%d1) Check Feeder Setting or check the feeder.
1394 MX-20 pallet setting error (Pallet-%d1) Check pallet information or MX-20.
1395 MX-20 origin acquisition error (Pallet-%d1) Check MX-20.
1396 MX-F20 shuttle contacts conveyor rail. Check conveyor rail position.
1397 Failed to retract MX-40 pallet Check MX-40 setting and conditions of MX-40B
1398 Failed to retract MX-20 pallet (Pallet-%d1) Check MX-20 setting and conditions of MX-20F
1399 Failed to retract %s pallet (Pallet-%d2) Check pallet information or MXR.
1400 Changed system status.
1401 %s is open.
1402 %s is pressed.
1403 Origin detection starts.
1404 Sensor of the safety guard responded.
1405 Origin has been detected.
1406 Waiting for Board arrival at %s. Waiting for Board arrival.
No. Main Detail
1407 Board starts to enter at %s. Just started Board transfer in.
1408 Board has arrived at %s. Completed Board transfer in.
1409 IN sensor detects board.
1410 Buffer sensor detects board.
1411 Arrival sensor detects board.
1412 OUT sensor detects board.
1413 Board clamp starts.
1414 Board clamp ends.
1415 Board unclamp starts.
1416 Board unclamp ends.
1417 Board is ready to be conveyed out at %s. Board is ready to be conveyed out.
1418 Board starts to be conveyed out at %s. Just started Board transfer out.
1419 Board has been away from %s. Completed Board transfer out.
1420 Canceled the job with the multiple-pickup cycle halfway done. Cannot handle the multiple-pickup cycle by normal resume procedure.
1421 Canceled the job without executing the postponed retry step. Postponed retry step won't be processed.
1422 Canceled the job with components being picked up. Remove the picked up components to resume production.
1423 No program step to execute. Pass the PCB through. No program step to execute due to component skip or etc. Check components.
1424 Waiting for Board arrival at %s. Waiting for Board arrival.
1425 Board starts to enter at %s. Just started Board transfer in.
1426 Board has arrived at %s. Completed Board transfer in.
1427 Pickup error %m %s-%d3 Head%d1 Nozzle No.%d2 %d7 < %d8 Step:%d5 Check status of Head, Component, and Pickup point.
Offset:%d6
1428 Pickup error (no countermeasure) %m %s-%d3 Head%d1 Nozzle No.%d2 %d7 <
%d8 Step:%d5 Offset:%d6
1429 Choke pressure error Head%d1 Nozzle No.%d2 %d7 > %d8 Nozzle is choked.
1430 Feeder indexing starts.
1431 %s can be set up. Replenish components.
1432 Feeder indexing ends.
1433 %s waits to be unloaded.
1434 Unloading PCB from %s starts.
1435 Unloading PCB from %s is complete.
1436 Coplanarity measurement error %m %s-%d3 Head%d1 Nozzle No.%d2 Step:%d5
Offset:%d6
1437 On-head component is brought back (vision inspection) %m %s-%d3 Head:%d1 Check the placement point and the nozzle for dirt.
Nozzle:%d2 Step:%d5 Offset:%d6
1438 On-head component is brought back (pneumatic inspection) %m %s-%d3 Check that the nozzle is not choked. Check the air pressure.
Head:%d1 Nozzle:%d2 %d7 < %d8 Step:%d5 Offset:%d6
1439 Clamp MX-ST2. Clamp MX-ST2.
1440 Unclamp MX-ST2. Unclamp MX-ST2.
1441 Reached the limit of pickup or vision-process error count. Feeder Skip %m %s- Other feeder(s) will be used. The feeder will be skipped from now on.
%d3 Head:%d1 Nozzle:%d2 Step:%d5 Offset:%d6
1442 Nozzle is detected on head %d1. Does not agree with inf. on Head-Nozzle Nozzle change by hand is prohibited. Check if the tip of the head is clean.
Setup.
1443 Nozzle is not detected on head %d1. Does not agree with inf. on Head-Nozzle Nozzle change by hand is prohibited.
Setup.
1444 Specified number of PCBs have been produced. Press the Cancel switch to abort.
1445 Scan axis starts to move.
1446 %m mode
1447 Scan axis has moved.
1448 Dispense Check Data for Head%d1 is not found. Make settings of Dot Station Dispense Check in the dispense check program.
1449 FL detect correction is disabled. FL detect correction is disabled in the user parameter.
1450 Both the FL detect correction and the vision correction are enabled. Select either FL detect correction or vision correction.
1451 Image processing result %s %d1 %d2 %d3 %d4 Component image is taken by camera.
1452 Setup of %s starts.
1453 Setup of %s ends.
1454 %s #%d1 component is set. (Components:%d2)
1455 Updated the initial pickup point for %s [ #%d1 (P%d2#-%d3 pallet) ].
1456 %s CFB is locked.
1457 %s CFB is released.
1458 %s pallet is returned.
1459 The system switched to the %s mode.
1460 AMF Fiducial. Code:%d1 Group:%d2 X;%d3 Y:%d4 T:%d5 ( %d6 %d7) %m
1461 AMF Placement Head:%d1 Angle:%d2 X:%d3 Y:%d4 T:%d5 (%d6 %d7 %d8) %m
1462 Halt of head movement during PCB arrival wait
1463 Resumption of head movement on completion of PCB arrival. PCB arrival wait
time: %d1 seconds
1464 Area detection event error.(%d1 %d2:%d5 %d3->%d4 [%d8]) Obtain log data by clicking the <LOG> button and contact us.
1465 Axis status mismatch.(X:%d1 %d2->%d3 Y:%d4 %d5->%d6 [%d8]) Obtain log data by clicking the <LOG> button and contact us.
1466 The sensor detected the fluid shortage on Head %d1. Replenish the head with fluid in good time.
1467 Area interlock has been detected. Obtain log data by clicking the <LOG> button and contact us.
1468 Switched to MX-40 upper stocker.
1469 Switched to MX-40 lower stocker.
1470 Timeout in temperature control for Head %d1 Check the temperature controller.
1471 Dispensing was started without the set temperature being reached for Head Check the temperature controller.
%d1.
1472 Halt of %d1 head movement for awaiting completion of temperature control
1473 Short of OK counts for head %d1. (Area ratio: %d2 OK count:%d3 Output OK count < Min OK Count. Check the nozzle, syringe, parameters.
pressure:%d4)
1474 Number of retrials exceeded the Max Check Count for Head %d1. (Area ratio: Number of retrials exceeded the specified Max Check Count. Check the nozzle, syringe,
%d2 OK count:%d3 Output pressure:%d4) parameters.
1475 Retry/Skip is not specified. Specify whether to retry or skip.
1476 Measure DP( F:%d1 X:%d2 Y:%d3 AR:%d4 LS:%d5 SS:%d6 SD:%d7 T:%d8 )
1477 Dispense Nozzle Offset Adjustment Head:%d1 Angle:%d2 X:%d3->%d4 Y:%d5-
>%d6 T:%d7->%d8
1478 Error in automatic acquisition of dispense nozzle offset. X:%d1 Y:%d2 Alarm:%d3 Coordinates correction values exceeded the allowable range. Check the parameter setting.
T:%d4 Alarm:%d8
1479 Dispense Nozzle Offset OK Head:%d1 Angle:%d2 X:%d3 Y:%d4 Tolerance:%d6
T:%d5 Tolerance:%d7 The number of adjustments:%d8
1480 Measure DP( F:%d1 X:%d2 Y:%d3 AR:%d4 LS:%d5 SS:%d6 SD:%d7 T:%d8 )
1481 The component %m" in step No.%d1 for repeat offset step No.%d2 will be
skipped."
1482 The component %m" will be skipped from the step No.%d1 for repeat offset
step No.%d2."
1483 The component exhaustion process for %m" will be postponed from the step
No.%d1 for repeat offset step No.%d2."
1484 Paused for component exhaustion. Replenish the feeder with components to restart.
1485 Production was started with the Postpone Component Exhaustion Process" To enable the Postpone Component Exhaustion Process" function perform "Block Data
function disabled." Conversion"."
1486 Out of components (%m). Refill the components.
No. Main Detail
1487 The component %m" in step No.%d1 for repeat offset step No.%d2 will be
postponed."
1488 Buffer stopper error Actuate buffer stopper in manual menu (Actuator/LoadBoard).
1489 Changed to safety stop" state because %s was opened." To resume production run, close the cover and press the Servo switch.
1490 Switched to %s mode and changed to safety stop" state." To resume production run, close the cover and press the Servo switch.
1491 Switched to %s mode.
1492 Switched to %s mode and changed to safety stop" state." To resume production run, close the cover and press the Servo switch.
1493 Coordinates have been lost due to trouble in return-to-coordinate process. Take origin again.
1494 Servomotors cannot be turned on because %s is open. Close the cover.
1495 Job run cannot be performed because the machine is in the safety stop" Press the Servo switch.
state."
1500 %s Pallet exists on hook. (Pallet-%d2) Check MXR setting
1501 %s No pallet on hook. (Pallet-%d2) Check MXR setting
1502 %s Pallet exists on hook whereas pallet not programmed. (Pallet-%d2) Check MXR setting
1503 %s No pallet on hook whereas pallet programmed. (Pallet-%d2) Check MXR setting
1504 %s Pallet exists in stocker. (Pallet-%d2) Check MXR setting
1505 %s No pallet in stocker. (Pallet-%d2) Check MXR setting
1506 %s Pallet exists in stocker whereas pallet not programmed. (Pallet-%d2) Check MXR setting
1507 %s No pallet in stocker whereas pallet programmed. (Pallet-%d2) Check MXR setting
1508 %s Pallet No. Failure in Stocker. (Pallet-%d2) Check MXR setting
1509 %s Timeout for door lock. (Pallet-%d2) Check conditions of MXR
1510 %s Timeout for door unlock. (Pallet-%d2) Check conditions of MXR
1511 %s Timeout for hook lock. (Pallet-%d2) Check conditions of MXR
1512 %s Timeout for hook unlock. (Pallet-%d2) Check conditions of MXR
1513 %s Timeout for pallet bar open. (Pallet-%d2) Check conditions of MXR
1514 %s Timeout for pallet bar close. (Pallet-%d2) Check conditions of MXR
1515 %s Timeout for pallet side clamp ON. (Pallet-%d2) Check conditions of MXR
1516 %s Timeout for pallet side clamp OFF. (Pallet-%d2) Check conditions of MXR
1517 %s Timeout for pallet rear clamp ON. (Pallet-%d2) Check conditions of MXR
1518 %s Timeout for pallet rear clamp OFF. (Pallet-%d2) Check conditions of MXR
1519 %s Timeout in Elevator Movement. (Pallet-%d2) Check conditions of MXR
1520 %s Timeout in Hook Movement. (Pallet-%d2) Check conditions of MXR
1521 %s Timeout for Elevator Returning to Origin. (Pallet-%d2) Check conditions of MXR
1522 %s Timeout for Hook Returning to Origin. (Pallet-%d2) Check conditions of MXR
1523 %s Pallet advance end sensor ON. (Pallet-%d2) Check conditions of MXR
1524 %s Pallet advance end sensor OFF. (Pallet-%d2) Check conditions of MXR
1525 %s Pallet bar open sensor ON (Pallet-%d2) Check conditions of MXR
1526 %s Pallet bar open sensor OFF (Pallet-%d2) Check conditions of MXR
1527 %s Pallet bar close sensor ON (Pallet-%d2) Check conditions of MXR
1528 %s Pallet bar close sensor OFF (Pallet-%d2) Check conditions of MXR
1529 %s Hook lock sensor ON. (Pallet-%d2) Check conditions of MXR
1530 %s Hook lock sensor OFF. (Pallet-%d2) Check conditions of MXR
1531 %s Hook unlock sensor ON. (Pallet-%d2) Check conditions of MXR
1532 %s Hook unlock sensor OFF. (Pallet-%d2) Check conditions of MXR
1533 %s Stocker Interlock. (Pallet-%d2) Check conditions of MXR
1534 %s Pallet detect sensor on hook ON. (Pallet-%d2) Check conditions of MXR
1535 %s Pallet detect sensor on hook OFF. (Pallet-%d2) Check conditions of MXR
1536 %s Pallet side clamp sensor ON. (Pallet-%d2) Check conditions of MXR
1537 %s Pallet side clamp sensor OFF. (Pallet-%d2) Check conditions of MXR
1538 %s Pallet rear clamp sensor ON. (Pallet-%d2) Check conditions of MXR
1539 %s Pallet rear clamp sensor OFF. (Pallet-%d2) Check conditions of MXR
1540 %s Hook-axis is out of origin position. (Pallet-%d2) Check conditions of MXR
1541 %s Hook-axis is out of supply position. (Pallet-%d2) Check conditions of MXR
1542 %s Failed in Assigning Elevator Moving Position (Pallet-%d2) Check conditions of MXR
1543 %s Failed in Assigning Hook Moving Position (Pallet-%d2) Check conditions of MXR
1544 %s Door Open (Pallet-%d2) Check conditions of MXR
1545 %s Elevator Slow-down Stop (Pallet-%d2) Check conditions of MXR
1546 %s Pallet Interlock. Remove the pallet in the middle of being supplied and check Check conditions of MXR
in the Manual menu. Then perform axis zeroing.
1547 The relay hook of MX20 is not in Stocker Position for Relay" position." Secure the safety of MX20 unit and take origin.
1548 No PCB has been selected in the PCB select bad mark" process." Check the board for bad marks.
1549 Nozzle No.[%d2] is not found in nozzle library. ANC(%d1) Check nozzle library.
1550 Cannot perform dispense check. Check the image library and the image code in the dispense library.
1551 Cannot capture an image in dispense check. Process is not properly performed. Contact us.
1552 Syringe change signal for head %d1 has been accepted. Acception parameter for syringe has been initialized.
1553 The nozzle set in the dedicated ANC is different from specified one. ANC(%d1) Check nozzle setting.
1554 Nozzle is set on the head%d1. Check ANC shutter and missetting of nozzle. Contact us for ANC scanning error.
1555 Communication fails. Cancel command cannot be sent to the vision system. Contact us.
1556 System status is changed. System diagnoses itself.
1557 Head %d1 Dispense Correction Threshold:%d3 Correction Value:%d4 Executing Dispense Correction
Pressure:%d5 -> %d6 Retry Count:%d2
1558 Head %d1 Dispense Check OK Threshold:%d3 Pressure:%d5 Retry Count:%d2 Dispense Check Passed
1559 Short of nozzles for the current program. Nozzle:%d1 Number of Nozzles:%d2 Set the required nozzles.
Number of set nozzles:%d3 Number of shortage nozzles:%d4
1560 Shortage of the optimum number of nozzles. Nozzle:%d1 Optimum number:%d2 Set the proper number of nozzles for efficient production.
Set number:%d3 Short number:%d4
1561 Automatic nozzle arrangement will be skipped according to the user parameter Check the setting in User Parameter > Functions.
setting.
1562 Auto nozzle arrangement cannot be performed because head 1 and/or 2 are Release the Fixed Nozzle setting for head 1 and 2.
set for Fixed Nozzle"."
1563 Component %m" is not set on %s-%d1. Feeder ID %d2-%d3" Confirm the component on the feeder.
1564 The program cannot be run since feeder is mislocated. Confirm the feeder location.
1565 Exceeded the maximum correction value. %s(X:%d1 Y:%d2 T:%d3) Head(%d4) Click the <LOG> button to obtain Log data, and contact us.
%m
1566 Remove the pallet-%d1 from the tray feeder. It must be done while the Servo Turn off the Servo motor from the Maintenance Menu. Remove the pallet, which was failed
motor is off. to be delivered, out of the tray feeder and return all the axes to the origin.
1567 The nozzles required for the production are not set at proper position of ANC. Set the nozzle at proper position where the head can use.
Head:%d1 Nozzle:%d2
1568 Z-axis did not reach the pickup height when pickup. Head:%d1 Nozzle:%d2 Check the Head Z axis condition.
1569 Z-axis did not reach the placement height when placement. Head:%d1 Check the Head Z axis condition.
Nozzle:%d2
1570 Z-axis did not reach the Reject/Return height when Reject/Return Check the Head Z axis condition.
Component. Head:%d1 Nozzle:%d2
1571 MX-20 Pallet detect sensor in Stocker is ON. Pallet-%d1 Remove the specified Pallet from MX-20 stocker and return MX-20 to origin.
1572 MX-20 Pallet detect sensor in Stocker is OFF. Pallet-%d1 Insert the specified Pallet into MX-20 stocker.
1573 PCB Vacuum Error. Check PCB Vacuum for error.
1574 MX-20 Relay Hook Retract End Sensor Off (Pallet-%d1) Check conditions of MX-20.
1575 Start %s (%d1,%d2,%d3,%d4,%d5,%d6,%d7,%d8)
1576 End %s (Total:%d1 NG:%d2 Time:%d3.%d4sec)
No. Main Detail
1577 Error is detected on %s-%d1(Count:%d1 NG Count:%d2Code:%d3 ID:%d4-%d5)
1578 Skip %s %d1 times.(NG:%d2 Time:%d3.%d4sec)
1579 Communication error happened between Mounter and Feeder ID Check the ID Database Server and LAN condition.
Database.(%d1<%d2msec)
1580 Feeder position error. %s-%d1 -> %c4-%d5 %m" ID:%d2-%d3" Feeder set position is wrong. Relocate it to the specified.
1581 Feeder position error. %s-%d1 -> %c4-%d5 %m" ID:%d2-%d3" Feeder set position is wrong. Relocate it to the specified.
1582 Set position: %s-%d <- %m"" Remove the feeder from the specified station and set the component.
1583 Set position: %s-%d <- %m"" Set the component to the specified station.
1584 Component %m" has already been set." This component has already been set.
1585 Component %m" is not used for this production." This component is not used for this production.
1586 Lead/Ball Height deviation is out of tolerance. Head%d1 %m Check Lead/Ball floating condition and Lead/Ball Height Tolerance value.
1587 Lead/Ball Position XY deviation is out of tolerance. Head%d1 %m Check Lead/Ball bending condition and Lead/Ball pos. XY Tolerance value.
1588 No Lead/Ball-like object is found in measuring image. Head%d1 %m Check component data/thickness/condition.
1589 Component image is out of measuring image range. Head%d1 %m Check component data/thickness/condition.
1590 Measured position of Lead/Ball markedly differs from the setting of component Check component data/thickness/condition.
data. Head%d1 %m
1591 Lead/Ball is missing at predetermined location. Head%d1 %m Check Lead/Ball condition and settings of Component Feature, Darkness level, Lead/Ball
Pitch, and Lead/Ball Width in Coplanarity Check data.
1592 Tilt amount of Reference Surface is out of tolerance. Head%d1 %m Check component tilt condition and value of Tilt Tolerance of Ref. Surface in Coplanarity
Check data.
1593 Coplanarity Check Error occurred. Head%d1 %m Check component data/thickness/condition. Refer to Error Code List for details.
1594 Fails to perform Coplanarity Check. Check Coplanarity Check Unit and Communication cables for any abnormal conditions.
1595 Fails to capture the image at Coplanarity Check. Process is not properly performed. Contact us.
1596 Fails to acquire the result at Coplanarity Check. Process is not properly performed. Contact us.
1597 The specified nozzle type does not exist on any nozzle stations where the Select other head, rearrange the nozzle location or execute an automatic nozzle
head can reach. arrangement.
1598 Exceeded the maximum correction value. %s(X:%d1 Y:%d2 T:%d3) Head(%d4) Check that the maximum correction value is set properly.
%m
1599 Improper component feeding %s #%d1 Head%d2 Stop operation and check feeding conditions.
1600 Processing ends. (servo motor off)
1601 Edition in pause.
1602 Teaching is possible. Perform operation safely. Each axis operates in safety speed.
1603 Manual is possible. Perform operation safely. Caution: Z axis does not move in safe height.
1604 Ready (Alarm off and recovery possible)
1605 Pause (Cancel or start possible)
1606 Run (Press cycle stop for stop and cancel for end)
1607 Drive OFF Drive ON possible
1608 Maintenance possible. Servo off for operation
1609 Alarm of servo/DC motor %s Check servo unit.
1610 Servo of caused by emergency stop Emergency stop is pressed. System detects trouble automatically.
1611 Wait until origin is returned. Each axis mechanically taken.
1612 Wait until movement ends. Axis moves to specified coordinates. Press emergency stop switch to stop movement.
1613 Load board
1614 starting signal is accepted. Data for run is collected.
1615 Run-starting-signal is accepted. Data for run is collected.
1616 Quit the system. Power is automatically turned off.
1617 Performance record is collected. Performance record is written on management data file.
1618 is saved. Data is saved on file. Use to prevent mis-saving.
1619 Teaching ends.
1620 Manual ends.
1621 Origin detection ends.
1622 PCB through ends.
1623 Run ends.
1624 starts.
1625 ends.
1626 ended without completing the job.
1629 Job run ended without completing the job.
1630 Job run ended without completing the job.
1631 Start warm-up
1632 End warm-up
1633 Start warm-up for the specified item.
1634 End warm-up for the specified item.
1635 Producible PCB count has reached the alarm setting. Replenish components.
1637 Pause the current job and enter Edit&Teach mode.
1638 Exit Edit&Teach mode and resume the current job.
1639 Failed to load the controller program. [%d1] Contact failure of CPU and CPU controller board. Check the contact of each board.
1640 execute ends.
1641 Instantaneous power failure is detected.
1642 Pause (Cancel or start possible)
1643 The control board is not adapted to the system. The UPS does not operate normally. Contact i-PULSE local distributor.
1650 Operational switch is pressed.
1651 System condition changes.
1656 System condition changes.
1659 Prearrange process starts.
1660 MX Info. starts.
1661 Nozzle ID recognition starts.
1662 Nozzle change starts.
1663 Prearrange process ends.
1664 MX Info. ends.
1665 Nozzle ID recognition ends.
1666 Nozzle change ends.
1667 Nozzle ID manual setting ends.
1668 Nozzle ID recognition ends without completing the job.
1669 Nozzle change ends without completing the job.
1672 Fiducial mark coordinates have been obtained. (%f1,%f2)
1673 Coordinates have been obtained without fiducial correction.
1680 User parameter is saved. Data is saved on file. Use to prevent mis-saving.
1681 System parameter is saved. Data is saved on file. Use to prevent mis-saving.
1682 Component library is saved. Data is saved on file. Use to prevent mis-saving.
1683 Image library is saved. Data is saved on file. Use to prevent mis-saving.
1684 Feeder library is saved. Data is saved on file. Use to prevent mis-saving.
1685 Pallet library is saved. Data is saved on file. Use to prevent mis-saving.
1686 Packaging library is saved. Data is saved on file. Use to prevent mis-saving.
1687 Tray library is saved. Data is saved on file. Use to prevent mis-saving.
1688 Nozzle library is saved. Data is saved on file. Use to prevent mis-saving.
1689 Dispense library is saved. Data is saved on file. Use to prevent mis-saving.
1690 Syringe library is saved. Data is saved on file. Use to prevent mis-saving.
1691 Dispense Nozzle library is saved. Data is saved on file. Use to prevent mis-saving.
1692 Production plan is updated. Data is saved on file. Use to prevent mis-saving.
No. Main Detail
1701 Camera change
1702 Image mode change
1703 Image test is performed. Image test is performed. Warning; any axis may move.
1704 Guide frame display command
1705 Camera center cross line
1706 ADA is performed. ADA(Automatic Data Acquisition) is performed.
1707 Multi-view image test result is displayed.
1708 ADA is performed. Component (except chip) size is automatically measured.
1709 Specified illumination level is set.
1710 Model data is acquired. Model data is stored in file.
1711 Model centering Center of F mark is detected, where camera moves.
1712 Model data is displayed. Acquired model image is displayed.
1713 Resolution is measured.
1714 Camera brightness is measured.
1715 Camera tilt is measured.
1716 T-axis center is measured.
1717 Focus is taken.
1718 VCS is requested.
1719 Version No. is acquired.
1720 Packet loop back is requested.
1721 Communication speed changes.
1722 All device & model data are deleted.
1723 Specified device data is deleted.
1724 Specified model data is deleted.
1725 Specified resolution data is deleted.
1726 All device data is sent.
1727 Device data is sent.
1728 Model data is sent.
1729 Gain/offset of camera is sent.
1730 Model data is received.
1731 Detailed result of Image processing is sent.
1732 Resolution data is backed up.
1733 Resolution data is restored.
1734 Reset is required. System automatically resets vision system to cancel error.
1735 Cancel is required.
1736 Head offset (S axis) is sent.
1737 Image test is completed.
1738 ADA is completed.
1800 No header file is found. Save data in Data Edit window.
1801 No board data is found. Save data in Data Edit window.
1802 No placement data is found. Save data in Data Edit window.
1803 No pickup data is found. Save data in Data Edit window.
1804 Header file is abnormal. Save data in Data Edit window.
1805 Board data file is abnormal. Save data in Data Edit window.
1806 Placement data file is abnormal. Save data in Data Edit window.
1807 Pickup data file is abnormal. Save data in Data Edit window.
1808 No component file is found. Save data in Component Library window.
1809 No feeder library is found. Save data in Feeder Library window.
1810 No packaging library is found. Save data in Packaging Library window.
1811 No nozzle ID is found. Perform automatic nozzle ID recognition.
1812 No pallet library is found. Save data in Pallet Library window.
1813 No tray library is found. Save data in Tray Library window.
1814 Component library is abnormal. Save data in Component Library window.
1815 Feeder library file is abnormal. Save data in Feeder Library window.
1816 Packaging library is abnormal. Save data in Packaging Library window.
1817 Nozzle library file is abnormal. Save data in Nozzle Library window.
1818 Pallet library file is abnormal. Save data in Pallet Library window.
1819 Tray library file is abnormal. Save data in Tray Library window.
1820 Image library file is abnormal. Save data in Image Library window.
1829 Task setting for repeat program is wrong. Check task setting of Start block placement and End block placement.
1830 Multiple pickup assignment is wrong. Check task setting og Multiple pickup and End multiple pickup.
1831 Head specification is wrong in multiple pickup. The same head cannot be specified in a multiple pickup block.
1832 Multiple pickup steps in a cycle exceeds the number of heads. Check the number of steps in the multiple pickup cycle.
1833 Theta of ST(F) exceeds +/-180.00 deg. Theta must be within +/-180.00 deg.
1834 X of ST(F) exceeds moveable range. Check X offset in pickup data and X offset in Tape feeder library.
1835 Y of ST(F) exceeds moveable range. Check Y offset in pickup data and Y offset in Tape feeder library.
1836 Z of ST(F) exceeds moveable range. Check Z offset in pickup data and Thickness in Component library.
1837 Theta of ST(R) exceeds +/-180.00 deg. Theta must be within +/-180.00 deg.
1838 X of ST(R) exceeds moveable range. Check X offset in pickup data and X offset in Feeder library.
1839 Y of ST(R) exceeds moveable range. Check Y offset in pickup data and Y offset in Feeder library.
1840 Z of ST(R) exceeds moveable range. Check Z offset in pickup data and Thickness in Component library.
1841 Component is not specified in Pickup Data. Use code of placement data in component code pickup data.
1842 Component is not specified in Component library. Use component code of pickup data in Component library.
1843 Component name is abnormal. Code contains characters not to be used.
1844 Head number is abnormal. Enter correct head No.
1845 Fiducial setting is abnormal. Valid numbers for fiducial group are 0 to 249.
1846 Task setting is abnormal. Select correct item for Task in Placement data.
1847 Type setting is abnormal. Select correct item for Type in Placement data.
1848 X exceeds placement range of board. X of placement data must not exceed placeable area.
1849 Y exceeds placement range of board. Y of placement data must not exceed placeable area.
1850 Z exceeds placement range of board. Z of placement data must not exceed placeable area.
1851 X exceeds moveable range. X of placement data must not exceed moveable area.
1852 Y exceeds moveable range. Y of placement data must not exceed moveable area.
1853 Z exceeds moveable range. Z of placement data must not exceed moveable area.
1854 X exceeds board size. X of placement data must not exceed X of board data.
1855 Y exceeds board size. Y of placement data must not exceed X of board data.
1856 X of head parking exceeds moveable range X of head parking of board data must not exceed moveable range.
1857 Y of head parking exceeds moveable range. Y of head parking of board data must not exceed moveable range.
1858 Z of head parking exceeds moveable range. Z of head of parking of board data must not exceed moveable range.
1859 Theta of head parking exceeds +/-180.00 deg. Theta must be within +/-180.00 deg.
1860 Board length exceeds specified range. X of board data must not exceed moveable range.
1861 Board width exceeds specified range. Y of board data must not exceed moveable range.
1862 Pickup position exceeds range. Check X/Y/Z offset in pickup data and X/Y offset in Feeder library.
1863 Feed style of pickup data is wrong. Enter correct feed style for pickup data.
1864 No component code is found in Pickup Data. Enter correct component code for pickup data.
1865 ST No. exceeds range. Enter correct ST No. for pickup data.
1866 No feeder/pallet name is found in Pickup Data. Enter code of Feeder/Pallet library into Feeder/Pallet of pickup data.
1867 No packaging/tray name is found in Pickup Data. Enter code of Packaging/Tray library into Packaging/Tray of pickup data.
1868 Pickup skip is wrong in Pickup Data. Enter correct data into Skip of Pickup data.
No. Main Detail
1869 Max. pre-processed component height exceeds range in Board Data. Max. preprocessed component height must be within 0mm (0mil) to the Max. Component
Height" in system parameter."
1870 Max.component height exceeds range in Board Data. Max.component height must be within 0mm (0mil) to the Max. Component Height" in system
parameter."
1871 No data is found in Feeder and Pallet Libraries. Enter code of Feeder/Pallet library into Feeder/Pallet of pickup data.
1872 No data is found in Packaging and Tray Libraries. Enter code of Packaging/Tray library into Packaging/Tray of pickup data.
1873 The component height exceeds the Max. Component Height. Thickness" in Component Library ranges from 0.01mm (0.04mil) to the "Max. Component
Height" in system parameter."
1874 Five or more continuous F mark lines are specified. Five or more continuous F mark lines must not be specified.
1875 No image data of F mark is found. Enter code of fiducial data into code of placement data.
1876 Fiducial No. is specified at line before F mark line. Make fiducial lines before fiducial group specification.
1877 No model data for fiducial mark is found. Acquire the model image.
1878 PackagingLibrary>Angle must be either 0, 90, 180, or -90 degrees. PackagingLibrary>Angle must be either 0, 90, 180, or -90 degrees.
1879 Nozzle No. registered in Component Library is not found in Nozzle information. Perform automatic nozzle ID recognition.
1880 Nozzle pressure (Hg) exceeds range. Nozzle pressure (Hg) must be within between 1 -660.
1881 No image data is found. Enter image data into image code of Component library.
1882 Z slowdown ratio is wrong. Z slowdown ratio must be within between 1 -1000.
1883 No image data is found. Enter image code registered into Component library.
1884 Camera No. is wrong. Enter correct centering into Component library.
1885 Reject No. in Packaging Library exceeds range. Reject No. must be within 1 to 10.
1886 X of reject location exceeds moveable range. X of reject location must not exceed moveable range.
1887 Y of reject location exceeds moveable range. Y of reject location must not exceed moveable range.
1888 Z of reject location exceeds moveable range. Z of reject location must not exceed moveable range.
1889 Theta of reject location exceeds +/-180.00 deg. Theta must be within +/-180.00 deg.
1890 Component reject/reuse setting of Packaging Library is abnormal. Enter correct data into Reject/Reuse of Packaging Library.
1891 X of tray first pickup point exceeds range. X of tray first pickup point must not exceed range.
1892 Y of tray first pickup point exceeds range. Yof tray first pickup point must not exceed range.
1893 TrayLibrary>PackageAngle must be either 0, 90, 180, or -90 degrees. TrayLibrary>PackageAngle must be either 0, 90, 180, or -90 degrees.
1894 Component height of Tray Library exceeds range. Component height of Tray Library must not exceed range.
1895 Component reject/return of Tray Library is abnormal. Enter correct data in component reject/return of Tray Library
1896 X pitch of Tray Library exceeds range. X pitch of Tray Library must not exceed range.
1897 Y pitch of Tray Library exceeds range. Y pitch of Tray Library must not exceed range.
1898 Component No. of Tray Library exceeds range. X/Y components of Tray library must be within 1 to 99.
1899 The same ST No. is used. The same ST No. must be not used.
1900 Link of feeder to tray is found for the same component. Feeder to tray must not be linked for the same component.
1901 Pre-alignment and multiple-view process cannot be done for scan camera. Pre-alignment and multiple-view process must not be done for scan camera. Check image
code.
1902 No image data of bad mark is found. Enter bad mark code registered into Code of placement data.
1903 Theta of Placement Data exceeds range. Theta must be within +/-180.00 deg.
1904 Beam sensor cannot be used. Beam sensor cannot be used for mark recognition and teaching.
1905 Main teach camera cannot be used. No main teach camera option.
1906 Bad mark cannot be used in aux. teach camera. No main teach camera option.
1907 F mark cannot be used in aux. teach camera. No main teach camera option.
1908 Fixed camera recognition cannot be used. No fixed camera option.
1909 Scan camera recognition cannot be used. No scan camera option.
1910 This head cannot be used. Specified head is not installed.
1911 No nozzle name is found. Enter correct nozzle name.
1912 Nozzle No. exceeds range. Nozzle No. must be within 1 to 254.
1913 Short of nozzles Short of nozzles for the current program.
1914 F mark detection cannot be detected by beam sensor. F mark detection can be detected only by main teach camera.
1915 Bad mark detection cannot be detected by beam sensor. Bad mark detection can be detected only by main teach camera.
1916 F mark detection cannot be detected by aux. teach camera. F mark detection can be detected only by main teach camera.
1917 Bad mark detection cannot be detected by aux. teach camera. Bad mark detection can be detected only by main teach camera.
1918 The same component name is used. The same component name must not be used.
1919 The same image code is used. The same image code must not be used.
1920 The same feeder name is used. The same feeder name must not be used.
1921 The same pallet name is used. The same pallet name must not be used.
1922 The same packaging name is used. The same packaging name must not be used.
1923 The same tray name is used. The same tray name must not be used.
1924 The same nozzle name is used. The same nozzle names must not be used.
1925 No executable program is found. No program or Skip is assigned for all the program steps.
1926 Specified feed style is not installed. Enter correct data into feed style.
1927 Feed style of pallet library is wrong. Feed style must be the same with that of Pallet library.
1928 Pallet No. of pallet library exceeds range. Pallet No. range: MX-2=1, MX-40=1-20
1929 No pallet is registered in Pallet Library. Enter pallet No.
1930 Board length (X) of Board Data exceeds the limit. Board length (X) 30mm(1181.1mil) or less cannot be used.
1931 No pickup data Enter pickup data.
1932 Specified head exceeds moveable range This head cannot be used
1933 Packaging library exceeds range. Enter correct Packaging library for pickup data
1934 Invalid Skip setting Enter a number 0 or 1.
1935 Fiducial steps for compensating the execution steps are skipped. The program is executable. However, placement offsets may result.
1936 Fiducial step to skip is included in sequential fiducial steps. Cannot perform fiducial process properly.
1937 Invalid board size is entered for automatic conveyor width setting. Check PCB size.
1938 NozzleLibrary>Directionality is set to invalid value. Enter 0, 1, or 2.
1939 ComponentLibrary>VisionInspection is set to invalid value. Enter 0 or 1.
1940 0 is entered as [Pedestal Thickness]. Set [Pedestal Thickness] (ComponentLibrary>AdvancedSetting) to other than 0.
1941 [Pedestal Thickness] in the component library differs from the user parameter. Set [Pedestal Thickness] in the component library to the user library setting.
1942 0 is entered as [Component ID] (for coplanarity check). Set [Component ID] to 1-255.
1943 [Pallet Retract] is After pickup" when [Reject/Reuse] is "Reuse"." The component is reused but the pallet is retracted after placement.
1944 Nozzle name error. Use a nozzle name from the master library.
1945 The same code is used for image code and for fiducial mark code. Change either the image code or the mark code.
1946 The same code is used for image code and for bad mark code. Change either the image code or the mark code.
1947 The same code is used for both fiducial and bad mark. Change the mark code for either one.
1948 The nozzle set on the head is different from that specified as a fixed nozzle. Change either the nozzle on the head or designation of the fixed nozzle.
1949 The nozzle No. specified is not registered in the nozzle library. Check the nozzle No. for the component.
1950 APC is valid only for F/R banks. Disable the APC function for other parts than F/R banks.
1951 Delay time for Chuck ON is out of the range. Enter a value for [Delay for Chuck ON] in the nozzle library in the range of 30 ms to 999
ms.
1952 The value for [Chucking Depth] is out of the range. Enter a value for [Chucking Depth] in the nozzle library in the range of 1.5 mm to 2.0
mm.(59.055 mil to 78.74 mil.)
1953 The value for [Side Pickup Offset] is out of the range. Enter a value for [Side Pickup Offset] in the nozzle library in the range of 0.5 mm to 1.0
mm.(19.685 mil to 39.37 mil.) or -0.5 mm to -1.0 mm.(-19.685 mil to -39.37 mil.)
1954 ST No. for reject conveyor in the packaging library is out of the range. Change the ST No. in the packaging library.
1955 There are 3 fiducial steps. Fiducial process must consist of 1, 2 or 4 fiducial steps.
1956 Fiducial numbers are different. Give the same fiducial number for each fiducial step group.
1957 The distance between fiducial marks is less than 3mm. The distance between fiducial marks is 3mm(118.11mil) or more.
1958 Coordinates of the fiducial is not right. The interior angle must be less than 180 degrees.
1959 The value for xa coordinate is larger than that for xb. Make the value for xb coordinate larger than that for xa.
1960 The value for xa coordinate is larger than that for xc. Make the value for xc coordinate larger than that for xa.
No. Main Detail
1961 The value for xd coordinate is larger than that for xb. Make the value for xb coordinate larger than that for xd.
1962 The value for xd coordinate is larger than that for xc. Make the value for xc coordinate larger than that for xd.
1963 The value for yc coordinate is larger than that for ya. Make the value for ya coordinate larger than that for yc.
1964 The value for yd coordinate is larger than that for ya. Make the value for ya coordinate larger than that for yd.
1965 The value for yc coordinate is larger than that for yb. Make the value for yb coordinate larger than that for yc.
1966 The value for yd coordinate is larger than that for ya. Make the value for ya coordinate larger than that for yd.
1967 Placement point is out of the valid range of 4-point fiducials. Move the placement point in the valid range for 4-point fiducials.
1968 Assigned bad mark group is not defined. Define the bad mark group No. for the program step including bad mark group assignment.
1969 Group Bad mark step is re-specified. Each group bad mark can be specified once.
1970 PCB bad mark is defined in the repeat steps. Define the PCB bad mark outside the repeat steps.
1971 The value for bad mark group is incorrect. Valid numbers for bad mark group are 254, 255, and 0 to 249.
1972 PCB bad mark is not defined in the first step. Define the PCB bad mark in the first step when 254" is specified for the bad mark group. "
1973 The [Vision Inspection] is set to Not Apply" for the component." Check the [Vision Inspection] in the component library.
1974 Out of the valid range for compensation. Make it 2-point fiducial or change the mark position.
1975 The value for Z offset for vision process" in the component library is larger Make the value 2mm(78.74mil) or less or make it smaller than the component thickness.
than 2mm or the component thickness."
1976 The value for Thickness of component on nozzle" in the component library is Make the value positive or smaller than the component thickness.
negative or larger than the component thickness."
1977 The value for Grip Length" in the nozzle library is out of the range." Make the value in the range of 0mm to 5.5mm.(0mil to 216.535mil.)
1978 The value for Grip Length" is larger than that for the component thickness." Change the value for Grip Length"."
1979 Head designation for placement is wrong. Check the data type and head No.
1980 Head designation for dispensing is wrong. Check the data type and head No.
1981 Z coordinate for the mark is invalid. The specified coordinates is invalid.
1982 T coordinate for the mark is invalid. The specified coordinates is invalid.
1983 There are no steps or a End Block Placement step after the bad mark step. Check Placement&Mark data.
1984 The dispense code is not registered in the dispense library. Register dispense codes to be used.
1985 Coordinates for the dispense check step are invalid. The operation to specify coordinates here is invalid.
1986 The offset value in the dispense library is invalid for the dot station area. Set the offset value in Dispense Library to be in range of Dot-station area.
1987 The image code in the dispense library is not registered. Register image codes to be used.
1988 Board size is not specified. Data check for placement coordinates cannot be performed properly.
1989 Distance for line dispense is not proper. The value for the distance between the start and end of discharge is negative.
1990 No line dispense option. Line dispense cannot be assigned because the optional line dispensing function is not
included in this machine.
1991 Line dispense designation is not proper. There is no Start Line assignment before the End Line assignment.
1992 Feed style in the pallet library is not correct. Select the correct unit for the feed style.
1993 The syringe code is not registered in the syringe library. Register syringe codes to be used
1994 T coordinates for Repeat Offset steps will be invalid. To make T coordinates for Repeat Offset steps valid, perform block data conversion.
1995 The nozzle may interfere with placed components. The problem may be solved if you place the thick component last.
1996 This machine cannot use edge registration. It uses clamp registration. Set Pin/Clamp for registration in Board data.
1997 Thickness" is not specified in the Component Library." Input Thickness value in Component Library.
1998 The nozzle may interfere with pre-processed components. Check the Max Pre-processed Component Height in Board Data.
1999 Repeat placement cannot be performed when PCB select bad mark" is used." Perform Block Data Conversion"."
2000 Optimization of nozzle arrangement was not performed because of ANC Optimization of nozzle arrangement was not performed because of several factors.
shortage.
2001 Reject tray No. is not specified in Reject Location No. Set 1" for Reject tray (F) and "2" for Reject tray (R) in Reject Location No."
2002 Reuse" is selected although the feed style is "ST-F" or "ST-R"." Check that the setting of Reject/Reuse" is proper for the target component code."
2003 Reject Location No. for reject conveyors must be 1 to 10. Specify a number from 1 to 10 for Reject Location No.
2004 Station No. for reject conveyor is not specified. Specify the Station No. where Reject conveyor is set in Pick data.
2005 Reject Location No. for specified positions must be 3 to 10. Specify a number from 3 to 10 for Reject Location No. in Pick data.
2006 Reject Conveyor is selected although the feed style is MX"." Check that the setting of Reject/Reuse" is proper for the target component code."
2007 Y coordinate for reject tray is invalid. Y coordinate from ST origin should be in range of +56mm~+86mm for front, and -56mm~-
86mm for rear.
2008 Coordinates for reject location is either in ANC/Fixed Camera area or in MX Check the setting of Reject Location in User Parameter whether the specified coordinates
movable area. are proper.
2009 The pickup point specified by Pickup Priority does not exist. Check the existence of the pickup point specified by Pickup Priority.
2010 Pickup Area Travel Height + Offset value exceeds Normal Travel Height. Set the Pickup Area Travel Height" to be below the "Normal Travel height". "
2011 This component code is registered in Pickup Data, but it is skipped or not used Check the Placement&Mark data whether the skip setting is proper.
in Placement & Mark Data.
2012 Reject Location No. in Tray Library exceeds range. Reject Location No. must be within 1 to 10.
2013 X offset of pickup point is out of range. The absolute value of X offset should be smaller than that of Practicable Pickup Range X in
Feeder Library.
2014 Y offset of pickup point is out of range. The absolute value of Y offset should be smaller than that of Practicable Pickup Range Y in
Feeder Library.
2015 The Repeat Offset data is not proper so that placement points may be
overlapped.
2016 Placement area of the component may be overlapped with others.
2017 Flange part of nozzle may collapse with any of mounted components on PCB. Change the mounting order for the taller components to be the last.
2018 The mount point for the component is out of possible placement area.
2019 The mount point for the component is out of possible placement area at Pin
setup specification.
2020 The component height exceeds the limit(2.0mm) in the specific area with
height restriction at Pin setup specification.
2021 A part of the mounted component may be out of PCB area specified by PCB
size.
2022 Prerotation function is not available because the nozzle has restriction for The nozzle is set to Fixed" or "Fixed(reversible)" for Directionality and Prerotation function
rotation. is not available."
2023 The specified mark position is out of possible recognition area.
2024 The specified mark position is out of possible recognition area at Pin setup
specification.
2025 A part of the mark may be out of PCB area specified by PCB size.
2026 The component code name in the Placement data contains any space"key If there are any component codes containing any space" key entries optimization function
entries." may not work properly. "
2027 Component pickup surface height is set smaller than Grip length. Component pickup surface height should be set bigger than Grip length. (Set 0 for the
setting when pickup height is same as component thickness.)
2028 Pre-rotation function cannot be performed when different mount angle is Take one of the following ways; specify Single Pickup" for Task specify the other Head
specified for each of oriented-nozzles in the same cycle. controlled by different T-axis or disable the Pre-rotation at Polarity setting."
2029 Pre-rotation cannot be performed for oriented-nozzle with other nozzles' Take one of the following ways; specify Single Pickup" for Task specify the other Head
rotation process in the same cycle. controlled by different T-axis or disable the Pre-rotation at Polarity setting."
2030 The pre-rotation processed component may rotate after vision recognition To keep pre-rotated status, specify Single Pickup" for Task or specify the other Head
because of other Heads' rotating action in the same cycle. controlled by different T-axis."
2031 Feeder cannot set on the location because of interference with next feeder. Change ST No. to make more space with next feeder.
2032 The component size may be too small for the specified camera to recognize. Change Centering" setting to use another camera."
2033 The component size may be too larger for the specified camera to recognize. Change Centering" setting to use another camera or use multiple view process."
2034 The component height exceeds the limit for the specified camera. Change Centering" setting to use another camera."
2035 There is no model data for pattern matching component. Acquire the model data.
2036 Some pickup records not used for the program have the same ST No. specified Be sure that this pickup record is not used during production.
with others.
2037 The components picked by both ends of head are too large to perform multiple To avoid collision with scan camera, the multiple pickup will be divided in different pickup
pickup in a same cycle. cycle.
No. Main Detail
2038 The component may be hit by scan camera because its size is too big. Use Fixed Camera for recognition or specify another head for pickup.
2039 The specified coordinates for dispensing are overlapping with others.
2040 Coplanarity Check data is not registered. Please check Coplanarity Check data in Image data.
2041 The number of Coplanarity check data to be used for production is over the
maximum, 99 records.
2042 The Coplanarity check is not available even though it is set to Use" in
Component Library."
2043 Spaces among the components that are captured sequentially at Coplanarity The same components that are measured at the same angle will be captured sequentially.
Check are incorrect. Pick up the components at the specified pitch intervals.
2046 The specified parameter for Transfer time after PCB passed the arrival It should be 101ms or more.
sensor" is too much small."
2047 The specified parameter for Delay for PCB Simul. Transfer" is too much small. It should be bigger than the parameter of Transfer time after PCB passed the arrival
" sensor" for 50ms or more."
2048 When using the Relocatable function, it may be impossible to start production Skip setting must be done in Placement data instead of pickup data.
if any pickup data are set to NotAvailable"."
2049 The component may collide with the other component picked up by next head Divide the pickup cycle in different cycles or use other head to pick up in order to avoid
in a same pickup cycle because of its large size. the collision.
2050 Board Size has not been set yet, and data check for mounting points cannot Input Board Size correctly.
be performed.
2051 There are any Placement steps skipped. Some components will not be placed. Check data again.
2052 There are any Pickup data skipped. Some components will not be placed. Check data again.
2053 Too many fiducial processes. The number of fiducial processes must be 300 or less (repeat steps included).
2054 The dispense point is out of the possible dispense area.
2055 The dispense point is out of the possible dispense area at Pin setup
specification.
2056 The Repeat Offset data is not proper so that dispense points may be
overlapped.
2057 The setting position of PS-MS3 exceeds allowable range. Do not set the PS-MS3 on the 2 feeder stations from both ends of the feeder bank.
2058 Pre-rotation function is disabled because coplanarity check function is
specified.
2059 The tip of the nozzle will move down lower than the board surface when it Check Z of placement data, thickness and Z of board origin of board data.
mounts a component.
Index

Illuminator settings, 5-70 Converting


2 Blob Process, 5-49 mounter data to CSV files, 12-4
2/4 points, 2-13 Board Conveyor
loading and clamping, 9-24 configuration, 9-25
A Board counter display, 8-14 testing, 9-40
Active Board data, 2-24, 8-3 Coplanarity, 5-32
cell, 2-8 Board ID, 10-23 Copying
Actuator, 2-71 Brightness, 5-79 lines, 2-19
measuring timing, 9-23 other file’s data, 2-23
moving, 9-22 C Copying & pasting a line, 2-19
ADA CAD data conversion, 4-2 Count X / Y, 2-64
via the scan camera, 5-28 applicable CAD data, 4-10 CPL Process, 5-49
Adjusting the Rear Clamp, 1-21 CAD format, 4-5 Crash
Air pressure, 9-29 executing, 4-9 System, 9-4
Alarm beacon, 1-7 overview, 4-3 Creating
All Feeders Fixed, 3-4 standard CAD data layouts, 4-11 files, 2-21
ANC Calculator menu, 9-46 Creating Multi-panel, 2-64
testing, 9-37 Calibration, 10-3 Cutting & pasting a line, 2-19
ASJ, 8-15 Camera Cycle Time Priority, 3-4
Auto Nozzle Allocation, 3-5 adjusting, 10-30
specifications, 5-44 D
Automatic Backup menu, 12-6
Automatic conveyor width setting, Cell Data Type, 2-32
9-28 changing column width, 2-3 Default Nozzle Setting, 3-5
changing row height, 2-3 Delay Vacuum ON, 5-32
Automatic nozzle ID recognition,
Cells, 2-8 Deleting
5-82, 8-4
Center search files, 2-23
Availability, 2-51
fiducials, 5-70 lines, 2-20
AWC button, 9-28
procedure, 5-77 Detailed Thickness, 5-34
Axis CFB
moving, 9-7 Disable Screensaver menu, 9-46
locking and unlocking, 9-26, 9-38
zeroing, 9-9 Distance X/Y, 5-73
Changeable feeder bank, 1-8
B Check box, 2-10 E
Check program menu, 2-69
B mark negative logic, 2-32 Editing
Checking bad marks, 2-39, 5-78
B mark positive logic, 2-32
programs, 2-69 board data, 2-24
Background color
Chips, 5-48 CAD format, 4-5
customizing, 2-2
Choke thresh., 5-80 fiducials, 2-45, 5-71
Backing up
Choose from list, 2-10 programs, 2-30, 5-30, 5-31, 5-32
system programs, 12-8
Closing repeat programs, 2-39
Backing up & restoring
files, 2-23 skip assignment, 2-54
automatic backup, 12-6
windows, 2-4 tray library, 5-89
system parameters, 10-30
Code, 2-30 Editor, 2-24
user data, 12-2
Codes, 5-31 Enable Screensaver menu, 9-46
Bad Mark Function, 2-33
Column Enable switch, 7-6
Bad marks, 2-39, 5-78
color, 5-78 changing width, 2-3 Entering
creating, 5-78 Combo box, 2-10 data, 2-10
positive/negative logic, 2-41 Component code, 2-30 Error messages, 9-2
Ball Check, 5-68 Component code, 5-32 Extra length, 5-80
Ball circum. perm., 5-63, 5-67 Component count, 8-12

Ball pitch perm., 5-63, 5-67 Component library, 5-31, 5-32
Ball reduction, 5-64, 5-68 advanced settings, 5-34 Feed Style, 2-50
Ball Size Perm., 5-67 Component Pickup Surface, 5-34 Feeder / Pallet, 2-50
BGA mode, 5-61 Components Feeder code, 9-30
difference from BGA/CSP mode, handling in machine down, 9-43 Feeder Layout Mode, 3-4
5-69 reject location, 10-2 Feeder library, 5-93
limitations, 5-65 replenishing, 9-44 Feeder presetter, 1-7
BGA/CSP mode, 5-66 Connector panel, 1-6 Feeder Setting Condition, 3-4
BGAs and CSPs Connectors, 5-49 Feeder Setting menu, 9-30
Feeder Skip, 8-11, 10-5 Image Library Advanced Setting, Log files
Feeder-related libraries, 5-83 5-41 gathering, 9-2
Feeders Image Library dialog box, 5-40 Lot No., 10-23
actuating, 9-23 Image process time, 2-71
linking, 2-52

Image test, 5-29
Fiducials, 2-31, 2-32, 5-70 component, 5-29 Machine, 3-5
algorithms, 5-70, 5-71 mark, 5-29 Machine Origin (ST) menu, 10-29
creating, 5-71 Inching, 9-7 Maintenance menus, 9-35
global and local, 2-44 Initial setting Actuator menu, 9-22
illuminator settings, 5-71 ANC, 8-3 Air Pressure menu, 9-29
learning feature, 2-46 MX-40B/-20F, 8-5 ANC Test menu, 9-37
mark centering, 5-70, 5-76 Inserting Conveyor Test menu, 9-40
mark material, 5-71 lines, 2-20 Feeder Bank menu, 9-26, 9-38
programming, 2-44 Illumi. Test menu, 9-38
Intelligent Feeder
shape, 5-73 Load Board menu, 9-24
Barcode Input Software, 13-2
tentative adjustment, 8-21 Nozzle Scan Test menu, 9-39
Barcode Print Software, 13-2
Files Client Communication Software, Servo Off menu, 9-36
closing, 2-23 13-2 Signal I/O menu, 9-12
copying, 2-23 Command barcode, 13-29 Manual menus, 9-6
creating, 2-21 Component barcode, 13-9 Actuator menu, 9-22
creating duplicate files, 2-23 Component code, 13-9 Air Pressure menu, 9-29
deleting, 2-23 Component table, 13-20 Axis menu, 9-7
opening, 2-21 Feeder Barcode, 13-17 Conveyor Width menu, 9-28
reopening, 2-22 ID database, 13-17 Feeder Setting menu, 9-30
saving, 2-22 Performance record, 13-27 Load Board menu, 9-24
saving as, 2-22 Relocatability, 13-27 MX-ST2 menu, 9-34
Finding Server Communication Software, Nozzle menu, 8-3, 9-29
text, 2-9 13-2 Origin menu, 9-9
Fixed Camera On-The-Fly Function, Server software, 13-16 Signal I/O menu, 9-12
5-46 Server Software, 13-7 Warm Up menu, 9-10
Floppy disks Inventory Status, 8-8 Map
formatting, 12-5 program data, 1-19, 1-25
Fonts J Mark code, 2-30, 5-72, 5-78
customizing, 2-2 JEDEC tray, 7-6 Master bad mark, 2-36
Formatting Job Master library, 5-94
floppy disks, 12-5 restarting, 9-41 copy from, 5-94
Four-point teach, 2-13 stopping, 9-41 Match threshold, 5-75
Job run, 8-7 Missing balls and leads, 5-48

component lack, 8-14 MMI Messages, 14-10
Global fiducial, 2-45 procedure, 8-13 Model X, 5-73
GoTo menu, 2-8 Model Y, 5-73
Group bad mark, 2-36

Movable Range of Head Assembly,
Group Edit, 2-59 Keyboard entry, 2-10 1-20
L Moveable Without Allocation, 3-4

Moving
Handle lead, 5-51 Lead bend permission, 5-47 lines, 2-19
Head, 2-31 Lead foot, 5-51 to a line, 2-8
Head nozzle, 5-2 Lead row, 5-52 to a related editor, 2-8
Head/ANC Setup menu, 10-29 Libraries windows, 2-4
Header component library, 5-31, 5-32 Multiple pickup, 2-32, 2-47
programs, 2-24 copying, 5-94 Multiple-view process, 5-45
Header menu, 2-24 feeder library, 5-93 Multi-stick feeders
feeder-related libraries, 5-83 setting, 5-93
I image library, 5-38 Multi-up panel, 2-39, 2-46
master and user libraries, 5-94 MX-40B/-20F
Ignore, 3-5
nozzle library, 5-80 initial setting, 8-5
Illumination
packaging library, 5-92 MX-ST2, 7-6, 9-34
testing, 9-38
pallet library, 5-84 operation, 7-6
Illumination Adjustment, 5-26 tray library, 5-88
Image code, 5-32 vision-related libraries, 5-31 N
Image library, 5-38 Limit of Correction, 5-36
chips, 5-48 Network Neighborhood menu,
Line search, 8-15
connectors, 5-49 9-46
Link Setting, 10-5
CPL Process, 5-49 Network Properties menu, 9-46
Local fiducial, 2-45
dimensions settings, 5-46 Nozzl Mng, 3-5
Log, 11-6
Nozzle Alloc, 3-5
Nozzle Count, 3-5 PS-MS3, 8-12 Shortage alarm, 9-44
Nozzle Inventory, 3-5 Shortage Alarm, 2-51
Nozzle library, 5-80
Q Side Pickup Nozzle, 2-52
Nozzle No., 5-80 QR code, 10-19 Signal I/O, 9-12
Nozzles, 9-29 Quitting Signal input
actuating slide channel, 8-4 in case of system crash, 9-4 map, 9-18
automatic nozzle ID recognition, Signal Input Monitor window, 9-12
5-24

Signal output
automatic setting, 5-23 Radio button, 2-10 map, 9-20
manual setting, 5-23 Random Ball Arrangement, 5-68 Signal Output Control window,
scan test, 9-39 Rear clamp, 1-21 9-15
O Rear-side operation, 1-5 Simulation cycle, 2-71
Reduce the number of nozzle for Simultaneous pickup, 2-47
Offset permission, 5-47 requirements, 2-47
use, 2-67
One-point teach, 2-12 Single pickup, 2-32, 2-47
Reenter Password menu, 9-46
Opening Size permission, 5-47
Reference coordinates
files, 2-21 Skip, 2-32
MX-40/-20/-ST2, 5-87
Operators MX-RT1, 5-86 Skip editor, 2-54
management, 11-7 Reference designator, 2-31 Skip lead count, 5-52
records, 11-9
Register Operator menu Slide channel, 9-37
registering, 8-2, 11-9
procedure, 8-2 Slider, 2-10
Optimization Priority, 3-5
Reject Conveyor Offset menu, 10-3 Spase Priority, 3-4
Origin menu, 9-9
Reject location, 10-2 Spin box, 2-10
Others, 10-13
Reject Location, 2-51 ST No., 2-50
Others, 5-36
Reopening Standard bad mark, 2-36
P files, 2-22 Start block placement, 2-31
Repeat program, 2-39 Starting Side, 5-68
Packaging / Tray, 2-50
Replace menu, 2-18 Station nozzle, 5-2
Packaging library, 5-92
Replacing Status display, 1-18
Pallet library, 5-84 text, 2-18
Pass PCB Through menu, 8-14 Status Report window, 9-2
Replenishing components, 9-44 Stopping
Passwords Resizing
registering, 11-7 job run, 9-41
windows, 2-4 Switching
renetering, 9-46
Restarting windows, 2-4
Pasting job run, 9-41
lines, 2-19 System Backup menu, 12-8
Restoring System crash, 9-4
Pattern matching in case of system crash, 9-5
fiducials, 5-70 System parameters
system programs, 12-8
procedure, 5-77 adjusting cameras, 10-30
Retrial, 2-48 backing up/restoring, 10-30
PCB Clamp Conveyor, 1-26
Retry count, 5-34 head/ANC setup, 10-29
PCB Code Scan, 10-24
Row machine origin (ST), 10-23, 10-29
PCB Count, 8-14 changing height, 2-3 System Restore menu, 12-8
PCB Rotation, 2-61 Run menus System Startup, 1-10
PCB Select Bad Mark, 2-42 Pass PCB Through menu, 8-14
Performance Record, 10-13 Run menu, 8-7 T
Performance Record, 11-2
Pick thresh., 5-80 S Tact simulation
executing, 2-70
Pickup Data, 2-50 Saving Task, 2-31
Pickup Priority Function, 2-57 files, 2-22
Teach beam, 2-14
Pickup Speed, 5-35 Scan ANC operation, 8-4
Teach dialog box, 2-12
Placement Speed, 5-35 Scan Direction, 2-56
Teach entry
Placement Timing, 5-35 Screen saver, 9-46 mouse, 2-11
Placement&mark data, 2-30, 2-33 Search area switching windows, 2-14
Polarity, 5-32 fiducials, 5-74 teach beam, 2-14
Postpone Retry, 5-89 Search area X, 5-79 Teach points, 2-13
Prearrange menu, 5-24 Search area Y, 5-79 Text Convert menu, 12-4
Pre-operation check, 8-3 Searching Three-point teach, 5-90
Pre-rotation program steps, 8-15 Traceability, 10-23
limitations, 5-33 Selecting Tracing
Priority, 8-11 cells, 2-8 programs, 2-12
Production Info. Transfer, 10-16 lines, 2-19
Transfer Conditions
Program data mapping, 1-19, 1-25 Sequence delay, 10-4 Board data, 2-26
Program trace menu, 2-69 Serial number, 9-46 Transfer Speed
Prov. position, 5-54, 5-55, 5-56, 5-57 Servo Off menu, 9-36 Board data, 2-25
Travel Height, 10-13 Intelligent Feeder, 10-27 Window
Tray library, 5-88 Relocatable, 10-27 closing, 2-4
creating, 5-89 Setup Verifier, 10-27 moving, 2-4
Tray Teach tab, 5-86, 5-89 resizing, 2-4
V switching, 2-4
Tray trace, 5-91
Two-point teach, 2-13 Version numbers, 9-46

Two-side placement, 9-24 Vision process time, 5-34
Vision processing X, Y, Z, T, 2-31
U Components specs, 5-6 X/Y Start, 8-13
Undoing, 2-20 libraries, 5-31
multiple-view process, 5-45 Z
Upgrading
vision processing system, 12-9 Vision processing system Z offset for vision process, 5-34
upgrading, 12-9
User library, 5-94 Θ
User parameter, 10-2 W
User Parameter θ Offset, 2-50
Warm Up menu, 9-10
ID Registration, 10-28

You might also like