Bao Cao Bai1

You might also like

Download as docx, pdf, or txt
Download as docx, pdf, or txt
You are on page 1of 3

Tên môn học:

MSSV:
Yêu cầu đề bài (bài 1)
- Thiết kế hệ thống tạo ra số ngẫu nhiên có giá trị từ 0 đến 99 hiển thị trên 2 led 7 đoạn Anode chung. Hệ
thống sử dụng nguồn xung có tần số 50 Mhz
- Nhấn nút Start, hệ thống sẽ tạo ra một số ngẫu nhiên hiển thị trên 2 led 7 đoạn sau khi nút nhấn Start
được thả ra.
- Nhấn nút Reset, xoá bộ đếm hiển thị trên 2 led 7 đoạn về 00.

Phân tích thiết kế:


- Dựa vào yêu cầu đề tài trước tiên cần có một xung clock đồng bộ cho toàn bộ thiết kế. Theo yêu
cầu của đề tài là 50mhz.
- Ta chọn reset tích cực mức cao (khi rst = 1 thì thực hiện reset).
- Để tạo ra số ngẫu nhiên ta dùng hàm $random có sẵn trong Verilog.
- Khi nút START được nhấn ta thiết kế dò xung cạnh lên của tín hiệu này gọi là start_ed tích cực
cao trong 1 chu kì xung clock và lấy tín hiệu này tạo số ngẫu nhiên.
- Để hiển thị ra 2 led 7 đoạn ta sử dung 1 module để chuyển từ mã hex sang BCD sau đó mã BCD
này sẽ được hiển thị ra trên các thanh LED 7 đọan như bảng sự thật của led 7 đoạn anode chung
bên dưới.
Sơ đồ khối và giải thích chức năng từng module

- Pos_dge_det: làm nhiệm vụ dò cạnh lên của nút ấn START. Mỗi lần có xung cạnh lên từ
start_ed thì trả ra 1 xung tích cực mức cao trong chu kì 1 xung clock.
- Count random: làm nhiệm vụ tạo số 1 ngẫu nhiên có giá trị từ 00  99.
- Hex2bcd: chuyển đổi từ số hex sang số BCD để hiện thị ra led 7 đoạn.
Ví dụ: hex = 8’FF  số BCD: 255
- Led7seg: giải mã từ mã BCD ra LED 7 đoạn và xuất tín hiệu ra led 7 đoạn với enable[1] : hieenr
thị led 7 đoạn 1 và enable[0] hiển thị led 7 đoạn 0.

Ngõ vào ra của thiết kế

Tên tín hiệu Độ rộng bus Loại Giải thích


clk 1 Input Xung clock đồng bộ cho
toàn bộ thiết kế. Trong
thiết kế này chọn xung
clock tần số 50mhz.
rst 1 Input Xung reset tích cực mức
cao
1: thiết kế được reset
0: thiết kế hoạt động
bình thường
start 1 Input Nút nhấn tạo xung
1: ON
0: OFF
a,b,c,d,e,f,g 1 Output 7 thanh của led 7 đoạn
(anod led)
1: thanh led tắt.
0: thanh led sáng.
enable 2 Output 2 bit active của 2 con
led 7 đoạn.
1: led ON
0: led OFF
Kết quả mô phỏng

0 1

Hình 1: Dạng sóng mô tả giá trị led 7 đoạn hiển thị số ngẫu nhiên

Phân tích kết quả


Phân tích kết quả mô phỏng với giá trị số ngẫu nhiên tạo ra là 99 (decimal) tại các thời điểm như bên
dưới:
- Thời điểm 0: LED 7 đoạn hiển thị giá trị 0 ( abcdefg = 0000001  số 0). Tín hiệu reset tích cưc
cao quá trình reset đang diễn ra.
- Thời điểm 1: Nút start đươc ấn để tạo ra 1 số ngẫu nhiên (giá trị là 99 như dạng sóng). Sau đó
nhả nút start về 0 lại
- Thời điểm 2: cả 2 LED 7 đoạn hiển thị giá trị 9 ( abcdefg = 0000110  số 99).. là giá trị của số
ngẫu nhiên được tạo.

Kết luận :
- Kết quả mô phỏng phù hợp với yêu cầu thiết đề ra như đã phân tích ở trên.

You might also like