Download as pdf or txt
Download as pdf or txt
You are on page 1of 42

ELECTRONICS INFORMATION UPDATE

A Mouser Magazine

EUROPE | JANUARY 2023

THE POWER
CHALLENGE
FEATURES
Optimizing PSU layout
Thermal efficiency for
sustainable data centres
PoE redefined
New microgrid technology
Motor drive fault diagnosis
reduces field returns
PLUS

REGULARS
Industry News:
Optimizing autonomous driving
Fusion power one step nearer
Rainforest initiative
O-RU verification
Test & Measurement
Connector Geek
Tech Tips
Dev Kit pick
NPI
subscribe now | emea.info.mouser.com/EIU
Achieve a higher degree of
inventory management

Inventory
Management

mouser.com/inventory-management
ELECTRONICS INFORMATION UPDATE

In this issue...
INDUSTRY NEWS PAGE 4
EIU wishes all its readers a heathy
and prosperous 2023. Our January
* Optimizing autonomous driving * Fusion power one step nearer
issue focuses on that most essential * Rainforest initiative * O-RU verification
of functions, Power, and presents
articles on: Optimizing PSU layout;
Thermal efficiency for sustainable MOUSER NEWS PAGE 9
data centres; Redefining PoE;
New microgrid technology; and how * AMRs – up close and personal * Sensor design resource
in-built motor drive fault diagnosis * Formula E hits 280 kph
reduces field returns.
FEATURES

PoE redefined PAGE 12

New microgrid technology PAGE 16

Optimizing PSU layout PAGE 18

Thermal efficiency for sustainable data centres PAGE 22

Motor drive fault diagnosis reduces field returns PAGE 24

FOCUS

TEST & MEASUREMENT


Monitoring power PAGE 27

CONNECTOR GEEK
Power integrity PAGE 30

DEV-KIT PICK
Mark Patrick spotlights development tools from
Infineon, STM, Analog Devices, ams OSRAM and
David ‘Connector Geek’ Pike considers CML Microcircuits PAGE 32
power integrity, and Stuart Cording
monitors power consumption. Tech TECH TIPS
Tips looks at how Machine Learning ML to reduce wildfires? PAGE 34
can help prepare us for wildfires.
Plus the news round-up, Dev Kit Pick
and, of course, a review of the most NEW PRODUCTS PAGE 36
innovative products now in stock
at Mouser. Newest products now available
from Azoteq, FTDI, TDK and more

Published by Mouser Electronics.


For Editorial contact Nick Foot at
nick.foot@bwwcomms.com.

For Advertising, contact


Claudia Bertaccini at
claudia.bertaccini@mouser.com.

www.mouser.com January 2023 3


NEWS MOUSER NEWS FEATURES FOCUS NEW PRODUCTS

PlugFest verification SiTime wins


for ADI’s O-RU design GSA fiscal
award
At the recent i14y Lab Open RAN The R&S SMM100A vector signal
services and platforms PlugFest, generator, R&S FSVA3000 signal
SiTime has won the Global
Rohde & Schwarz and VIAVI and spectrum analyzer, and R&S
Semiconductor Alliance (GSA) Award
Solutions’ integrated solution for VSE vector signal explorer analysis
for the Best Financially Managed
conformance testing of O-RAN software from Rohde & Schwarz Semiconductor Company for achieving
Radio Units verified Analog Devices’ generate, capture and analyze up to $1 Billion in annual sales. The
innovative 8T8R O-RU reference RF signals, and the TM500 O-RU award is derived from a broad evaluation
design and development kit Tester from VIAVI Solutions has of the financial health and performance
according to both O-RAN and 3GPP implemented the O-DU side of the of public fabless and IDM semiconductor
pre-conformance. M-plane and C/U-plane functionality companies, with numerous financial
which was necessary for the metrics as well as their respective rates
The Joint European O-RAN and TIP configuration of the interface with of change. All companies are ranked on
PlugFest Fall 2022 is supported by the O-RU and to exchange I/Q data each metric as well as its rate of change,
Deutsche Telekom and the European over the Open Fronthaul. and then the overall performance
Advanced Network Test Center. is compared to the peer universe to
The test platform verified determine the winner.
Analog Devices’ O-RU reference
design according to the O-RAN “We are honored to be recognized
conformance test specifications by the global semiconductor industry
defined by the open fronthaul with the Best Financially Managed
Semiconductor Company Award," said
interfaces workgroup WG4. This
Rajesh Vashist, CEO of SiTime. "SiTime is
includes the control and user plane
on an exciting journey to build an iconic
(CU-Plane) for both FDD and TDD
company that delivers value to all our
modes of operation. stakeholders. This award is dedicated
to our worldwide team, who has been
In addition, the setup supported focused and courageous, delivering
test cases from 3GPP TS 38.141- precision timing solutions adopted by
1 Base Stations (BS) conducted 20,000 customers in 300 applications
conformance transmitter testing. across all electronics. ”
Network disaggregation brings
new challenges because it requires Joe Barry, Vice President of Wireless “SiTime continues to make innovative
interoperability between network Communications at Analog Devices, strides within the semiconductor
equipment from different vendors. said, “By pre-testing Analog Devices’ industry, as the pure-play precision
The evolving O-RUs need to conform O-RU reference design platforms timing leader with a year-over-year
with both 3GPP and O-RAN for interoperability and O-RAN improvement and top financial
standards. Fronthaul conformance conformance standards using the performance since its IPO. SiTime’s
tests, defined by the O-RAN Rohde & Schwarz and VIAVI test growth strategy is built on a solid
Alliance, ensure that the emerging setup, our customers can accelerate foundation of financial performance
O-RU designs are interoperable with their integration efforts with that is transforming the timing market.
This recognition is well deserved, and
the O-RAN distributed unit (O- confidence. This will help to reduce
we congratulate the team on all its
DU). Analog Devices’ flexible O-RU design cycles and significantly
accomplishments," said Jodi Shelton,
reference design and development improve time to market.”
President and Co-Founder of GSA.
kit, the ADRV904x-RD-RUMB 8T8R
O-RU, supports time division duplex www.rohde-schwarz.com/ www.sitime.com
as well as frequency division duplex uk/solutions/test-and-
modes and multiple bands including measurement/wireless-
all FR1 frequencies from 600MHz up communication/mobile-
to 6GHz. network-infrastructure-testing/
testing-open-ran/testing-open-
It also includes a complete O-RAN ran_255230.html
RU Split 7.2 x split for 4G & 5G NR
standards.

4 January 2023 www.mouser.com


NEW PRODUCTS FOCUS FEATURES MOUSER NEWS NEWS

Infineon’s 7% CAGR predicted


Rainforest for automotive semis
initiative The global automotive semiconductor
market is poised to increase at a CAGR
of 7 % from 2020-2030, primarily
Key takeaways include the prediction
that North America will remain lucrative
throughout the assessment period
attributed to a growing demand for 2020-2030, with the microprocessor
The preservation of rainforests is usage of automotive semiconductors, segment anticipated to witness an
crucial to prevent climate change, so according to a report from Future accelerated expansion of 7.0% during
Infineon Technologies is extending Market Insights. the projected period. Passenger vehicle
its collaboration with the NGO types are expected to continue to
Rainforest Connection to monitor Deployed in sensors, 3-D mapping lead the global market among other
vulnerable regions of the earth with technology applications, Matrix segments. The growing production of
advanced sensor technology. LEDs, cameras, LiDAR, and other IC vehicles in several nations complement
applications are projected to grow market growth, increasing the
Rainforest Connection's Guardian the market. preference for best-in-class features and
devices are powered by solar greater performance.
"Digitally equipped manufacturers are
electricity, and transmit live sound
implementing their mobile platforms Steady growth in the need for safety
recordings from rainforests. Artificial
for evolving car platforms and in-car attributes in ultra-modern vehicles will
intelligence is used to analyze the
entertainment. Technology-based propel market growth, as well as factors
data to detect the sounds of threats,
manufacturers have collaborated with such as continuous optimization of
such as chainsaws, with rangers being media-streaming devices and services component size, maintaining balance
alerted and directed to the location. companies due to core capabilities and amid quality and cost of the product,
their aggressive capital investment. and the rising cost of the overall vehicle.
Monitoring larger areas to protect the Despite the debilitating effect of the
world’s remaining forests from being Thus, due to digital connectivity COVID-19 pandemic on the automotive
illegally logged, over 500 Guardian for instance vehicle-to-vehicle semiconductor market, the total year-
devices have been deployed in 30 communication, the market is projected to-year expansion of the market is
countries to date, with active projects to fuel up during the assessment projected to be 5%-8% through 2022.
currently in 25 countries. period," states the Future Market
Insights analyst. www.futuremarketinsights.com/reports/
The system can also be used automotive-semiconductor-market
to monitor biodiversity, such as
the presence of primates, birds,
frogs, insects, and bats. Rainforest
Connection has collected more Pipeline The Pipeline Network
market in the U.S. is

Networks
than 92 million minutes of sound estimated at US$2.7
recordings in recent years, including Billion in the year
numerous recordings of endangered 2020. China is forecast
and endemic species.
to hit to reach a projected
market size of US$3.2

$15.1Billion
The collaboration also plans to equip Billion by the year 2027
a number of Guardian devices with trailing a CAGR of
Infineon's XENSIV PAS CO2 sensor, to 10% over the analysis
considerably expand the database for period 2020 to 2027.
recording biodiversity, and eventually According to ResearchAndMarkets.com,
sound recordings can be linked the global market for Pipeline Networks Among the other noteworthy
with other information including is projected to reach a revised size of geographic markets are Japan and
temperature and humidity. US$15.1 Billion by 2027, growing at a Canada, each forecast to grow at
CAGR of 6.2% over the analysis period 3% and 5.5% respectively over the
Rainforest Connection has already 2020-2027. 2020-2027 period. Within Europe,
deployed ten of these devices in Germany is forecast to grow at
Brazil, proving that the data that the Solutions are projected to record 5.9% approximately 3.7% CAGR.
CO2 sensor provides can help monitor CAGR and reach US$9.7 Billion by the end
biodiversity. of the analysis period, with growth in the www.researchandmarkets.com/
Services segment readjusted to a revised reports/5303918/pipeline-network-
www.infineon.com 6.9% CAGR for the next 7-year period. global-strategic-business-report

www.mouser.com January 2023 5


NEWS MOUSER NEWS FEATURES FOCUS NEW PRODUCTS

Optimizing autonomous driving


“The GENESIS for R-Car, which is a cloud-based evaluation
environment that we built jointly with Renesas, allows
engineers to evaluate and select devices earlier in the
development cycles and has already been used by many
customers,” said Satoshi Miki, CEO of Fixstars. “We will
continue to develop new technologies to accelerate machine
learning operations (MLOps) that can be used to maintain
the latest versions of software in automotive applications.”

Renesas and Fixstars tools designed to meet these needs


include a R-Car Neural Architecture Search (NAS) tool for
generating network models optimized for R-Car, which
generates deep learning network models that efficiently
utilize the CNN (convolutional neural network) accelerator,
Renesas Electronics and Fixstars Corporation have DSP, and memory on the R-Car device. The R-Car DNN
announced the joint development of a suite of tools that Compiler for compiling network models for R-Car converts
allows optimization and fast simulation of software for optimized network models into programs that can make
autonomous driving (AD) systems and advanced driver- full use of the performance potential of R-Car. It converts
assistance systems (ADAS). Specifically designed for R-Car network models into programs that can run quickly on the
SoC devices from Renesas, the tools make it possible to CNN IP and also performs memory optimization.
rapidly develop network models with highly accurate object
recognition, taking advantage of the performance of the The R-Car DNN Simulator for fast simulation of compiled
R-Car. This reduces post-development rework and thereby programs can be used to rapidly verify the operation of
helps shorten development cycles. programs on a PC, rather than on the actual R-Car chip. Using
this tool, developers can generate the same operation results
“Renesas continues to create integrated development that would be produced by R-Car. If the recognition accuracy
environments that enable customers to adopt the ‘software- of inference processing is impacted during the process of
first’ approach,” said Hirofumi Kawaguchi, Vice President of making models more lightweight and optimizing programs,
the Automotive Software Development Division at Renesas. engineers can provide immediate feedback to model
“By supporting the development of deep learning models development, therefore shortening development cycles.
tailored to R-Car, we help our customers build AD and
ADAS solutions, while also reducing the time to market and www.renesas.com/software-tool/tools-optimize-ai-
development costs.” software-adadas-r-car-soc

STM & TDK pass


Additionally, TDK secured an “A-” ranking in the climate
change category for the third year running.

high water mark Sustainability has been central to ST’s business model and
culture for over 25 years. ST continually reduces its water
footprint, while sustaining highest standards of wastewater
TDK Corporation and STMicroelectronics have been treatment and control before being released into the
recognized for their leadership in corporate sustainability by environment. In 2021, water consumption per unit of
global environmental non-profit CDP, securing places on its production was reduced by 16% compared to 2020, in line
prestigious ‘A-List’ for focusing on Water Security. TDK has with the 2025 target to reduce water consumption by 20%
established the TDK Environmental Charter, consisting of the vs 2016.
“Basic Principle on the Environment” and the “Basic Policy
on the Environment,” which makes up the entire group's The TDK Group will leverage its innovative core technologies
environment policy aimed at contributing to the sustainable and solutions to advance the development of a sustainable
development of society. society, helping to ensure that future generations worldwide
have access to clean and safe water supplies. ST continues
CDP is a global non-profit that drives companies and to reduce their water footprint in all their operations and
governments to reduce their greenhouse gas emissions, sites, addressing water risks throughout the supply chain and
safeguard water resources, and protect forests. CDP’s annual territories wherever they operate, continuously developing a
environmental disclosure and scoring process is widely positive impact and support for communities.
recognized as the gold standard of corporate environmental
transparency. Based on data reported through CDP’s 2022 The full list of companies that made this year’s CDP A List is
Water Security questionnaire, TDK and STMicroelectronics available here: www.cdp.net/en/companies/companies-scores
are among the small number of companies that achieved an
‘A’ - out of more than 10,000 companies scored.

6 January 2023 www.mouser.com


NEW PRODUCTS FOCUS FEATURES MOUSER NEWS NEWS

Fusion power Keysight’s Eggplant


recognized as a
one step closer leader in Continuous
Scientists at the Lawrence Livermore National Lab in Test Automation
California have achieved a breakthrough in fusion energy
generation, briefly obtaining a net energy gain in a Keysight Technologies announced that its Eggplant test
fusion experiment using lasers. The scientists focused automation platform has been named a Leader in ‘The
a laser on a target of fuel to fuse two light atoms into Forrester Wave: Continuous Automation Testing Platforms,
a denser one, releasing the energy. Kimberly Budil, the Q4 2022’ report. Forrester evaluated 15 vendors, assessing
director of Lawrence Livermore, told reporters at an them on 26 criteria grouped into current offering, strategy,
Energy Department event that science and technology and market presence. The Eggplant platform received the
hurdles mean commercialization is closer. "With concerted top rating possible in 12 criteria, including: automation
effort and investment, a few decades of research on the execution/continuous testing, testing journey, reporting,
underlying technologies could put us in a position to build analytics and quality insights, product vision, execution
a power plant," Budil said. roadmap and commercial model.

This milestone, also known as an energy break-even, Gareth Smith, GM Software Test Automation at Keysight
produced more energy from fusion than the laser energy Technologies, said: "The quality of digital experiences now
used to drive it, a first-of-its-kind feat that will provide determines business success. The recognition by Forrester
unprecedented capability to support NNSA’s Stockpile reinforces the benefits of our intelligent automation
Stewardship Program. The achievement will also provide platform that accelerates the pace of delivery and quality
invaluable insights into the development of clean fusion of digital experiences. Our end-to-end AI-powered
energy, which would be a game-changer for efforts to solution enables customers to rapidly automate the entire
achieve President Biden’s goal of a net-zero carbon economy. testing lifecycle across any platform, giving them a robust
and future-proofed competitive advantage.

Software test automation has become a business-critical


asset, and the use of AI can dramatically increase the
efficacy rate of test automation tools. Keysight’s Eggplant
uses AI-driven model-based testing to optimize the user
experience, speed up release cycles, and improve quality
assurance process across the software testing lifecycle.
Eggplant provides a fast, secure, and easy-to-use solution
that tests any kind of software on any platform or device.

www.keysight.com
www.eggplantsoftware.com
National Ignition Facility’s laser energy is converted into x-rays
inside the hohlraum, which then compress a fuel capsule until
it implodes, creating a high temperature, high pressure plasma
in an undated photograph at Lawrence Livermore National
Laboratory federal research facility in Livermore, California,
U.S. John Jett and Jake Long/Lawrence Livermore National
Laboratory/Handout via REUTERS.

The experiment briefly achieved what's known as fusion


ignition by generating 3.15 megajoules of energy output
after the laser delivered 2.05 megajoules to the target,
the Energy Department said. Nuclear scientists outside the
lab said the achievement will be a major stepping stone,
but there is much more science to be done before fusion
becomes commercially viable. The electricity industry
cautiously welcomed the step, emphasizing that fusion
should not slow down efforts on building out other
alternatives like solar and wind, batteries, and nuclear fission.

https://lasers.llnl.gov/

www.mouser.com January 2023 7


NEWS MOUSER NEWS FEATURES FOCUS NEW PRODUCTS

Fraunhofer A branch lab of the Fraunhofer Institute


for Material and Beam Technology
This ability to make pallet trucks
reverse in response to a proximity

cuts shipping
IWS, the Fraunhofer application sensor is a unique selling point of the
center’s custom-developed optical new safety technology.

accidents
sensor solutions hope to achieve a
future in which businesses see fewer BASF is already showcasing both
transportation accidents involving prototypes at specialist conferences
Warehouses have heavy volumes of industrial trucks. For this purpose, and trade fairs – with users and
traffic, with growing numbers of pallet BASF provided two pallet trucks that manufacturers alike showing a keen
trucks, forklifts, and the like traversing integrate safety technology along with interest. “This technology can be used
their aisles.Under these conditions, Kinotex Sensor. in practically any transportation vehicle,
accidents become an inevitability but it’s a particularly intriguing prospect
even if numerous safety measures Laser pulses are emitted, producing for autonomous vehicles,” says Dr.
are put in place. BASF SE, Kinotex information about how far away Christopher Taudt, Surface Metrology
Sensor, and the Fraunhofer Application objects are, and the system has the group manager at Fraunhofer AZOM –
Center for Optical Metrology and ability to combine information from a role whose remit involves fine-tuning
Surface Technologies have developed multiple directions in space and the technology behind the safety
prototypes designed to ensure added continuously monitor a fan-shaped area mechanisms. “Currently, we’re working
safety during day-to-day activities. around the BASF pallet truck. on the idea of integrating the sensors
into a kind of retrofittable sensor strip
The signal from a second optical sensor rather than making them a fixed part of
that responds to pressure is processed the vehicle.
using the information about distance
from objects, achieved thanks to the That would allow them to be added to
collaboration with Kinotex. The sensor, vehicles of any type from a whole range
which is tactile, is able to stop the of manufacturers,” he explains.
vehicle and automatically move it back
slightly, preventing the operator from www.iws.fraunhofer.de
becoming crushed.

NSF taps Charles ‘Chuck’ Barber for


chief diversity and inclusion officer
The U.S. National Science Foundation Barber assumed the duties as director Barber has
has appointed Charles “Chuck” of the Department of the Navy’s a doctorate
Barber to serve as chief diversity and diversity, equity and inclusion programs degree in
inclusion officer, where he will serve in September 2021, where he was transformational leadership
as NSF’s senior advisor responsible for responsible for the integration and from Bakke Graduate University
leading ongoing agency programs and implementation of strategic DEI and other degrees in organizational
initiatives related to diversity, equity, initiatives into the Department of the leadership and business management
inclusion, and accessibility. As NSF Navy’s culture, policies, programs and from Columbia Southern University
CDIO, he will be responsible for leading core functions. and Excelsior College. He is a certified
the integration and sustainability of Lean Six Sigma master black belt and
DEIA into the agency’s culture and Prior to his appointment at the Navy, a previous guest lecturer at Harvard
mission and all aspects of agency Barber was a primary author on the Kennedy School’s Senior Executive
operations and decision making, Navy’s Task Force One Navy report Fellows Program for political science
including special emphasis programs, that led to the Operational Navy’s DEI and government.
employee resource groups and other Implementation Strategy.
related functions. Barber is a U.S. Army veteran with
With more than two decades of deployments to Kosovo and Iraq. A
Barber will also be responsible for experience, he has led a broad range native of Bald Knob, Arkansas, he also
coordinating NSF’s work to address of diversity efforts, including the starred as a sprinter on the Ouachita
the requirements of Executive Order development of cultural intelligence Baptist University and all-army track
14035: Diversity, Equity, Inclusion and capabilities and strategy, organizational teams. Most recently, he was inducted
Accessibility in the Federal Workforce. leadership, critical data analysis and into the Arkansas track and field hall of
business transformation initiatives. fame class of 2022.

8 January 2023 www.mouser.com


NEW PRODUCTS FOCUS FEATURES MOUSER NEWS NEWS

Mouser partners Sensor


design
with DS PENSKE for resource
Formula E Season 9 A new resource dedicated to sensor
design guides has been launched by
Mouser. The site features a growing
collection of articles dedicated to the
intricacies of sensor design applications,
providing engineers with the information
they need to solve complicated design
challenges. Readers can access the
resource site by visiting https://resources.
mouser.com/sensor-design-guides.

Advances in sensor design have


Mouser is proud to announce its Along with the newly formed team supported innovative new devices
support of the PENSKE AUTOSPORT comes a pair of new drivers for the in consumer electronics, medical
group and the newly formed DS Mouser-backed entry. The drivers applications, and automotive
PENSKE Formula E team. For the for the DS PENSKE team for Season manufacturing. The new sensor design
upcoming ninth season of the ABB FIA 9 will be reigning Formula E World guide resource site offers a range of rich,
Formula E World Championship, the Champion Stoffel Vandoorne and in-depth articles on topics including time-
PENSKE AUTOSPORT group will be two-time Formula E Champion of-flight sensors, MEMS microphones,
teaming up with the French automotive Jean-Éric Vergne — creating one gyroscopes, and accelerometers.
brand DS Automobiles. Mouser is of the strongest teams the series
partnering with the DS PENSKE team has ever seen. In an article on board-mounted
temperature sensors, embedded systems
throughout the 2022–23 ABB FIA
expert Ishmael Chigumira explores the
Formula E World Championship racing Coming off the back of an incredibly
technological innovations that have
season in collaboration with TTI, Inc. successful campaign of the second-
made it possible for temperature sensors
and valued manufacturers Molex and generation car, which produced a pair to be integrated in a PCB instead of
KYOCERA AVX. Since 2015, Mouser of Drivers’ and Teams’ titles between located off-board. The article defines
and Molex have partnered together to 2019 and 2020, as well as a number the different types of temperature
sponsor Formula E racing. of unrivaled records (10 victories, sensors, explains how to interpret
15 pole positions and 28 podiums), board-mounted sensors, and prescribes
The DS E-TENSE FE23 features a number the Stellantis-backed team officially several best practices for integration and
of significant changes compared to its revealed its DS E-TENSE FE23. implementation.
predecessor. The Gen3 cars are the
fastest-ever seen in the Formula E World The third-generation, 100% electric In ‘The do’s and don’ts of VOC sensors’,
Championship, with a top speed of car, with its instantly recognizable electronic engineer Robin Mitchell
280 kph, while also being 60 kg lighter black and gold livery, perfectly provides a detailed introduction to
than the Gen2 car. Most important, embodies the ethos of DS the different types of sensors used to
however, is efficiency — with more Automobiles and is testament to measure volatile organic compounds
than 40% of the energy used in a the latest technological leap. (VOCs). The article also explores the
race coming from regeneration under various applications for VOC sensors and
braking. Crucially, the DS E-TENSE FE23 “This new chapter of Formula E offers tips on how to choose the right
solution for each potential design.
is also more powerful, putting out 350 promises to continue the evolution
kW compared to 250 kW in the Gen2 of this thrilling sport and serves as
https://resources.mouser.com/
car, and is equipped with four-wheel a powerful showcase for its electric
sensor-design-guides
drive. A new front drivetrain adds an future,” said Fred Bell, Vice President
extra 250 kW to the rear, doubling the of Global Distribution for Molex.
regeneration capacity to a total “Mouser and Molex have been
of 600kW. proud supporters since the inception
of Formula E, and we wish the
“We are thrilled to be a part of this DS PENSKE team a safe and
newly formed DS PENSKE Formula E successful season.”
team,” said Todd McAtee, Senior Vice
President, Business Development for www.mouser.com/formula-e
Mouser Electronics.

www.mouser.com January 2023 9


NEWS MOUSER NEWS FEATURES FOCUS NEW PRODUCTS

Mouser sweeps AMRs – up close and personal


graphic awards
“We hope the EIT audience will gain
Mouser was a big winner at the 59th a better understanding of how AMRs
annual Graphic Design USA American can streamline operations in a safe,
Graphic Design Awards™. From more intelligent way.”
than 10,000 entries, Mouser’s Creative In this installment, audiences can
Design Team was recognized in five listen to The Tech Between Us
important areas. podcast, watch a new Then, Now &
“Congratulations to our Creative Design Next video, and read through other
Team, who are among the best in the exclusive content to gain deeper
industry,” said Kevin Hess, Senior Vice insight into the applications and
President of Marketing. “Having the technologies that power and support
best products and superior service is AMRs, as well as business use cases.
great, but it’s also important to be able
to display our products and services The final 2022 episode of Mouser’s Raymond Yin, Director of Technical
visually in new and interesting ways and award-winning Empowering Content at Mouser Electronics and
in different media. This is where our Innovation Together™ program host of The Tech Between Us, sits
Creative Design Team excels.” delves into recent developments in down with Niamh Donnelly, co-
autonomous mobile robots (AMRs), founder and CRO of Akara Robotics,
Going into its 60th year of presenting which have led to new enhancements for a discussion about the new
news, trends and ideas, Graphic Design and cutting-edge applications across a generation of AMRs. “Raymond and
USA is the premier showcase for number of industries. I had a great discussion about AMRs
outstanding work by creatives and their and the hardware advancements
departments. Their 59th-anniversary Although AMRs have been around and safety measures that make
showcase of roughly 400 projects since the 1990s, they have since them a great addition to a variety of
reflects the many and varied ways in received a technological tune-up facilities,” says Donnelly. “The latest
which designers advance the mission thanks to artificial intelligence, designs have grown in sophistication
of and build value for their companies, computer vision systems, and 5G to match the intricacies of the latest
institutions, brands, products, services communications. These technologically technology, creating collaborative
and causes. advanced robots have provided robots that will be beneficial for years
The winning entries and categories are: new levels of flexibility, autonomy to come.”
and usefulness in manufacturing,
• Discover, Design, Develop; warehousing and logistics, overall The podcast is available on the
Motion/Animation maximizing efficiencies. Mouser website and on all major
streaming platforms. Established
• Selection in Stock; Motion/ “Through increasingly advanced in 2015, Mouser’s Empowering
Animation technologies, AMRs add an Innovation Together program is
• By The Numbers Infographic; unprecedented layer of efficiency and one of the electronic component
Online Design productivity to our factories and supply industry’s most recognized programs.
chains,” says Glenn Smith, President
• Company History Installation and and CEO of Mouser Electronics. www.mouser.com/empowering-
Exhibition; Signs/Environmental innovation
Design
• Methods AI eBook; Publications

European
United Kingdom Italy
Suite C, Floor 2 Centro Direzionale Milanofiori
Artisan Building Strada 1 Palazzo E1

support Hillbottom Road


High Wycombe, Bucks.
20090 Assago-MI
Italy

locations
HP12 4HJ, United Kingdom Tel: +39 02 57506571
Tel: +44 (0) 1494-427500 Netherlands
Czech Republic ESP 222, 5633 AC Eindhoven
Your local AZ Tower
Pražákova 1008/69
The Netherlands
Tel: +31 88 1300 700
contacts 639 00 Brno
Czech Republic​
Poland
Pro-Concept Building
Tel: +420 517070880 ul. Wyscigowa 56e
Germany (Main Branch) France 53-012 Wroclaw
Elsenheimerstr. 11 Le Voltaire Poland
Members of Mouser's Creative DesignTeam 80687 Munich 1, Avenue Leo Lagrange Tel: +48 71 749 74 00
pictured from left to right are Katie Sandoval, Germany 19100 Brive-La-Gaillarde Spain
Morning Roseman, Sean Lowrey, Robert Tel: +49 (0)89 520 462 110 France Parque de Negocios MAS BLAU I
Sweden Tel: +33 5 55 85 79 96 Edificio Muntadas, Esc. B
Harper, Sam Cooper, and Hannah Baker.
Jungmansgatan 12 (floor 9) Israel C/ Berguedà nº 2, Planta 2
211 11 Malmö 1 Hanofar Street Local C1 y C3
https://eu.mouser.com/newsroom/ Sweden 43662 Raanana, Israel 08820 El Prat de Llobregat
publicrelations-mouser-gdusa- Tel: +46 8 590 88 715 Tel: +972 9 7783020 Barcelona. Spain
Tel: +34 93 6455263
award-2022final/

10 January 2023 www.mouser.com


NEW PRODUCTS FOCUS FEATURES MOUSER NEWS NEWS

Download cellular
IoT webinar free
Power
Mouser and Microchip Technology recently recorded a new
webinar entitled ‘Cellular IoT Applications’.
Conversion
Microchip provides total system solutions for creating
smart, connected, secure Internet of Things (IoT) designs
with product offerings that simplify the development of
Components
innovative wired and wireless systems. In the webinar,
Microchip share how easy it is to develop cellular IoT
applications by covering application development, security
management and cloud connectivity. Furthermore, Improve conversion
efficiency, minimize
Microchip also demonstrate a reference application step-
by-step and will grant participants access to several more
tutorials and application examples to leverage.

The webinar covers: power loss, and


lower energy costs.
• Edge-node design and cellular IoT applications
• Ways to quickly and easily cloud-enable an
embedded design
• How to access, deploy and modify reference design
examples
• The AVR-IoT Cellular Mini development board

The AVR-IoT Cellular Mini development board is a complete


platform that can be used to evaluate and develop IoT
solutions. It features the AVR128DB48 AVR® microcontroller,
ATECC608B secure element, and the Sequans Monarch
2 GM02S cellular module. Sensors and actuators can be
added using the onboard Adafruit Feather compatible edge
connector or Qwiic® I2C connector. Also included with the
development board (which supports Amazon Web Services
and Arduino) is a SIM card from Truphone.

https://emea.info.mouser.com/microchip-cellulariot-
webinar/

www.mouser.com January 2023 11


NEWS MOUSER NEWS FEATURES FOCUS NEW PRODUCTS

Power
Management
- SPE Redefines PoE
By Bill Schweber for Mouser Electronics

Power over Ethernet (PoE) is just what Note that PoE is not unique in Standards Define the PoE
the name implies: a way to send combining power and data over a World
substantial and valuable amounts of single wire or cable, as there’s a long
power over the same copper cabling history of engineers doing similar Key to the viability of PoE as Ethernet
that carries the Ethernet data. It combinations in other settings. For connectivity and power delivery link
enables remote, Internet-addressable example, USB has become both a are standards defined by the Institute
devices to receive their operating data bus bit and a DC power bus with of Electrical and Electronics Engineers
power and leverage the benefits of complicated signaling, handshaking, (IEEE). The first iteration of PoE,
Ethernet connectivity. This dual power/ and sequencing. As a result, USB, in its designated IEEE 802.3af, could deliver
data capability is useful for Internet latest iteration, can provide significant only about 15W. At the same time, a
of Things (IoT) applications, such as power to a load, independent of its subsequent version a few years later,
sensors and HVAC controllers, building high-speed data function. informally called PoE+ but formally
security systems (cameras, elevators, fire IEEE 802.3at, increased this to 25W.
panels, smart locks, and entry/exit), and In the pre-digital days, engineers The most recent version, known as
essential office functions (enhanced area devised a way to send power to the PoE++ before being formalized as IEEE
lighting, even basic voice telephones). preamplifier built into many types of 802.3bt, increased the power to 90W.
microphones by using the same cable
PoE is getting an increasing amount which carried the audio signal back to Each step up requires a somewhat
of attention and design-in from smart the main amplifier, in a technique called more complicated type and cable-pair
building and industrial-automation “phantom power.” Similarly, the DC arrangement for the multipair cable
engineers for many reasons, three of power for the low noise block (LNB) RF (Cat 3, Cat 5, Cat 5e, or Cat 6 A) and
which stand out: preamplifier mounted on an outdoor associated RJ-45 connector and its
small-aperture dish antenna is provided wiring. These three PoE standards
• First, with the formal approval of the via the same coaxial cable, which sends are upward compatible, so the
third-generation standard for PoE, it the boosted RF signal back to the lower-power connections and loads
can supply a substantial amount of system’s RF front end. can be used on the higher-power
power – approximately 100W – to cabling and connections; downward
support a wide range of applications, What are the benefits of using PoE power/connector compatibility is not
peripheral devices, and loads. for smart buildings and industrial supported.
applications? It comes down to basics:
• Second, the approval of PoE's single- it saves on wiring cost and complexity, A recently approved PoE standard
pair Ethernet (SPE) standard means it reduces the number of distinct IEEE 802.3cg-2019 (IEC 63171-6) for
that PoE no longer requires more “networks” needed (one for data, one SPE is even more promising for smart
costly multipair cabling and somewhat for power); it is a safe, low voltage buildings of all types. This dramatically
fragile connectors and now is a (48VDC nominal); it does not require simplifies and reduces the cost of
good installation, performance, and an electrician to install or have to the cabling and connectors while
cost match for smart buildings and meet building codes for higher-voltage supporting data rates up to 10Mbps
industrial applications. wiring, and it eases the path to widely and is adequate for many dispersed or
dispersed devices in a residential or outlying applications.
• Third, component vendors have commercial building or plant.
introduced the needed ICs and passive PoE: Internally
components, supported with validated It’s a better alternative to installing Sophisticated
reference designs and embedded many local AC/DC supplies and their
firmware so that OEMs can design AC wiring, batteries alone, or extra It’s one thing to say that it is a good
and manufacture the required PoE DC-only power runs. idea to get double duty from a single
interface systems and circuitry. copper interconnect.

12 January 2023 www.mouser.com


NEW PRODUCTS FOCUS FEATURES MOUSER NEWS NEWS

It’s another thing to figure out


how to do it while not corrupting
or slowing down the data, while
simultaneously ensuring the integrity
and performance of the PoE system in
the event of a problem, fault, or even Table 1: PSE and PD power limits by class (Image source: Veracity/UK)
a short circuit at one of the powered
devices. Imposing DC power onto the
copper wires at a source end and then This high-level diagram is just that: a These sequences inform the PSE and
having a load device extract it at the “big-picture” overview. A PoE system the PD of the system status, their
other end requires a complicated and requires a significant amount of status, what the PD needs, what the
sophisticated arrangement, especially circuitry (ICs and passive components) PSE can provide, and checks for out-of-
as this must be transparent to the user within the PSE and suitable CAT cabling bounds conditions.
who is connecting and disconnecting to make it all look like a "plug and
PoE-enabled devices. play" arrangement to someone who The status and power needs of the PD
plugs in a PoE-enabled powered device must be considered in the larger PoE
For PoE, the three essential and expects it to function. This circuitry system context. For this reason, the
components needed are: must integrate the supplied DC power PoE specification includes additional
with the Ethernet data signals at the features for efficiency, reliability, and
1 The equipment that delivers power PSE and then separate them for use at safety, and “classification” is one of
to the cable (often referred to as a the PD (Figure 2). these features. As part of the start-up
PSE for power sourcing equipment). process, when a PoE connection is
The PSE may either be an "Endspan” made, the PD can “advertise” its power
(a PoE switch) or a “Midspan” (a PoE class to indicate how much power it
power injector) located along the requires to operate to ensure the PSE
Ethernet cable, closer to the loads. can provide it. There are five power
classes in total, but only three different
2 The device that receives its power bands of power level into which
from the cable (the powered device, devices can fall (Table 1). The difference
or PD). Typical PDs include IP- between what the PSE can deliver in
enabled cameras, wireless access Figure 2: A PoE link requires considerable support circuitry in
each classification and what the PD
addition to the physical-layer IC (PHY including transformers
points, lighting units, security to combine data and power at the PSE and split them at the needs to operate is due to unavoidable
PD, along with bridges to rectify the AC power into the DC
devices, and HVAC controllers, for rails needed. (Image source: Veracity/UK) I2R power loss in the cable.
example.
A complicated back-and-forth Fortunately, the PoE system designer
3 The cable itself includes various identification, query, and response does not need to delve into the PoE
"CAT-X” designations to support protocol are needed between the PD operational details as ICs are now
the different PoE standards. and the PSE to initiate, manage, and available that embed and implement
maintain the PoE link. It takes many them. These ICs manage the power
The PSE would usually be a PoE- state and timing diagrams to fully flow, sequencing, protocol, and
enabled network switch or a midspan describe the many normal operating other considerations which need to
power injector, patched in to add PoE sequences and accommodate all the be executed and monitored for an
capability to a non-PoE network switch “abnormal” but possible conditions. effective and reliable PoE power and
channel, or similar (Figure 1). data link.

For example, the Analog Devices


ADIN1110 is an ultralow power, single
port 10BASE-T1L transceiver design
for industrial Ethernet applications
(Figure 3). It is compliant with the IEEE
802.3cg-2019 Ethernet standard for
long reach, 10Mbps SPE. This IC is
designed for edge node sensors and
field instruments deployed in building,
factor, and process automation. It
operates from a single power supply
rail of 1.8V or 3.3V and is available in a
40-lead, 6mm × 6mm lead frame chip
package (LFCSP).

Figure 1: A PoE network switch can deliver power to a PD, such as an IP camera, over its data cable;A midspan PSE can be used
to add PoE capability to standard network switch channels. (Image source: Veracity/UK)

www.mouser.com January 2023 13


NEWS MOUSER NEWS FEATURES FOCUS NEW PRODUCTS

Unlike conventional Ethernet, where These are SPE variations defined by


the individual user ports radiate from a 10BASE-T1S, 10BASE-T1L, 100BASE-T1,
central switch, SPE supports a daisy- and 1000BASE-T1 standards.
chain topology. Adding more ports to
Another part of what makes SPE
a conventional Ethernet arrangement
attractive for its target applications
requires more ports and a larger switch,
is the rugged, low-cost connector
while maximum latency – the time lag
options. For example, HARTING T1
and uncertainty for data between the
connectors for building cabling have
port and the switch – is limited and
an SPE mating face as defined by IEC
known in advance.
Figure 3: The Analog Devices ADIN1110 MAC-PHY is 63171-1 (Figure 6). These connectors
compliant with the IEEE 802.3cg-2019 Ethernet standard for have a MICE rating (for Mechanical
long reach, 10Mbps single pair Ethernet, and is designed In contrast, daisy-chaining allows for
for edge node sensors and field instruments. (Image source: robustness, Ingress IP degree, Climatic
Analog Devices) easy expansion to handle more ports,
resistance, and Electromagnetic safety)
but latency increases as the chain are
of M1I1C1E1, which is suitable for the
What about SPE? extended, especially for ports and
environment of an office building.
devices far along the chain (Figure 5).
SPE provides new options for However, for typical SPE applications,
implementing a PoE system, as it is a the latency is still short enough, while
better match to the needs of smart the connectivity benefits are worth it.
buildings. It has advantages in this The ability to easily extend the link to
setting compared to traditional Ethernet new ports via this topology is a good fit
and the various CAT cables. Among with SPE's target installations.
these are thinner, less costly cables and
connectors that are easier to manage
and pull, reduced space requirements
and lower weight, smaller bending radii
for easier installation in cramped areas,
and reduced use of resources such as
copper and insulation.

SPE is not designed to replace the


existing Ethernet infrastructure. At
present, the maximum speed for SPE
is 10Mbps (longer distance) to 1Gbit/
sec (shorter distance) and only up to Figure 6: The SPE connector pair shows the simple, rugged
a distance of forty meters. In contrast, implementation which the two-wire standard supports.
(Image source: HARTING)
8-wire Ethernet can extend up to one
hundred meters at ten and more Gbit/
Filling out the PoE BOM
sec (Figure 4).
It takes much more than sophisticated
ICs and obvious connectors to make
PoE possible: it also takes passive
components of many types.
Figure 5: While “conventional” Ethernet requires that each
port be connected directly to a switch (right), SPE allows It's somewhat ironic that a transformer
for daisy-chain interconnection and extension to new ports.
(Image source: Texas Instruments) – among the most "ancient" of
electrical components – is needed for
Figure 4: SPE greatly reduces the Ethernet cabling BOM and
SPE does not define a single solution PoE. These transformers superimpose
installation costs, but with a reduction in maximum available
throughput and data rate. (Image source: HARTING) with the same structure for every the high-frequency Ethernet data signal
application (automotive versus smart onto the same cable, injecting the PoE
[Note: SPE was initially developed to building versus industrial). Instead, it DC power (typically 48VDC) at the PSE
meet the demands of the automotive is a foundation technology in which end, while complimentary transformers
industry — smaller, lighter cables and different combinations of parts can at the PD end separate the Ethernet
connectors for transmitting data from be selected and integrated to create data from the DC power and direct the
the numerous sensors involved in an optimized solution for what is also power to the PD circuitry.
adaptive cruise control, parking assist, called Power over Data Line (PoDL).
autonomous driving, and other onboard Different SPE protocols with different One example is the Bourns SM13143EL,
systems. The lower data rate, tightly transmission speeds, link lengths, and a dual-port LAN 10/100/1000 Base-T
constrained, and shorter distances of topologies can be selected for varying PoE++ transformer for all classes
automotive applications were a good fit applications. of Ethernet applications (Figure 7).
for early SPE developments.] It contains eight 1:1 transformers
that meet all relevant ratings, with a

14 January 2023 www.mouser.com


NEW PRODUCTS FOCUS FEATURES MOUSER NEWS NEWS

there is an integral ground wire provided


which can be connected to a ground for
those systems that require a single-point
ground connection.
The devices are compatible with
100Mbps data and 48V POE systems,
with protection on all eight PoE pins
(7.5V clamping on data pins 1, 2, 3, and
6 plus 70V clamping on POE pins 4, 5,
7, and 8).
Figure 7: This multichannel transformer provides critical isolation and coupling for eight PoE wire pairs. (Image source: Bourns, Inc.)

footprint of about 11 × 28mm and Network Lightning/Surge Protector


Conclusion
8mm height. from Laird Connectivity (Figure 8).
Implementing a PoE design and
providing a PSE or PD circuit for PoE
As they couple power and signal, the
The all-metal cast enclosure and shielded is complex. Fortunately, the needed
transformers also provide galvanic
RJ-45 connectors provide good EMI noise components and support are now
(ohmic) isolation, so problems or
suppression with integral mounting feet widely available, thus allowing smart-
failures in the port do not induce
that can be screwed to a metal chassis building and industrial applications to
a ripple effect of problems in the
to provide proper; as an alternative, take advantage of the benefits of PoE.
network. For example, this can include
a PD failure or even a malicious act if
PoE saves on wiring costs due to the
someone shorts out the connector with
lower voltage and single unified data/
a paper clip.
power cable, but the installation is
physically more manageable and can be
The PoE standards and good
done by non-electricians.
engineering practice also require
protection against line surges due to
For dispersed, lower-throughout
lightning or nearby motors starting/
applications, the SPE version of PoE
stopping. One external protection
Figure 8: PoE standards also call for protecting the system provides an even more cost-effective
device (which is separate from used and circuitry against lightning and other voltage surges; this
way to get many of the benefits of PoE,
within the PSE or PD for other types protection can be provided using this RJ-45-connector unit.
(Image source: Laird Connectivity) along with new options for topology
of protection) is the ESP-100-POE
and connectors.

Alcoswitch
MRSS Miniature
Rotary Switches

www.mouser.com January 2023 15


NEWS MOUSER NEWS FEATURES FOCUS NEW PRODUCTS

How New
Technology
Benefits
Microgrids
By Paul Lee for Mouser Electronics

Microgrids have been around for a Security is also a growing concern,


long time in some form or another. especially for critical installations such
The farmer that fired up his diesel as data centers, hospitals, and military
generator in the early 20th century to bases where cyberattacks on the main
keep his irrigation pumps and house utility supply are real possibilities. Here,
lights working when the utility supply we’ll review types of microgrids and
failed might not have recognized the power-conversion arrangements and
Figure 1: A typical domestic microgrid arrangement.
term. Still, he was setting up what is the benefits of each. (Source: Mouser Electronics)
now the definition of a microgrid–a
group of locally interconnected loads A Smart Microgrid Is Key Smart control, personalizing the
and an energy source that can operate to Effectiveness microgrid installation, squeezes
independently of the national grid. the maximum efficiency from solar
A domestic microgrid arrangement panels while scheduling loads for
Marketers have had fun with the might look like Figure 1, with fixed minimum impact. Excess energy can be
nomenclature as usual, with macro- solar panels replacing or contributing automatically returned to the main grid,
used for the main grid, milli- for to utility power via an inverter either from the solar panels or even
larger installations or collections of synchronized to the main grid. A from the EV battery, for utility load
independent grids, micro- and even high-capacity lithium-ion or lithium- balancing in return for monetary credit.
nano-grids that might be no more iron-phosphate battery might be kept
than a backpack-mounted solar panel charged and available to provide power A factory would have a more complex
topping up your cellphone on a hike. after dark or backup if the main power microgrid arrangement, perhaps with
fails. Alongside typical household multiple energy sources, as in Figure 2.
The microgrid is an area of intense loads such as lighting, heating, and The cost-benefit analysis for a factory
interest today, typically powering an kitchen/utility equipment, electric environment is more complex than for
installation such as a farm, remote vehicle recharging is increasingly in the a domestic situation. Lost production
factory, hospital, or military site. mix. Ideally, it should be from a local during a blackout is a real cost, and extra
With a global market projected to be renewable energy source such as solar productivity and lower energy costs from
around $47.4 billion (USD) in 2025 at a to retain its green credentials. a smart environment are real benefits.
compound annual growth rate of more
than 10 percent, a microgrid can be
fully independent or islanded, a backup
for the main grid on failure or even
a contributor to the main grid when
locally-sourced energy is in excess of
local needs.

The drivers for the use of microgrids are


power for remote locations where no
utility infrastructure is present, resilience
against main grid failure, and flexibility
to use local renewable energy sources
such as hydro, solar, wind, ground-
sourced, and combined heat and power
(CHP), for a smaller environmental
impact and reduced costs. Figure 2: A typical microgrid arrangement in a factory environment. (Image reproduced with the permission of Rolls-Royce
Power Systems AG)

16 January 2023 www.mouser.com


NEW PRODUCTS FOCUS FEATURES MOUSER NEWS NEWS
The diagram shows how various The opportunities are limited, so it is been a design goal for power converter
renewable energy sources such as more likely that even more energy and designers for the size and cost savings
wind and solar can be coupled with cost are expended in cooling systems to that follow, so other semiconductor
traditional generator sets to provide extract the heat and avoid stress on the devices have been considered that
complete independence in electrical power conversion electronics. show lower switching losses, with
power and heating when demanded, MOSFETs, the main contender.
all under intelligent control with New Semiconductors However, these devices have limited
wireless communication. Control Cost, Size, power ratings, and conduction losses
Savings can be higher than IGBTs–MOSFETs
The system can integrate with the exhibit an on-resistance that dissipates
Industry 4.0 or Industrial Internet of The various power conversion stages power with the square of the current
Things (IIoT) concept. This combines in a microgrid all use switched-mode value. IGBTs exhibit a relatively
physical production and operations techniques–semiconductor switches constant voltage drop, so dissipation is
with smart digital technology, machine chop the input DC or rectified AC approximately proportional to current.
learning, and big data to create a voltage at high frequency, followed
more holistic and better-connected by a relatively small transformer to Therefore, at high currents, MOSFETs
ecosystem for companies that focus scale the voltage back to DC through can be lossy, and the benefits of higher
on manufacturing and supply chain rectifiers, or to AC through filters. frequency operation negated when
management. Careful consideration Regulation of outputs to a constant the energy lost and the larger, costlier
must be given both in domestic and DC or 50/60Hz AC is achieved by cooling needed is factored-in.
industrial applications to the microgrid Pulse Width Modulation (PWM) of the
architecture, not just for functionality semiconductor switching action. A new generation of switches, wide
but also for electrical efficiency, to band-gap (WBG) semiconductors,
achieve the hoped-for energy savings. At higher powers, choice of has become available, showing a
semiconductor switch has been limited step improvement in switching speed
Power Conversion until recently to insulated-gate bipolar without compromising efficiency. The
Efficiency Critical to transistors (IGBTs), which must be devices, fabricated in silicon carbide
Payback switched relatively slowly for acceptable (SiC) and gallium nitride (GaN), can
efficiency: IGBTs dissipate no power switch so fast than traditional silicon
Even in the relatively simple domestic when they are off and have some that any transient dissipation is minimal.
installation of Figure 1, electronic conduction loss when on, but as they
power conversion has multiple stages: transition between the two states, they Combined with very low on-resistances
The solar panels’ output DC must can take transient power that can be and inherent high-temperature
be converted to the storage battery measured in kilowatts (Figure 3). capability, equipment designed with
voltage using an intelligent DC-DC WBG technology is smaller and more
converter with Maximum Power Point The more transitions per second efficient, not just because of the
Tracking (MPPT) to extract maximum (frequency), the higher the dissipation. devices themselves but also because
energy, an inverter transforms the For this reason, switching frequencies the higher switching frequency enables
battery DC to AC line voltage, a battery have been a few tens of kHz at most, smaller associated components such
charger ensures that the battery is and this has implications down the as transformers and filters. This all
maintained at full capacity when line; transformers and other magnetic reads directly across to low acquisition
solar input is not available, and a components such as filters have to be and running costs, quick payback,
bidirectional converter charges the EV large and are consequently costly. and a smaller environmental footprint.
battery from AC but transfers power Companies such as Wolfspeed (CREE),
in reverse, typically at night. Other GaN Systems, UnitedSiC, Transphorm,
possible power sources have their and many others are active in the
power conversion requirements, such WBG semiconductor field with devices
as a wind turbine with an induction available from Mouser Electronics.
generator that outputs variable
frequency and amplitude AC, converted Conclusion
into utility-compatible levels. In an
industrial environment, of course, Microgrids have the benefits of
complexity is much higher. independence, resilience, security, and
ability to maximize local renewables.
All these power conversion stages Microgrids can power an installation
lose some energy as heat representing such as a farm, remote factory,
money lost and longer payback times, hospital, or military site. Their versatility
so efficiency is a major concern. In and continued development, are
some situations, heat can be recovered, Figure 3: Power dissipation can be high during reasons for the microgrid industry’s
semiconductor switch transitions. (Source: Mouser
perhaps for community use. Electronics) growth to reach around $47.4 billion
(USD) by 2025.
Increasing switching frequency has

www.mouser.com January 2023 17


NEWS MOUSER NEWS FEATURES FOCUS NEW PRODUCTS

How to Optimize
Switching Power Supply
Layout by Minimizing
Hot Loop PCB ESRs
and ESLs
By Jingjing Sun, Product Applications Senior Engineer, Ling Jiang, Product Applications
Manager, and Henry Zhang, Product Applications Senior Director all with Analog Devices

Answer:
Question:

Can you optimize the Sure—minimizing Hot Loop


efficiency of a switching PCB ESRs and ESLs is
power supply? an important method for
optimizing efficiency.

Introduction Figure 1 shows a synchronous buck step-down DC-to-DC


converter schematic.
For power converters, a Hot Loop PCB layout with minimum
parasitic parameters can improve the power efficiency, The Hot Loop is formed by MOSFETs M1 and M2 and
lower the voltage ringing, and reduce the electromagnetic the decoupling capacitor CIN. The switching actions of
interference (EMI). This article discusses the optimization of M1 and M2 cause HF di/dt and dv/dt noise. CIN provides
Hot Loop layout design by minimizing the PCB equivalent a low impedance path to bypass the HF noisy content.
series resistances (ESRs) and equivalent series However, parasitic impedance (ESRs, ESLs) exists within the
inductances (ESLs). components’ packages and along the Hot Loop PCB traces.

This article investigates and compares impact factors The high di/dt noise through ESLs causes HF ringing,
including decoupling capacitor positions, power FET sizes and furthermore, resulting in EMI. The energy stored in ESL is
positions, and via placements. Experiments are conducted to dissipated on ESRs, leading to extra power loss. Therefore,
verify the analysis, and effective methods of minimizing the the Hot Loop PCB ESRs and ESLs should be minimized to
PCB ESRs and ESLs are summarized. reduce the HF ringing and improve efficiency.

Hot Loop and PCB Layout Parasitic


Parameters

The Hot Loop of a switching-mode power converter is


defined as the critical high frequency (HF) AC current loop
formed by the HF capacitor and adjacent power FETs. It is
the most critical part of the power stage PCB layout because
it contains high dv/dt and di/dt noisy content. A poorly
designed Hot Loop layout suffers from a high level of PCB
parasitic parameters, including the ESL, ESR, and equivalent
parallel capacitance (EPC), which have a significant impact on
the power converter’s efficiency, switching performance,
and EMI performance.
Figure 1. A buck converter with Hot Loop ESRs and ESLs.

18 January 2023 www.mouser.com


NEW PRODUCTS FOCUS FEATURES MOUSER NEWS NEWS

An accurate extraction of the Hot Loop ESRs and ESLs helps


ESR (ESR1 + ESR 2 ) ESL (ESL1 + ESL 2 )
predict the switching performance and improve the Hot Loop Hot Loop
at 600kHz (mΩ) at 200MHz (nH)
design. Both components’ package and PCB traces contribute
to the total loop parasitic parameters. This work mainly Vertical Hot Loop 1 0.7 0.54
focuses on the PCB layout design. There are tools for users
to extract the PCB parasitic parameters, such as Ansys Q3D, Vertical Hot Loop 2 2.5 1.17
FastHenry/FastCap, StarRC, etc. Commercial tools like Ansys
Q3D provide accurate simulation but are usually expensive. Horizontal Hot Loop 3.3 0.84

Table 1. Extracted PCB ESRs and ESLs in Different Hot Loops by Using FastHenry
FastHenry/FastCap is a free tool based on partial element
equivalent circuits (PEEC) numerical modeling1 and can provide
flexible simulation through programming to explore different The LTM4638 is an integrated 20VIN, 15A step-down buck
layout designs, though additional coding is required. converter module in a tiny 6.25mm × 6.25mm × 5.02mm BGA
package. It offers high power density, fast transient response,
The effectiveness and accuracy of the parasitic parameter and high efficiency. The module integrates a small HF ceramic
extraction in FastHenry/FastCap have been verified and CIN inside, though it is not sufficient yet, limited by the module
compared to Ansys Q3D with consistent results.2,3 In this package size. Figures 2 to 4 illustrate three different Hot Loops
article, FastHenry is used as a cost-efficient tool to extract on the demo board with additional external CIN.
PCB ESRs and ESLs.
The first one is the vertical Hot Loop 1 (Figure 2), where
Hot Loop PCB ESR and ESL vs. CIN1 is placed on the bottom layer just beneath the
Decoupling Capacitor Position μModule regulator. The µModule VIN and GND BGA pins
are connected to CIN1 directly through the vias. These
In this section, the impacts of CIN position are investigated connections provide the shortest Hot Loop path on the
based on ADI’s LTM4638 µModule® regulator demo board demo board.
DC2665A-B.
The second Hot Loop is the vertical Hot Loop 2 (Figure 3),
where CIN2 is still placed on the bottom layer, but moved to
the side area of the μModule regulator. As a result, an extra
PCB trace is added to the Hot Loop and larger ESL and ESR
are expected compared to vertical Hot Loop 1. The third Hot
Loop option is the horizontal Hot Loop (Figure 4), where CIN3
is placed on the top layer close to the μModule regulator.

The µModule VIN and GND pins are connected to CIN3


through the top layer copper without going through vias.
Nevertheless, the VIN copper width on the top layer is
limited by the other pinout, resulting in an increased loop
Figure 2. Vertical Hot Loop 1: (a) top view and (b) side view.
impedance compared to that of vertical Hot Loop 1. Table 1
compares the extracted PCB ESRs and ESLs of the Hot Loops
by FastHenry. As expected, the vertical Hot Loop 1 has the
lowest PCB ESR and ESL.

Figure 3. Vertical Hot Loop 2: (a) top view and (b) side view.

Figure 4. Horizontal Hot Loop: (a) top view and (b) side view. Figure 5. Demo board testing results: (a) efficiency, (b) loss difference between horizontal loop
and vertical Loop 1, and (c) VIN ripple during M1 turn-on at 15A output.

www.mouser.com January 2023 19


NEWS MOUSER NEWS FEATURES FOCUS NEW PRODUCTS

ESR1 (mΩ) ESR 2 (mΩ) ESR 3 (mΩ) ESRTOTAL ESR ESL1 ESL 2 ESL 3 ESLTOTAL ESL
at 2MHz at 2MHz at 2MHz (mΩ) at Change (nH) at (nH) at (nH) at (nH) at Change
2MHz Rate vs. 200MHz 200MHz 200MHz 200MHz Rate vs.
(a) (a)

(a) 0.59 2.65 0.45 3.69 N/A 0.42 2.80 0.23 3.45 N/A

(b) 0.59 0.3 0.38 1.27 –66% 0.42 0.09 0.17 0.67 –81%

(c) 0.24 0.27 0.83 1.35 –63% 0.07 0.07 0.52 0.66 –81%

(d) 0.44 0.3 0.28 1.01 –73% 0.25 0.09 0.08 0.42 –88%

(e) 0.44 0.27 0.26 0.97 –74% 0.21 0.08 0.07 0.36 –90%

(f) 0.31 0.27 0.13 0.7 –81% 0.12 0.07 0.02 0.21 –94%

Table 2. Extracted Hot Loop PCB ESR and ESL with Various Device Shapes and Positions in FastHenry

To experimentally verify the ESRs and ESLs in different Hot The loop length is further shortened thanks to the smaller
Loops, the demo board efficiency and VIN AC ripple at 12V MOSFETs footprint, leading to 7% reduction of the loop
to 1V CCM operation are tested. Theoretically, a lower ESR impedance. In Case (e), when a ground layer is placed under
leads to higher efficiency, and smaller ESL results in higher the Hot Loop layer, the Hot Loop ESR and ESL are further
VSW ringing frequency and lower VIN ripple magnitude. decreased by 2% compared to Case (d).
Figure 5a shows the measured efficiency.

The vertical Hot Loop 1 gives the highest efficiency that


corresponds to the lowest ESR. The loss difference between
the horizontal Hot Loop and vertical Hot Loop 1 is also
calculated based on the extracted ESRs, which is consistent
with the testing result as shown in Figure 5b. The VIN HF
ripple waveforms in Figure 5c are tested crossing CIN. The
horizontal Hot Loop has a higher VIN ripple magnitude and a
lower ringing frequency, thus validating the higher loop ESL
compared to the vertical Hot Loop 1.

Also, because of the higher loop ESR, the VIN ripple in the
horizontal Hot Loop damps faster than in the vertical Hot
Loop 1. Furthermore, a lower VIN ripple reduces EMI and
allows a smaller EMI filter size.

Hot Loop PCB ESR and ESL


vs. MOSFETs Size and Position

For a discrete design, the placement and package size of


power FETs also have a significant impact on Hot Loop
ESRs and ESLs. A typical half-bridge Hot Loop with power
FETs M1 and M2 and a decoupling capacitor CIN is modeled
and investigated in this section. As illustrated in Figure 6,
popular power FET package sizes and placement positions
are compared. Table 2 shows the extracted ESRs and ESLs in
each case.

Figure 7. (a) LT8390/DC2825A Hot Loop with straight MOSFETs placement; (b) LT8392/
Cases (a) to (c) present three popular power FET placements DC2626A Hot Loop with 90˚ MOSFETs placement; (c) VIN ripple waveforms at M1 turn-on.
with 5mm × 6mm MOSFETs. The physical length of the
Hot Loop determines the parasitic impedance. Hence, both
90˚ shape placement in Case (b) and 180˚ shape device
placement in Case (c) result in 60% ESR reduction and 80%
ESL reduction because of the shorter loop paths compared
to those in Case (a). Since a 90˚ shape placement shows the
benefit, several more cases are investigated based on Case
(b) to further reduce the loop ESR and ESL. In Case (d), a Figure 8. Hot Loop PCB models with (a) five GND vias placed close to CIN and M2; (b) 14
GND vias placed between CIN and M2; (c) 6 more vias placed on GND based on (b); (d) nine
5mm × 6mm MOSFET is replaced with two 3.3mm × 3.3mm more vias placed on GND area based on (c).
MOSFETs in parallel.

20 January 2023 www.mouser.com


NEW PRODUCTS FOCUS FEATURES MOUSER NEWS NEWS

The reason is that eddy current is generated on the ground To make a fair comparison, the two demo boards are
layer, which induces the opposite magnetic field and configured with the same MOSFETs and decoupling
equivalently reduces the loop impedance. capacitors, and tested at 36V to 12V/10A, 300kHz step-
down operation. Figure 7c shows the tested VIN AC ripple
In Case (f), another Hot Loop layer is constructed as the during M1 turn-on moment. With the 90˚ shape MOSFETs
bottom layer. placement, the VIN ripple has lower magnitude and higher
resonant frequency, hence validating the smaller PCB ESL due
If two paralleled MOSFETs are symmetrically placed on the to a shorter Hot Loop path.
top layer and bottom layer and connected through vias,
the Hot Loop PCB ESR and ESL reduction are more obvious On the contrary, because of the longer Hot Loop and higher
because of the paralleled impedance. ESL, the straight MOSFETs placement results in much higher
VIN ripple magnitude and slower resonant frequency. A higher
Therefore, smaller sized devices with symmetrical 90˚ shape input voltage ripple also causes a more severe EMI emission
or 180˚ shape placement on top and bottom layers lead to according to the EMI test results in the study of Cho and
the lowest PCB ESR and ESL. Szokusha.4

To experimentally verify the impact of the MOSFETs Hot Loop PCB ESR and ESL
placement, ADI’s high efficiency, 4-switch synchronous vs. Via Placement
buck-boost controller demo boards LT8390/DC2825A and
LT8392/DC2626A are used.4 As shown in Figure 7a and The vias placement in the Hot Loop also has a critical impact
Figure 7b, the DC2825A has a straight MOSFETs placement on the loop ESR and ESL. As shown in Figure 8, the Hot
and the DC2626A has a 90˚ shape MOSFETs placement. Loop with a two-layer PCB structure and straight power
FETs placement is modeled. The FETs are placed on the top
layer and the second layer is a ground plane. The parasitic
impedance Z2 between CIN GND pad and M2 source pad
is part of the Hot Loop and is studied as an example. Z2 is
extracted from FastHenry. Table 3 summarizes and compares
the simulated ESR2 and ESL 2 with different via placements.

In general, adding more vias reduces the PCB parasitic


impedance. However, the reduction of ESR 2 and ESL 2 is not
linearly proportional to the number of vias. The vias close to
the terminal pads give the most obvious reduction in PCB
ESR and ESL. Therefore, for Hot Loop layout design, several
critical vias must be placed close to the pads of CIN and
MOSFETs to minimize the HF loop impedance.

Conclusion
Figure 6. Hot Loop PCB models: (a) 5mm × 6mm MOSFETs in straight placement; (b) 5mm ×
6mm MOSFETs in 90˚ shape placement; (c) 5mm × 6mm MOSFETs in 180˚ shape placement;
The reduction of a Hot Loop’s parasitic parameters can help
(d) two-parallel 3.3mm × 3.3mm MOSFETs in 90˚ shape placement; (e) two-parallel 3.3mm × improve the power efficiency, lower voltage ringing, and
3.3mm MOSFETs in 90˚ shape placement with ground layer; (f) symmetrical 3.3mm × 3.3mm
MOSFETs on top and bottom layers in 90˚ shape placement. reduce the EMI. To minimize the PCB parasitic parameters,
Hot Loop layout designs with different decoupling capacitor
positions, MOSFET sizes and positions, and via placements
were studied and compared. A shorter Hot Loop path, smaller
Case ESR 2 ESR ESL 2 ESL sized MOSFETs, symmetrical 90˚ shape and 180˚ shape
(mΩ) at Change (nH)at Change
2MHz Rate vs. 200MHz Rate vs.
MOSFETs placements, and vias close to the key components
Initial Initial contribute to the lowest Hot Loop PCB ESR and ESL.
Case Case
Initial Case
2.67 N/A 1.19 N/A
Without Vias References
Mattan Kamon, Michael Tsuk, and Jacob White. “FASTHENRY: A Multipole-Accelerated 3-D
1

(a) 1.73 –35.2% 0.84 –29.8% Inductance Extraction Program.” IEEE Transactions on Microwave Theory and Techniques,
Vol. 42, 1994.
Andreas Musing, Jonas Ekman, and Johann W. Kolar. “Efficient Calculation of Non-
2

(b) 1.68 –37.1% 0.82 –30.8% Orthogonal Partial Elements for the PEEC Method.” IEEE Transactions on Magnetics, Vol. 45,
2009.
Ren Ren, Zhou Dong, and Fei Fred Wang. “Bridging Gaps in Paper Design Considering
3
(c) 1.67 –37.5% 0.82 –31% Impacts of Switching Speed and Power-Loop Layout.” IEEE, 2020.
Yonghwan Cho and Keith Szolusha. “4-Switch Buck-Boost Controller Layout for Low
4

Emissions—Single Hot Loop vs. Dual Hot Loop.” Analog Dialogue, Vol. 55, July 2021.
(d) 1.65 –38.2% 0.82 –31.4%
Henry J. Zhang. “PCB Layout Consideration for Non-Isolated Switching Power Supplies.”
5

Analog Devices, Inc., 2012.


Christian Kueck. “Power Supply Layout and EMI.” Analog Devices, Inc., 2012.
6
Table 3. Extracted Hot Loop PCB ESR 2 and ESL 2 with Different Via Placements

www.mouser.com January 2023 21


NEWS MOUSER NEWS FEATURES FOCUS NEW PRODUCTS

How thermal
efficiency is helping
data centers run
more sustainably

Contributed by staff at
Texas Instruments

Innovative semiconductor design and Estimates by the U.S. government place Achieving higher power densities and
packaging technologies are improving the energy demands of data centers at thus improved efficiency in server power
efficiencies in data centers as server 70 terawatt-hours per year.2 Increases supply units (PSUs) is one way to achieve
power demands increase. in blockchain mining alone have more more efficient data center operations.
than doubled that figure since then.
Every time we stream the latest movie, Current data center consumption is There’s an additional urgency to
talk to AI-driven voice assistance or likely accounting for at least 2% of upgrade server PSUs, Robert said.
attend a business meeting from home total U.S. electric power consumption, The growing power demands of data
using a laptop computer, we’re pushing according to the Center of Expertise centers are bumping up against a
large amounts of digital information for Energy Efficiency in Data Centers.3 bottleneck: Most hyperscale data
through data centers and using That’s enough to have a significant centers can’t bring in more than 50
resources that consume vast amounts impact on the environment, which adds megawatts of electric power."Because
of electricity. And that usage is only urgency to the goal of enabling data the total amount of power is limited in
increasing. centers to run more sustainably. these data centers, they need to waste
as little power as possible on cooling
In 2022, the world created and As servers in these centers evolve to and on losses due to inefficiencies in
consumed nearly 100 zettabytes – handle and process the exploding flow the electronics," Robert said.
100,000 billion gigabytes – of data. of data, the electric power consumed
That incomprehensibly vast ocean by each server is growing as well — At the same time, he said, the server
of bits is projected to almost double from an average 1,500 watts per server industry is demanding smaller printed
by 2025.1 And increasingly, that data in previous years to 3,000 watts in circuit board footprints to fit more
is running through hyperscale data newer servers, said Robert Taylor, a computing power in each rack. That
centers, each filled with thousands systems manager with our company means the power components in servers
of servers. who specializes in industrial power have to become smaller and more efficient
management. without producing excessive heat.

"Much of the heat


produced in servers
has come from
power losses due
to the conversion of
incoming AC power
at 400V down to DC
power at 6V or less."

22 January 2023 www.mouser.com


NEW PRODUCTS FOCUS FEATURES MOUSER NEWS NEWS
That integration provides faster, more
efficient switching with less noise, as
with the TPS25985 eFuse with ultra-
low on-resistance, delivering better
thermal performance while achieving
as much as 80A of current. In some
cases, our company achieves higher
integration through 3-dimensional
stacking of components on the chip.

Remove heat effectively


with thermally enhanced
packages

Our company also has taken the


lead in getting heat off of chips with
Our company has taken the lead in Advances such as these wouldn’t be innovative device packaging. For
producing innovative semiconductor possible without innovative approaches example, our company pioneered
power products that meet the daunting to thermal management. There HotRod and Enhanced HotRod QFN
performance, efficiency and thermal are three key areas we focus on to packages that use a flip-chip style
management demands of the current optimize thermal performance and package to directly bond the surface
and future generations of leading-edge break through power density barriers of the chip and its connectors to the
data centers. at the chip level: process technology, circuit board, instead of relying on
circuit design techniques and thermally bond wires to get signals in and out of
The resulting power supplies are optimized packaging. Much of the heat the chip. That more direct connection is
helping keep even the largest data produced in servers has come from highly efficient at moving heat off the
centers running smoothly with more power losses due to the conversion of chip onto the board.
sustainable footprints. incoming AC power at 400V down to
DC power at 6V or less. “This package design provides large
Handling higher power ground pads that weren’t previously
and temperatures Products such as the TLVM13630 possible, allowing good thermal paths
power module use our Enhanced from the devices into the printed circuit
The key to high-performance, energy- Hotrod™ Quad-flat No Lead (QFN) board,” Les said.
efficient semiconductor power supplies package technology with integrated
is to achieve ever-higher levels of power field-effect transistors (FET) that Our company’s other advanced
density — that is, to pack more power- deliver fast switching speeds and lower approaches to removing heat include
handling capacity into smaller volumes. resistance to sharply cut those power more effective heat-sink placement
But higher power densities also pack losses, boosting chip efficiency and to achieve improved top-side cooling.
more heat into that reduced volume, thus trimming heat. Our gallium nitride (GaN) FETs employ
and that requires advanced thermal top-side cooled packages, which will
management techniques to sustain become increasingly important in data
performance and protect components. center systems as the drive to pack
more computing power into each
The need for higher power density server leads to new, denser component
isn’t unique to data centers. Electric arrangements that require more ways
systems from grid and communications to get heat off of the chips.
equipment to electric vehicles and
personal electronics also need the “As GaN enables us to achieve ever-
performance and efficiencies offered by Figure 1: TLVM13630 power module. higher power densities, this sort
denser, thermally efficient power chips. of flexible approach to cooling will
"Any resistance in the silicon is become all the more important,”
Produce less heat with inefficiency, and that’s wasted power Robert said.
efficient packages and extra heat," said Les Stark, director
of QFN and SOT package development Any one of these efficiency-increasing
Our company is stepping up to the at our company. and heat-removing approaches in a tiny
challenge of providing higher power chip can make a big contribution to
density in server power supply chips. To further cut losses that produce extra thermal management and efficiency. By
Small outline transistor (SOT) packages heat, our company is taking advantage optimizing packages for both size and
with integrated switches are expanding of industry-leading capabilities such as efficiency, we are helping solve data-
the boundaries of power densities and integrating more components into power center customers’ heat problems and
performance while lowering cost. chips, including FETs and capacitors. reducing environmental footprints.

1
Source: https://www.statista.com/statistics/871513/worldwide-data-created/. 2+3 Source: Center of Expertise for Energy Efficiency in Data Centers. HotRod™ is a trademark of Texas Instruments.

www.mouser.com January 2023 23


NEWS MOUSER NEWS FEATURES FOCUS NEW PRODUCTS

Motor drive ICs use


built-in system fault
diagnosis to reduce
field returns
By Cristian Ionescu-Catrina, Senior Marketing Manager,
Power Integrations

BridgeSwitch™ combines high efficiency,


design flexibility, enhanced safety, IEC 60335-1
and IEC 60730-1 compliance, with fault diagnosis

Designers are increasingly challenged by To address these challenges, designers


demands for continuous improvements can turn to BridgeSwitch, high-voltage, Figure 2: This bottom view of the BridgeSwitch InSOP-24C
in the efficiency and reliability of motor self-powered, half-bridge motor driver package shows the extended creepage distance (lower right)
and the cooling pads for the high- and low-side FREDFETs.
drives in consumer appliances. From the ICs with integrated device protection,
European Union (EU) to China, higher and system monitoring and reporting, The ultra-soft and ultrafast diodes in
efficiencies are required for a growing that deliver higher efficiency, increased the FREDFETs are optimized for hard
range of consumer appliances including design flexibility and enhanced inverter switched inverter drives. The high-
dishwashers, refrigerators, and heating, and system reliability (Figure 1). and low-side control and drivers are
ventilation and air conditioning (HVAC) self-supplied, eliminating the need for
systems. Designs are also expected to High efficiency simplifies an external auxiliary power supply.
comply with IEC 60335-1 IEC 60730-1 thermal management And the distributed thermal footprint,
safety requirements. combined with up to 99.2% efficiency,
BridgeSwitch integrated half-bridges eliminates the need for an external heat
At the same time, consumers and simplify the development and production sink at rated continuous RMS current,
manufacturers alike are demanding of high-voltage inverter driven 1- or reducing system cost, size, and weight.
higher levels of reliability with less field 3-phase permanent magnet (PM) BridgeSwitch ICs can deliver up to 400
service and fewer returns. According or brushless dc (BLDC) motor drives. W of output power and are well-suited
to a recent industry study, misdiagnosis BridgeSwitch includes a proprietary for use in inverters in appliances such
results in 30% of compressor returns instantaneous phase current output signal as dishwashers and refrigerators, and
having ‘no-fault found,’1 adding to that facilitates the design of sensor-less condenser fans in high efficiency air
costs and inefficiencies across the control schemes. These ICs include two conditioners.
supply chain, and resulting in unhappy 600V, N-channel power FREDFETs, with
consumers. Harnessing the IoT can high- and low-side drivers, in a small- Hardware-based fault
enable remote monitoring of consumer outline surface mount package (13.6 x protection saves
appliances, but the design must support 9.4mm and 1.35mm high) that offers certification cost and
meaningful and cost-effective fault extended creepage distances and allows time
diagnostics and protection functions. heat sinking of both power FREDFETs
through the printed circuit board (Figure 2). The microcontroller (MCU) in most
inverter designs is used to monitor
and respond to fault conditions, as
well as controlling the motor. Motor
control is straight forward and relatively
quick to implement using standard
software packages. Fault diagnostics
and protection are more challenging
and can vary significantly from one
application to another since they rely
on a variety of different sensors to
monitor the phase currents, system
overtemperature conditions, vibration,
and other system parameters.
Figure 1: BridgeSwitch combines high efficiency with extensive fault monitoring and reporting (blue text) for increased
system and inverter reliability.

24 January 2023 www.mouser.com


NEW PRODUCTS FOCUS FEATURES MOUSER NEWS NEWS

MotorExpert accelerates
time to market

MotorExpert software features speed


and current control loop functions
and includes an embedded C code
application, library, and control GUI
that supports single-phase designs
using BridgeSwitch ICs.3 It accelerates
time to market by providing a
Figure 3: BridgeSwitch ICs have integrated fault diagnosis, a bidirectional FAULT bus, plus reporting and hardware protection graphical interface to all parameters
to enhance reliability. and commands, as well as a terminal
emulator for interacting with the motor
It’s complex to program the MCU The single-wire FAULT bus is based on controller in serial mode.
to interpret the sensor inputs and an open-drain architecture and enables
implement protection as required to gain the BridgeSwitch ICs to send status The Motion Scope window displays
certification to international standards. updates using an 8-bit word, with the real-time linear graphs of controller
8th bit providing odd-parity to ensure variables. New functions and use cases
Certifying the monitoring and control signal integrity. It is also used by the can be added and users can easily port
software is a time consuming and costly MCU to send: the software to an MCU. The software
process, often delaying time to market. needs only 14 kB of code memory and
On the other hand, the hardware-based • Commands to the BridgeSwitch ICs; 5 kB of SRAM, making it suitable for
motor fault protection in BridgeSwitch running on MCUs with limited memory
ICs is confirmed to be compliant to the • Queries to the BridgeSwitch ICs for resources. Software developed using
abnormal motor operation requirements status updates, and; MotorExpert meets static (MISRA) and
of IEC 60335-1 and IEC 60730-1 without dynamic performance requirements for
relying on software to control, reducing • Reset commands to ICs that are latency, jitter and execution time.
costs and speeding time to market.2 latched-off for an over-temperature
Its Class A software designation per fault. Conclusion
IEC 60730-1 can save two months
of certification time, and the use of The ID pin is used at power up to set Designers can turn to BridgeSwitch
BridgeSwitch ICs also simplifies the safety a unique IHB identification for each ICs with built-in system fault diagnosis
approval process for product updates. BridgeSwitch IC, enabling the MCU to to reduce field returns and speed
identify which IC provided a given fault time to market. These self-powered,
BridgeSwitch combines comprehensive alert; IHB1 has the ID pin connected to half-bridge motor driver ICs deliver
internal fault protection functions with BPL, the ID pin is floating for IHB2 and the high efficiency, design flexibility,
external system level monitoring and the ID pin is connected to SG for IHB3 and enhanced inverter and system
reporting via a bidirectional FAULT bus (Figure 4). reliability demanded in modern
(Figure 3). Internal fault protection includes consumer appliances. The extensive
two-level thermal overload protection and In addition, setting the IHB hardware-based protection functions
cycle-by-cycle current for both FREDFETs. identification of each IC supports save certification time and costs.
System level monitoring includes four arbitration on the single-wire bus using These ICs are IEC 60335-1 & 60730-1
undervoltage levels and one overvoltage the device ID time period (tID ). HB1 has compilate as confirmed in UL Report
level on the high-voltage (HV) DC bus, a tID of 40µs, the tID for HB2 is 60µs 4788685352. The ID pin enables
and external sensors such as a negative and the tID for HB3 is 80µs. The system the MCU to pinpoint the location of
coefficient thermistor (NTC) temperature MCU is assigned a default tID of 160µs, faults. Finally, the use of MotorExpert
sensor, a vibration sensor and the ability guaranteeing that it always wins bus design software further speeds time to
to detect a stalled motor, disconnected arbitration. market for single-phase applications of
motor-phase, or running over load. BridgeSwitch ICs.

Click for More Information

References
Understanding Compressor Electronics, Emerson Climate;
1

Chapter 2, Page 3
UL Report 4788685352, UL Informative Report IEC 60335-1
2

Safety of Household and Similar Electrical Appliances


BridgeSwitch Motor-Expert Motor Control Configuration
3

and Diagnostics Tool, Power Integrations

Figure 4: BridgeSwitch status reporting combines single wire fault communication bus with device ID programming (green).

www.mouser.com January 2023 25


Bourns Power Supply
Design Solutions
High-reliability performance
in smaller, more compact designs.
NEW PRODUCTS FOCUS FEATURES MOUSER NEWS NEWS

Talking Test
By Stuart Cording, Consulting Engineer

Whatever your new normal is – back in the lab, remote or hybrid


– you’ll need good test resources with the emphasis on accuracy,
flexibility, portability and affordability. Electronics engineer and
technical writer Stuart Cording, who focuses primarily on the
semiconductor and embedded systems sectors, is here to help.

Peering into
power
When developing battery-powered But developers aren’t that interested
applications, operational life is a core in static measurements. Far more
concern. Determining the application’s important is the dynamic power profile,
power profile on paper alone is which can be used to predict battery life
exceptionally challenging, especially under different operational conditions.
with the diverse range of radio
technologies and operation modes of
Internet of Things (IoT) applications.
Ensuring application
When deployed in different parts of battery life
the same building, the same devices
may have substantially different power Accurate power profiling typically Figure 1: Otii Arc delivers the power profile of low-power
and battery-powered applications. Using scripts, tests can
consumption due to poor reception and requires a collection of equipment, also be automated. Source: Qoitech AB. (NOTE: source
repetition of failed data transfers. but today, highly integrated tools are - https://www.qoitech.com/wp-content/themes/
yootheme/cache/9f/lab_-scaled-9f26da9b.webp).
available. One option is the Otii Arc
Further challenges arise when Pro from Qoitech, a startup based in
applications are deployed outdoors, Sweden (Figure 1). Targeting battery- The hardware unit provides output
as temperature impacts the battery’s powered applications and IoT devices, voltage control from 0.5 to 3.75V in 1
properties. Low temperatures cause the it integrates a power supply, current mV steps in auto-ranging mode, or up
output voltage to drop due to increased measurement, and a programmable to 4.2V in the high current range, at a
internal resistance. Capacity also drops digital interface. maximum output current of 250mA.
significantly below 0°C. As a result, a Moving to an external power supply
battery-operated device that worked in The compact anodized housing is increases these to 5.0V and 2.5A
the fall may fail as winter arrives. rugged and portable, allowing the use continuous current (5.0A maximum).
of the tool in field measurements under Current measurement accuracy is ±(0.1
realistic user conditions. % + 50nA) up to 19mA and ±(0.1 % +
With current draw in low-power 150µA) beyond this, while voltages are
and sleep mode for microcontrollers accurate to ±(0.1 % + 1.5mV).
dropping to the nanoamp range, Powered via USB, it is accompanied
multimeters are a poor choice of tool by a year of access to the Otii Pro
as they aren’t designed to measure Software that provides access to a host Additional analogue measurements, such
such low currents. Digital ammeters of additional capabilities, including as measuring an additional subsystem,
are another option. As the application UART logging, battery life calculator, are supported with an analogue-to-
switches from sleep to active and customization of statistics. This digital converter (ADC) that can be used
modes, they provide the necessary builds on the basic feature set of in single-ended and differential modes.
dynamic range to deliver accurate measurements and statistics, calibration Digital I/Os are also available to support
measurements. offset, and result export options. control of the device under test and
provide UART functionality.

www.mouser.com January 2023 27


NEWS MOUSER NEWS FEATURES FOCUS NEW PRODUCTS

A top sampling rate of 4ksps delivers Operating at 100ksps, current Low-side sensing is easy to implement
accurate averaged measurements, even measurements of 200nA to 1A are but can’t detect some errors, such
when the pulses captured lie under the supported, with resolution varying as shorting of the load’s terminals
sampling speed. between 100nA and 1mA over that to ground. It also causes the ground
range. In source measurement unit side of the load to rise above ground,
The software delivers a clear (SMU) mode, the board can supply another potential cause of issues.
visualization of power consumption, power and measure current, with Alternatively, a high-side resistor can
easy access to the tool’s feature set voltage configurable from 0.8V to be used, but this requires a differential
(Figure 2), and measurement results can 5.0V. Otherwise, an external supply, measurement that is more costly to
be compared in Pro. Further licenses limited to the same range, can be used. implement.
enable access to profiling or emulating The tool measures instantaneous and
batteries and test automation using average current and can also be used The semiconductor industry has
Python or C# scripting. with custom boards. recently launched various alternative
current measurement solutions,
One of the challenges of power including miniaturized coreless
debugging is relating power peaks and magnetic sensors such as the TLI4971
troughs with the code. Thanks to the from Infineon. Thanks to its use
integrated logic analyzer and some of an integrated current rail and
light code instrumentation, such a link differential Hall sensor, such sensors
can be achieved easily. The software, are capable of bi-directional DC and
available through nRF Connect for AC measurements, making them ideal
Desktop, supports Windows, Linux, for robotics, white goods, power tools,
and macOS (Figure 4). battery management, and photovoltaic
inverters.
Figure 2: The accompanying software provides easy access It displays current consumption as a
to the tool’s configuration and a clear display of the power
profile. Source: Qoitech AB. live, real-time view or can record data This particular device has a 220µΩ
for later analysis using its data logger insertion resistance, lower than 1µH
Monitoring low-power function. Signals captured by the logic parasitic inductance, and a 240kHz
analyzer correlate with the power data. bandwidth. Galvanically isolated up to
wireless Through advanced controls, gain offsets 1150V, it can measure currents of up to
can also be applied, as can filters to ±120A.
While dedicated power profiling tools compensate for range switching.
are great, sometimes it helps to have
To get started quickly, the TLI4971 is
something that integrates into your Monitoring high power available as a Shield 2Go (S2Go) kit
existing development flow. Nordic
Semiconductor, known for its low-
consumption (Figure 5). This T-shaped board features
two sturdy M4 screw connectors and
power wireless technologies, offers
Of course, it isn’t just battery-powered uses 140µm copper to support high-
its Power Profiler Kit II (PPK2) that
applications where power consumption current applications. Connections to the
integrates directly with nRF52, nRF53,
is a concern. Changes in power drawn magnetic current sensor are available
and nRF9 development kits (Figure 3).
can deliver a wealth of insight, such as as castellated pads for integration into
The minimalist design consists of a PCB
how loaded a washing machine is or the user’s application. However, the
with a protective, clear plastic housing, a
signs of an impending motor failure. kit also has a sensor shield to simplify
USB connector, and a header for power
Most circuits use a shunt resistor the connection to other development
measurements. An integrated 8-pin
for this purpose, but this can cause systems, such as an XMC2go, Arduino,
logic analyzer is also provided together
challenges. or Raspberry Pi.
with the required connection cables.

Figure 3: The Power Profiler Kit II from Nordic Semiconductor Figure 4: In data logger mode, the PKK2 software can display the power profile together with logic analyzer data to help link
is an excellent match for nRF development kits. peaks with application code execution. Source: Nordic Semiconductor.

28 January 2023 www.mouser.com


NEW PRODUCTS FOCUS FEATURES MOUSER NEWS NEWS

Seeed Studio offers the device in its Keeping on top of power


Grove Sensor (Figure 6) format to get
consumption
started quickly.

There are many different reasons


Thanks to the integration of a voltage
to monitor power. In embedded
regulator, the board can be powered
applications, using a battery as the
from a 3.3 or 5.0V supply, and it uses
power supply or even an energy
their standard four-pin connector
harvesting solution demands a deeper
system. Connection for the load is
understanding of the power profile.
provided via a sturdy screw-terminal
block.
From here, operational life can be
Figure 5: The Shield 2Go (top) for the TLI4971 provides easy determined, and any necessary
access to current measurement technology. The large pads Seeed also provides a comprehensive
improvements implemented. Qoitech’s
(right) show the integrated current rail. Source: Infineon AG. description of the operation of the
Otii is an ideal all-round test and
sensor together with example code
measurement tool that can also be part
Fast evaluation of the current sensor’s to get you started. Initial operation
of an automated test approach. For
capabilities is provided through a determines the measurement under no
users of Nordic’s wireless system-on-
software GUI. Current data acquired current draw conditions, delivering an
chip (SoC) devices, the PPK2 integrates
can be exported in CSV format. The offset value for the code. Once applied,
easily with their development boards
TLK4971 is also highly configurable, the code is ready to run.
and keeps you in a single development
allowing custom settings to be written ecosystem.
to its internal EEPROM through the GUI.
For those looking to add current
An alternate current measurement capabilities to their
measurement approach application, there are also alternatives
to shunt resistors. Hall-sensing and
GMR technology provide isolation from
There is an alternative for those whose
the current measured, which makes the
current draw is a little lower. Using giant
circuit easier to implement. Coupled
magneto-resistive (GMR) technology,
with the available development boards,
the Allegro ACS70331 can measure ±5
evaluating the device that best fits your
A of DC or AC current. This simple 3.3V
needs is a speedy and smooth process. 
device, available in a 12-pin QFN or 8-pin Figure 6: Current measurement using a GMR sensor is
SOIC package, provides a simple output made easy thanks to this Seeed Studio Grove board, which is
supported with software examples.
voltage proportional to the current.

WSBS Power
Metal Strip®
Battery Shunt
Resistors

www.mouser.com January 2023 29


NEWS MOUSER NEWS FEATURES FOCUS NEW PRODUCTS

From the
Connector Geek
Don’t diss connectors! David Pike is proud to call himself the ‘Connector Geek’.
He has spent nearly 30 years in the interconnection marketplace, working with
manufacturers and distributors, building a reputation throughout the industry
for his passion and knowledge. So we gave him his own series...

AN INTRODUCTION TO
POWER INTEGRITY
The demand for high-speed Advances in transmission speed have Connector manufacturers have devoted
connectivity is growing. The been driven by the chip manufacturers. considerable expertise to design
widespread adoption of 5G technology, The introduction of PAM4 (4-level pulse connectors and cabling systems that
the growth of the Internet of Things amplitude modulation) signalling, in preserve signal integrity.
(IoT) and growing connectivity of which data is transmitted in one of 4
devices such as cars and homes has voltage levels, has increased the need
The Limitations of
driven the appetite for high data rates. for clean signals. It can double the
Signal integrity has become hugely amount of information that can be PCB Connectors
important to the electronics industry. transmitted when compared to NRZ
(Non-return to zero) signalling but is However, the manufacturers also
more susceptible to interference. understand that their responsibility
does not end with the connectors
This is easier to understand when we themselves. The inexorable rise in
look at the eye diagrams for the two the demand for high data speeds has
methods. The differentiation between brought the transition from connector
the levels, as noted by the eye height to PCB into focus.
“A” in both images, is vital for signal
integrity. It should be clear that the Anyone who has spent any time
smaller eyes of PAM4 transmission looking at PCB mounted connectors
make it more easily affected by over the last few decades will be
interference. familiar with PCB layout diagrams.
They provide the detail that designers
A few decades ago, engineers need to incorporate the physical
were predicting the end of copper arrangements for securing a connector
connectivity. Speeds of 100Mbps onto the board, including the mounting
(Megabits per second) were viewed by points, spacings and plating details.
some as the limits of signal integrity For a long time, it was sufficient for
when transmitted over copper- NRZ or PAM2 transmission PAM 4 Transmission the manufacturer to provide this
based infrastructure. Technology information and leave the rest of the
has advanced, and we are seeing The medium through which signals design detail to the customer.
the growing adoption of 112 Gbps travel is vital to signal integrity, and
communication over traditional copper these high speeds have forced us to The growing importance of signal
cables and connectors. The latest revise some assumptions. The two- integrity has highlighted the
installations are growing increasingly dimensional nature of printed circuit importance of the Break-Out Region
powerful as 400 and 800 Gigabit boards (PCBs) limits their ability to (BOR), the name given to the zone in
Ethernet becomes a reality, and support high-speed signals, and so which signals leave the connector itself
many are looking towards 1.6 Terabit there is an increasing interest in the and make the transition onto the PCB.
Ethernet in the near future. use of twinax cable assemblies as an
alternative to traditional PCB.

30 January 2023 www.mouser.com


NEW PRODUCTS FOCUS FEATURES MOUSER NEWS NEWS
For higher, unregulated input voltages
where the system can tolerate greater
AC fluctuations, a single larger blade-
type contact will be more suitable.

A larger contact will be able to carry


larger currents at higher voltages, and
will therefore minimise the DC losses.
However, once the power supply has
been regulated, the problem of AC
However clever the connector This can reduce the voltage or create fluctuation becomes more important. In
manufacturer has been in designing spikes, either of which affects the this case, the inductance of the connector
the connector to preserve SI within the quality of the power supply as it reaches will be lower using smaller contacts,
connector itself, all of this work can the consumer. The use of decoupling using several in parallel to reduce the
be undone by a poor BOR layout. As a capacitors can reduce the effect of these current transmitted through each.
result, manufacturers like Samtec are variations, and the closer that these
providing customers with optimised capacitors can be placed to the consumer, As a result, the designer must be
designs to create a clean interface at the more effective they can be. prepared to ask some important
the edge of the BOR, with signals that questions when establishing the power
are ready to be processed. However, in common with signal requirements of their system. What
integrity, the medium over which is the required target output, how
Signal integrity is only part of the battle, power is transmitted also has a major low can this be allowed to fall before
however. Power plays an enormous role impact on the PI of a power network. affecting functionality, and what is the
in the future of our data networks. Exact Connectors are a key component in this source of any noise that might affect
predictions vary depending upon the battle to provide clean power. power integrity?
source, but by the end of this decade as
much as 4% of all electricity generated When looking to provide a power
globally will be used in data centres. Just distribution network that maximises PI,
as signal integrity is vital to the quality of designers must consider both the series
our communications, so power integrity resistance and the series inductance
(PI) will be just as important in ensuring of the components. When it comes
the smooth running of data centres to selecting a suitable connector, we
worldwide. frequently look at contact resistance
as a key indicator of its performance.
Understanding However, inductance is not so familiar to
many of us. In general, series resistance
Power Integrity will influence the DC drop while series
inductance will determine the AC
The problem is that, while SI is well transient noise. With the emphasis on high-speed
defined with a wealth of information communications, it is easy to assume
available to engineers, the pursuit of We can apply a generalisation in which that signal integrity is the only aspect
PI has far less structure. There are no a higher overall inductance will require a that must be considered. However, a
standards or requirements that govern greater number of decoupling capacitors. reliable and clean power supply is vital
how it is affected by the connectors It is possible to reduce the inductance of to fast communications. Selecting the
and cables through which power is a connector by altering its geometry – the right connector geometry, and thus the
transmitted. It is therefore up to the arrangement of power contacts in relation right connector, plays a huge role in
designer to manage their own PI needs. to each other. But as the challenges of maintaining a clean power supply.
PI are less well understood, there is less
The goal of PI is to provide power to information available to help the designer. Make sure you don’t ignore power
the system within acceptable limits. Key integrity.
to this goal is the power distribution
Connectors and
network, which is defined as the entire
Power Integrity Acknowledgements
path of current from the power supply
all the way to the consumer. The power
distribution network must minimise While there are a few guidelines that Thanks to Matt Burns and Istvan Novak
the voltage fluctuation across the will help, there is no single rule that is of Samtec for their invaluable assistance
consumer, in spite of its varying current true for all applications. For example, in writing this column. Samtec is a
demand. we could ask whether it is better to world leader in the development of
employ a connector with a few large connectors for high-speed applications.
This variation or ripple is induced by contacts, each carrying higher currents, Take a look at the complete range here,
dynamic current introduced by the or a larger number of smaller contacts and the huge amount of information
consumer, and is often created by in which the power is distributed more available to help with your design.
switching. evenly across the connector.

www.mouser.com January 2023 31


NEWS MOUSER NEWS FEATURES FOCUS NEW PRODUCTS

The development
tools you need
Top 5 Development Tools

Mouser offers one of the widest ranges of development kits immediately available off-the-shelf to help
designers get started. Here, Mouser’s Technical Marketing Manager, EMEA, Mark Patrick, presents his
‘Top 5 Pick’ of recently-released dev kits. www.mouser.com/Development-Tools-Center

BLDC control
Infineon MOTIX™ Motor Bench
Infineon’s MOTIX™ Motor Bench is a BLDC motor test bench
combining Infineon evaluation boards and reference designs.
Ideal for pumps, fans and 3-phase BLDC motor applications,
the Infineon MOTIX motor bench includes a Nanotec
DB42S03 brushless DC motor with the following specs: 8/3
No. of pol./phase; 24 VDC rated; 0.0625Nm/0.19Nm Torque
rated/peak; 4000RPM/6200RPM Speed rated/no load.

Also included is a current controlled hysteresis brake (Mobac


HB-50M-2) with 0.38Nm maximum torque and 15000 RPM
maximum speed ratings.

FIND OUT MORE >>

Initial sensor measurement


ams OSRAM AS7331 eval kit
This evaluation Kit allows initial sensor measurements by
implementing the AS7331 spectral UV sensor. The AS7331-
EVK hardware is split into two parts, consisting of a sensor
board with an I2C interface and a communication board with
USB output where the sensor board is connected.

The ams OSRAM AS7331 kit supplies a comfortable


GUI, enabling access to all sensor settings. Furthermore,
measurements can be recorded and exported via a .csv file
for further analysis. Also, a source development kit (SDK)
is incorporated into the kit. This SDK furnishes prepared
libraries and sample codes to support comfortable
firmware design-ins.

FIND OUT MORE >>

32 January 2023 www.mouser.com


NEW PRODUCTS FOCUS FEATURES MOUSER NEWS NEWS

Accelerometer + 3-axis gyroscope


STMicroelectronics
STEVAL-MKI227KA 3-axis kit
This kit is based on the LSM6DSV16X inertial module
with a Qvar electrostatic sensor. The STMicroelectronics
LSM6DSV16X has three different electrodes (swipe
finger and generic) to make it compatible with the
STEVAL-MKI109V3.

The LSM6DSV16X can be configured by changing the


position of the jumper. The kit provides the complete
LSM6DSV16X pinout and comes ready to use with the
required decoupling capacitors on the VDD power
supply line.

FIND OUT MORE >>

RF amplification
CML Microcircuits CMX90G70 eval
boards
These boards are used to evaluate CMX90G70 RF amplifiers
- low-power 50Ω gain blocks suitable for many wireless
applications operating in the 6GHz to 18GHz frequency
range. The CML Microcircuits gain block has a positive gain
slope of +1dB across the 6GHz to 16GHz band. evaluation
boards operate at 6GHz to 18GHz wide frequency range, 2V
to 5V single positive DC supply range, and 105°C operating
temperature range.

The CML Microcircuits CMX90G70 evaluation boards are


ideally used in Satcom Ku-band, Fixed Wireless Access
(FWA), and 5G infrastructure and backhaul.

FIND OUT MORE >>

5G applications from 37-49GHz


ADI ADRF5301 SPDT switch eval
board
Analog Devices’ ADRF5301 is designed to evaluate the
performance and features of the ADRF5301 Silicone
Reflective SPDT Switches. ADRF5301 switches have a
frequency range of 37GHz to 49GHz, low insertion loss,
and high isolation. The ADRF5301-EVALZ board features a
2.4mm connector, an ADRF5301 switch, and the application
circuitry on the primary side of the board.

FIND OUT MORE >>

www.mouser.com January 2023 33


NEWS MOUSER NEWS FEATURES FOCUS NEW PRODUCTS

Tech Tips
Edge Impulse
Use Case:
Wildfire
Detection
Muhammed Zain and Salman Faris for Mouser

Machine learning has the potential Wildfires and Machine Scientists have long known that certain
to fundamentally change the way Learning variables, such as the temperature
we exist and operate within our and humidity of air and soil, are key
world. At its core, machine learning Up to this point, one of the most indicators of an area’s susceptibility to
is just a mathematical way to predict pressing issues facing humanity has wildfires. However, putting together
future events based on previous been how to adapt and react to mathematical models that can take
data. However, when applied to big seemingly spontaneous natural events these variables and accurately predict
challenges, machine learning can pave such as hurricanes, earthquakes, and the occurrence of wildfires has been
the way for a safer and brighter future wildfires. In general, we’ve yet to find achallenging.
for humanity. way to anticipate these events, and even
if we do, we often only realize once it’s With machine learning, this has all
Machine learning holds great promise too late to protect ourselves. changed. By taking data from key
in the field of emergency preparedness. indicators and feeding them into a
Here, researchers are beginning to This is especially true for wildfires: We machine-learning model, wildfires
investigate ways to leverage this are aware of the conditions that are can be predicted with a high degree
technology to predict emergency conducive to wildfires, but their actual of accuracy. With this knowledge, we
events, such as extreme weather onset is often considered a random can prepare for wildfires by evacuating
situations, with enough notice to avoid event. animals and removing flammable
them altogether or at least reduce their biomass from an area, ultimately
impact. As a result, we’ve been unable to reducing the damage and severity of
protect ourselves from the spread wildfires.
Machine learning offers a unique and damage of wildfires, resulting in
opportunity for wildfire detection, lost human and animal lives as well
Edge Computing for
especially when implemented on as destroyed woodlands. Today, with
the Edge. the rise of global warming and climate Wildfire Detection
change, predicting and preparing for
In this blog, we’ll discuss the promise of wildfires is seemingly more important In most cases, machine-learning
machine learning for wildfire detection, than ever before. applications are expected to run on the
why the technology needs to be cloud, where big servers provide the
deployed on the Edge, and how we Researchers have begun looking at ways processing power needed to perform
were able to use Edge Impulse to create to use machine learning technology to machine-learning computation.
a proof of concept for this technology. address this issue.

34 January 2023 www.mouser.com


NEW PRODUCTS FOCUS FEATURES MOUSER NEWS NEWS

However, in the instance of wildfire In these cases, replacing the battery is


detection, this computation needs to not a realistic option; hence, the device
be moved to the Edge for several key is only useful as long as its battery
reasons. is alive.

In a wildfire detection application, a In general, one of the biggest power


device will be deployed consisting of consumers for an Internet of Things
a number of environmental sensors, device is the power spent wirelessly
such as humidity and temperature, and communicating with other devices in a
machine-learning algorithms are then network. In a cloud computing scheme,
run on these collected data. the device will burn significant power
simply by communicating the large
Seeed Studio Wio Terminal
volume of sensor data to the cloud,
ultimately shortening battery life.

Instead, with Edge computing, less Furthermore, Edge Impulse made it


wireless communication means less easy for us to select and train our
power expenditure. Edge computing model as well as to deploy it to our
enables sensors with long battery microprocessor. In our case, our
life and hence a greater opportunity microprocessor was an ATSAMD51-
to provide emergency preparedness based core on a Seeed Studio Wio
information. Terminal.

Edge Impulse Makes It Needless to say, our project would not


have been possible if it weren’t for the
In this scheme, two options exist: send Possible tools and resources provided by Edge
the data to the cloud for processing or In the course of developing our wildfire Impulse.
process them on the Edge. detection proof-of-concept device, we
encountered a variety of significant
A major challenge with cloud processing challenges and solved them with Edge Conclusion
in this context is the remote deployment Impulse.
of these devices. These devices are For all of history, humanity has been
generally deployed in remote locations, One of these major challenges forced to react to natural events and
like in the middle of a forest, where pertained to sensor fusion. In our emergencies as they occurred. Now,
wildfires might actually take place. device, we take data from a number of with the advent of machine learning,
disparate sensors, including sensors for we finally have the ability to predict and
In these isolated locations, network the temperature and humidity of both prepare for emergencies in ways that
connectivity is very limited, making air and soil, and we try to understand were previously unfathomable.
it difficult, if not impossible, to these different data streams. Doing
communicate all of the sensor data to this requires sensor fusion, which is the Wildfire detection is an important
the cloud for processing. Instead, with process of merging data from multiple cause that is becoming increasingly
machine learning on the Edge, all of sensors for a more encompassing view paramount, but, due to the unique
the data and processing can be kept on of the environment. restrictions of the application, it requires
the local device. The only thing to be Edge computing.
communicated with the outside world In general, implementing sensor fusion
would be a warning in the rare instance is a difficult task full of many unique Thanks to Edge Impulse and the tools
that a wildfire was determined to be complexities and algorithms. Luckily, and resources it provides, we were able
likely. Edge Impulse offers a built-in suite of to develop a proof-of-concept wildfire
tools meant specifically for facilitating detection device that can accurately
Another benefit of Edge computing and implementing sensor fusion on alarm park rangers and other officials if
is that it may require less power Edge devices. a wildfire is imminent.
expenditure. In the vast majority of
cases, a remotely deployed sensing With this tool, we were able to At the end of the day, this technology
device will be powered by small successfully, and rather easily, design a has the potential to save the lives of
lithium-ion or lithium-polymer system that captures, aggregates, and humans and animals as well as to
batteries. formats our data so that the data can prevent the destruction of our
be fed into our machine-learning model. fragile woodlands.

www.mouser.com January 2023 35


NEWS MOUSER NEWS FEATURES FOCUS NEW PRODUCTS

ADI power amp now at NEW PRODUCT


at mouser.com
Mouser
Mouser is now stocking the ADPA7005 gallium
arsenide (GaAs), pseudomorphic high electron
mobility transfer (pHEMT) monolithic microwave
integrated circuit (MMIC) power amplifier from
Analog Devices.

This amplifier features an integrated temperature-


compensated on-chip power detector that operates between
18GHz and 44GHz. The ADPA7005 amplifier provides
15.5dB of small signal gain and approximately 32dBm of
saturated output power at 32GHz from a 5 V supply voltage.

It provides an IP3 of 40dBm and is ideal for linear


applications such as electronic counter-measure and
instrumentation applications requiring >30dBm of efficient
saturated output power.

The ADPA7005 amplifier is packaged in a 7mm x 7mm and Applications include military and aerospace, test instrumentation,
18-terminal ceramic leadless chip carrier with a heat-sink electronic countermeasure, and communications.
(LCC_HS) that exhibits low thermal resistance.
Click for More Information

Durable LEDs for automotive NEW PRODUCT


at mouser.com
exterior lighting
Mouser is now stocking the OSLON Black Flat X LED
devices from ams OSRAM. Designed for forward
lighting applications, including headlamps, night
vision, and laser devices, the OSLON LED devices offer
high efficiency and excellent thermal conditions.

The OSLON Black Flat X LED devices have a high focus on


system cost, making them a durable, cost-effective option
for a wide range of lighting solutions.

OSLON high-performance 3-chip Black Flat LEDs deliver 1350


lm at 1000mA. The LEDs’ pad geometry offers exceptional
thermal performance, allowing developers to reduce heatsink
size in their lighting designs. The 3-Chip Black Flat X LEDs
feature an extremely high contrast 1:200 design as a result of
black case material and TiO2 casting.

The OSLON 4-Chip Black Flat X KW4 HPL631.TK LEDs are an OSLON 5-Chip Black Flat X KW5 HQL631.TK LEDs high-
ideal replacement for halogen lamps. Offering up to 2115 lm performance are the most efficient lead-frame devices
at 1000mA, the 4-Chip Black Flat X LEDs support a range of in the OSLON series, delivering exceptional performance
automotive functions, including headlamps and low-beam in automotive exterior applications, including halogen
and high-beam applications. The devices are housed in a replacement. The high-efficiency LEDs offer up to 2140 lm at
compact 7.59mm × 3.75mm × 0.50mm package, making 1000mA, and they boast an operating temperature range of
them a suitable choice for high-density applications. -40°C to +135°C.

Click for More Information

36 January 2023 www.mouser.com


NEW PRODUCTS FOCUS FEATURES MOUSER NEWS NEWS

New antennas for Wi-Fi NEW PRODUCT


at mouser.com
and Bluetooth
Mouser is now shipping the FlexPIFA™ 2-dBi and
FlexPIFA 3-dBi antennas with MHF1/U.FL cables from
Laird Connectivity.

These are the industry's first flexible Planar Inverted-F


Antennas (PIFA) designed for Wi-Fi or Bluetooth® applications
mounting on non-conductive or irregular surfaces, regardless
of humidity or hot/cold cycles (-40°C to +85°C).

The Laird Connectivity FlexPIFA 2-dBi and 3-dBi antennas are


designed to improve performance across a broad array of
environments, enclosures or even body-worn applications.
The 2-dBi FlexPIFA antenna is a super-small (40.1mm × 11mm
× 2.5mm), single-band (2.4GHz) device offering 2-dBi peak
gain, linear polarization, and 50 W impedance, ideally suited
for Wi-Fi 802.11b/g/n and Bluetooth applications.

The 3-dBi FlexPIFA antenna features dual-band (2.4/5.5GHz) The FlexPIFA family of antennas delivers robust performance
performance in a 38.5mm × 12.7mm × 2.5mm form factor, and easy installation, making them an ideal solution for
with 3-dBi peak gain and 50W impedance. This antenna is challenging Internet of Things (IoT) and harsh environment
also ideal for Wi-Fi 802.11b/g/n and Bluetooth applications, design applications.
as well as legacy Wi-Fi 802.11a applications.
Click for More Information

Auto qual’d USB-to-UART/ NEW PRODUCT


at mouser.com
MPSSE IC
The FT4232HA is an automotive-qualified USB 2.0-to-
UART converter from FTDI Chip seamlessly provides
high-speed USB support to target designs.

Featuring four independent configurable interfaces, the


FT4232HA device offers a highly flexible solution for a
range of both automotive and general USB applications,
including smart card readers, industrial control, media player
interfaces, and set-top box interfaces.

FTDI Chip’s FT4232HA, available from Mouser Electronics,


supports single-chip USB-to-quad serial ports with a variety
of configurations. Two of the device’s four configurable
interfaces can be configured as UART, JTAG, SPI, I²C, or
Bit-Bang mode, using an MPSSE with independent baud
rate generators, while the remaining two interfaces can be
configured as UART or Bit-Bang.

Suitable for a range of automotive applications, the highly


durable FT4232HA device is AEC Grade 2 qualified with an For development, Mouser also offers the FT4232HA Mini
extended operating temperature range of -40°C to +105°C. Module, a small evaluation board that features a pre-installed
The IC also features low operating and USB suspend currents FT4232HA and an onboard USB port that allows easy
and supports bus-powered, self-powered, and high-power connection to a PC. The evaluation module also includes two
bus-powered USB configurations. 26-pin dual-row headers to enable easy connection to PCB
header sockets and ribbon cables.

Click for More Information

www.mouser.com January 2023 37


NEWS MOUSER NEWS FEATURES FOCUS NEW PRODUCTS

Azoteq capacitive touch/ NEW PRODUCT


at mouser.com
proximity control ICs in stock
Azoteq’s IQS7223 capacitive touch/proximity
controller ICs are now in stock at Mouser.

The devices offer accurate long-term and power-on presence


detection with an I2C communication interface, configurable
GPIOs, and low-power options.

IQS7223ICs feature on-chip calculations that enable


the IC to respond effectively, event/streaming modes,
automated system power modes for optimal response, and
a customizable user interface. The IQS7223 ICs include
built-in functions such as dedicated intelligent wear output,
differential measurements, automatic tuning, noise filtering,
and debounce/hysteresis.

These ProxFusion® ICs are ideal for headphone wear


detection, fitness band or smartwatch wear detection,
and presence detection purposes.

Click for More Information

Wi-Fi and cellular antennas NEW PRODUCT


at mouser.com
for IoT and Smart Homes
Mouser, the New Product Introduction (NPI) leader™
empowering innovation, is now stocking the ANT-
W63WSx blade style Wi-Fi 6/6E/7 antennas and the
ANT-5GWWS6-SMA cellular sub-6 5G antennas from
Linx Technologies.

These antennas offer robust performance for both Wi-Fi and


cellular-based IoT and Smart applications, in a small form
factor design.

The new antennas provide engineers with superior


performance for IoT and Smart Home applications in the
2.4GHz, 5GHz, and 6GHz bands while supporting Wi-Fi
6, Wi-Fi 6E and Wi-Fi 7. These ground-plane independent
dipole antennas feature an adjustable, hinged rotating design
with detents for straight, 45°, and 90° positioning, to offer
optimum performance and reduced exposure from impact
damage.

The ANT-W63WSx antennas offer omnidirectional radiation The Linx Technologies ANT-5GWWS6-SMA also features an
and linear polarization and are available with either an SMA adjustable antenna for optimum performance and reliability
plug (male pin) or an RP-SMA plug (female socket) connector for bandwidth from 617MHz to 5925MHz, and services
for design flexibility. global cellular networks (5G/4G/3G/2G) for both home and
business networking. This antenna attaches with an SMA
Linx Technologies ANT-5GWWS6-SMA Cellular Sub-6 5G plug (male pin) connector.
antenna is a dipole, blade-style antenna designed for 5G
New Radio, LTE, and cellular IOT (LTE-M, NB-IoT) applications
requiring a cost-effective, but capable, antenna solution. Click for More Information

38 January 2023 www.mouser.com


NEW PRODUCTS FOCUS FEATURES MOUSER NEWS NEWS

3D facial recognition NEW PRODUCT


at mouser.com
Expanding on its top-rated EdgeReady portfolio, NXP’s
i.MX RT117F crossover processors offer a low-cost,
embedded, secure 3D facial recognition solution.

This innovative solution enables developers of smart locks


and other access control systems to add machine learning-
based secure facial recognition capabilities quickly and
efficiently to smart homes and smart Industrial Internet of
Things (IIoT)-based applications utilizing NXP's eIQ® machine
learning software.

Available from Mouser, the i.MX RT117F crossover processors The i.MX RT117F also includes a license to run NXP's facial
feature NXP's advanced implementation of the Arm® biometric authentication library. The comprehensive library
Cortex®-M7 CPU core with 2 MBytes of on-chip SRAM, hosts a robust offering of camera drivers, image capture and
running at up to 1GHz to provide higher performance, faster, pre-processing tools, face detection, tracking, alignment and
more accurate facial recognition, improved power efficiency recognition tools, wireless drivers, automation scripts and
and an overall better user experience. more.

Along with its dual-core processing and security features, To complement the i.MX RT117F crossover processors for
the i.MX RT117F also provides a 2D graphics processing development, Mouser is also stocking the SLN-VIZN3D-IOT
unit (GPU), two Gigabit Ethernet ports (and one 10/100 development kit. The kit implements an onboard i.MX RT117F
port), two High-Speed USB OTG with PHY, and support for processor for secure facial recognition with 3D liveness
a MIPI display and camera. The combined solution of the detection and features fully integrated turnkey software for
i.MX RT117F crossover processor, with a high-performance quick out-of-the-box operation. Additionally, the kit includes
3D structured light module (SLM) camera, helps deliver the an available remote registration capability to allow end-users
performance and security of 3D facial recognition at the to register their faces from mobile devices.
edge, addressing privacy concerns and eliminating latency
typically associated with cloud-based alternatives.
Click for More Information

Blind-mate coax NEW PRODUCT


at mouser.com
Mouser Electronics, industry's leading New Product
Introduction (NPI) distributor with the widest selection
of semiconductors and electronic components, is now
stocking blind-mate coaxial products from
TE Connectivity.

TE's blind-mate coaxial products support a frequency range


of 1.6GHz to 67GHz and have testing capabilities of up
to 128 ports. This portfolio includes solutions from SMP/
SMPM, test probes, multi-port, and massive coaxial, enabling
use in a wide range of applications. These products feature
a durable single-click connection that is tested to 10,000
installation cycles, a robust and heavy-duty design, and
provide RF testing and signal sampling in space-restricted
spaces with minimal visibility.

TE's blind-mate coaxial products are suitable for test and


measurement, aerospace and defense, production line
testing, and telecommunication applications.

Click for More Information

www.mouser.com January 2023 39


NEWS MOUSER NEWS FEATURES FOCUS NEW PRODUCTS

20,000+ products from TDK


An authorized global distributor of solutions from TDK
Corporation, Mouser offers customers over 20,000
parts in stock from TDK and its companies EPCOS,
InvenSense, Micronas, Tronics, and TDK-Lambda.

These include a wide range of products covering


semiconductors, optoelectronics, sensors, and passive
components. The following are just a few of the TDK
products available from Mouser:

μPOL™ DC-DC power modules are compact and highly


integrated point-of-load converters. The high-current-density
power modules provide the high performance, fast load
transient response, and high-accuracy voltage regulation
needed to power CPUs, MCUs, ASICs, FPGAs, DSPs, and
other advanced digital logic devices. µPOL converters
feature a chip-embedded power IC in a thermally enhanced
semiconductor-embedded-in-substrate package.

EPCOS CLT32 automotive-grade power inductors are suitable The WCT wireless charging coil features pattern coil technology
for safety-critical automotive applications in advanced that reduces thickness to cover a larger charging area in a single
driver-assistance systems (ADAS). These AEC-Q200-certified cell. This design, combined with TDK’s proprietary magnetic
components feature a small footprint of 3.2mm × 2.5mm material, reduces the coil thickness to 0.76mm.
and an insertion height of 2.5mm.
Click for More Information

Ultra-wideband for IIoT uses NEW PRODUCT


at mouser.com
The DWM3001C 6.5GHz and 8.0GHz ultra-wideband
(UWB) module from Qorvo® is designed to accelerate
Industrial Internet of Things (IIoT) applications for
tags, access control, asset tracking and secure bubble
environments.

This fully integrated module streamlines UWB implementation


in applications such as factory and warehouse automation
and security systems and provides high-precision, real-time
location for healthcare staff and patient applications, retail
security, connected home, navigation and more.

Available at Mouser Electronics, the DWM3001C, is a fully The DWM3001C UWB is compliant with the IEEE
integrated UWB module and certified (FCC, ETSI and IC) 802.15.4z standard and developed in accordance with
integrated modem solution to simplify UWB implementation the FiRa Consortium PHY and MAC specifications and
in systems using a standard low-power microcontroller. Car Connectivity Consortium (CCC) specifications. The
The DWM3001C features adjustable data rates from 850 DWM3001C module can be used in two-way ranging (TWR)
kbps to 6.8Mbps, with maximum packet lengths of 1023 or time difference of arrival (TDoA) applications and is also
bytes for high-data-throughput applications. The module interoperable with the Apple U1 chip (Beta Evaluation).
integrates the DW3110 transceiver IC, Nordic Semiconductor
nRF52833 Bluetooth® Low Energy SoC, a planar UWB Mouser also offers the DWM3001CDK development kit,
antenna, accelerometer, power management and crystal — which enables designers to evaluate hardware performance
all bundled together to improve design cycle duration. as a TWR or TDoA tag and to build an evaluation real-
time location system (RTLS). An on-board J-Link debugger
The Qorvo DWM3001C module’s RF design is fully tested provides SWD and UART interfaces to the DWM3001C.
and calibrated to offer low power consumption for battery-
powered applications.
Click for More Information

40 January 2023 www.mouser.com


Ordering made easy
Tools to search, check stock and purchase

mouser.com/servicesandtools
Start your search here
Mouser

The widest selection of semiconductors and


electronic components in stock and ready to ship.

Over $850 million worth of stock ready to ship

You might also like