Fulltext

You might also like

Download as pdf or txt
Download as pdf or txt
You are on page 1of 7

Analog Integrated Circuits and Signal Processing, 25, 85±91, 2000

# 2000 Kluwer Academic Publishers. Manufactured in The Netherlands.

High Volume RF/Microwave SOI-CMOS Integrated Circuits

A. J. AUBERTON-HERVE, T. BARGE AND C. MALEVILLE


Soitec SA, Bernin, France

A. WITTKOWER
Soitec USA, Peabody, MA, USA

Received June 28, 1999; Revised February 24, 2000; Accepted February 25, 2000

Abstract. Building RF/microwave SOI-CMOS integrated circuits has significant speed and power advantages
over circuits built on bulk materials. High quality SOI material exists today which will meet today's device
requirements; on-going development efforts will improve the material available for subsequent device
generations.

Key Words: SOI material, unibond, low power, SOI volume production, CMOS-SOI, high resistivity, SOI
roadmap

1. Circuit Issues voltage must be reduced to obtain low power ICs.


This power supply reduction has to follow the
Advances in technologies for conserving energy will systems industry's evolution in battery voltage and
deliver enormous system bene®ts. Soon, most por- capacity. The target (i.e. SIA-SEMATECH roadmap)
table microsystems will operate at 1 V. With a single is to reach 0.9 V using a single battery by year 2000.
battery or solar cell energy supply, low voltage, low However, at such a low voltage, performance is also
power circuits will make possible a truly personal reduced because of lower transistor drivability.
portable system, enhancing communication and in- SOI provides many advantages for low voltage IC
formation servicing. operation:
SOI can operate in the GHz range with only 1 V of * It reduces junction capacitance, thereby inducing a

supply voltage and a few milliwatts of power reduction of the total capacitance by 15±30%,
consumption, suitable for pagers, cellular phones depending on the circuit design.
and personal digital assistants (see Fig. 1). * It increases the switching behavior of MOS

In addition to the general low power market, this devices, providing a sharper sub-threshold slope.
capability makes SOI best suited to portable com- This allows a reduction in threshold voltage, thus
munications systems. Here, the competition with increasing the current driveability at low voltage
BiCMOS silicon technology favors SOI for two and reducing leakage current.
reasons: power consumption and process complexity. * It reduces junction area by at least two decades,

For example, phase lock loop ICs (PLLs) using which also decreases leakage current.
0.24 mm CMOS-SOI are able to operate at GHz * It lowers threshold voltage temperature sensitivity.

frequencies with a power consumption of 1 mW at One proposed alternative to reduce power con-
only 1.2 V. The power consumption is one decade sumption is to change circuit architecture.
lower than BiCMOS circuits at the same frequency. This could be done, for example, by switching off
As the power consumption is P*CV 2 ? f ‡ V ? Ileak some parts of a circuit based on real-time system
where C ˆ total capacitance, V ˆ supply voltage, needs and by reducing internal clock frequency in
f ˆ frequency and Ileak ˆ standby current, the supply some noncritical circuit parts. However, the ef®-
86 A. Auberton-Herve et al.

that of 0.3 mm bulk Si devices. This suggests, of co-


urse, that the performance of advanced 0.18 mm
devices may be achieved by using, in great part, the
more rapidly maturingÐand hence more cost-
effectiveÐ0.18 mm microfabrication technology.
The small substrate bias effect in SOI-MOSFETs
allows low voltage operation in the 1.5 V regime.
Stable operation of a 256-kbit SRAM/SOI was
demonstrated at 1.2 V [4], while a bulk Si cell
fabricated with the same mask set was not active
below 2 V. The SRAM/SOI employed a partially
Fig. 1. Sub-halfmicron CMOS prescaler and phase lock loop
(PLL) ICs fabricated on SOI wafers operate at low voltage and depleted, n-channel MOSFET.
low power consumption, making them suitable for portable DRAMs set the pace for packing density more
communications applications, such as pagers, cellular phones and than any other device. Three-dimensional structures,
personal digital assistants. such as trench and stacked capacitors, have been
introduced to realize suf®cient storage capacitance,
ciency of such solutions depends on design. The more Cs . An advanced vertical structure in bulk Si, the
appealing alternative is to create a generic improve- surrounding gate transistor DRAM, is shown in Fig. 2
ment at the silicon or SOI technology level and then [5]. However, the SOI substrate is more tolerant of
use a circuit design or software solution as an add-on. small Cs values due to its soft, error-free character-
istics and small bit line capacitance, CB . The simply
stacked planar transistor cell using high-dielectric
1.1. Microwave Applications material [6] (Fig. 3) will be available for 1 Gbit
DRAMs instead of more complicated cell structures.
Battery-operated cellular radios require digital cir- Sony also showed an advanced cell concept
cuits that function in the gigahertz range at very low employing bonded and etched SOI [7,8]. Since the
power. CMOS SOI technology with a 0.4 mm design capacitor is fabricated on the other side of the plane
rule was used for divide by 128/129, dual modulus consisting of the transistor and wiring, the structure
prescalers operating at 2 GHz, 2 V, and 7.2 mW [1].
In other work, microwave SOI technology on highly
resistive substrates has demonstrated cutoff frequen-
cies of 32 and 20 GHz for n- and p-channel
MOSFETs, respectively [2]. With such performance,
GaAs hybrids could be replaced by SOI monolithic
microwave ICs, thereby providing an opportunity to
integrate high-speed RF and digital circuits on the
same substrate with lower cost and higher yield.

1.2. ULSI CMOS Applications

A major application for SOI lies in commercial


CMOS-ULSI. SOI's reduced power consumption and
its 1.1 to 36speed advantage vis-aÁ-vis bulk Si devices
have been demonstrated with CMOS-SOI gate arrays
[3]. The improved speed is a direct consequence of
the smaller junction and wiring capacitance of SOI
structures. This essential feature of SOI prevails at
any scaling factor. The SOI devices with 0.18 mm Fig. 2. A surrounding gate transistor cell for 64-/256 Mbit
design rule offer speed performance comparable to DRAM.
RF/Microwave SOI-CMOS Integrated Circuits 87

Fig. 3. Simply stacked planar capacitor cell on SOI using a high-E material for 1 Gbit DRAM.

simpli®es the surface topography for the bit line and Buried oxide (BOX) thickness must also be reduced
relaxes the lithographic alignment error tolerance. for deep-submicron optimization. Devices with
Implementation of a 0.15±0.10 mm SOI technology 0.1 mm channel lengths in 50 nm SIMOX Si ®lms on
will require the use of fully depleted, accumulation top of 80 nm BOX layers exhibited a 10 year lifetime
mode transistors. Since these devices will operatewith at Vd ˆ 1:6 V [11,12]. Such ultrathin devices suppress
channel dopant concentrations in the 1016 rangeÐ short channel effects and are very attractive due to
106less than required for their bulk counterpartsÐ their high-speed performance.
scaling differs completely from that for enhancement
mode transistors. The key factor in optimizing such
devices is silicon ®lm thickness. Transistors processed 2. Material Issues
with ®lm thicknesses as low as 50 nm demonstrate hot
electron behavior, short channel effects, and break- The material issues are driven, clearly, by device
down voltages better than on bulk silicon [9,10]. requirements. As the devices become smaller, faster,

Table 1. SOI material roadmap.

1995 1999

Design rule 0.35mm 0.18mm


Device type Partially depleted Partially depleted
Wafer size 150±200 mm 200 mm
SOI thickness 100 mm 50 nm
SOI uniformity 10 nm 5 nm
Buried oxide thickness 400 nm 200±800 nm
Buried oxide uniformity 20 nm 4 nm
Dislocations density 510,000=cm2 5100=cm2
Pipes density 50.2=cm2 5 0.1=cm2
 
Roughness 3A 2A
 
(max±min 20 A) (max±min 10 A)
11 2 10 2
Metal contamination 510 =cm 5 5.10 =cm
88 A. Auberton-Herve et al.

closer to the surface, lower voltage, etc., the material * The remaining wafer is reclaimed with a touch
requirements become more stringent (Table 1). polish process and can be used both as support
Preparation of the SOI material takes two distinct wafer or the seed wafer in the next process ¯ow.
forms: the manufacture of the material and routine This wafer is nearly identical in thickness to the
characterization with strict statistical process control original starting wafer and stays in silicon speci®-
(SPC). cations (725 + 25 mm for 8 in wafers).
Recently a new manufacturing technology called The two limitations of conventional bonding
Smart Cut has been introduced which allows large technique are solved by this new technology: First,
scale manufacturing of thin ®lm SOI (Unibond the uniformity of the SOI ®lm is ®xed by the
wafers) to be achieved economically for the ®rst uniformities of the implantation and touch polish
time. steps. These two processes induce a total on-wafer

and wafer-to-wafer dispersion better than 100 A,
independent of the silicon ®lm thickness and wafer
size. Second, since the process is done at low
2.1. Material Manufacturing temperature, the thin SOI ®lm is already formed
before the annealing process. (In conventional
Smart Cut technology is based both on ion implanta- bonding, during annealing, the bonded interface is
tion and wafer bonding technologies. While the strained by 725 mm of silicon, but with the Smart Cut
process starts with two wafers, the second wafer is process the annealed interface is only capped by a

not sacri®ced, but reused to create a subsequent SOI few 1000 A of silicon and oxide, which easily
wafer. The ion implantation step assures uniformity conforms to the base structure without strain.) Thus,
of the SOI ®lm. The bonding step assures use of micro voids can be easily detected on as-split wafers.
thermally grown buried oxides and the perfect crys- XTEM analysis has been used after the cutting
talline quality of the top silicon ®lm. steps and again on the ®nal SOI structure, but no
* Starting with two wafers, one is oxidized to form crystalline defects were observed. In addition, tests

what will become the buried oxide layer of the SOI have shown that the intrinsic breakdown ®eld of 40 A
structure. Thermal oxide as the buried oxide gate oxide is 16±17 MV, which is comparable to the
ensures very high insulation integrity without any best silicon material. The CMP step improves the

leakage paths. breakdown ®eld on SOI products with a 40 A gate
* Ion implantation through the oxide forms the Smart oxides and is the key of gate oxide reliability issues
Cut layer. (The dose is proprietary, but in the range on silicon wafers. Gate oxide integrity tests under
of standard implantation processes used in semi- constant current stress show no difference between
conductor manufacturing.) gate oxide grown on Unibond SOI substrates and epi
* Both wafers go through a modi®ed RCA cleaning wafers, even for a large cell size of 1 cm2 .
step; this is an important step to prevent voids at the
subsequent bonded interface.
* The two wafers are bonded together using 2.2. Volume Production
hydrogen bonds (hydrophilic bonding).
* The top wafer is cut away using the implanted Key equipment used to perform the process are a
region as a reference. standard high current implanter and a chemical
* The SOI wafer is then annealed at 1100 C in argon mechanical polisher. Fig. 4 shows a comparison in
to increase the quality of the bonding interface. terms of process capacity between Smart Cut and
After this step, neither chemical revelation nor Simox process. High volume production is allowed
mechanical tests can reveal any weak point at the by the drastic reduction of implantation time, with
bonding interface which exhibits the same proper- more than 15 wafers an hour for a beam current of
ties as a thermally formed silicon/oxide interface. 25 mA; this translates roughly into a manufacturing
* Finally, a touch chemical mechanical polish (CMP) capacity of 100,000 8 inch (200 mm) wafers per year
step ®nishes the top surface to a roughness (taking into account uptimes, . . .).
 
5 1.5 A (rms); this step removes a few 100 A Wafer bonding appears as a new and speci®c
from the top surface. technological step in the front end microelectronic
RF/Microwave SOI-CMOS Integrated Circuits 89

Fig. 4. Comparison of implanter capacity for Smart Cut and


SIMOX.

®eld. Fully automatic wet cleaning and bonding tools


are used to guarantee defect free contacting of the
wafers. By reducing particle contamination and
automatic bonding, this step becomes a very reliable
step, with yield comparable to thermal treatment or
implantation steps. In terms of production capacity,
automatic wafer bonding demonstrates high Fig. 5. Surface silicon and Buried Oxide thickness SPC.
throughput and no limitation for volume production.
The end of the Unibond process involves polishing
and ®nal sorting which are also performed with
standard equipment. SOI polishing differs from
current silicon polishing by the addition of tough
uniformity requirements while the same defectivity facturability of high quality SOI on highly resistive
control is needed. Optimization of pad, slurry and base wafer allows one to extend the bene®ts of SOI to
machine set-up is needed to obtain good quality and microwave applications. Concerning the cost com-
reproducibility. Final control is made using laser parison, SOI becomes more competitive as we go to
scattering tools with speci®cations comparable to that larger diameters. SOI material quality signi®cantly
of bulk silicon. improved these last year with aggressive and realistic
At each control step, characterization equipment is roadmaps satisfying SIA requirements.
used to measure the appropriate texture. A typical There is no longer a barrier for high volume RF/
SPC chart, showing upper and lower control limits microwave SOI-CMOS integrated circuits produc-
for surface silicon and buried oxide thickness, is tion.
shown in Fig. 5. Only if all processes are within
control limits, is the material acceptable.
References

3. Conclusion 1. Y. Kado, M. Suzuki, K. Koike, Y. Omura, and K. Izumi, ``A


1 GHz 0.9-mA/1 V CMOS/SIMOX * 128/129 dual modulus
prescaler using a newly developed counter,'' Digest, 1992
While entering the 21st century, it appears clearly
Symp. on VLSI Circuits, p. 44.
that SOI material is the appropriate material for ad- 2. A. K. Agawal, M. C. Driver, M. H. Hanes, H. M. Hobgood,
vance CMOS applications, combining performance P. G. McMullin, H. C. Nathanson, T. W. O'Keefe, T. J. Smith,
and lowpower/low voltage operation mode. Manu- J. R. Szedon, and R. N. Thomas, ``MICROXÐAn advanced
90 A. Auberton-Herve et al.

silicon technology for microwave circuits up to X-band.'' He holds a Ph.D. in Semiconductor Physics from
IEDM Tech. Digest, p. 687, 1991. Ecole Centrale de Lyon and a MS in Material Science
3. Y. Yamaguchi, A. Ishibashi, M. Shimizu, T. Nishimura, K.
Tsukamoto, K. Horie, and Y. Akasaka, ``A high-speed 0.6 mm
and Physics from Ecole Centrale de Lyon. From 1983
16K CMOS gate array on a thin SIMOX ®lm.'' IEEE Trans. to 1992, he was in charge of several European
Elect. Dev. 40, p. 179, 1993. projects including 3D integration and SOI high speed
4. Y. Inoue, Y. Yamaguchi, T. Yamaguchi, J. Takahashi, T. VLSI. He also managed a joint development program
Iwamatsu, T. Wada, Y. Nishimura, T. Nishimura, and N.
between LETI and THOMSON-CSF on SOI-CMOS
Tsubouchi, ``Selection of operation mode on SOI/MOSFETs
for high-resistivity load static memory cell,'' in IEEE Intl. SOI
technologies. He is a member of the IEEE and the
Conf., 1993. Electrochemical Society and received a 1999
5. K. Sunouchi, H. Takato, N. Okabe, T. Yamada, T. Ozaki, S. European SEMI Award.
Inoue, K. Hashimoto, K. Hieda, A. Nitayama, F. Horiguchi, and
F. Masuoka, ``A surrounding gate transistor (SGT) cell for 64/
256 Mbit DRAMs.'' IEDM Tech. Digest, p. 23, 1989.
6. H. Komiya, ``Future technological and economic prospects for
VLSI.'' ISSCC Dig. of Tech. Papers, p. 16, 1993.
7. T. Nishihara, ``A buried capacitor DRAM cell with bonded SOI
for 256-Mbit and 1 Gbit DRAMs.'' IEDM Tech. Digest, p. 803,
1992.
8. T. Nishihara, N. Ikeda, H. Aozasa, and Y. Miyazawa, ``A buried
capacitor cell with bonded SOI for 256-Mbit and 1 Gbit
DRAMs.'' Solid State Technology, 37(6), p. 89, 1994.
9. O. Faynot, A. J. Auberton-Herve, and S. Cristoloveanu,
``Experimental analysis of the thin-®lm thickness in¯uence
on the performance of accumulation mode SIMOX
NMOSFETs,'' in IEEE Intl. SOI Conf., p. 114, 1992.
10. O. Faynot, S. Cristoloveanu, A. J. Auberton-Herve, and G.
Reimbold, ``Hot carrier deradation in ultrathin fully depleted T. Barge is a process engineering manager. He
accumulation mode SIMOX NMOSFETs,'' in Proc. 8th holds a Ph.D. in Materials Science from Universite de
Biennial Conf on Insulating Films on Semiconductors, INFOS Marseille and has been working for CNRS. During
93, Elsevier, 1993. the three years he spent in ES2, Le Rousset FR (now
11. Y. Omura and K. Izumi, ``Hot carrier immunity of a 0.1 mm gate
an ATMEL company), he was in charge of
ultrathin ®lm MOSFET/SIMOX,'' in Ext. Abst. Intl. Conf.
SSDM, p. 496, 1992. implementation of silicide processes for 0.5 mm
12. W. F. Krause, B. R. Doyle, J. E. Clark, K. L. Jones, and D. M. technology. He joined SOITEC in 1993 to participate
Thornberry, ``A 20-ns multiple architecture 256K SIMOX in collaboration with CEA/LETI to the ®rst develop-
SRAM designed for harsh radiation environments,'' in Proc. ments of the Smart-Cut process and its applications to
1992 IEEE Intl. SOI Conf., p. 168.
the manufacturing of SOI wafers.

C. Maleville is a process engineering researcher.


He holds a Ph.D. in Microelectronics from the Institut
A. J. Auberton-Herve is Corporate President of Polytechnique de Grenoble (INPG). Since 1993, he
SOITEC (Silicon-On-Insulator Technologies) has been involved in collaboration with CEA/LETI to
founded in 1992. He has over 17 years of experience the ®rst developments of the Smart-Cut process and
in SOI technologies and the semiconductor industry. its applications to the manufacturing of SOI wafers.
RF/Microwave SOI-CMOS Integrated Circuits 91

experience in the semiconductor industry. His work


in the ®eld of ion implantation was recognized by the
industry in 1996 when he received a prestigious
award from Semiconductor Equipment and Material
International (SEMI) for his contributions to wafer
fabrication equipment. His credentials include the fou-
nding and management of several ion implant-related
companies, including Extrion Corporation (now
Varian) and Nova Associates (now Eaton). Since
1992, he has been President of SOITEC USA Inc.
A. Wittkower an atomic physicist with a Ph.D.
from University College, London, has extensive

You might also like