Download as pdf or txt
Download as pdf or txt
You are on page 1of 17

~-----------------

U"-llT ~L
Evolut1011 ~f Mic.-ropv--oc.esso-rs

~bit __Mis._.Ybp~Y -~ The f1''f'st,,up wa.s i'nt"ioduced ,~ 191.1. b~ i"nteL -


( .1.sr (:ie..ne.Ji..a.;f-i'o...,) 9t was named ,'n tel L(ooL, as L't wos a Lj bit ?P ·

*) g+ CottJclee...-fo-rrn .i>i'rnple oisd-hrn eh'c. ( liRe additfrm 00tj .Sllbh"ac-hcro) +


109,·co\ (1,Ke. ANO , o~ ) opefb h 'onS.
B bit M 1•cro p-roce s soY - ~ ( ~ f)q 9 e.neA..a.:h er() fmm t 9 -1-l.( to 19 1-'3 )
~ .....,,,..,..., ~

~) The have 8 bi°t dote bus •


~) lh~y hoV"€. 5 t-i·m es 9-rea.--tV). spe.ed i-ron ~ b,'t p-t0c.e. ss;o-r.S or-id
5 ·hme. 5 less ov-e.o al"\cf ex:ec...u..+-, at) +,·me .
8088 qriq gog5 by ,nteL Moto-rolo 6~00 + 68oi

Zi log ~so . '


16 b',~ ~p~ - : ( o~ 9efle,,rn.:hc:ro , 199-8 to 19&'2)

ii<) 11-iird ~enero.::hbn f'f'5 use6 He.MOS t-echMl"9y arid ,·rnplemeJlt


R \ SC. bC¼Qd C\.h..C ~ te ctt.l Ye •

'31 b' ~ t-1,·c.-a,~c.essav-~ ( 41~


1 ...,,...- I _____,.-
~ M ~ 1 ·'1"¥1 J 1982- to t9~3)
~

*) uses H.cMCS technology


~) con po""Oc.e ss mu \t iple 1·1'\-61-(Uchon.s p~ clock c.~cle. .
~) ex.p~) 11ntet ~0386, 8'0486 , Moto-rol.a _ 6802.0

y bit- M,-~-<op..-oc.es~af-:( S~ Cfetle.,U>.tl"<TI) , F=,...., 19~3 onwa-rd.s)


6~ ~ ~ .
. ( C e) +-consis.tt,"'s on '--t ·
*) 1he)' have. MoY-e rho() lo rn,l\ ions 1 -<OY

*) 6L4 b i + qa..-ta b~

- .
·1
f Na~e. --- - -'/t.OA-- T't'ans i~ tors OQtQ but> cloc.K .spe~cl Memo'f)'
8 b ~r - - ----· -
~oso 1974 6000 2. MH2,.... 6~ KB

j
1

gag~ -- - I 9{b 65'00 Bb it- -


- -- -- -
5 MH l- 64KB
,_
29KJ l6 b it iMB
~o~6
so22>6 ____11978
9tf2- - 13q-l(,
I~ b'1 t-
- -
6 MHl,
5 ""Hz:
.. -
1bMB
'so3S6 ___ - 1~85" - - - 2.;q K, 32.. b i1-
---- - -
lbMH,
- ---
9 ~B
~ 04g6 - - - l 9 B4 12.·M.. - 32.b i ~ 2. 5Mij2- - . - - 4-~B .,

- ------
I

-
-Per,t,·un1 .I

f 9 93 51M.. 31/6~ bi}- 60 M f-#2. l\q6


pe.J) 'ri'0-·rt') JI- l997 ,5' M 64 b 1)- 2.33MH,2. 64 42,
-Pe~-~ ii{ 1999 95' M b4 b,·,. f/~L> MH2- 6~ ~8 1
-peo+ivm !I'
------- -- -
2000
i4lO M.. 6 4 hir l·S 6,t12:: 64 (;yB
i
Dato bu.b
J ~ ' r,.
II
' ii ' I/
I
\ R~ isWls ] Iri pu.+ /
Memc,4-y o u..+ru.t
Cen th.O L PMc ess if'l9
Uni t Dev1 ·ce.
Unit (CP 0 )

!c,:; i "
I
I
' I 11 ' I

I ALU I -

I
I
I I

L -- - -
I
....L -- - - - -'- --

M ithrh€.ti'c. etl\1 ~ic. . un:t CA LU) -~ This unit p~ forrt>s l"f)a+he':°.h caL
Col'f)pu..+o.tions such O.b ad c:Lt 10 Y)_,
loca fecJ op ~t1on.s ,Qj
subfh.Qc.h'on .) d1vi s ,bn af')d boo\e at") func J1bn s C
F(e.

Qr'\d 1oa1C.-a. l
A ND, DR e tc.). 1he Al...U also exect{__+e.s com Fi's 1·ons
i"esi'1 '"8.
-t-'2.Ansm,ts s19r,al to t-he A LU
p"6"o cesso h- J w h 1'c h inTti\.{'Yets
~ ) lhe G pu o~
H-,e 1nst>i-uchon qnd PM-fol'rt) rhe CQ)C.ula.t1'on ,
q
~ea i.st QJtS -~ Reais tV1.S M.e. used . -ro 1-)(:,Lc:l Oh- stoY e b1nM ,~ dcd
f ern poha .A..i la .
H,es e 'oeij i6 teJIS C.Qn b e LI.bed to hto'oe

~et )~ L data , 1n~i'A .uct\o () cocf u , io1"1211.-me.d.,atQ. ,i~ uli·


0 ()~ log (CAL or
OA-- m a.ih e. rl"\ O.t 1·c.a l op ~ic,n- ,
Som e .91e8 ist~ Wie al.so ose.d Q.b plU..t of q 1n-6t
h.u d-,or')
e~ i's t Vis of ""1 1·c,ro p ¥bce"-'crr
.ouc h a.o AcC4 r-nulct..toh-- (A) . lT)05-t com mo0 9-!
P4-r orn C,c, unte.\.. ( Pc.) J .s-toci< poin-rq_. C SP ) ,
,·nth.UC- h'on '1e 1st t-tL .
au 8

( o n➔Ji.ol Unit -: Conth.OL unit c.ons i5ts ot


c:Li ~feA..£f)t c oro poo e.l)ts .ouc.h ')
ru If')sth-Uc:h'o() de..c..ode-\.. , c\oc.-l\ c.i'h c..ui t , c.on+
h..O L
~09 ic. c i'hc.u its • All thes e c..ornponenrs wo~k tosetheL
to '1ece ive ariq
Th.Q ns-m ;-t .-b 1<3f'O ls f-rom difr~ t Com fOne rits ot mic.-cop-coc..ess~ .
H?e to stou c..hori
fo..\.exam ple ~)fh e. -rns th-uc:ti'or) decc clM.- 1'n t-~- ts
Q'id tak e 0C'T10'() QCCO h.-C:U'l ')g +oth
(' roSth,uc..-h or, .

l -t-ho.r .,S~'l")C h"la n,je €().S C.., ))..E. +i'me)y


* ) ~ e c IOU\ he.nd.6 6lg() O Ql)c:f

e ::c.e.cu..-t-10 () of i'Yl .S rl\..l4C-7'10f') and P"Dc..e SSeb .


M ,·c."<'Of'f'OC e s ~OA ha11e. Q 5tjSfCJV) of bu.SP .s to m ove. d i ff('-ret)t
B uses - :
-1:J pe cif data •
*) The. datQ bu~ t '<'an sft"l.S doto b()t1 0r"n Hi e c P U o rid RA l"-1. .
~ ) The. ec, rrt ,'lo l b lL6 ~'-'f'lc U ne cc sc.(1/1~ 1rifo ,-mn +itm -to c.oo ~ na-t"e
C:Orrr Aol 'M ultip le t-cu>K 5 .
~) The odd-C C SS bus h o lcls H,e. CJddYe s, of RA M/ RO M lOC..q rto fi .S.

Some a d va nce 'l'Y) ic.m ptoc essoA.S ho,te •mem c"-j c.o c.he.-'
Co e.he. l--1 Q.N)Oh .\/ - :
Hx-. la..!>t do.to u:!=,ro by Hl e. c.. PU .
w \.., ,c.h 91 e.to 1'15
p'1 oce.,;s ~ b e c.a u.~e the c pv
*) M uri o✓'l-~ CQc t'les ~pe.ed u.p rhe c.ornp u.h09
t o ,..,et12.1·e.ve.. doto .
do~ net rove +o iao to t he 6 lo tv<V;,.. R A t-1.

Adch 'ess i'r,g Mode s


/--h e op'M AhOI ) to b e
The cpQA.a.t 1b r, f1'el~ of oo inot h uch·o l") .s pecihe..6
p~~fo ~ed .. · rhe way ariy cptZ.lP-hd s elect ed d U.A-i'rig !-he. p"- 3-ca ~
1s 0
e. o r ~e. ln .S t":i.tJC hc'.;T) ,
e_:c_ec.c..ch o n 1:S depe .r,d~ 1 C"f) I-he. add-ce s s,·09 'lY)od
the foAl'()a + of inst~ ch'o r) is giv ~ b e low

ope. ode

o pe>i..a.t-1'o n c~ e. op~ " d. co,.,. b e .L , 2. O l:l.. 3


f.€C4',0 ~ w h~ "th t_ op~ l tu1Y)
Speci'f~ 1--he ~ pc Whi'c h s
hao to ~ p~forn--. e.et •
of o p ~'O'I')

The. pUh-fC>!.e of usi'r,9 qqd'<'ess1()9 mode is as fo\lows


i) To 9 ive. -the. p"'fogYammfng VeJJs qta ldy to rh e . us e~.
\~ To Jted uce the f')t.\N ')~ or
bits In odd'fe.ss1n9 ti'elc\ o f- 1n5 f YUch'O'f) .

~ pe ot Acft1-ress,·ns Mod ~ -;
·rno cle. o pcJl..a "c\ 1°s s pe c..if 1ed In 1--h(:!
f
,., ~.... e -·. :rl") th is
i) -:CmmQ. cl 1'at"e>< """"'o
105 r~uc.hbn j-\-GQ\ . •
lqio/
'exp= ADD -=,. ; wh,'cri so~ s A del '::/- tor~ ~ Co oi"M t s of Acc wm\t
"f i's ~~ c,peA-O "d h ~ .

2) Re.5-1s~ mod ,-: r't) ~16 -moo\e ~ e. op~ t1d l-S .sw-n.-d In ~e
'<ea4·stu..

iope.ode R~31'stu... c:.dd res~ \

~-d
de:'
a...'
a
H-\1:s mode , 1-+>e inst -AU c.h on spe c.;fi•c.o
3) Re8°i.s+eJ). 1nd 11\.ec: r Y'l)Od e -; I Y"I
the: ~H•..31's tVl.- wh os e con t el')t give. u.h ~
odd ~ss of cp~ l"lo l 5'\"o "O?d 1n m e.moocj .
c.oni'Cl ,·t1 ..;;;),. u... .,. - dd ...,._,.,,,.,.. ,._.,..u_,,.... ·t
~el
f.
~ ) The. '1egCJ1stv ).. rn .... ...., ' t ; ~.::. tJ.,J,..,t rr,,::...,,. ~n Of~ fio ( '--

Me rYi O~
ope .ode Re8"1'5t M.. R, j

'V ...., () pVi...a ('\ ,1_


-t i ,,
io
~~ .....
·- n..
~o
-
I
',
Re&is teJL5 I r.i C PU

In H,1:S cMo de , effe c.h ve a cld'<'ess


o-f
r 4) Dih..ect o cfcl~ ssi "B mo de -:
a~ 11d IS poeset">t- Ir) ~ € tns ~ ~c..h 'cm itself·

j opcocl e I Ad ~ ess ]
l > 6p~('\cl
:
'
l4 °COH •
Exp~ A DD B, ~ooo H~ qdd rhe.. con \-en tof B wi It) cont-e1> t- ot
oe ss w ~ ope>..~ ~ 1:S p,ese_nr •
H€-re Ltcoo H 1s \-\,e e ffec.-h've qdd
c h on e.u ·1 \ \ .
cidd-fe.>Slf"l9 mo d e - : Tn rhis -mo de. ~ H-,e tnS t ~ u
s) Tnd i'-'l.e c r rwe..
g ive. rhe qdc l'fe 5S i..Dh eh-e -t h~ eH-ec.
a cld-ress IS 5-ro Yed I-he rf)e .N) o~ , In
*.) This m ode. tb loto.S c;lown Ht)e exe c.u...ho n.

ope.ode/ A ddY eS S
I

Poil')t.U. t o
,v ...,.,
r o pe..\.Clrd '/
C

,, 'II
0 plW'.l '1 d. '-
, -- .. ·-- .
. '

6) D1$ pLacemef)t Oh I nde x ucfd-n:.s.cir,q ~ e

a In Hiis (Y)Oc k th< -


e- c

Con t Q/1t of ind e x e.cl


• e
-to rhc odd 1-t e ss paA.t. of the. ln~
~c _ti ·crn. , -to
~ ~ ~te2-'";.... 15 qdd e~
1

Obto 1r> t ke e (-(cc hvc add <'c~


s o f otx___\..c:./\cfl , P-i"~ GreA-
, ~
E;; fr~c h've. Qdd vess :::. AdqY"e SS a
,·ve ,, ,~ Ins t iruc hon + I tiae xed "t/q Jq e.

Aaclvess

.c.i ·s. pla cem e.11t-

Poi nt~ to
OpeA-aM 1 - - - -- ~ t

Re3-1 'st~ s \~CP U

AD D 100 ( R i)
/ L) Tryclex .9-Je_frs ~
~ t3os Q. Vol u e..

5 A == I OD + ( R i)

exe d J-1eg {·£ ~,


( ¥) Tr-,e D1'spJq ceo-ie.tJ + CA() be.. d e. fjine& by, Ind
.
P1t.091'?1rn C&<.J 0 ~ Oh.- u'(j)y no~ N')a l Ji ~~ re,\
ihe cL'spktce~ t ,·s d'et1h~J. hy pAo0-ccrY
) C.o-tintV)...
9 .ifr
~A ==- A +(PC.)
ADD R4 , 100 ( pc. )
~A == I o O + ( i::>c)

JS by 'Y) Dh,m a{ J-lcf 'st0l.-5 OTJ /y .


~ 1·"5 11,e cUsp )o c.e_n,e_n ~
EA .::: (R1 ) +(!~ 2.)
Er -) ADD f<4 , ( R, +- R2.)
H e-"Lt ~A .::. (R1) + ( R~)
T"Y')st~c.+ioD C.~cle
A n 1·n s rJ-u.Jdfol') c~cle
I,
1s a lto R()C>c..JI') Oh

4~td, - c/ e co~e _ ~x e cLt.Je c'&cJe •

this phoce SS LS '1 e.pe.c.\.ted C.onf I nuoM ly b't C PU f-rorn b oot L<f ( s \-a,,..t,08 /
·• 0

tWl-n o 1-.J) to 5h Lt.t doc..:>n of


CornpLLt ~ .

-fo\\cwi r,d CVJZ.the step$ that OC.C. 1..t-'2.. dUh if\a rhe l~Sr..\.U c ho-o c..~cJ e ,
.i) Fetc.h -t he inst A-uchOY') - ~)me.. 1risrA..u d1'on IS f e+che & ~ IYI,.t-he Tf)e.t'f )~ ·
*) the ad ~ YE.'SS of f- he "rneN)oY <T lo co...h·on l.t.J·111 be
8ive() b~ I-he p-9-t o~-<z>l"Y) (,01,l ()t~ ( pe, ).

~) Atr~ .jetchi'1J ~he I riS f h llc..h crd) t "'6'o m 'o'l)E rf) CJ~ ~1" ~ p la ce c() , 0 .LIZ,

( I ns tPa. Lic.'t)c() Qe~·.s¼/2..) .


~ Ahr~ i e..tc..hl'nc} op e..-1,c..;h 'C!Y) PC. ts CJL! 101'Y'a.t i ~ l\<:t fl'l (Y em€l'lte & lo(] 1 ·

~ ) Dec.ode !-be 1n,.st,'2-Ll ch 6'0 -: f-he. tnsrh- ucht'n pla c..ed 1~ I R 1s d€eo cle&.
b~ \-he c\e_l.Od e.h ·

3) Req&..,11-)e. e. tl-e.c.h\,e. q d d '<'e S~ - ~ if I+>~ IS 1ncl1'tuc.\- odd-re ss _, +he.


Qt")

ef{.ecrive q dd'N'SS is 9-tee;ct i-aim }-he


me.mo ~ .
t.,) Exe cu.t1'on of /0.Sfhu th'1Y>-; /he. Cor,tJi.oL urut- pQsSe.2> the &a'>ct.{)
io -the -f'-1 nc.:h'cr()CllQ un·,+ of C PU +o
e_-x£c.u..t e. "ii)~ e; peAcch 'a-f) EJ-iveJ) b<'f 10s r tu.J c:h01!1.. · -the. 9-fe.bll..lt ~e.n~c.P
IS S toJ-i<ul 10 -tJ; e ~,~ memo, , ~ ~e..t)t to OA OLLtr,t-t dC?vi·c,e, .
6

Load.. ;~ddMs ~ to pc

Lt!.>c-1d... (or:,te/' \t of p c.
-to I R,

upcla,,te PC to nex t
c..,old'{e SS.

Fxec uie. T nsf t\.-U <" hem ~ - - -- - ---,

NO
J
Dato.. T-rar,5 fe>.i- Sci")e me..s
I 'nh~ \.5 to Cl
We (.O r) c.o r.n ec + Sev~ L l"pLt+ 1 ou...+pu..t O()q me:rnon ~ p e,'\-1r u .

"Mi°c<op--n:>c essoh . Al l \-hc !>e. d e.v 1·(e rr'IO~ cl if-fvi.. 10 H1e speed of cre ~-hon
u~ cl ~ to ty,o r, S fVL .
Usu o \\j , w'h e.n rnemoJ1(j is c.onnec..t ed u w i H1 -t~( r<i ic."t"o p roUH,o'I

) 1--he-rn is nc+ 0 rraJOJ).. clf re.'f'enc.e. 10 1--~c po"OceS.511} ~pef'd ,


But H->e. p?1 o b)e rn a~ i se.s w hen e:x:te,\J')O L p~ tph ~l.s ah£.
C.Onnec.red ClS 10pt,LI- / ~ r,t-t . A s low i /o cl e vice wo n't be c.ble tc
-tn.onsfe.J2. do.to Qt q ~a..t is f oc..roo-'.:j 'Kctt e.. I-his mis ht lead fc, .se ve.,,~
daro losse s J Oh. ihe a cvi ce might ~e.t domcig ed. to a void mis
p"'fob\e: rri, q '1 tirnbel). o f dot q t ~a () s fVl.- sc.hE=>rn es ~ave b eei'J 1r:>t n.o d we ed.

DotQ T'<'crn .5 f~ Sc\-)e.rt)e S

C
Pa h a lle l Dqtq T-<ttns~ s~icL Data Tn:.n sfe.J)._
l ) P"1-09 -co m~ed'1:/DQtC! T-n::i n sfeh- .L- s~nc.hYenou..b Dc..t 'c::i 'Transf-ek-
" .
2-· A5~nc.h-ni no~ Da.t.:; T<r:;r, £f-e.J.\-
i) Sctr)ci>YOnOLJ.6
ii) A s~.,d,'©ncn.i.b

2) I 11tVil\.,u..p+ D·1r1ven Date, T-mri~fM--


3) De.vice ~ DMA Da:t", T1""00sfe.'i.-
1) B~t Oh BlocX Tron~fe/2.. DMA-

0 C.~cle J..tecJ.. ol). £L'(9) e ~ e bcJTe. t (Q r>Jb ~ DMA

0J 7 ro nJrCl.J'iRn t f!JA h,/cl ck.n o MA


r

*'> Tl')e F blcrri u ,i rl) e ~'[qt 'r) N)Ccl X /o t vt.t16te ~ IS 1"-a.J CPU V'a.,h t-o
w cu'f IC' f'l 9 +1("()e f ol>- 1'1 t. I /o o(ev i're t o b e. e.iead if ,io
1- tM t1SfYl iS6 ie1

1N,~ of-
o~ f1 e ce cla_~ .
( H f C,H)
~) I n i -h r 1nt Vv1t<-p t- c/h..i vc_n ?fY'Jode , i rie 1/0 ole.v1c e 9-fcii's eb o spec..,·o.J
S J,9 {)<:l L Gq / le & I nta»v t, Ft , when he b e.co me<!, J-tead tf t; t -ron~ -
~ -

D M A 1 -<n()~ te})_ -:
*) T h i's 1;:1 pe. of thetl\ h.fe.A.- 1':s Lv.1.Qd when -thV1e IS q hu5e omo u 0 +
of d ~ hQv e. io be t ~ () $ ~ •

olt:W' 1ce. foJi...


¥) cpu .9-t eleo ses i t,,e CDDt-fo L of bu.<)e.£ to e x_t ~0~
T't)e.
cJa.to ro() + 6fel'l.. .
fc.k ~ o v~ -the b u6e.S
* ) A pcA...i ph~ l c:ievi'ce. cq /J e& OMA Cor,t ,n:,J)el}_
(C O()cf NJ:l'()Oije. it) e. 1'~ 0 -6~ d .lh ecJ'lj b ~t
wee.n T'0 e p~ip hVvi..\ o~ f;)e
m e rrx:,,s ',-4- .
(l f('a () 1.,fe>i.. S Ch.en)e
~ ) Tr)is /6 th e tcu,t£¼t Q rn61'lg Ci ll --1-Ae. -<>chetYU.S ·
A.
Tim103 D 1091'01"1')
The t ,N) i~ d t'09'1bn1 of l'l')icroptlX'.'.P-'~ ll.. h-e-pr~rs ~llow,·'>9 te,,,.,s -
\ ) No • of c..\oLl(, ~ c.JM ~
\0 Duh.O-:t1'o n, d e lo~ 00q c.o0t-'2.l)t of odd<r, s b u.!
ii0 T~ of op~ a..t,o~ C '1~~ & ( 0~ +e.. ( b t ~ttu. -b"caM-f )
Wit+, H1e. helf o-f t,·rl),r,g d1'o9 1>0 rn , o n~ CJ=l() t.4 rpl'24St b'ld the ~h.J<' "3
of eac h ,'() bt ~u C t,0"6') a t)cl tis ";e e Gu. n'dl? .
"Impoh.torrr "t~ms .91.e ~tecl to t1'm1'0.9 ~,·as~m
(· I ns t)).J..lc.h oo c.~c.le. -: St is de f,'n ed ~ th~ 7') 0• of .i:»-teps ( c. lo c.K
c~cle.s) ~ tti~ • b ij +-he c.pu -tc u:>mp\€+e
+he. ent1·~ pooces s ( Fe. tc.hing Q'1d exe c.u..t1on of 1·ns-t12.uc..hon) .
2 · Mac\1,·ne Ctlc le -: C3t i's +he +1me '¼e9u,~ d b:1 H)e. po0ces soh-
to co mplete t-he op~t ,·c:m of
i) oc.ce s s,·ng +-he. memoA.-~
.. Oh,

l!) acc es s,·ns +he :r./o devi'c.e


In -rnocr:in e c~cle VOJl..1'ou..b ope..~a.:hon.s a.~ p~fo-&m(' &. l iKe..
*) op e.od e (01,~a. non C.cd e.) f e.tc.h r--orn -rr>emooy
~) Merf1o~~ ~e.acl Oh. Whlte
*) I[o '1!2.ad.. c~ w~te

~ - T- s to.tes - : Eoch cloCV\ c.,cfcie. t°s cqlle.d Cto i- state. .


l
T .i 1 , T3 , Tc'.t I T 5' 1 T6 T1-
1
K--1(---,:)\~ T2.~~
< -~ )~( >~< > ~( >~< ;>:

MQch,ne C~cle. .i
l'-(-__ :.---~ ---:--); ---;,t)t Mach) ne ~ ci r 2.
Fetch C.0cl e ( F c. I~ . ( )l )
Exec.tt.+1on ~c. 1e. E c _

-:Cn6 1~ '-'c.,ti·on ~cl e ::: opeode f ~fc.\) ~cle (Fe)+- E="x e ct4h'(.),, 1fle (Ee)
'1, -4,,
Mochi'ne ~cle .1 Mac..h1' "e'<fclt l-
p-<oc:e SG cl 1¼-i' ng o pcode . f e-t ch - ~
*) D uA- i ng T .1. stot-e - ~ pc. ~erie-ca...te.!> (W 1M add -res~ , w he.JJ..e c ode 16
s t o1> ecl-
* ) D~.,•~.-1()9 T '.l .st-ah~ - ~ opcad e 1'.6 M ct d <f t o b e. hllod b8 t he. p --roc.es sol'l. .

* ) Dll ~ ing T 3 S t C\."t~ - : o p e.ode IS s to-'1ed Ir) the 1n s t'2.J.,,c.. h'o n ,'9;.e~ 1·$ t-e-~ -

p,io cesSO'i.. c..;, j\ \ d ecode . +he o p c..od e o ,-, d p'1o vide


~ ) Duh.in9 T4 s t et+ ~-;
IJ e c..e sSCA ~ Qcti·a ns ·
In t ~ ~
Dol t d uJ). i n9
1 nte. M L1pt i 5 f-h~ o0e thtid of C-'1eo h;-,.9 ci -teh')paha.~tf
PYog--carY") e:xec.c.vr1on ql')d qllo ws
peA.ipt>e.h.oL c\ ev ic.€/2 -to cicc e5 5 -t he
rri1·c '{r, p"'6"'0C {?S.S Ol).. .
t 1'n1 "C? Jilf t wi +-h
The. mic 1bp -tt,~ sso a. ?t~p oY) d..b to +ha
Int e..hh.up~ S~v ic:.e Rc,c..t1nc) , u,\, 1'ch
t$ o .s~o . .- r p -n:>9"'fl:2rn
On :CS R (
to i'n6t h uct me rn ic."t"op-!'"Ocesso-'2- on how -to han dl e +he 1nt e.Jl)U..c \.?t ·

Inte..Yl.U pt
I :1,-
~
J-iah.d WAA e. Int-e '6 '1"!J pt SofJtwo -re :rnterrc....ft
\
~
Ma..6,l<.a b\t:. Non roa.bkQb) e

( . Int e>w..t f ~

J-tOh..dVJOJi e "In te rru pts - :


:r,., t v,,,.,u pt-

A hOl\.dw OAJt ,·nteN\.u pt 1.s noi rmo ll y C."t'e


aJecJ1

b~ the ext~ aL d ev 1·ce {> uc.hctb rno


u6e ..,

Ke~boah:.d.. , pc l")cf ~i ve c.t-c -


mo us e Oh to p on o tou c h t,e,"'<'e.eri , w e.
*) Wh e ne. vf½... w e c. \1 (...K c.i

1'n-t ~ r -bl£3rC> l i.o !-he. piro c(>s SOh ..


o er,d 0 ')
t.n p~ r or,d OlJ.1'f"' t dev ice t)al, Q unic i,ue 1nt~ L(pr s~ v,'ce iQ.utue.
*) Ea c ~
t+,Q r m u ltip lc de,,.,c..es d o n o t C-'J. eo t e COt, tl iGt .
Se t+i'r,g Oh p'1. ..io~ ~ ho

'"t~ 'u... .<ft 6 Cor , be c n qbl c d / d isc, bled .


j) M a.A Ko ble L,te..~ ~pr &-1: -rh ese
.by u~1·r-,g p-n:>s'(bmm,·r.g ,·ns r1-;a. ucn.,ns .
E:><p- INT R.

ii) Nor> M a.bKO ble I nt c."J.\.~r s-~ H)e ~e.


1nt ~p +~ ha'1 e hi'g h p '1 1'o h. if:J
e.ss-04,-
1ho r, mao Kab l~ ,n t e"IN?.tpt-S. +nc p -roc.
Con rot ,·gno,")..£. t ht n o n - ~ k.Qb \e ,nt ~t - 1n
an:J c 1'h.Lu rn-1 ,tcri ce.b •

€x.p - t 1'meocxt .s,Bnal ftn,rr. t 1n)tA G1.hL Ui


t ·
poc,s., e.\. doc~ n .SL:;r"ols
OYS
,·()tC"L'llll rs . . t;. Soi +~ 1nte-'2..'tup rs a.l!2 used to hol' )d)€ . Ccfl°
.i.. -
Qf)d exc ep·h 'cns -that- oc:cu.-\ wh; le o
p1"e(Jmrn

p·llc, g'fol 'Y; ~ nc;r dte the C.h."--ch be.tc"6'c-


* ) ·thts.e i'rfH"b,;-t.1.pts ullo<.OS -tr1P

t. ~0t i l"\ U.'r-.9 ,


(t")tf 11 1te ~-=n 1'c h
olsc u..J.:.!'_& t o b.91eok i OCf J
-it) +ht se ,·~tq('llrt-s cv,.e Ol"i

c_.:-. u $ e. o p ·rc q 0 a-i


•...r. ~ ' d ' '
M e.. ~CY~
Add ves.s

2000
LXl SP, 2~ oo ( H)
2.003
LXt B, o ooo( H )
2.00E; PU~H B
100 !f Pop psy..J
2.00 B C.):\LL DE L!\"f
~ OlJT 01(H)
.2..0 1. C HLT
2o6~ DELAY : PUSH H
2065 Pt1CH B
So~ -to 2066 LXl S, 8oFF CH )
ST-'\C..~ 2069 L1.: oc.x B
2.06A MOV A;B
106B ORA C.
~06C.. J°N2. L1
10 6 fI- RET

~) \.Mhe'1 C.ALL 1nst,ruchb0 E.xec.u.tecl , H·-,e. p"6""0«ij"'tt:.n1... -f-low Lv i lJ


+··n::H1..sfV'). -f"1""0m -main p,ro~rom +o .f>ubirout>h<. (.DELA'!) . m ;s
16 c,cco mp I 1'Ghed btj p\ ac..i08 f-he ato~+,·n.9 addiress of sub-ro,<. )'h i,e
if'>f o F"oi--ram countlVl..
e
~) Befo,e c . ~ Ina t-he CDT1ter,t of PL, rhe. odd~ss of ne:r:t
,,to c,ALL inst'lS'uc.:t1'0Yl ( 200 EJ """us+ be. ..8aved , So J-+.,Qt
01 +e~
exe.c.u..,+10•0 of sub1r0at,·ne , -rnc:Jin piro~-<om.. ea0 e)(e C..'-'-ie. .

~) -to sove H-->e co-nte.nt 0 t Pc. C 2.oc E) ,,.:,te-~nal PusH o~,-,


a+i'on 16 p€-tfo"t'med b~ t-- he m,·cro p....-o cessoy . ait~lr .storrns H?e
c.,on+ef')+ · ot PC. , n e.l-v conte.n+ is lo~,.,c:f ,~tn pa--oij<Qm coun~r .

~) Hr.en Re-r ,·s . e-::ce c.u.ted ~

a'"'d toodec\

• J
'
/
.
~
Advanc ed Su b aaut,
~~ ~ ~ i-
ti N')e. s ·.

l..
~'f'O rt'I -t- 1 1\ now w e hove 5e~0 1--h:lt'

~
eve. c. an c.o\\ o ~u b"'fO uh n.e rr"' ul+ i pi e.
heye .
OH,e....- -h Ip~
·o O t .
..Subiro u h ~ oT"e. o\!>o u6f'd w h ,ch o..re d,scuS~~ !l.
....
N "-S+ir,~ -~ 1--1-,e_ pvoflY"Omm·, ~
~ a~ thu_ bYDu t,·ne
i.s
~ 'tech'l'"\tq.ue o f o iub~ut ,' '1E.. c o llt'1J
na-t,·1:J . c..,1--) qt") 0 hub-ro ot,·~
C.0\I E>cl.
Co\\~ Of'"')ot-h.v} _ .&L!b-rcu hn~ , a\\
TehJlfr) add-res~ oY-e .s.tO"<'~d ,ti STAG<.
2 000
J
'2.00i \1
:::="
::::::=:- ;2.0.90
f
ioso
2.05
2.05
,·2.05
105£i

C.A LL
90
20 l /
~ ::::
2o9A
2098 ICAU.
20C'2.
2.0C.3
2oc~
iJ;:
.105
l ~ 09E~-
l
- .
I RET I --- I RET
l
Mato P~m.. Su b-ro utt't\.Q .1. .s~bh.O u..tfr\11 2
MulH'ple E.ndi~ 04bmt.rttnD ~-
~ ~
t)
( If") ..-his t"echni9 ue when q .sub,rou tine \5
J
e:ceo.t.-tec:L ~ 1-he<e 0'5"e 2 conc::l.4+ioria\
91eturn s C RZ or"'Ki Re) arid one un -
c.onc:ti+,·or,cJI Re...tu'lf() C RET) . 2o5oCH )
RZ
~ l1 rhe aelrO ftaa is .Set ,f·e Z:: 1 H-,en.
s0b,rou+ 1·cne e.u\\\ 'l"ehnsn f,orn 20So(H) .
.jc) if .-he c..o--rry f 1°8 \S &et ,:- e C -=- 1
\--hen &ub~ou· h"ne Q>II 0 et-ur-n ~om.. RC. I iosg CH)
.,,,, 2.059(H ) ·
.s 20~o(HJ
6u b-rootfnc
R 15 C O nd C 15 C A-rch i te. c. h. we.
X. - - - - - - --
Tn 6h -uc _t10r, set ec,.,,pu.f<
-i- " 9 , :~
1. ~ - 9 t .hfo.-,Qs fo- r "R ed uc ed
tc: f
pe of po 0 ces soY o -rch itec.ruY--e th ot us es Q .6r no ll .6e
a +c1
)gt +) · the se ,~s t-c u c.t
,on s Or"€, no (m o ll f
of
0

t-1 on s UnJ fOY -rv) /ef


.6 1'M plc.. 1n<b't_o U c.
I r) one c. lot. i\ ~ -de ) .
o n e. c.. lo ~ c le . ( Qr)e .. ln51 1"'U C. ii Of)
°cl
e. c)(eGet red jl')

Inbh-uc.hon S e.+ C om r~ "


Th ese .
2. . ~ - 9t bto 0d s fo-r ct CDrnpl e:x:
l arg e ln6tD"CJC..~
ss ors ~ov "e. hu( )df "'e ds of l~.otiruc..t ,·o n s (
poacE'
'P I
S(3 et, . the se. 1~.6 +0 uct 1or ,5 co 0 1n+~ci- u.J i H-, J() t Vv
~Q.t) of VQ})..1ob )e c!m i
,~+C V)..l")oL rr,e rno -r~ to qcc .es s; d ~ . ea ch 1~.6 h-u
n<3i.s-\-e.rs O.D we ll o.-0
re H, on on e cloC.X L..tztcles .
t,00, *1-LliY'es on e cyr mo
~x e c.u.
een RI.SC. on d C. I SC. A"('chirecruve
Di ff~v-en ce be. tw ------- X
- - - - - - -- X -

• SC CI SC
Rl
s C > Ian )
( <. 10 0) *) lov-ge se t of inst"(LJ ch dn
¾ ) ve."'y j-evv ,.;--t>+--ou ch 'o() s v-€ .so
er> .sc jtw ov e i'- ~
Is *) Ma in foc us IS 01') ha....de,..;,o
~) Ma in -fo cus tb tt-1) ple .
Compile-'l. ho s o CC>rnp)ex. fun
ch crn l~ Con,p i l ~ is
*) V~ iab le st3e 1~.6 tou ct- r'o ns
*) F,.)(.ed 5t3e ,;;1->-t-ruc.hor,s
a , Ree to
pe.>i. forM onl.::J oe g is t~ to *) Co n pell.fo'5N) Re8 to r=?e
*) Ca n ope,,\..cd1m)..s
Me m al'\ d Me m . to Re.cJ .
oe.3 1'5reh. ~ i tt)m .at ,·c. o~~t10
Y15 .

ed ea ch 1';-,.btau c. hor ) .,,~ u ire


on e o~
~ t-ru c.h of) ffe ts e:x:.ec1..t..t *)
*) ~O ch ln ~ ~d es .
-rt)OY'e.. 1--ho<J Ot')e clo
In on e clocX ~c le. -
*) 9t supp:rrts qn a!d
ope.:<atlan s .
* ) 9+ does no t suppo -tt A"! tay
op ~o..:t IOr) .S
o-r t ,c.D h ic.h
*) p~c:gTCm, c.o:::les av-e sh
*) p..,.og "'6"orn co de Is
la-r-ge so
lessv.L M e.mcrry to t<iove. rhe
e. i'eq,_u ,v-e
\or ge. merno--ry .>-te..9...u iv-ed to ~v
~m e,.ode. .
+-ne p--mg--ro l'Y) cod e. .
*) 9+ ha s ~m all A>e.t ( oh
- VC?.11,..tl f eur)
e l~r ge ~e...t
~) Rise. p-roc..esso--rs hav
*' u i Stt 'f$ , ot
Of ~isfe..h-S . . L; complex
*) :Hofdwo\1"€.
9t ha s sirnp\e. hof'duJO't€ ·
~) pIe X. ~ rd WQY€. ~u .if) .e. "(V)cr<e.
ds to Ies .s *) CO Nl
s,·N)p\e. ho-rd c.oo-te. lea
~) eJ'i ef9 't .
er, e.:vl.J 'I con ~ urnp h on
Se.. of l~A "1.. .
*) 5f ri'c.i'ent u
~q J1'2.0V)' use of RA M.. (10-~4-) ad dre ss ,·~ rni ud eh ·
"WlOQ-e.6 ~.) la fl,3e
FetJ" ( 13 -~) add -re ss 1~ \.-eb,priofl~ ,
~) ex_p=) U!> ed l n ..6rnah---t t,JG\tc
l'Yt' tnt e< 's tQ bl e..ts , home. ~t eM-.
tim
,-

AR
'
!..~~ ~ , C ·
M
-- .L-

p..,,-oc.,es.s.o<".S) (AVR p-ro ce s~)


(
Logic Devi ces
ton Inteatocing
L) Tri- state Device T r i - state devices has three C3) logic states-
) logic'o )logic i il) High Impedance (z)
) Oer han I|P Gnd olP linc, Hhese devices has 8 a line called " Enable"

When Enable is active, device works as normsl device


he
When Enable line is deactiva ted C disobled) , he device goes into high
9mpedonce (z) state
In High impedance state no Cuneot is dawn trom he sys tem.
invete inverte

Enable
Enable
(a) (b)
Fia Tri' - state ioverte sith a) Active high Enoble line
b) Active low Enable lune

2) Butters - * ) Buffers are he logic Circut hat amplifies the Cuent


O pooe
)Normol bu ffer Tri- state buffer
olP olp

Enoble
CActive High)

)BufferS ore used to increaDe he driving Copobility of a logic Circui


) They are also known as dive. (Data 4 Address bus)

G1 Enable
CEN) EN

IN OUT O buffe SIN/oUT

buffer

EN

Fia Bi-clnuctional Tri-state bufle


74LS 245 Used n Sats bus
2

Fig 4LS244 Aldress bus dine


3 Decode ) Decode hao m- input lines and 2 cutpu une
*)Decode activafes only oe olp Ines baad on Hhe logic
combination of in put Iines

Yo 3:8 Yop
2:4
B .Y2 A 2
P B
Enable

UP olP line
Seleched
A

O Yo
YL
Y2
Enab)e Sgnals
1

Fia 2:4 Decde oi th engble Signa F 44 LS 138 decoder (3:8)


To achire Hh is decodes Enable
6gnals should be

in iòtuafocing of tlo peaiphenals ( to select


Decoders oe cojdely sed
pantida peipheal) and Meme
Memo

Encode-8*)Encodes ane logic Circuits that provies he a Propaate


4) eutput fos each Input Signad
Code C8in@ny or BCD) as

used ith Keyboald fo 2ach Key 9n appropnak ,

)Encoders ore normally


on Hhe data bus.
Code Cbinary ) 15 placed wille
(ele ooc
When Te =
O, b inory
genenalE at o|P

ll b
Active
ot2 O binosH wde l
louD 333 -OL eneald at Ke eip
inputs Encode

Latch 9 clocked)
5D-Flip-Flops C
cohen up seds
to inteaface
latch is uSed mormally output dences.
)A on h e datg bus fo oshot
dats a l e available
data to olp deice, USed hold
Hherefose a latch o to Hhe
peiod of time Ctes usec.
data
Latch (Tronsparent D-Hip tap) -p-D Preser 6

CIK dea
CIK
F DPip Ftop
Vcc GND

10D
20
10
20
3D
CLK 30
40
50 74LS37 50
6D 60
7D 70
8D G 80
Enable Gutput
Contol

74L5373 D Latch Used i Latching of s bit data


Fi

You might also like