Download as pdf or txt
Download as pdf or txt
You are on page 1of 64

5 Computersysteme

 

   
    
           

 
   

   
                
 
    


         

             


  

•   !"# $      "    %

• &  
  

• ' (
         )
  

 

5.1 Prozessoren
   

 

 
  "
 *
  
  +

  
)

   
    '    
    



 )
     
  
     +

 
  
   
       
 
   
    
     
 *    
 
)
  )
   
&   +   

,

  



5.1.1 Maschinen-Code
-       

  
  .       
  *  / *
      )
 '  &0
*   
    *        


-       


 -
 
   
 *

   )
    . 
 
 +  
   )

   
 
  )
   
  
 
  

)
 
 &     
  
 
. 
  
   
   
*
  1           . 
1

)
 

 
  
+



 
           
            '  
    

2  )


. 

 !    %       


  

    3 )



  &0 * 

      )
4

 
)
   .  
 
+ 
)
       

   
 &  !   3%  
  


  * 
  

 +
    

    5  
)
4

  
 

   
 
   
  
   
   

   
 
 
   
       
    
 
        !  
   
 
 
  "  
  
 
 
  #$ 
    


 %& '   
 '    '   ( 
)
 

* ) )
  
            
     +
)
   
    ,       
 

   
     
 
+         ,

 
  
  )
 -

. 
 
     

  )
 
 
 


/    
  0  1
 2   1
      
     +
  


3 
   
  )
    4 
     

 
+
 
  
  
 

% 
  
 1 
 .   


     
 4 
   
 
      


  4 
    
    
  )
        


 )
   
 
 *       
 
 
  
+
   
  
 4 
   
 
    
    )
 4

    )
      5 052   
 5 0 52    
 
+
 0 2  6
 )


 
  
  
    5 052
  4  
 
 *
 
  
   
 5 0 52
  
 +
7  +4 
 
 )
 
 4
  0 +  2      
)

 $
  6      
 
 8

    
 !
 +


  
   &    
   )
    
  + 
 5 
 &  
      
   
  
    

4
      
      4 
     
 
 
    
 
 0 2      5 052 




  

 
     
     5  &         & 
   
   
  


 

 
 
+  
 
 
  
   
4
 
 
 )
*  
    
8  
     
   4
 * +
 
 
   
 
  )
     0  
+5  +52 
 )
 
               4 
  $
 +  
 
 
 +      
 
  

  )
  
  


    8    
 
  
  
 8
  
+

     9)
  *   
   )

 
   
 
     
  
     1  
)    4
 
  ! +
 
     
  
 
+5  
    
 

  
 
  *   
  )
  
 $:  
 9)
 +
 # :  )
    )
  
 
 
 
 
 
   
)
  
 5  )
   

    
    6)
     )

  ()
 
)
  
  
   
 
   :
 
      


   /  ;         
   
 
 
    )
         +5 
   
 

  


      
      
    

   
 
  
 
  

  
   
   
   

   
      
    
    
    

   !    "       

! 
 !        
 #$ 
 
  
% 
   
   &     
  
'
   &
    $  
   (
$      %
       *
 
    
%  )

Transfer-Operationen

  


 +
  
 ,   
 
 &
  
- +   ,   . + 

 ,    
  
 & 

     $   % 
 &  $ !  
 !   
   & / (
 1 % 1    
0 0
.

  %
  

   *    
 %
(
 
  &
  2
  
    
  
   +3
  !  
, % 
 
 +! 
 ,
& 
    $
     4   3  
  
 
5  3       #
 6   $   !
 7 8
 
   $
  
   $ 8    
# 
           9  + 
  
 :, ;, <, =  :> 3 , &   9

   %
       2

 

 
 ? 

  %  9  
 
   
  3 
 %
  &   
  
 % 8  # 
3   


 
      $  
  
 

      
  *
   (
    .

+  
 
  $  
 

Input/Output-Operationen

&  


 
   
  (
  

$     &


   
  +6  "
,
. &   @#$  
  !  
  
  8 
    !     
A
     &   

      %         
 %
.  %
  $$  6  !   
   @
   
 
   9
    $ %      %7

:   @4  %   2


      


 
  &    
   "
      
@B  (
 
 & 9       
 
  

  )
  9    &
  
   
  
  
;  4
@ %    
 
 %
  % 
        %       

  9
     &
 $ )     
    
3  2
    @!    %
    (
  
 % 
  



Arithmetische Operationen

   
 
     
 
     

  
 
       

   
 


  


  

 
 
   
   
      !
 "   #  

  $  %   &'    (! )!
*+++" 
 )  !%!  
 !! ,* 
  , 
   -..-/!
0
   )   
    
 
 +
   
  

   
  
   # (!     / ! 

0 
  *
     (
  
  
 #/    
(   
  
  
 #/! 


 *

    
  
 
 &'!  & ) 
   


   

 ! +
  
 
    
 
 
 0     1       
 
  " !   
  
  
 
    !
* "  2   ) 
   
 3   

 
 1  


 4  -  5 )6    
!  
 
    *  
 
 
    # 
! 
        
   ! %

 
 
  
 
 

  # !
)
     

 0 
    "  7  
)

 
 ,
  8 (!   / 
 

 
  9 #! ' 
  

  
 
         
 
 
    

  (!        /! 
 )
  1 
 :
 
  
 )
 ! 
 
  )

 
     '    (!   / ! 
  

      +  

 0 
     !   

         
 

 "
   
       
 !  +  
  2  
 
     
    ; 
(! )!   
  /! +
  $
  0 
     

 
 
)
  

 ! 
  )   "  )
3 !

Logische Operationen

    
  
       
  
    
  





 
  
  
  

  $
 2   + 

 
   )      

 
  
    
 
  !
#     
 0 
  
   ) 
  
 
   
 1   
 < (!  /  
 . (!   /   
3
 (!     /! 
    0   
 )
 (
! "  ! "     /  
  "  )
 (! )! " #  / 

! *   " 
 : 
 )
 
 
 
 
 
   !!

 &"
 
  : )

  = $
 (>

 /!
# 
 
  

    "
 ? 0$  @0$! 
    

: )
  0  (
 
   / 
!

Shift-Operationen


       

 *  
     

  


       
  
 
 

              
    !  "#  $%  &' (  
     $
 '     

 

 
 
 )  )  *   
    (
 +      *    ,   
 
 

      +  -  )     *  -

.  ( )     (    

  

*    



        ( )  ( )   
/ 0 1(   / ) 01 )
*
     2 (     
)(   & !(    34    *   
)   )    /        
 


 
 (    (          
   *   5  6 &        
 pp ppp  
pp pp p pp p pppppp ppppp p pp p
   
 ppp ppp  
ppppp ppppp p pp p

     
ppp
 p pp  ppp ppp  p 
pp pp p pp p ppppp ppppp pp p
      

 ppp pp  pppp


p pp p ppppp pppppp pp p
    

 p pp p
 ppp pp ppp pp pp
ppppp pppppp p pp p   

p pp p
 pp ppp ppp pp pp
pppp p ppppp p pp p     

p pp p
 pp ppp ppp pp pp

ppppp pppp p pp p        

5  6 &7  

Flow-Control

  


    
   

   !5/  )  (    ( 
8   9      ,     
   
  
 +        
  +    )
 
  
 

  



Sprünge

    


      

   
 
        
 
  
   
  
         
     

    !
     " 
#
  
  
  


$    


# 

    # 
 %      
& 

 $  %

   
 '
 

   $  ( #
  )# " (

 #  

) 
  % 

 '   "
  (
 

#  )


  $    
* 
  $  ' 
   
 '  +
  

Subroutine Calls

      


 
   ,
# 
 , ' $

       


  
  #  -   
  .
   /
    ' 

 
 
 0  
!
 
 0  #
   
  # 
#
  

 
#  

  
  ' '   
    
 


 ,           

    !


 #
       
 #   
 
 1    0 
#    
 #   $   

 '    2


 

  

  
 !   ! 

 

  $#   ! 
#
  
    
#  


    ,  
  $  

3     


     .
  

    
4    /
   
 
    5  6  (
  
  '       1
 ) ,
 
   
   (x) 
   #  
 x  4    $    ln adr

#   ln adr  



    !  -
    

Speicher
Beginn des Programms

Ende des Programms


Startadresse Beginn der Prozedur P1
der Prozedur P1
Ende der Prozedur P1
Startadresse Beginn der Prozedur P2
der Prozedur P2

"hohe Adresse" Ende der Prozedur P2

  748
  .
  !  
  

Speicher
Programmbeginn
Speicher
call P1 Begin von P1

call P1

return Ende von P1


Begin von P2
call P2

return
call P1
return Ende von P2
call P2 Programmende

 
         
       
   !" # $  
      %      #    &
 '    ( )% "#    
) $ *     +  , **  #"
$ -          $ . *"  /
          +*   !  

"      0 #      +   1  .
*" #   %     2/  
3    
 2          *   .#"3
$      + 4   ""  2   3 
  " %   *  $   # %   /  
 
      2  53%   ( 
 #"*     1  .   " "
2          3   6#   
 4*   7    

  (#       +%   *#
 !          % "  40"  8
   '      ,  + "   
 9:     . (#    
# $  +  2     +;  - !"
3 # <   4  #
Call-Subroutine:

/   +'%        

6       +   2    =  
 3
>       !*
Return-from-Subroutine:

/ '  +'    


  
 

   

   
      
             
  

! "    



Interrupts

# $%   


&    &  '  '        


 

 '        &

  


  %   

 (  )
        ( ' 
  # 
      

     


*  )  &      # +&      
   %   +
    ,  *       -



    


     '   +
&  

 %  # '    /0   1%  0      
. .


 '   "   


  %       
  '     *   %  &    ,  +

     2   #&  ' %  3 4
5    "   % 0 &
    

  6
.
  &  '   * '    +   *    
%    
 
 
      % 
&      7 / 

+      %   & 

%  5 (     (    


  8          ,     +
 '    
 ' 
&    &
            
   #'    )             3  
 +   )  

       &    


  % '   4   
 &    
 )              
 %      
'   )   
      )    

 '& 
& 
    
   
+ &      ,  )9     #&
   
 $%   
   7

• *          )  


%  % #  )  
   &   9   % '  

• #    


&6  &
  %  & :     
   


 *       

• : 9         ; /

       




%         +3   
 
#      

*   %
   ' &      


   
;  #          

    '  


   +  )   <      =   )+
    
      & 9 

 #  >  


 % ?  +  )     +  7

Fixe Zuordnung: : 9    

 )  
  ;, +  
  
+    )      
   

Interruptvektor:  
            
                  
  ! "#   $      %  
&   " '()
 !#  
  '    )       
   *    %+  
,$   "      $      
     !     ,   &   

       $   +  !  
    '
   )     '
   ) - 
 "#   $#   .  !  #  " $  
 ,   #      $ ,  (      
        /  #    
   
,$     0 1 2   $     / 
$          3  
  1
  '!  ,)        
   ' )  !       $    1  !
,       $ $#     4  
$    
            
     !   /  4- $# /  
  &     $      /    

  
      5       $   !   
   " #  /       #
  *    #$          /    
  
    5$         
#        #     4    5  
         $#   
 $    " #$  !      $ 
                 
 $ $     

Stack-Operationen

  6  7        6      
      "   '  )    +    
  "        .   "/ +    
        ! &       #  
         /   %4    
    '!  )      #     7  $  
 $ 8 # 1          , 
 4     ##  1     #   
 (   /  $        
  ! &    #$         
7           #   1   
 #  ,       "
#$ ! '"
#$ )
 
 !#         7  #$  $     
     ! 9  0     ,$ #    2  
7  '   !# ) 0    ,$    #   
  
 

    
      

  


    

 


     
   
! "push Reg#


 
!  
  
   $     %
   " pop Reg#    
 
&  '  ( 
 
 
    
   (        


!  
  '


       


)
  (    
    *
'
  
   $+  
 


  
 
! 
  *
  &       
  

!   $     
 *  
 
   $       

  , 


    
  
 
    
    



  
'  $          $  

"-  
 
# . 


  $+   
/ *
  0   
'
   
   
 

&   $       '


       
  $ " 
#

$    *
 $ 1

 

  !       


*     (      


  $  '
   


1
        
  &   $   

  *
  *   

  
  &   $   
 

 $ 
 
 
 
 
 

  %  2   
$ 
 
3

   

 *
 45 ! 

  
 
$   
"$#   
  
*
 " FFFF#½   
 * 

1  
$ 
    
  &  6     .     

 '
   6  
      $ 
    
  
  1
 


   1 
$   !
 

    

     

"    


 #
  
       
       

 
 '  '  
   
 
 
 $  
    

 
 push 16  pop 16
 0    '    
  7    

   *

 889      %  


 
 $ 2   
  


  !   


 


  
%
  -  
% 



 
   

  
  pop 16 
push 16 '
 

 
  ← memory[address] 
   :   1
 '  $ 

 

address   *


 
     1
 *   
  
  )
  


  
address    

 
   
  .  



 
     $ 
    
  
 
*
 "reg# 
 

 
     &
  *
   '
  
  "

 * 94;#

%     
 %
  - 
     
 


 

push 16(reg)

1. memory[SP] ← reg < Das Register reg unter der im SP ange-


< gebenen Adresse im Speicher ablegen.
2. SP←SP-1 < SP um 1 erniedrigen
  

pop 16(reg)

1. if (¬SP=0) goto 3 Falls im SP nicht (FFFF)½


gespeichert ist, springe zu 3
2. Interrupt Stack-underflow Interrupt Stack-underflow auslösen
3. SP ← SP+1 SP um 1 erhöhen
4. reg ← memory[SP] Das Element, das unter der im SP
angegebenen Adresse im Speicher
abgelegt ist, im Register
reg abspeichern
  

     
         
 
1. R1←1 Transferoperation: Register R1 mit 1 belegen
2. R2←2 Transferoperation: Register R2 mit 2 belegen
3. R3←4 Transferoperation: Register R3 mit 4 belegen
4. push 16(R1) R1 auf den Stack legen
5. push 16(R2) R2 auf den Stack legen
6. push 16(R3) R3 auf den Stack legen
7. R3←1 Transferoperation: Register R3 mit 1 belegen
8. pop 16(R3) R3 ist das oberste Stack-Element und wird mit
diesem Befehl geholt
9. R1←0 Transferoperation: Register R1 mit 0 belegen
10. R2←0 Transferoperation: Register R2 mit 0 belegen
11. push 16(R2) R2 zum zweiten Mal auf den Stack legen
12. R2←4 Transferoperation: Register R2 mit 0 belegen
13. pop 16(R2) R2 das erste Mal vom Stack holen
14. pop 16(R2) R2 das zweite Mal vom Stack holen
15. pop 16(R1) R1 vom Stack holen
              !        
   "#$   # %    &    '         (
 ! #
)  

  *         

+           ,    # 
   !+    +                -
     #     
           
.

       # ,       
    !
  #  /
0  - (      
         
 
 # 1  1    
    
            
  2
               3# 4   
    ! +     +         +   -  #
 1       4       +    (
     +  
!      +    2!    3 
 #       
(       (
   #   
     !       *   
   2 
 3# 5  6 *  7    '  
    # *   
    
  + 8      (    #
 !   (      +    !        8+
  !
    -#
4 
   !  1   # 1     4    - 2
%!      3    
 #    
  
 

R1 1 push_16(R1)
R2 2 push_16(R2) R3 1
R3 4 push_16(R3)

Scratchpads

Scratchpads

Scratchpads

Scratchpads
Register des

Register des

Register des

Register des
R1 R1 (0001) 16 R1 (0001) 16 R1 (0001) 16
R2 R2 (0002) 16 R2 (0002) 16 R2 (0002) 16
R3 R3 (0004) 16 R3 (0004) 16 R3 (0001) 16
SP (FFFF) 16 SP (FFFF) 16 SP (FFFC)16 SP (FFFC)16

(FFFA)16 (FFFA)16 (FFFA)16 (FFFA)16


(FFFB)16 (FFFB)16 (FFFB)16 (FFFB)16
(FFFC)16 (FFFC)16 (FFFC)16 (FFFC)16
(FFFD)16 (FFFD)16 (0004) 16 (FFFD)16 (0004) 16 (FFFD)16
(FFFE) 16 (FFFE) 16 (0002) 16 (FFFE) 16 (0002) 16 (FFFE) 16
(FFFF) 16 (FFFF) 16 (0001) 16 (FFFF) 16 (0001) 16 (FFFF) 16
RAM RAM RAM RAM
(1) (2) (3) (4)

pop_16(R3)
push_16(R2) pop_16(R2)
R1 0 pop_16(R2)
R2 0 R2 4 pop_16(R1)
Scratchpads

Scratchpads

Scratchpads

Scratchpads
Register des

Register des

Register des

Register des
R1 (0000) 16 R1 (0000) 16 R1 (0000) 16 R1 (0001) 16
R2 (0000) 16 R2 (0004) 16 R2 (0000) 16 R2 (0002) 16
R3 (0004) 16 R3 (0004) 16 R3 (0004) 16 R3 (0004) 16
SP (FFFD)16 SP (FFFC)16 SP (FFFC)16 SP (FFFF) 16

(FFFA)16 (FFFA)16 (FFFA)16 (FFFA)16


(FFFB)16 (FFFB)16 (FFFB)16 (FFFB)16
(FFFC)16 (FFFC)16 (FFFC)16 (FFFC)16
(FFFD)16 (0000) 16 (FFFD)16 (FFFD)16 (FFFD)16
(0002) 16 (FFFE) 16 (0002) 16 (FFFE) 16 (0002) 16 (FFFE) 16 (FFFE) 16
(0001) 16 (FFFF) 16 (0001) 16 (FFFF) 16 (0001) 16 (FFFF) 16 (FFFF) 16
RAM RAM RAM RAM
(5) (6) (7) (8)

 
        

             !"       #!


     $ %    #&    '      " #
     !  

5.1.2 Adressierungsarten
   
 
  

 


  

   
  

       



  
 
 
 

  

 ( )* "                  '  


     +!         , "     
  -  . !             /    ( )0
                        !  !  
+       $ 
• +    "     ! 1    #       +    
  

 
      
  

 
 
 

  

      
  
   

• 
    

  
  
  

 

 
 

   
 
 
         


• !
     
 
      "  !   
  

 
 

 
 
 
      
  

! 
    
   
    #     $   %
&
  
   ' 

 " 
&(       

) 
  * !
  
  "

    



    )     * ! 
 

    )+ &
 ,
 *   
 
    %
 
   

! '
   
  
 
 -    *  '  


  
 .  

 #      !   

  &

     


 )    *
$    
 

  
      




 
  $
      /  0 
  
    
 


 1  
  

   "
   


 

 
 

 
 
 

   %
   
   
  2
    
      
 
   
  

 


 
   
  " 
&(     3 
 
      

  4    "


     


!       


          
 

      
  
     
  !
  
   

 
  
    

Implied Mode

!
  "
  )    *
 

 
  
  


 
 
 5    

      
  
 


 #   6
   
 
 

 
    
  
 

 
 
  '  

 $ 
 #    8 

7

6
    %
  
   
 )      .  

 $  (  %


 *

Register Mode

!
 '   
 

  "
 &( & 
 )
 99:*   !


%
   
 

       

 
 
" 
&( & 
      
        $   

  
 
   
  
  
 2 
    
 


%
     )  %; ←%9 < %:*

Immediate Mode

 

  

   
    
  

             

  
 

    


            
 
          
     
   
           
         
      !     " #  $ " ← %& 

       #  $ !       ' &  

Direct-Addressing Mode

$                #( )
 &  '      *   
 + )
     
  
    !  ,  '      
 -     - $  '    .'    /
• 
00% ½ 
1'  23    #00%&½ 
• ← 
4%%  
       #4%%&
     " "5    
     $   '     #4%%& 

R6 memory[(500)16 ]

memory address memory address

R6 0 (04FF)16 R6 (17A4) 16 (04FF)16


(17A4) 16 (0500) 16 (17A4) 16 (0500) 16
(0501) 16 (0501) 16

Register-Indirect Mode

$      '   "      )$    
 
   (    '     "   
    $ 
     *  
    $(   #2& ' 
    *    
         
$     '     )$     ),  6
 (                 "
#   &    $   2 
   ' 
  "
   (           #   ! 
 &      $          "
!   &

  
        '   $  $

    *      '     

 $
  7    
        ,  )
 '   ! 8)" #  $  9     &  '  
'   2 
   '       ! 8)"
  

R6 memory[R5]

memory address memory address


R5 (0500) 16 R5 (0500) 16
R6 0 (04FF)16 R6 (17A4) 16 (04FF)16
(17A4) 16 (0500) 16 (17A4) 16 (0500) 16
(0501) 16 (0501) 16

  
 
      
        

   
    
            !
 
R6 memory[(500)16 +R5]

memory address memory address


R5 (0001) 16 R5 (0001) 16
R6 0 (04FF)16 R6 (4711) 16 (04FF)16
(17A4) 16 (0500) 16 (17A4) 16 (0500) 16
(4711) 16 (0501) 16 (4711) 16 (0501) 16

"# # $  


         %
     
  

           #
 & '
( )  *         + 
    ,   
  %     #  
 # #    !
 -
.    ADD (A0)+, D3
.   ADD -(A0), D3
  /
 #   /  "
( 
      
  .  !
 011   .!
 .+ !2 )++ --* 
 $  -
int i, j = ++i, k = j++;

Program-Counter-Relative-Addressing Mode

    
       $    # 

          
      
     2( 

 .       3  .!
          '    
(    2( # 

#     "  "#   
 
  
 

    


        
    
   
     

Indirect-Addressing Mode

 



        
      
           !    
 
" #  
   
    
   
  
     $! 
     


       %  
 & % 

    

      
'
 " 


 !(
'  
  
 $          &     ) 

 !   "  
    *  +

 ,  

&    (
  
 -
  memory[memory[address]] . 

 
   
     $ address  
    

    (


    '
 " "
        
/
R6 memory[memory[(500)16 ]]

memory address memory address

R6 0 (04FF)16 R6 (0815) 16 (04FF)16


(17A4) 16 (0500) 16 (17A4) 16 (0500) 16
(0501) 16 (0501) 16

(17A3) 16 (17A3) 16
(0815) 16 (17A4) 16 (0815) 16 (17A4) 16
(17A5) 16 (17A5) 16

5.1.3 Architekturen
   
   
    
   



  
 

  
 
 
  

"  
 
   
' ( 
      

      
 0
       '

 


  
 &   1         
      ,   ' '
  *
    

     !      (    2 


   1  

 -     3 

(   
 (   (     #
( 
  
"     
 '  45    '   
'  ,
   & (


 

     

  

    
    
        
     !          "  
 
    

#       
   
  $ 
   
 

     



      
  
  %    & 
  $ 
             !'   


    %  

      (  


!     

    %)                
   %      
      * 
 
     
         
 
   (    &
 
+       
   
,             $   %) %
 %-  

     
   #
  
. (              &       
 
 '  * 
          ) 
         *
   %   
    
   
   (     
/   
   
   +   #   $ 
      . &  
0  
* 
    
  1
 '  *   
$      &      ,! &   
       
    !
       
  

         
  )*) # " 
   .   $*) #     . $*)  
& 
    0 $ !  #234 
 $ . )*)%      
5
  
  26   
 $ 
  /       %         7  
# 8      
 9     &  
      0    &             
   + 
  %        $ $  & :3 9 

  
          5 
0  & 5 ;
  
 < =  #   #$ .  %         +
  
 
9  & 3  : 5+     5   
  
     
  < =    5   >     ,
   9  
     <         , 

      %&   


+   %      &        
)    
  
9  
?   &    '   9 
      '  <   ?    ,       

       %  

7      


    
     $< # ()   ) ' .
     

          
   
 0 
      
*             <  % 

    
      %   &      $   
$*)  
  



5.1.4 Parallelverarbeitung innerhalb eines Rechners


  
                  
           
        

         !   
  "
#  $ 
%   
&   
'   ($
) *   
*    +   ,- 
   .$/$      
 $  
     0   $   !$ $  
1    2    

Vektorverarbeitung

* $ $                 


         3  4     !   
       
  
   5 6     
    
7        8    
      
           7  $  $    
    9 !   8     !15 (  
 6  
   $   
: $            
 +$- 0    $  0   2   
      0$
  +!    !15 -  ; 
<     !15  0
    
    .
 $       
 
          

Superskalare Verarbeitung

 $ 0       2


        !
        =      = $    0
 
   $ 2     $ 0   
        0  
    !
    >    0    0
    $
 
  $   
  !
   ,    2    
<     2      $
  2    < 7
     $
    
  3     
  $  / 
 6 8    $( ! /      + 
 -

* ?   7      .      2
    
     7 ;$ $     !      
     !
  
$ $
  $(    !    $      

  6      8    
 $  
0$   1
   !
  $ $ ;$  

  


   
    
             
            
        
        
     
!  "    !    #$ 
 % 
     &$
% "         "          #$   

    


 '
Vollständig sichtbare Anbindung:  # ($)       )  

  #$    
   *% (    +    # (
 #$   )             "     
    
Partiell sichtbare Anbindung:  # ($)             " 
)  
  #$  ,  # (   #$   %   $
  
Transparente Anbindung:  # (      #$    #$ 
   %    # (  #$ $)     #$

     "           # (

Instruction-Pipelining

   + %         )   $ %      
&  - 
 
.   /  $
    ( 
    (
 
,         Æ   
  + %  %          "0      &
     / %      ,     
        
           &%   

 %   

.. .. ..
Station 4: Motor & Elektrizitat Station 3: Rader Station 2: Turen und Sitze Station 1: Karosserie

  12' "0 % %


3    4         %   '
Aus der Sicht des Autos: "      (  ,      $
       ,          
 
Aus dem Blickwinkel einer Person am Ende des Fließbandes: "      )  ,
     "   
 
   ,     $
   5       +


       ,      "      ,
   
          (    $
              "      
  



 
         
   
              
   
         
          
                !
            "     # 
$  %  &       !           
  "           %  '   (       
    "           )
  
   
   
# ""       #    "  
  
      * 
  %  
+
      "  
 !      , -
        .          /
        0!
 
 1     
  2 3 &  
  % 4

 
 
.....
.

 
  
 
......
.

     

......
.

   
......
.

  
......
.

 
  
......
.

 ! "
# $
 

  2 24     


   !


 
       !          
  5   "   
  

   
 6        7  
.0%
1/  %
   * 8  % 
        
          
        
"      "
  %

 %
  !
  
          9  :       6 
!;   :   
 )
 
  #
        %  + 
  7      5       
    %  #    6       #
  
       7     

  


    
  +   7     #
 .   ! / 9 :
   8      8    
      9  6 
!   <      7      
 943  
      =       " >  !
 
 %
     -     "      :   
     ? 
    #
      
  

 
       

 
       

 
       

 
        

 
        
.......
...........

         
 

 
 
       
  

 


    
  
  

     
  

   

    
 !       
 
  "
# 
 
 

 
!

     
    
  
  
$% &
    '   
   ( " 
  
  


  )  
    $*  
  
   $*  
  
( 
 

 $% 
       
  
 
 
 $+ 
 ! 
 $*      

  & 
#
 

'  

  ,
 
 (    $- 
(  
.  $/ 
    ( 0    
 
 

1 
   
2 3 
  
(   0    .
  

 4   !
     )


    (
  (      
      
  
  5 (  0    6  
#    

  
3
   ( 
  (   $
  ! 
  
    
 

( 
  
  37  
)

   
#   8 9  
(   Æ : 
 



     
   ;7
6  " 6  
1 



( ;    
.
 
Main
Instruction
Processor Memory Processor memory

Data memory

(1) Von-Neumann-Architektur (2) Harvard-Architektur

#  </ )


!
#


  


!     
  &$%( $-  $/' 
  



 
    
        
          
  !   
 

          
   
 " #
$       % "   &"'("       

    !  " ! )          *+"
      
      
  
,

• -  .   
    /  
   
  0
     )1 " 0  2     !    
3 .  %
("
• -  #   4    .      
0         

    
"
      5     5  
  
  " !   )         
  "
•               6    

  
    %  (       "

!  2   !   7        3 


   0            
%   ( 8   "
5    %  6 (        

               



       
 89  6  :"      /
; " !  *            
            0      " ! 
0                

                 *   
 " #   
  $   ,

• #   


 < ;      <    
        
 " ! 2  
    
*      "  6   " !  
     "
• !  
     )        
   <   " # $        

=
  0 
3  2   
" !     

        1  " ! 
 
    " !         
   

"
•   
            *   
 " ! <      !   )   
          
    " 
    !   % .  ?( ;       
>
   
    " !  
     
 
 
       
       - 

 " !      
         
 = .
  " #  
     
2  " ! 6   #
   
    
     8+=     "
  

•  
       
   

 
       
    
    


    
  
   
 
     

 
  !"#  $ 
  
  

   
 

5.1.5 CISC versus RISC


  %   & 
'    



  
$ (
 
  )***+  , -   
  .
 
       
   $         
/     

      , . 
  

0    
      
    
 0 (  
    +
"   
     
    .
 
   
   
           

  
     1    $   %     
 
 
   2
   &     
 
  
   
 3  
  '           
 3 

     
 '
      
 4
• $ 
 
  ( 
 )**  5**+ 0      

    6  % 
 
      ,  $
 
•   
 0    '
  $
   


  '  
 '     
 70 (  
    +   8    
  70   

      0    $

  $

 

  
   
     4
• 70 
   
 $ 
   .    '

      
 0 8
  70     $
 %

    - 
    
 "
 
 

 

 (  
 81  +
  07   

•  
   $  
    
   7 
.
 
  $    

•       9

 $     : 

      Æ  ;


• &     $       

  $ 
 
 <  7
• %   < '
 
   
 7     ;

      0   

        
 -     '          07  

 
 
  
       
    
  

    (


   
  +
  
 

•    
     
  
   
  
  
•    


     
 
      
!"
"
    
   #  "
$ 

 
%       &
      '   ( 

)** 
+   "
, - . * '/   % 0  .   123
 
  
•  

    
     4  
 

   
      .  
 .    56 "5
 
 "  
 7 
  
   "
    
 8   ( 
  

    56 "9"6 ",   
   , 

   
  : 6   
 $/ (  4
; 6  
 56 "  
  6    "6 "    4 
  
 '  (  ' 

6      56 "<      :
SPARC-Architektur: (5" 
     
   
  
8   / .    
  
   
. 56 "     . ; 
  &   
       + (0       
5  
    
 
       

  
   . . 
 $        
MIPS-Architektur:   '   .    .   
(           
        
  ; 
       


  
 & 
  ( 
 )*+  
 8 
        
 56 "  "6 " . 
    ( 
 
  
         ,   
 56 " 
"6 " 7      '
   
     
, 
     !"
"
 
    " 6 # 
6     
  "6 "
   =   

 (      
  
  56 " 
   

 
 


( 
-   
   ( 
   
   56 "'
      
 
   4  6       
 4   

:          &  " . 6   +

5.2 Speicher
6  
 ( 
       $ 
 
  

(  ' >     ? 0  
      . 

    
 %  
  
      
  
. 
   
    
 5
  
 
    
 
   $ 
 ;   
    ? 

; . @!
 



  
       

              

      
 
   
   !   
" #             $      # %  
&     '      #       ( 
 )      
 #      
$)     )    #    
      #  
     #   $      *++  &*++ ,
)  - *++ · *+−
$)  '   " %              #   
./ #    
       #    
./+   
$) 
 0+ #!1
  #    ) 

     2    
   $     
       3
  3
    $   $          

 4
      $  &$5'           &5'  #
    4
 , 5    3      6
    
  
  
 $       3
 4
    #        7 
2         3
 7    
 8   )        ( 


  $        )   
 93
     :
  " %    )  )           
     8  
 3  $      
   ;

•    ) 
    
   $   

•  <  
=


•    
           ) 

 4
  $          4  />*     

5.2.1 Interleaved Memory

  

  

 4
  
    
     ?    
$   %  # @   
           
       $    
 #   &
      '
 
    
          # )    " 
    /   *A #3 )   
     >B  3               # 
       )         #    

      =  
 
3      )      
 ) 
            $ )     
     3   (           $    
    (   @       3
               
        )           & 
 +'   3

$      &     >C' $
  
  
0
 
 )  
  
     
  



Data Bus

(0000) 16 RAM (0001) 16 RAM (0002) 16 RAM (0003) 16 RAM


(0004) 16 (0005) 16 (0006) 16 (0007) 16
(0008) 16 (0009) 16 (000A)16 (000B)16
... ... ... ...

Address Bus

Control Bus

 
  
Data Bus

(0001) 16 RAM (0000) 16 RAM


(0003) 16 (0002) 16
(0005) 16 (0004) 16
... ms ... ms

Line n & &


Lines 0...n

Address Bus
Address

...

Line 0 1
memory select

     

       !  " #$ 
 %      &  '   ( )
 &   ($  * + &    ) 
*&  * +  &       ! , -
  +   ($.    
 &  
%  *    ! + + .    /
&      0  % '   '&  '
" 0.   1 *   !  
+  &  *   ($$  
+    **   %$ %$  ($. !
" ($$ '   ($ $ ,& 
  +   % '   $  '*
 

5.2.2 Caches
 
    
 
    
        
    
       
 !  
 !  "   # 
  
   #  $  %
#     
$     & ' !  
    
$ (     "   
  !   # #    
)      # * %
  
    
   #
#     
    

       "  #  


       


  
    
      

  
           
  

      
   
    !  




             


 
  
  "    #$
%
& 
'
 ()*  


+    


  
     ,  
 -

  
  
 .
 
 -/ +       


 
   0
   
 !   
        1-
   
  $
-    &  
      
&
    
   
      # 
% 
 
   #  %  2     
   $
 

!   '3
 #

%
     
 $
-"3  &-
 
      &
4  56   7( &      #3!%
 -"3    
     *
  8  ·  9 #: − % · 
 "      "3 
  $
  
  
  
    '3
  $
  
  "3  #   %  
$      
  3! "3   !  '3


    
    ,


  $
  .  
  ;

  1<   




 
      =    
&
 
 > 
>     =      

     
 
  1  
  
 ,  
-
 1  $
 
              
      1     
 $
 
  =   
 
 
   $
 

    (7   
 
  $
-0    3 !


    
  2   !      
     
  



Address Bus
Cache
Processor Miss Main-RAM
Comparator Logic

Hit

Tag-RAM Data-RAM

Data Bus

 
 
               
!     " #   $ % !   &
     '()   *  +$   
  ,    % #     +  !   
   %    -     * &+! 


& $
,  . % ,     -    ,    
!
 

 
$    & &,   & 
  + / +   , 0,       &
   , &  + & &!      1  
 &   %      23  ,       
  +    
(4711) 16 Address

Tags (17AL)16 00, 20, 32, FF, AF, FE, BC, CC Data

(17AH)16 AF, 00, F3, 4E, 15, 6F, DE, D1


(471L) 16 9B, C5, ...

(081L) 16 0F, ...

Hit, Miss Data


(C5) 16

  23 ( & $ 

%     !  4 &  15 3  6 '70)  - !  15
8  9 '- :-)       ';622)½ ,    
    !,   1 ';62)½      %  " #  
 $ ';623)  ';626) !  '    ),   +
  % #     ,      & 0   1 ';62) !  
 



 
    

      ½  

!"           # !" $
 %  "
"

&" !" !"$ '


 !"  
  (!"   '

     )!" 
'

    #½   % *+,

&    (!"   !"         

!"   $'



  - !"  
       .

LRU: /   $   ,"


         
    "

0   1    !"           '


' !$ 

LFU:                 !"      

     

RANDOM: -
 '

 !" 0     '
   $

  /"    !" ,   " !"       (  

 !"      0'


  )!" !"  $   " 
' 
' 

/    +     


)!"  "         *


 !"  )!"*   !"  %    &
 "'
 


  (                 !"      


 

   %"   2*+,  "  "'



"  (    

$      3    4   !" !"


    !" 4  !"

  

(FF00) 16

(0100) 16 (CC)16

(00) 16 (01) 16 (CC)16 (0000) 16


(01) 16 (47) 16
(02) 16 (47) 16
(03) 16 (47) 16

(FF00) 16
(FF)16 (B6)16

fixed Tags Data Main Memory

Cache Memory

   3. )!"  !  

/ 5
    " 1
!"         (!" 

"    

6  !"     6   %   !"  &'

 $'



!"  !"  )!"  "   7  2 


'  !"     !" 

 (!"   "   % "  "   ,"


   
   -   -'
    &
  
   % -"    

-     

    '
 1 &
   (!"       

      


  !" !" 

!" '

8     


  4    4  '
  
    *)!"   
  



Way 1 LRU Way 2


(00) 16 (00) 16 (00) 16 (E8)16
(01) 16 (47) 16 (01) 16 (48) 16
(02) 16 (47) 16 (02) 16 (48) 16
(03) 16 (47) 16 (03) 16 (59) 16

(FF)16 (B6)16 (FF)16 (3C)16

 
   
     ! !   " ! # $%   &
 '"!  %    ! &    (!
  ) ""! !      ! *  ! ! ) ! ! + 
   
     
   ! !%  !  #!  
,   $!"!$+ !  ' !   " ! ! $    '-   +$!
!   ./       
($ ! ! !  "!  "! !%  !   &!
 ! '!"!! $ ! !   !  (%  !     !%
   ! +  0!+!  #  + %     
     ) ""! ! %    !  "! 1
!!2  !    3!+ !    
' 3! 
 !%           ) "!  4 "  %  
    !  &  !   !+$ %  !! 0!
!          ) ""!  5  
67
! 3! ! 4   ! +%    '!"!  !  3! 
    !
# ! %       % ! $  &
! '!!$  &  8" !  '!  $     
+$!    # 9 !   !   8 +   
 ! ! ! ! %    #!$       
 ) ""! !!! ! 5  7 ! +   !! 0!! + 
!+   !     *  !  $    ( !  8 +
 
 3! !  8" 5 $ !   '!"! 7 !
     
 &  3!+ ! ! !  (! 
            %  "! 50:!"!
!  7 !  ($ ! ! 0!! !  ! !  ! 
0!!  +!+ !  % !  ":!     ) ""! $!!  +
 (     $ ! !   ;!    * %   !!
'!"!  ! + !+  ! 0:!  !     
) ""! $!!  !  %  ! 0!!  !
! #$ !+  ;  *    ! 0!! + !
    9!  5  %   
<7   8"   
 !    !%  ) ! ! !!  ! 0! /  ! ! 5 !!
! !    
!7      ! 0!!
" !!     $  !:   "!!! !
'  4  0 !$  " $  $ = !$  ,-" (!  
> &-  6 8&- ?+ !        5! "   ! #
 

Processor 1
Main
Cache 1 Memory

Processor 2
Cache 2

 
  

              


    
   

Instruction
Main
Processor Cache Memory

Data Cache

 
!    "# $
  



5.2.3 Direct Memory Access (DMA)


 
  
  
     
 
  

      


 
     
   

    
  !    
 
"


  # !     $        %
  


&# 

     #  '
   
 
&# !
 () 
  * 
  
 
       
 
 
   

 
 +   !

   ! 

 ,  *
- !
 
 "
 
! 
 "

 %    
!      
 
 *
 &  
  

  
#
./. 
  %   %   
    

 
  ! 
Main DMA I/O- I/O- I/O-
Memory Controller Device 1 Device 2 Device n

Data-, Address- & Control Bus

Processor


   ./.0 
   1   


 %
  

        
 234( 
 (
 ! 0
/    
  &
    5     


      

!$   !      
 
 

&# 
 
      
6   &    !    !
     
 
 +    

 
7 8  "  # !        &    
 (
  
 
  
2  
  
   

 

 234( 
  %
    
9    

 *
   
 
  0
/    () 
  
 %  ! 
* 
 
          &
*    

 # !    

 9
      
  %
  
  



     :
 ;
   
1 
6 )    &  
*    

 !  1 

  
 *    
 

 
           
    
                       
                   ! 
"!#              $     %
       "       &   
            

5.2.4 Controller und Co-Prozessoren


 
         
    
'       %"                  
     #     ()%        
      "            ()%"   
  *              +     
   
,          - %."        
 /     
Externspeicher:  *    #        / $  0 
  
      #  -   1  
  
 *  .         "   %'  +  + 
       "     2    '   

       3      
        "       
             #  $    0  
    2  +     -    454.    
6          "          
 #          +   ,  %
        #  $      "   
Graphik I/O:   "
     #     '    %
   +  %"         #  '    
  '   ,     '        
 #  #   6 -  .        '%
            '    !     
  '  -  .  '   !   /      
 '          "         # %
  !      +     7       
/
Serial I/O:    /   "       "
  
    
       #       %3  - %
# .      "           %
               
  %
          "      #70 -   
    ! "  .  '      

             '    
 
Netzwerke:  *    3     # %
  -""  
  .      "
            
     6    
  



   


   
         

 
     
            


    

 
Mathematik-Co-Prozessoren: 
 
 
     ! 
 "  



  # !      

 
Graphik-Co-Prozessoren: $  
  #
% 
     &  
  !  '  
 (   
  
  

 

  
 
  
  "
   



Signalprozessoren:  )
  * )
      
 

 

    +
   ,!  - 
  $  ! 


 #.   


 . *   
  / 
0    1    
%  
  
 & 
   
 
   2       
 
     

 
   ,! 

  
  
 
    

 3
!  # !*         
 
$        2
 
(      
   *   ,

 
   .    (   

  !  
   *  
  



  * 
456  
 
  

 
    "  %*7 *5     *8&  $ -  
$ 
 '
!   
     $  ' 
 
   
 


 %  
 
  9  : -&  
  " 
   ;

  
" 


  
  < ! %
   &    

  
 < ! %  & 
 
     %
  

 &  
      
    
  3   
 
  


  
*   

 
 
    ,*$    
    !
  ;=   *

 !  0 ,($

  !  ,
     
      

   

  +
 

   ;=  


,*$   ,($ 
  * 
 /
 



     ;=    "   %  -  *! *

 4>>&    
     " 
 


 
  
 9  
  
    ?    
 

  "

   

   %  

  &

 
 
 
 
 
     
#
!    2 
  
     *
 
! 
     

 $  '  *


9  - 
 !   * "    
*
*
     
  




    
 


 -  
 
  

@
• 
   %$&
•      
• , 
!

0 *  456 


      0  
    



 
  

  

 )
! 
 #. 
 
 

  
      
         
  
      
             
          
           

   !"  
      
    
     
   
# $   % &'
#
 
    
      (  )
                         
  *(       +         
(  )                


          ,    

    
 -      +
  
  

Eingangs− technischer Ausgangs−


Verstärker Sensor Prozeß Verstärker

Signalprozessor

A0 CPU DAC
analoger MUX

A1
A2
A3 S&H ADC
A4
A5
A6
A7 RAM ROM DAC

  ./01      

Multimediaprozessoren:
    -   2 
)  3
  ,       
 ) 
, 
   * 
  ,      
 
            
  % 
,   
 
 &   
    
$
  
 4  5   
2 %
 ,   6  2 
#
 7,   8 9::9' ; 
     
 2 
 
 <      
 $- '   
  
         
   %    
, 
    ,   =4     >  
  
     &  ;,      )!  
 = 
 
  

5.2.5 Interconnection
     )*        
 
    $  ',  >  
,  
 
   
  6    *       
  
 1

Paralleler Bus:  8
    !   4   4  ?
             
    ?  

  



Serieller Bus:    


    
 
   
     
 
  
    
 
 
        !

      "


 

     
#
ISA: $ 
   %#   

  &


  '( 

 ) *   '( + 
,  

  
EISA: $ 
   %

 
 -

 
 .*  /0 
     
  
 1
  
$
   2!-
% 

MICROCHANNEL:   . +! 3       /0 


 



 .*!
 -  

 
  . +   
4 5

Local Bus:   /0! 
2!     6 
 
     5*
$    
  %      
 
!
  
 
  .*  .*!  
  

  
2  

 


  7

 2.!  
PCI: &   $      % 
 5    
     
       1
  

  
 
  * 

  2   
SCSI $
 
  % 
  

  !  


  
 *   
   )
 2 
 $  +

!

   
%   


PCMCIA: &  !
 $     % 


      

   
4 
 
 

  & 7

 
 )
 * 
  2 !

           8      



  
 
 $   % &  

&


  '( 
  & 9 
 

 :  

    

 /0! 
* 


& 5
     
   
   


     
6
 -   
  & 
 
 
  8  
 
 *  "
    $ %      2 
 
  2   

5.3 Periphere Geräte


+ 
   

  6    * 

   
 
;  
  
 -        2 
 
 

    
 
 6  

  
  
  
 
  7
   #     ;

 
+    &  .  6   
 
   
   1


  &  * 

 

 
 

   7


   
 $ 4% 


  
 

5.3.1 Externspeicher
   
  
  
  
    

 
              

  

  
     
  
    
  !
  "   #

   $     %
          



  
 &       
 %
    
 
  
   
 
 &  
    
   
 

 '    



 
         (
)%
  
!

 *   +",
-   

  ./(    

Magnetische Speicher

0  
     
     1&    2 
 &
  

 0   0  -

   

   
3
 
4    
  ) %  "  %   
 5 
 &
 
    0  
    &
  6 
  
#    

4  

  3


   7 & 
 
 $
 
   
 
  5
1&

 
   
 
        
    
   0


Aufzeichnungsverfahren bei magnetischen Speichern

* 6 
        3
   
 
  8 

4 

      
  2  &  3


  7 & 
    
&
 8   
2

  
0   1
  
         

  3

  
 2

     
  
    

 3
   #
 
  - 
&
  
   



    '   3


   

Schreib- Lesekopf

Magnetschicht mit

1111111111
0000000000
Magnetisierungsrichtungen
Trägermaterial

Lesespannung

  .9( 3


  
  



   


  
 
 

   
  
  
  
 
 
      


   

Speicherparameter

! 
 

 

   
 

"
•  

 #
    $ %  #    & ' (  )(
   
 
 
 
•   
 
 *
+ #
    


    *
     ,
 
   
•  

 

 &
 
 ) #
  (    % -.

 (  %  
 (   
 
  
+ 
 

Magnetbandspeicher

*

 
/
(      
  #
    
 
 0  # 1-2  
  # 34. * &5)    
 
 ,  ,
  
 
      


  

   
# 
6  
  ,

  
   
#
 0 
/
7  #  
  
(
  
8  *
+     

   
9    #
  #
  #
     
  


  ( 
     :

 ; %       ' ( 
 ;    ( 
 322  322.222 '   
p p
ppp ppp
pppp pppp
pp pp pp pp p p p p p ppp p p p p p p pp
 
 
 

% -3<" 8  %


 #    
   

*    &  



  ) 6  
   
& 


  )(     
    #

 &   )
%   
      
 & 
 ) 
 (  
;  '  &=  )  3 
  !
  
  

 >
 #
 
  
 !
 ;  (     
 
 
 
*
!

  
%  #     
 
  
 
  
 '  &
     ( ,?))  ;   
 
  (         :>  (   5
  :

A &

,
 .22.)    ??,

 
 
 @
 /

 
   


     
 

    


 
  
  0     
 ??!
  &! 
   ( ?) )
  
 

Frame n+1
Frame n
Bewegungsrichtung

Track 9
Track 8
Track 7
Track 6
Track 5
Track 4
Track 3
Track 2
Track 1
Schreib- Leseköpfe

 
    

Querprüfzeichen Längsprüfzeichen

01 0
1
(VRC) (LRC)

9
0
10
1 0
1
8
0
1
0
10
1
0
1 0
1
0
1
0
10
1 0
1
0
10
1 0
1
0
10
1 0
1
2 0
1
01
10
01
1 0
0
1
01
1
Prüfzeichen
Frame CRC

 
   
           
!
    "#  $ % && $ '   
()*%&   $    !  "  +
,     $  &   )
) #     & !   -%& 
. "# %         % , 
#       / 01 2       
 %
 % %  D A T ! 3"     
  & &   &$ /  - 4 
 5 %    &    
  



    
   
     
       
  
 


   
         

  

 

!"#$"   
 "   
  %"
    

Magnetplattenspeicher

&

   ' 
     
 
 #

(  )  
   *  
  
 
  
  

+  
 )  


  !" 
    -  (  #

    ,
) .
  

    

 
    
  !  # " -   !" 
   

  .


 
   

 

'
   +     




!" 
 
   



Zylinder Schreib- Leseköpfe


Achse
Achse

Sektor

Bewegungsarm

Schreib- Lesekopf

(1) (2)

  
 /001 '
"
"  23* '
" 20* 
"  4 ! 

 !  # " )


6    (  )
  



 

5
 
 
       
 
   


  "  





          
  
(  )        
   
   



&
 !" 
  & 


 
 ! 

 
!7


   

    2
  
  
  
*   
 8
 
 %

#


  !  

 2 9 !" : 
!
 *   8 
.

     
    
 

    
 
 
 " 
 


   !   



     


   #
  
  
   !  
   
 
  
 
   
 9   2
     
* 
    " 
#



 
 
       
  
 
  
8 
.
 
 


 
  

'
"   
    
  

  



 




 
   
 '


     '


"
 


   
:   
 
 
 

  )   

  
 

  
           

      


             
           
 
      
          
  ! 
     
 " 
       # 
 
      $       
     
 
   %              
 
      $   
      & R  L
' T 
()*  #  +
,   - .   /        0  1     
   - .          2 3
    
   
 
             &       , 
,  
  ! 4 5678  9 56:8      
 
  
0 ,   &   
     
 *    

    
   
    2 3
 

  
 
!     ;   <    
 =       
      0    "     !      ! - .

       > "     
      

Disketten

#    & ?  * @  ! ,    


    A ;  
      
     B    !     @ 

      
 
     
   "  - .  
         
              
    C  .     
  ! 9 56: -    ? 

                 
   

  
            
 
  
  !  
 
  
 
            ,       
 
   
            
      
         #            
A 
       
                C  !
  5D A%
     

      
   #  
= E      
 #       
  ! , 
   @   @, ' 
  B(2, !  

CD-ROM-Speicher

A F 5GHG 
 '               # 
  B
      
  ! 0 I        554  

     57   3      C  ! DJ ,  0 
    '   
   5D         %    
! H7 ,  #      ;      C           
      G '   ! @ !       C    
     B(2, &      
 !   *     
 
! 5:J 
#  B(2,       5:  
   0   

 '
 

    0  

   
     
 


 

  



 

          
    
      
  
          
          !! 
 "      #      
 $

   %      & 
        '$( µ !   )
 
 '*+,
 -        . /
! !      
'$+ µ   
      0  
     
,$1 µ -  $ ( µ 2 
!   3   ,$' µ #
 4 !       
"!  
   
2     
    ! 
 "     $

 2 
          # !      
 #!! 
 1(5
  ! 

Reaktive
Aluminium− Vertiefungshöhe
oberfläche

Polycarbonat

11111111111111111111
00000000000000000000

über 1mm
Durchmesser
Laserstrahl Unterseite

Land Pit

#!! 
 1(56 

  #   
    $
 !  2   "       

 
  $
  7       7   !    
7   
      
    
 -     
',−   #
 8  
        
$
  
   

 !   ! $
 $        
       !

           -  
    !       
 
       

9++, ! !   :  
    ;
   !
  
      
       
 7    " $
   "     9++,
 
-  2   

  
    
  - 
-:  
     -      
 
  2        
8
  
 
 $   !   4
       2 
0    
 4
 - 2       $  
 !  2 
     

 
 
 $

 4
 
    $

 
 
  
 

 
      
      
 
          
     

 
       
!     "    

   
!  #  $!   %    !  &   
!   '(( %) *
 +  "      ! 
    


# ,      &#  #  

Digital Versatile Disk (DVD)

 
" 
    -
      -    

   ,    ,   #  ,  "

 "  
 &        , #
  . 
/    /     
   /)     #!
  "
    ! ,   !  ,   "  
   &     ,        % 
!   * 
 !      !
   /     0 !
   (1 
 *
)0!     2          
/)
,    , 
 
!    
  ,   
  34   

 "" +   )  5# 


 
6 56 "  7   &    ""    560  
 
%* $7,  "   
       
"   %* $7      ,,"  ,

,)  # %  # 
! 
  ,   !  #  

 
  6  
    ,
8  !   / *% 91  


  :' 

5.3.2 Dialoggeräte

-
  
  ;     &  %  !  . 
  
   
       %%2 
   #

 &  
   &       
    
%          %   #    
   , 

   %    


    2


  
    $!     2     
     

Tastatur

 !
          0   
     
  # 04 .
  ,  &   0   !  # "
       ,       # 04 0   #
  # ,  !
  !     !      


!   
 0       
    &   ! 
       0    
 &
#  0    


 6   # !  


  



Touchscreen

  
                
  
      
  
 
   
  
    !  "     #
   $ 
  %
 &##   '     (     
#   
      '
 )    
Infrarot Sender

Bildschirm
(Screen)

Bleistift

Infrarot Empfänger

  *+,- &

Joystick

     


##      .    
/   '   $ .  '     (  
   
  01      .  
 
  )   $ 2 '
   )   "      $  
     

      #!   .        $ 
( '   .    ##    # 
3       01          
(                 01
   
 01   
 '     #'  / 1 
' )'   #     '  3 
01     .      4   # 
.   4      )       
 01  
    )   01   .  '
     4 
  ( 

Maus

       4         $
  $       '
       ##  '
 $ 4 '
       /   '  5 
)    #   6        
   3
   7    7    
 &      $    
   $
 

  
 

 
 

        


    
  ! "    #    $$ % & '  
(&    % )  " * + " ,)   -
    (.     )   /   '&
 '  /     0/  01/2   
 + .    3 )  04& 5  -(6
'014 ('2-'  4 ('-'    '      
' &" 1',    72        8)   
  %       1%2    ',  5-
   %      "     ',  "
9 8,' 1  8 & '2       
   :  &     
7 " ;
Mausgehause

CMOS−Sensor
D
LE
Li
ch
t

Untergrund

 
7 :  & 

Digitizer

        "  #   %"   )
 +  1! 2   ,  <)   <   1
  
 2   *   #"&   '  &)     +-
    & &) =  '   6 
1  .2   ,    #    
!5&  )  "  &
• #"    " %  +  
•  >   )  )  () " -

•   " "   ( 3  ?-  +   ) 
  
 

hand held puck


codierte Signale für
die y-Richtung

Tablett
codierte Signale
für die x-Richtung
Signale zum Decoder

 


Belegleser

            

          !   "
   #  !   "  $!"
   %  &  ' !( $ 
! )% *+,"  *+,")      *+, 
  


        -  .  *+," "$

 
/ *+," "$

 .  ! &   % ! 0" "


1!  !   !  .   
%3 .  ! .     #
2   !! $ 
4    
  ! 5 67/ !!  +8+" "$  
9 .    )       '
 !(    )  %! .  ! 
   E% An!! ' :(    ! $   
  *+,")"$   &  !  &
!      $%!  

Scanner

 ! 
  !    ! ! -;"  )!"
     &   &%   < 
= &   % $        #!%
   4 !  =    >/ ) %  
&   .  .   <   =! 
  
 

 
             
    
      ! 
        
  " !  
#  $
% 
  & !      

Bildschirme (Displays)

' (    )  


 #$
  
  '$   
 $   * $ C  R  T
+     '$    $  
#$

  &  *  +!  

  $  '$
    $$,
  ! 
 -   . $$ 
         '  
/$

$  $$  )$  )   0 $  1
       
   #$
 %      $   $
$   $ 0 $$3
2
    *
#  $ 45 0 67+
8$$
     !         9    $ 

 #     $$  & !     $   $  $!  


!
   &   $     $   $   $ %   
 0
 !    $  )$  

  



  



  


pp ...p..p.
pp p
p pp pp ...p..p p
......pp
p p p pp .....pp
......p ppp
p p p p p p pp p p p
p.p.p..p..p.... ppp
...
..
.
p ..p.p...
p p p pp ...p..p
.....p.p
p p pp p .....p p
....p..pp p
p p p p p p pp p pp.p..p........ppppp
.
..
.
p p..p.p..p..... p
p p p p pp pp.p..p...

)$ :5;< ),    #$





$        $$  
   
   $ !
   

$  %   $     
%
      =

,

   #$    !
   >     :  7
$  % 
 $ 

Liquid Crystal Displays

 -       * $ L C  D   


+    !
  )   ?$   
     $  &      )
      
       $?-$      
 $       &     '   
 
   ?$ 
'@     
-$
 $$      ' !     #  $  $
     A
        $ !    $$ %     $!
 -$
    , B  % -$  $$   
C!   D    
E $$    
  $   $    - $  ,    '     & 
E $$   
 
 $   & !      ,      
&F    $ 
 0  % 
    &     
$$
   $$ 
 
  * #   A     "   +!
     "     

       &        


      > $$    $$        )$

  



   


 

   
   
 
  

 
 

      


  !
 "#
 
 $
%&'   
 ( )  
* 
  )   *+"#   
  '  ++
'!,  
+

     $ 
 ) *

  "# 
 - 
   #

  
 
 .  #  "# 
# #

/  
'+0 
  $%  
    1
%  '   / 
2!
 #
    
 &
# /
"# ' 3
#   


  
  0"# #/   
 
  
/  .

 /  
   45 × 56 '!, 56 1  '#
 
"#   
 
 
   - 
   3
/#

"#"#
   7+1 


TFT-Bildschirm

'
+#+

 

++ "#
     
/
  &8 
,
'!,
 ++ "#
 
    $% 
"#    "# #
  

 7
 &
# 
 '  #  !  
 

   
 
  +


 
 ' +


 
 
   
 

"#  

$ 
 
/  9 
  

"#  

"# ' '


 
   
! "##    /  

2
  / 
    ! 

 &"# '  
:
 
  $  
  &"# /  
 
"#  
. 
 
&"#
#  
  #
 $ 
   
"#     
 &' "#
 /    ;

&"# /   
% 
 
   
 
"#  1
 

  '   < 
   + / 
 &"#
#  #    
 !  
"#   &"#
#  
  
 
"# 


 

$ 
 "#   ;
 9 =
  
  ;  "# 


$ 
 ;
 0
  
 
%

 &"#
# 
  
 
"#  
  

     #   
7   
 

  


 
"#    $% #

 
 
 

  $ 
 ;
  
;
/ 


Drucker

    


  "#  
2
!# 
"#   +

!/       '
  '
" !/ !
  
 3
  



"
  #   


  



      

     



             
 
    

           


 !  "        # ! $   

 ! 
     % ! & '  "  

   (   


  !      )   *!  +
  ,-  


   )


  .   *   /!0  !  *
   1      
       2  ! 344 +  5 
60 !   244 !  3244   7 &  
    '  6 


 !  % ,   

Plotter



 
   6 !      
 8    +

  +! 
 !   ! &

 '   5


!  +   1       6!     !  9 
   
 ! +      :   
     (  ")      
                  
+
 
     !       + !
 60        ;       
 ! )

6    


"     !   ! 6 ! !  < 
 

   !      + !       6
 9   +      7 
<

      


        


  )      
     ! 60 !      6 !

 

5.4 USB und FireWire


   
 


 

    


  
  

8 ,
     , =
     6   
      6   =  

    !


!       6     7 
    


   >  ? + (    ?+(+ 
 
(    6          ;     
5   5
+   !    ! !    +
      !
6        +! 

   


!   <  

 !     1
 "!    *!       6 ! 
 (   
1  = ! !        ?+((  
 

 
# !  #      !
  !  + 
; 1  "
  



 
              
                      
      !
    "# $        
  %             ! #     
    
 
  &      '
 $   ($    )        
 &  ! *  #    +      ! !
        !#
    
 
   ! & '    ,-  & .    #  # /
  0!     !          1
$                 2
 & !   ,     # 0         
               !   
       2   3   4 4     !& 
    # "            
&    ### # $   5   6      $
     "# 7 $  2& !      
  !    .     !     #
     5        8
    
     9
! &        :  ! 
   # ; !     *           #
    ! &         
   
# $                 
  !         "             
 # $         5         
 *! &          )     
              # "    
 !          *   #      
!         2   <   .    #
  
 9               
             # 0     
     &            #
$   !   /  &      !  .  
     #


 =#(>8 /        ! .  
  



   



         

       


     

   

 
    
       
!   "   
   
 #   
  $ %&%

    
'
     "  $ (% )* 
  
'    +
#    
 ,   " 


,   
  + "   !
 $      !
 -.

Geschwindigkeitsklasse Applikation
/"
-# )# 1 2
(0  (00 *

)
 -!# " #   #
300  (0000 * 4# #  

5  1" # / 42


# 1
%3  300)* ! # 4" 

- 3&. + "  

 "  


  
 + "     6
 # "
  !
   
     
5
 7
    ,,, (&89   "  
 " + " . (#3
)* 
/")  (% )* 
7)  /") " 

    
 " )# - "  "# "   
!    6    
   1
   :,)1; :   

        ;  "


    < +


  "  # !
  
 " =
 
  . 

'
 (%> ? :% − (;  2   +
    "
"  "
 
   #  "  ) ! $ :    ;


  "

#    = 

           <


 +


7
  1  "  5# 5     +
 "  
 6
 
'
 /
  $ 3
"   6
    
    !  


    !   
  
/ 

5.4.1 USB-Datenübertragung
    "    #   (88&   7
 

@ "  "
  4
     1
  #   6@ 
   $   1 #  
  , !

  
  
      
   #  "  4
   
 
2

  =  +
   

 / 
!  

, @    "


# 
  , ! A' 
$
B "  @   +
     
    
   
 !

  !

  C"  D +
  

   /
 

 
 
"    5 $
, 5  "  

  , 

  $  +


     !
  



       

             


            

   

       


 !    "  #

• $      % %   

     &    


    "  ' 

•  ( "     ' ) *  +    % %   


) ,*        

•       &   %    (  %  


 +  

     

      

 
& 

•      '    -  -  %    

.  




   -     

           

  

  
       /       +    &  &
  -   ( -  

    &

0     

     -       /  1


 2  

  /  3.         4 #

Control-Transfer    & -  %& 4  1 


 2    
 &
   5          '6   %    )  
 

   7   '     (  

   
     

    

     

Interrupt-Tranfer   

 

    -     

     
%  

  

           %  

 & - 

  
   %  

           &  %  


5       %  

   5   

    %  
4  12     

 

Bulk-Transfer

     .  -   %     


 " & 4            & 
-    $ % 

  4    

    &


 

Isochronouos-Transfer  

       -  4      


 &      &  % 4 -    8   &
     9 %   & 
           &  
! 

   &  ' 


          


                  


"&          4  & 4  &  


     :

 
  



 
   

Fehlererkennung

    ! "   


 # $  %  #$  & 
 & %  '$   (   ! %
   ) %!   ! % % &   
#$ !   &   * $# +  )  
$ + & ) $  &  ) %   ) $
 ,  #!   )   $ & 
   +
&  ) $  !  ! %    ) + - 
 .. &! %  % + /   & !    
    !     $ # & 0 & 
 !  %  1 2 $ '$  &  3 # 
$   )$#!   (#  #$ # !   & 
 )  $ %
   #$  & # %!    4& #
5 $  )  )  & '$3  ) $ 6 1 7
8
5 #  )$#
#  $   & #  $ (9   
#$   !    &   5  % 
)$# #  3 $! %  '$  ($ +%! 
    )   

5.4.2 USB-Hardware-Architektur
        9    $  $
   :%  ! $   (!  
  



 

  

             !    !  "  
!  #           !$! 
 %&    '() *( − '+       #  
  ,   !$! -   . %

USB-Hub

/%  %!  &     #%0 &   % . &  
1!  % .    ! & (  2 %%  3     
&    % 4            
  &       3 0       &  
5!0"  %       !   & * 
 +    #    &  *
 + " ! 6  4 
                   
   &  !     %  "& !3   2    
0  %   ! 4    7  .     ! 
   *         +  !     4 
   !       &   0   ! . &
         5    0 

Hot-Plug-and-Play-Mode

" 331 &            
  &       1!&      8   
  &   %        9   1!    
 4  &   :      
  



 
  

USB Host-Controller-Treiber

        !     
 ! !       "  ! !
   #  " !  ! !  
 $  % !  ! &  " ! ! 
'!  ( )             
   !   *   !  ! 
 '!  (  +  !  !   % !
(  ,! ( -. &  *  %!   
 

5.4.3 USB Kommunikation

-   /%0   *      1&
   !    %  +!! 
2*        &    &
!   %  -+       ! 
    34+*  &!  35+! 
&   1&   3    
 *   (  &    4 !! &

Signal-Pegel und Slew-Rate-Begrenzung

   D  D− & 6 *  ! * &  D 
+!! (* &  4   " D−    !
 ( 78!  !  ! %!.
/   
  
    0  &  9%4  :
*

  



  


                 
 D  D−       
... ...

 $ % #
. .

ppp
...
. ½ ∼ ,
...
.

pp pp
... ...
U .
...
½¾ .
...
. .
.. . ....... ....... ..
. ......... .......... ..
... ...
. .
qqq q qqq q
..... ..... ..... ..... ..... ..... ..... ..... ..... ....... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ......... ..... ..... ..... .....
, V qqq qq qqq qq
D qqq qqqq qqq qqqq
... ...
. .
. ...
..
qqq qq
... qqq qq .
...
qqq qqq
.
qqq qq .
qqqqq
... ...
qq. .
qqqqqqq
...
q ...

qq qq qq qqq
qq qqq qqq qqqq
qq qq q q
D− qqqq qqqqq qq qqqq
qq qqq
V q
.
qq
..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... .q.... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ........ ..... ..... ........q..... ..... .....
...
.
.
...
.
ppppppppppp
... ...
. .
...
.
...
.
t
. ...
............ .............
....... .. ... .....
.. .

!,"#

&  ' '(         

... ...

 $ ,,,,,,#
. .

,  ∼
... ...
. .
p ... ...
ppp ppp
. .
U ...
.
...
.
... .
. ........... ....... ...
... ...... .......... ...
. .
qqq qq
...
qqq ...
qqq
..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ....... ..... ..... ..... .....
.
, V qqq qq qqq
D
...
qqq qqqq
...
qqq qqq ...
.
...
.
qqq qqq ... qqq qq ...
qqq qqq
. .
qqq qq ... ...
qqqq
. .
q
qqqq
... ...
.
q .
qqq qqqq qq qq
qq qqq qqq qqqqq
qq qq qq qq
D− qqqqq qqqqq qq
qq
q qqq
qq
V q
..... ..... ..... ..... ..... ..... ..... .q.... ..... ..... ..... ..q... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ...q..... ..... ..... .....q........ ..... .....
.
...
.
.
...
.
ppppppppppp
... ...
. .
...
.
...
.
t
. ..
............. .
..................
...
... ...
. ..
.. ..

)*' . . . +#

&  ' ,(         

-  #.      D  D−   /  
  # #    0.   1#0. 2#0.     # 3
.  #  /   #1  & 4# 5 #    61   # .
 #1  &  0. 51 # )# 2. 1  #. 0.  78 0. 
97  

 :  #0.19 2   );<%*+ . +    7 
&# #  &  #0. !#  "#     7 #0. *' #
  #  

Connect- und Disconnect-Erkennung

  3.  ##     /   5 #  8


 
4
= #0.  . #/  0. . #  # # > #  1
/   - 7  #01      # # >0  #00
1    1#0.       #(
  



   
 D  D−           
                

    !"#$  %
 !& "  ' 
       ()*)    # + 
"&$     D  ' 
*  ' "&$  D−  ' 
 % 

# ,
 "-. %   / -0 
 + "&  ' "&$
...........



...
...
D D
...
..
r .
...
...
r
... FS USB
  ...
....
D− . D− Transceiver

 r ....
.
...
...
...
...
..

 

1 
 )23 / -0 
 + "&$

...........



...
...
D D
...
..
r .
...
...
... FS USB
  ..
...
..
D− . D− Transceiver

 r ....
...
.
r
...
...
...
..

 

1 
 )43 / -0 
 ' "&$
 / -0 
%    % 
3     -.    
  
 D  D− 5   !"#$ 
 -. * ,.    
     ' 
  % & 6
 %   .    + 
"&$ 
 -. *       &   %  D ' 

5$
       "& 
 
 
  -. 7* µ  
 5$
  %  D ' 
 / -0
      %.   
   0    
 - !"#$ $-.
 
. %   / -
0 
 ' "&$
0 &-.   -.   - -0
  $.  ' 
  
"   %   ,  -  . .  7* µ   "& 
 * 
  
 

  
 
       
  
     

  
 


  
  

       

   


    
 


Buszustände

        
        !   "
  
  # 
D $  %&
  D− $       "
  &
 #  
  ' 
   "
   &
     %
  
()*)% + ,

"
  , -D − D− . > /mV
"
  , -D− − D . > /mV

Low-Level-Datencodierung

*  
()*   

 
  
 &
   
   


  
     (
 +   
* 

 -) 
 #
  
 0.

 
  (     + 
 
   +  
  ) 


 
 
     *)"
 
   1  

  234#

   
     
 
     "
  
5

      * +  234#    
23 
4
#&
    

#
     &
    
 &
 
 6
  
     
 

   
  234#  
  7
    
 

 *  

  
    7
   
     
 &
 
    +  
'  +
     *  %
  + 
 +  8   '    
7
    
  7  $ $% -7$$. 9

 
 * 


  
     * +     
 &
 
 
234#
   
  
  
 
*   )      


4    + * %       '   
 
)9
 &
  
 &
     &
  234#

 *)"
&



 
*)"
 
     
     
    
 
         
       & *)"
   2  
 
    234#

  7
     
% 
  +
    
234# 

* "
 +  
%       2      

    

  
* "
  
) 
      *)"2
 
    
     
           ) 



  2+    
+
:
          * +     :
 
  
*)Æ 

 ;<=, *)Æ


  



Stromversorgung über das Buskabel

  
     
 
          
                         
   !  !         
  "   ## $   
      
  ! %     
    % " 
 &## $        
 '(   ) 
  *  + , -# .      -/
.         '             D  D− 
  
     $        *        
0  

Pin-Nummer
Leitung Adern-Farbe
am Stecker
VCC -      & 
 .
D− -   . 1 
D -   . 2 
3 -,. )  

0 )+  
   $  - )&.

'     2  4 
                 
     -    .  $     '     '   
        1% $

5.4.4 FireWire
56   ,
        5
     4   
       
   4777 &28)%               
  ,                  
    3       9  :4  
 %     
  
'        $      7     6   56   
(   ;  %       5 " 1##)  ;
     
6 56     ;
           $ 
 *9 - $*.   56  4777 <#1&2       6   $
3  
 -6 $3.     
      9   9 
    
    
  

Firewire Busstruktur

,   =2 > -1 − &.     %   


  ?    -    
      .    56 4777&28)    ?     
  &#12  
    
    %      =###
    
     $         )%% 
         @1   56   4777&28)     
    ( 
   ;    %    (   
     &##      $    '     -'.   56
  
 

  
 
  


  

 
 


  
       
  


Entwicklung

 




 !
" 
  #$$#   
% "& 
'((( )*+,  -$$. )!$$
*#$$
 #$$* -$$  +/ 
"
 


  
    "
 
 
 
  "  -$$ 01 
 2    
   )$$ 
 
 
  & 
 -)$   " 
 
   
   "  

 '0 / 
   & 
.   - 


 

& 

   
 
 
)$ 
 3  //


   
   
 
 
& 
.  " .   )$ 


4  
 "& 


 
5 
& 

  



 

.  

"   
 

4
"

  6 "

. 
 
 3  // 

   " & 7 &  7  

8 9

 % 

(

&  8
 "
.  "  "&
    
 5 
& 
   
 


 



 "&

 4
"
 
 & 
" : "  
 #;<



 &

Übertragungsrate

 6  

   
:  
&  5
&  
01  
5  " "      
*),;.=#- 01

Einsatzgebiete


(
          
 
 
  
. 
/  "
3 " 

3.  "   7
"  2
 0 
/
"  


. / 
     

 

 
 

/


  8'%

Hauptmerkmale

  /    


'(((
  

" . . .

IEEE 1394a

• )$$. #$$   ,$$ 01  
"

•   



 &
 
" 
 

 
 "


 "   
 45"

• (  
 
  
 &
    /



"

-
,$  "/


  
  2 
 
).; 7
• (
7
"  &     
 >5?
– 


 92  !    >, 7 
&   

&. # & 
 
?  
– ,    >, 7 
&    
  


  



?
• 
 7" @ 


  

&  "
  



•  
 

  



•   
  
    
!! "#
$
• 
 %&' − (   
) * #  
  (!&'½¼ − ( # 
#
  
)
• +

  
• 
  
   
    ,+
   
  -
IEEE 1394b


 " +   . (&/ 
    
   0  
 1
• 2!! "#
$     


 + (-%!!  &-!! "#
$
• / 
 3  4 
•  0

 . 5   #
 #644 # 6

+ $ 4+.
 $ 4 
•   4

  4
+ 
• 0+


  (&/   
 . 
  3


 - #-    7
  8
 5
  7859    
  

  
 (!! : 
 *   
 ) 4 
  
• 0
. 3
     3.
    
-

Weiterführende Literatur
;- #
 - 
  4+
 <  (//
,-=- #-    
    >+ 4
  5  .
 "?  740
(/2!
8- @
 ;- =

-    4+
 <  #
 (//
3- = -    4+
 <  #
 (/2A
"- "- "-     5
;   >
B (/22
<- "- "

.
-          >+ 4
  5
 .
 "?  740 (/2/
- 4 "- 4-      
   0
C? 
  740
(/2A
- ;- 4 
   --     - 0D  4+
  <  C
E F !!(
;- 4- 4-  !        0
C? 
  740 (/2A
0- 4- 8-       "   

 5
;  
 >
B (///!!!
;-,- 3 ; -- #$ #   $  @
<  (///
G4#EE- &AA&A/%(
  



Internetverweise
•   
  

  

Netzwerke
 
      
       



       


       

 
     

     
 

           
    

 !"
        
  #     
 $ "
$ 
 % 
 
 &  '(  
 &( )    
 "

    *          +          
! 
 
 
! ,  
    -         
 +
 , *

  
 ".   
 '  
   
  ! .     
 
 
. 
    #    
 /0


  
 - " *
  1
  *      *  
#    *  
    /  
         "
   
 
 ,          "
  
  
 2   
 
       
  
 
   ! 
 . * 
  

 

 
   *     1
     $      "
  
!   /     1
     3 
  '   
   !    !  
          
   '3   
     ,     
  

 
  
    4  ,

  


.     /   "
2   "   
          
      5
+    

  
  " 
 6. 
 ,  7*


+        ! 
      
    
/    
  
 -   & 
- 
   8
 ! (9        
 :   - "
     #  
    
 -    

     
  ; 
  !  
  * 
 
    ,       
    

/  -   
    


  
 3    "
 0
     * 

 
 
 & (  9

   #      


   
   %      
!       
  '  
  
      

   &  $    -)": 
 /<  (

 $ "
    %       
          
 
 

 4 =  "
 

 /   
  !    !:  '  !: &A
D  S  L ( 
 !: &S D  S  L (  &
 . "
    
     
 '  >!:   (
! 
  4  
     ?
 2   ?


      
 $        4     9 





  
         
     
   

               
   

          
   
           

            !    
      "   
 
#

$  %        & 
  $'   '   

 "      (   %  &  )      !  
 
 

  
  
  
 *     (       ) + 
      ,   -  .   /  )       #
         )    0  )   1     
  "                  )   
         
    (   #       )  
2 
3 
 -        . 

  .    
  
)
  
4    0      $ 5
 /    
   


&    4     
    

You might also like