Codigos - Prac 1 - Diseño - Logico

You might also like

Download as docx, pdf, or txt
Download as docx, pdf, or txt
You are on page 1of 7

Programas en VHDL

i) Empleando operadores lógicos diseñe en VHDL las compuertas básicas (AND,


OR, NOT, Buffer)

Código para la compuerta básica AND

entity comp_and is

port (a, b: in bit;

f: out bit);

end comp_and;

Para la arquitectura

erchitecture comp_and_arch of comp_and

is Begin

F<= a and b;

end comp_and_arch;

Código para la compuerta básica OR

entity comp_or is

port (a, b: in bit;

f: out bit);
end comp_or;

Para la arquitectura

erchitecture comp_or_arch of comp_or is

begin

F<= a or b;

end comp_or_arch;

Código para la compuerta básica NOT

entity comp_not is

port (a: in bit;

f: out bit);

end comp_not;

Para la arquitectura

erchitecture comp_not_arch of comp_not is

begin

F<= not a ;

end comp_not_arch;

Código para la compuerta básica Buffer

entity comp_buffer is

port (a: in bit;

f: out bit);

end comp_buffer;

Para la arquitectura

erchitecture comp_buffer_arch of comp_buffer is

begin

F<= a ;
end comp_buffer_arch;

ii) Empleando el postulado when – else, diseñe en VHDL las compuertas derivadas
(NAND, NOR, XOR y XNOR).

Código para la compuerta derivada NAND

entity comp_nand is

port (a, b: in bit;

f: out bit);

end comp_and;

Para la arquitectura

architecture comp_nand_arch of comp_nand is

begin

F<= ‘0’ when (A= 1 and B=1 ) else ‘1’;

end comp_nand_arch;

Código para la compuerta derivada NOR

entity comp_nor is

port (a, b: in bit;

f: out bit);

end comp_nor;

Para la arquitectura

architecture comp_nor_arch of comp_nor is

begin

F<= ‘1’ when (A= 0 and B=0 ) else ‘0’;

end comp_nor_arch;

Código para la compuerta derivada XOR

entity comp_xor is
port (a, b: in bit;

f: out bit);

end comp_and;

Para la arquitectura

architecture comp_xor_arch of comp_xor is

begin

F<= ‘0’ when (A=B ) else ‘1’;

end comp_xor_arch;

Código para la compuerta derivada XNOR

entity comp_xnor is

port (a, b: in bit;

f: out bit);

end comp_xnor;

Para la arquitectura

architecture comp_xnor_arch of comp_xnor is

begin

F<= ‘1’ when (A=B ) else ‘0’;

end comp_xnor_arch;

iii) Diseñe en VHDL la función XOR empleado compuertas básicas.

Código para la compuerta derivada XOR con compuertas básicas

entity comp_xor is

port (a, b: in bit;

f: out bit);

end comp_xor;

Para la
arquitectura
architecture

comp_xor_arch of

comp_xor is begin

F<= (not A and B) or

(A and not B); end

comp_xor_arch;

iv) Diseñe en VHDL la función XNOR empleado compuertas básicas.

Código para la compuerta derivada XNOR con compuertas básicas

entity comp_xnor is

n
b

end comp_xnor;

Para la arquitectura

architecture comp_xnor_arch

of comp_xnor is begin

F<= (A and B) or
(not A and not B); end

comp_xnor_arch;

Bibliografía
EcuRed. (s. f.). Electrónica digital - EcuRed. Recuperado 22 de julio de 2022, de
https://www.ecured.cu/Electr%C3%B3nica_digital

Las Compuertas Lógicas y sus Operaciones Lógicas (AND, OR, NOT, NAND, NOR,
XOR, XNOR). (s. f.). Logicbus S.A. de C.V. Recuperado 22 de julio de 2022, de
https://www.logicbus.com.mx/compuertas-logicas.php

Compuertas lógicas – Electrónica. (2019, 10 diciembre). Electronica. Recuperado


22 de julio de 2022, de http://www.electronica2000.com/compuertas-logicas/

SCHNADOWER BARÁN, I. S. A. A. C., & Castillo Tapia, G. (2011, marzo).


Instructivo Para El Uso De La Tablilla De Desarrollo DE2. Universidad Autonoma
Metropolitana. Recuperado 22 de julio de 2022,
de
http://kali.azc.uam.mx/erm/Media/1121039/
INSTRUCTIVO_PARA_EL_USO_DE_LA_TABLILLA_D E2.pdf

Sanchez-Elez, M. (2014, julio). Intrpduccion a La Programacion VHDL. Facultad


de Informática Universidad Complutense de Madrid. Recuperado 22 de julio de
2022, de https://eprints.ucm.es/id/eprint/26200/1/intro_VHDL.pdf

You might also like