Download as doc, pdf, or txt
Download as doc, pdf, or txt
You are on page 1of 31

‫الجمهورية الجزائرية الديمقراطية الشعبية‬

‫وزارة التربية الوطنية‬

‫اللجنة الوطنية للمناهج‬


‫المجموعة المختصة لمادة للهندسة الكهربائية‬
‫‪.‬‬

‫الوثيقة المرافقة‬

‫الشعبة‪ :‬تقني رياضي‪.‬‬


‫المادة ‪ :‬تكنولوجيا‪.‬‬
‫فرع ‪ :‬الهندسة الكهربائية‪.‬‬
‫المستوى‪ :‬السنة الثالثة ثانوي‪.‬‬

‫ديسمبر ‪2006‬‬

‫‪1‬‬
‫توجيهات بيداغوجية‬

‫‪ -‬هذا المنهاج الجديد في مادة التكنولوجية للسنة الثالثة شعبة تقني رياضي فرع‬
‫هندسة كهربائية هو تطوير (في المحتوى العلمي و في النظرة البيداغوجية) للبرنامج السابق‬
‫لشعبة التكنولوجية فرع هندسة كهربائية الذي كان مبنيا على األنظمة اآللية و على التحكم في‬
‫تشغيلها ‪.‬‬
‫اكتساب المعارف كان مبنيا على التحليل‪ ،‬التمثيل(عالقات‪ ،‬تصاميم‪،‬مخططات‪ )...‬ثم‬
‫السندات(‬ ‫الممارسة أو األعمال التطبيقية للتحقق من النتائج و هذا كله على نوع واحد من‬
‫‪ )supports‬التكنولوجية ألنشطة التالميذ‪ :‬النظام اآللي‪ .‬بممارسة بداغوجية تتمحور حول المعارف و‬
‫استرجاع مكتسباته المعرفية في‬ ‫كان دور التلميذ‪ :‬االستماع‪ ،‬محاولة الفهم‪ ،‬حل التمارين ثم‬
‫إطار امتحان كتابي‪.‬‬

‫‪ -‬مع هذا المنهاج الجديد‪ ،‬و منهاج السنة الثانية تقني رياضي فرع هندسة كهربائية‪،‬‬
‫إستراتيجية بيداغوجية أخرى توض[[ع ح[[يز التنفي[[ذ بمنظ[[ور المقارب[[ة بالكف[[اءات و العم[[ل وف[[ق خط[[وات‬
‫مشروع حتى يتمكن المتعلم إلى الوصول (أو تحقيق ) الكفاءات المنتظرة‪.‬‬

‫‪ -‬المقاربة بالكفاءات تجعل المعلم أو األستاذ يعمل على "وضعية‪-‬إشكالية" بخطوات مشروع‪ ،‬في‬
‫نفس الوقت تفرض على المتعلمين أو التالميذ أن يكونوا ناشطين و ملتزمين في عمليتهم التعليمية‬
‫و التكوينية‪.‬‬
‫دور األستاذ ال يقتصر على التعليم و لكن على " جعل التلميذ يتعلم بنفسه"‪.‬و لهذا يجب على‬
‫األستاذ إنشاء" وضعيات –إشكالية" لوضع التلميذ أمام سلسلة من القرارات يتخذها للوصول إلى‬
‫هدف أقترح عليه أو اختاره بنفسه في إطار العمل باألفواج في وضعية المشروع‪ .‬يجب على المتعلم‬
‫إذا الدخول و المشاركة في مجهود جماعي لتطوير كفاءاته و بناء كفاءات جدد‪.‬‬

‫لتحقيق ذلك تكون العملية التعليمية على شكل أنشطة تطبيقية لترسيخ في نفسية التلميذ‬ ‫‪-‬‬
‫سلوك التكفل الذاتي في الفعل و التفكير و تحريضه على اكتساب و اكتشاف المعارف من الواقع‬
‫أي من الملموس إلى المفاهيم‪ .‬و معنى ذلك‪:‬‬

‫المتعلم يالحظ‪ ،‬يحلل‪ ،‬يمارس و يجرب؛‬ ‫‪‬‬


‫المعلم ينظم المالحظات في إطار مشترك؛‬ ‫‪‬‬
‫الثنائي " معلم‪ -‬متعلم " يقوم بتركيب و وضع بنية للمعارف و المفاهيم؛‬ ‫‪‬‬
‫المتعلم يعيد استعمال المعارف في وضعيات أخرى ليتمكن منها‪.‬‬ ‫‪‬‬

‫األنشطة التطبيقية التعليمية يجب أن تأخذ القسط الكبير من الوقت و الباقي يخصص ألنشطة‬ ‫‪-‬‬
‫التركيب (‪ )synthèse‬لبنية (‪ )structure‬المعارف و استخراج المفاهيم‪ .‬ثم العودة إلى أنشطة‬
‫لتدعيم المعارف المستهدفة و تكميل حاالت النقص وتصحيح حاالت عدم الفهم‪.‬‬
‫األنشطة التعليمية نفضل فيها أنشطة االكتشافات‪ ،‬المعاينة‪ ،‬الممارسة و التناوب بين الواقع‬ ‫‪-‬‬
‫الملموس و النموذج‪.‬لتحضير و تنفيذ أنشطة الدرس‪ ،‬األعمال التطبيقية أو المشروع يجب على‬
‫األستاذ التعرف على المعارف و المعارف العملية في المنهاج‪ ،‬التي تدمج في وضعيات األعمال‬
‫التطبيقية و التي يمكن التطرق إليها بنجاعة خالل الدرس بسندات مالئمة حتى نحقق الهدف‬
‫المسطر‪.‬‬
‫اختيار هذه السندات مرتبط كذلك بالمستوى‪ ،‬و كلما كان المستوى مرتفع‪ ،‬تنوع الوضعيات‬ ‫‪-‬‬
‫المقترحة و بالتالي تنوع السندات يكون معتبر إذا أردنا جلب اهتمام التلميذ و تفادي خموله عند‬
‫استعمال نفس النظام التقني كسند‪.‬‬

‫‪ -‬هذه األنشطة المبنية على أعمال تطبيقية‪،‬أنظمة ديداكتية في حالة التشغيل‪ ،‬تمثيالت‬
‫متحركة أو فيديو بوسائل اإلعالم اآللي لها دور في‪:‬‬
‫‪ ‬اكتشاف و بناء تمثيل لمعرفة جديدة؛‬

‫‪2‬‬
‫تطبيق و استعمال معارف و معارف فعلية متنوعة؛‬ ‫‪‬‬
‫البحث عن حلول تقنية في إطار المشروع؛‬ ‫‪‬‬
‫تقويم الكفاءات المرتبطة بهذه األنشطة‪.‬‬ ‫‪‬‬

‫من الضروري اختيار أنشطة‪،‬أعمال تطبيقية أو تمثيالت بوسائل اإلعالم اآللي مرتبطة بسندات‬
‫تقنية حقيقية و بإشكالية حتى نعطي معنا لعملية التكوين و نبرر سلوك عنصر تقني‪ ،‬جزء من‬
‫النظام التقني أو النظام التقني بذاته‪.‬‬
‫هذا االختيار يؤدي إلى ظهور "وضعيات‪-‬إشكالية" متعددة يجب بناؤها و جعلها منتجة للمعارف و ال‬
‫يمكن حصرها في دور التحفيز و التحسيس فقط و لكن يجب استغاللها ألداء العملية التعليمية و‬
‫التكوينية األساسية ألن التلميذ الذي يوضع أمام‬
‫"وضعية‪ -‬إشكالية" –إذا كانت هذه األخيرة مخططة جيدا‪ -‬فهو في وضعية اكتشاف‪:‬‬
‫آثار ظاهرة‪ ،‬قاعدة‪ ،‬طريقة عمل أو تشغيل و تكوين جهاز‪.‬‬

‫هذا ما يؤدي به كذلك إلى اكتشاف قانون‪ ،‬مبدأ أو حل تقني في إطار المشروع‪.‬‬
‫بهذه الطريقة يتحصل على تمثيل ذهني جيد‪ ،‬فهم الظواهر و حفظ سهل للقوانين و المبادئ‪.‬بعد‬
‫جمع المالحظات‪ ،‬التحليل و التركيب و هيكلة المعارف ؛ و لنزع كل لبس و تصحيح الفهم و تعميق‬
‫المعارف األستاذ يضع المتعلم في وضعية نشاط فردي أو في أفواج صغيرة(‪ 2‬أو ‪ 3‬تالميذ) ‪.‬هذا‬
‫النشاط ينبغي ان يرتكز على معارف درست و يجرى في اطار حوار بين التالميذ من جهة و بين‬
‫األستاذ و التلميذ من جهة أخرى‪ .‬هذا العمل هدفه هو‪:‬‬
‫‪ ‬بالنسبة لألستاذ‪ ،‬فرز الصعوبات و العمليات والمفاهيم او المعارف غير الواضحة حتى‬
‫يتمكن – و التلميذ في مرحلة تكوين‪ -‬القيام بتصحيحات و تعديالت و دعم في المعارف‬
‫والمعارف العملية أي يمارس تقويم تكويني‪.‬‬
‫‪ ‬بالنسبة للتلميذ‪ ،‬العمل في محيط تكنولوجي واقعي يحفزه للنجاح‪.‬‬

‫التقويم التحصيلي الذي يضم قسط واسع للمعارف و المعارف العملية سيتم – كامتحان عليه‬
‫نقطة – في مراحل خاصة‪.‬‬
‫يجب أن ال نخلط في نفس المرحلة أنشطة تكوينية أو تعليمية – خاللها التلميذ لديه الحق في‬
‫ارتكاب الخطأ و تصحيحه و تقوية مكتسباته و تدعيمه – و أنشطة التقويم التحصيلي‪.‬‬

‫‪ -‬خالل السنة الثانية‪ ،‬التلميذ اكتسب وسائل الفهم لدفتر الشروط‪ ،‬التحليل الوظيفي التنازلي و‬
‫التنظيم العام لنظام آلي فيجب استغاللها من بداية السنة الثالثة‪.‬‬
‫يمكن لألستاذ تنظيم دعما لهذه المفاهيم حول مشروع‪ .‬بعد تحليل وظيفي مدقق يصبح لدى‬
‫التلميذ فكرة دقيقة حول التشغيل العام للنظام‪ ،‬تنظيم الوظائف النجاز األشغوالت‪.‬‬
‫تحليل دفاتر الشروط يكون حسب الوثيقة المرفقة للسنة الثانية‪ .‬و عند التقدم في البرنامج و‬
‫التطرق إلى دراسة الوظائف نرجع للمشروع لهدف إدماج عناصر في النظام‪.‬‬
‫نفس الطريقة يمكن إتباعها عند دراسة وسائل وصف األنظمة او إدراج مشاريع جدد تحتوي على‬
‫وظائف جديدة بهدف التحكم في طرق التحليل‪.‬‬

‫عبر هذه المشاريع الهدف هو إدماج المكتسبات و المعارف حتى يصل التلميذ إلى الكفاءات‬
‫المسطرة‪.‬‬

‫الدفتر التقني لكل مشروع يوضع تحت تصرف التالميذ و للبحث عن المعلومات و الوثائق تضع‬
‫المؤسسة بين أيديهم الوسائل (مكتبة‪ ،‬انترنت‪ )...‬الضرورية‪.‬‬
‫وجود المشروع كوحدة في المنهاج ال يعني تدريسها كوظيفة أخرى و في نهاية السنة‬
‫ولكن –ككل الوظائف األخرى –يمكن برمجة مشروع من بداية السنة مما يسمح بإنشاء وضعيات‬
‫إدماج او وضعيات للتقويم‪.‬‬

‫‪3‬‬
‫متى و كيف نمر من وضعيات ديداكتية إلى المشروع و العكس؟ الجواب من طرف مختصين في‬
‫البداغوجية مفيد جدا‪:‬‬
‫"التكوين او العملية التعليمية تكمن في تنقل بين استغالل وضعيات ديداكتية التي تسمح للتلميذ‬
‫اكتساب معارف جدد و استغالل الوضعية الهدف لكي يتمرن التلميذ على إدماج مكتسباته و‬
‫التمكن بتقويمه‪".‬‬
‫الوضعية الديداكتية هي وضعية تعليمية و الوضعية الهدف هي وضعية أنشطة إلدماج المكتسبات‬
‫و إجراء تقويم تكويني‪.‬‬
‫يجرى تقويم تحصيلي في نهاية السنة على المشروع يقوم به مجموعة من األساتذة في‬
‫االختصاص و أستاذ اللغة‪ .‬يقدم فيه التلميذ تقريره الكتابي و يمتحن شفهيا‪.‬‬

‫‪4‬‬
‫توصيات حول المحتوى‬

‫وظيفة التغذية‪:‬‬
‫الزمن المخصص‪ 10 :‬سا‪.‬‬ ‫‪‬‬

‫الكفاءات المنتظرة‪:‬‬ ‫‪‬‬

‫التمثيل الشعاعي و البياني لمقدار جيبي ثالثي الطور متوازن و معرفة خصائصه‪.‬‬ ‫‪‬‬
‫القدرة على قياس التيار‪ ،‬التوتر واالستطاعة في شبكة ثالثية الطور‪.‬‬ ‫‪‬‬
‫تغذية حمولة ثالثية الطور متوازنة مع احترام احتياط‪..‬ات األمن و القيم المس‪..‬جلة على األجه‪..‬زة حس‪..‬ب‬ ‫‪‬‬
‫نوع التغذية‪.‬‬

‫التوصيات‪:‬‬ ‫‪‬‬

‫يقوم األستاذ بتقديم إشارة جيبية ثالثية الطور بواسطة جهاز الحاسوب أو مخط‪..‬ط على ورق‪..‬ة‪ .‬يتم تع‪..‬يين‬ ‫‪.1‬‬
‫و قي‪.‬اس مختل‪.‬ف المق‪.‬ادير المم‪.‬يزة لإلش‪.‬ارة (الس‪.‬عة‪ ،‬ال‪.‬دور"الت‪.‬واتر"‪ ،‬ف‪.‬رق الط‪.‬ور) على الرس‪.‬م‪ .‬تعري‪.‬ف ش‪.‬روط‬
‫الحصول على نظام ثالثي الطور متوازن‪.‬‬
‫إعطاء مثال على شبكة توترات ثالثية الطور متوازن (شبكة سونالغاز)‬
‫تقديم طريقة لتحديد الصفحات وتسلسل تتابعها‪.‬‬
‫إلى ه ‪..‬ذا الح ‪..‬د‪ ،‬يجب أن يك ‪..‬ون التلمي ‪..‬ذ ق ‪..‬ادرا على ذك ‪..‬ر وتع ‪..‬يين على مخط ‪..‬ط أو على ص ‪..‬ورة مختل ‪..‬ف المق ‪..‬ادير‬
‫المميزة إلشارة جيبية ثالثية الطور متوازنة‪.‬‬

‫التمثيل الرياضي إلشارة جيبية ثالثية الطور متوازنة‪:‬‬ ‫‪.2‬‬


‫التمثي‪..‬ل الرياض‪..‬ي لمق‪.‬دار جي‪..‬بي أح‪..‬ادي الط‪..‬ور يع‪..‬د من مكتس‪..‬بات التلمي‪..‬ذ في الس‪..‬نة الثاني‪..‬ة‪ .‬ه‪..‬ذه المرحل‪..‬ة‪ ،‬يمكن‬
‫تحقيقها بدون ص‪.‬عوبات‪ .‬يعين األس‪.‬تاذ في العالق‪.‬ات الرياض‪.‬ية‪ ،‬ك‪.‬ل الوس‪.‬ائط (المق‪.‬ادير) المم‪.‬يزة إلش‪.‬ارة جيبي‪.‬ة‬
‫ثالثية الطور متوازنة (السعة‪ ،‬الدور‪ ،T‬التواتر‪ f‬والنبض ‪ ،‬فرق الطور‪. )φ‬‬
‫على األس ‪..‬تاذ أن يعين نفس المق ‪..‬ادير المم ‪..‬يزة كتل ‪..‬ك ال ‪..‬تي تمت مش ‪..‬اهدتها على الحاس ‪..‬وب أو الممثل ‪..‬ة بياني ‪..‬ا على‬
‫ال‪.‬ورق‪ .‬يق‪.‬وم التلمي‪.‬ذ بالرب‪.‬ط بين وس‪.‬ائط العالق‪.‬ات الرياض‪.‬ية ووس‪.‬ائط اإلش‪.‬ارات ال‪.‬تي ش‪.‬اهدها‪ .‬على التلمي‪.‬ذ أن‬
‫يحفظ الرموز المخصصة لتمثيل هذه المقادير و أن يفهم أن هذه الرموز خاضعة للتنظيم العالمي‪.‬‬
‫في نهاي‪..‬ة ه‪..‬ذه المرحل‪..‬ة يق‪..‬وم األس‪..‬تاذ بتق‪..‬ديم ص‪..‬ياغة العالق‪..‬ات الرياض‪..‬ية إلش‪..‬ارة جيبي‪..‬ة ثالثي‪..‬ة الط‪..‬ور متوازن‪..‬ة‬
‫باألعداد المركبة‪.‬‬

‫‪5‬‬
‫تمثيل فرينل إلشارة جيبية ثالثية الطور متوازنة‪.‬‬ ‫‪.3‬‬
‫نريد الكالم هنا على تمثيل إشارة جيبية ثالثية الطور متوازنة بواسطة ‪ 3‬أشعة‪ ،‬لها نفس الطويلة وبينها زاوي‪..‬ة‬
‫تساوي ‪.2/3‬‬
‫من الض‪..‬روري اإلش‪..‬ارة إلى الش‪..‬عاع ال‪..‬ذي يخت‪..‬ار كمرج‪..‬ع‪ .‬ينبغي القي‪..‬ام بجم‪..‬ع وط‪..‬رح ش‪..‬عاعيين مم‪..‬ا يمه‪..‬د إلى‬
‫مفهوم المقادير البسيطة والمركبة‪.‬‬
‫انطالق‪..‬ا من تمثي‪..‬ل ش‪..‬عاعي للت‪..‬وترات البس‪..‬يطة لش‪..‬بكة ثالثي‪..‬ة الط‪..‬ور متوازن‪..‬ة‪ ،‬على التلمي‪..‬ذ أن يك‪..‬ون ق‪..‬ادرا على‬
‫تمثيل أشعة التوترات المركبة باستغالل الطرح الش‪.‬عاعي كم‪.‬ا يقيس بالمناس‪.‬بة طويل‪.‬ة ه‪.‬ذه األش‪..‬عة ويقارنه‪.‬ا م‪.‬ع‬
‫التوترات البسيطة ليستنتج العالقة بينها(‪.) =V √ U 3‬‬

‫مثال تطبيقي‪:‬‬ ‫‪.4‬‬


‫إعطاء العالقات الرياضية الممثلة لنظام ثالثي الطور متوازن لتوترات جيبية ذات قيم فعالة‪:‬‬
‫‪ Veff = 220V‬و تواتر ‪.f = 50Hz‬‬
‫رسم أشعة فرينل تمثل التوترات البسيطة ‪Va ,Vb ,Vc‬‬
‫رسم األشعة الممثلة للتوترات المركبة‪. Uab , Ubc , Uca :‬‬

‫تغذية حمولة ثالثية الطور متوازنة‪:‬‬ ‫‪.5‬‬


‫يبدأ األستاذ بدراسة حمولة ثالثية الطور مكونة من ثالثة مقاومات متماثلة مغذاة بنظام توترات ثالثية الط‪..‬ور‬
‫متوازنة‪.‬‬
‫حساب شدات التيارات المارة عبر هذه المقاومات في حالة اإلقران النجمي‪.‬‬
‫تمثيل هذه التيارات بأشعة فرينل‪.‬‬
‫إعادة نفس العمل في حالة حمولة في إقران مثلثي‪.‬‬
‫استعمال صيغة األعداد المركبة للتعبير عن توترات المنبع و التيارات في الحمولة‪.‬‬
‫القيام بنفس العمل في حالة حموالت ‪ . RL , RC , RLC‬يطلب إنجازه من طرف التالميذ كتطبيقات‪.‬‬

‫حساب االستطاعة في ثالثي الطور‪.‬‬ ‫‪.6‬‬


‫انطالق‪..‬ا من عب‪..‬ارة االس‪..‬تطاعة الفعال‪..‬ة في أح‪..‬ادي الط‪..‬ور‪ ،‬ب‪..‬رهن عب‪..‬ارة االس‪..‬تطاعة الفعال‪..‬ة في الثالثي الط‪..‬ور‬
‫وذلك بالجمع الجبري الستطاعات األطوار الثالث‪.‬‬
‫ب ‪..‬رهن عب ‪..‬ارة االس ‪..‬تطاعة الفعال ‪..‬ة في الثالثي الط ‪..‬ور باس ‪..‬تعمال المق ‪..‬ادير البس ‪..‬يطة ثم المركب ‪..‬ة‪.‬قم بنفس العم ‪..‬ل‬
‫بالنسبة لالستطاعة المفاعلة و استنتج بواسطة الحساب و اإلنشاء الشعاعي‪ ،‬االستطاعة الظاهرية‪.‬‬
‫نشاط األستاذ‪ :‬يمثل و يبرهن كيفية قياس االستطاعة الفعال‪..‬ة في الثالثي الط‪..‬ور باس‪..‬تعمال طريق‪..‬ة الواطم‪..‬ترين‪.‬‬
‫يق‪.. .‬وم التالمي‪.. .‬ذ بأخ‪.. .‬ذ القياس‪.. .‬ات و التحق‪.. .‬ق من الطريق‪.. .‬ة‪ .‬يس‪.. .‬تغل ه‪.. .‬ذا النش‪.. .‬اط الس‪.. .‬تنتاج معام‪.. .‬ل االس‪.. .‬تطاعة و‬
‫االستطاعة المفاعلة‪.‬‬

‫‪6‬‬
‫يق ‪.. . .‬وم األس ‪.. . .‬تاذ في النهاي ‪.. . .‬ة باإلش ‪.. . .‬ارة بص ‪.. . .‬فة وج ‪.. . .‬يزة إلى األنظم ‪.. . .‬ة غ ‪.. . .‬ير المتوازن ‪.. . .‬ة و الط ‪.. . .‬رق المس ‪.. . .‬تعملة‬
‫لدراستها( تفكيكها إلى ثالثة أنظمة‪ :‬مباشر‪ ،‬معاكس و ‪.)homopolaire‬‬

‫‪7‬‬
‫وظيفة تحويل الطاقة‪.‬‬
‫الزمن المحدد‪ 10 :‬ساعات‪.‬‬ ‫‪‬‬

‫‪ ‬المحّو ل أحادي الطور‪.‬‬


‫الكفاءات المنتظرة‪:‬‬ ‫‪‬‬

‫اختيار محّو ل يناسب لحمولة معينة من بين مجموعة من المحوالت‪.‬‬ ‫‪‬‬


‫عند القي‪.‬ام بالتجرب‪.‬ة في ف‪..‬راغ و في دارة قص‪..‬يرة‪ ،‬يك‪.‬ون ق‪..‬ادرا على إعط‪.‬اء ن‪.‬وع االس‪..‬تطاعة المقاس‪.‬ة و‬ ‫‪‬‬
‫ما ذا تمثل‪.‬‬

‫التوصيات‪:‬‬ ‫‪‬‬

‫يش‪.. .‬رح األس‪.. .‬تاذ أوال فائ‪.. .‬دة المح ‪ّ. .‬و ل و يعطي أمثل‪.. .‬ة على المح‪.. .‬والت ذات مختل‪.. .‬ف األحج‪.. .‬ام دون التط‪.. .‬رق إلى‬
‫اس‪..‬تطاعتها‪ .‬يس‪..‬تعن األس‪..‬تاذ بتص‪..‬اميم إجمالي‪..‬ة أو بع‪..‬رض وث‪..‬ائق متحرك‪..‬ة لش‪..‬رح مب‪..‬دأ تش‪..‬غيل المح‪..‬ول أح‪..‬ادي‬
‫الطور‪.‬‬
‫عند الحاجة يمكن لألستاذ أن يحّض ر محّو ال حقيقيا مفككا أو تكون كل مكوناته ظاهرة‪.‬‬
‫يشرح األستاذ التشغيل كمحّو ل رافع و التشغيل كمحّو ل خافض للتوتر‪ .‬إلى هذا المستوى يجب على التلميذ أن‬
‫يميز بين اللف ذو التوتر العالي و لف التوتر المنخفض و نواة المحّو ل(الدارة المغناطيسية)‪.‬‬
‫يقوم األستاذ بتقديم طرق حس‪.‬اب التي‪.‬ارات و الت‪.‬وترات والعالق‪.‬ات ال‪.‬تي ترب‪.‬ط بينه‪.‬ا‪ ،‬والتأكي‪.‬د على أهمي‪.‬ة العم‪.‬ل‬
‫باس‪..‬تعمال تلفي‪..‬ف واح‪..‬د وذل‪..‬ك باس‪..‬تعمال الممانع‪..‬ات المحول‪..‬ة إلى األولي أو إلى الث‪..‬انوي‪ .‬على التلمي‪..‬ذ أن يك‪..‬ون‬
‫قادرا على حساب و قياس االستطاعة الفعالة التي يقدمها المحول إلى حمولة معينة‪.‬‬
‫عبر المعارف المكتسبة‪ ،‬على التلميذ أن يكون قادرا على اختيار محول مفروض في دفتر شروط‪.‬‬
‫كنشاط للتلميذ‪ ،‬يمكن أن يطلب منه اختيار محول من بين عدة محوالت من أجل تغذية حمولة مختارة‪.‬‬

‫‪ ‬التقويم المراقب‪.‬‬
‫الكفاءات المنتظرة‪:‬‬ ‫‪‬‬

‫التمييز بين التقويم المراقب و التقويم غير المراقب‪.‬‬ ‫‪‬‬


‫التمكن من اختيار مقوم لتطبيق محدد‪.‬‬ ‫‪‬‬
‫التوصيات‪:‬‬ ‫‪‬‬

‫‪8‬‬
‫يس‪..‬تعن األس ‪..‬تاذ بتص ‪..‬اميم إجمالي‪..‬ة أو بع‪..‬رض وث‪..‬ائق متحرك‪..‬ة لتق‪..‬ديم مق‪..‬وم أح‪..‬ادي الط‪..‬ور أح‪..‬ادي النوب‪..‬ة غ‪..‬ير‬
‫م‪..‬راقب(مق‪..‬وم بثنائي‪..‬ة)‪ ،‬ثم يحس‪..‬ب ويش‪..‬ير على المخط‪..‬ط إلى مق‪..‬ادير الخ‪..‬روج من أج‪..‬ل حمول‪..‬ة مقاوم‪..‬ة‪ .‬ه‪..‬ذه‬
‫المقدمة تمثل مراجعة لمفاهيم معروفة في السنة الثانية‪.‬‬
‫يعوض األستاذ ثنائي المساري (عنصر غير مراقب) بمقداح (عنصر مراقب)‪.‬‬ ‫‪‬‬
‫يرسم شكل توتر الخروج و التيار في حمولة مقاومة من أجل عدة قيم لزاوية القدح‪.‬‬ ‫‪‬‬
‫إيج‪..‬اد ك‪..‬ل المق‪..‬ادير المم‪..‬يزة له‪..‬ذا التق‪..‬ويم و إعط‪..‬اء أمثل‪..‬ة تطبيقي‪..‬ة لش‪..‬رح فائدت‪..‬ه والش‪..‬يء اإلض‪..‬افي ال‪..‬ذي‬ ‫‪‬‬
‫يتميز به نسبة لمقوم بثنائي‪ .‬ينبغي دراسة المقوم في حالة حمولة ‪ RE‬الممثلة لمحرك التيار المستمر‪.‬‬
‫مالحظة‪ :‬الوقت المخصص ال يسمح بدراس‪.‬ة ك‪.‬ل أن‪.‬واع المقوم‪.‬ات‪.‬غ‪.‬ير أن‪.‬ه ينص‪.‬ح تكلي‪.‬ف التالمي‪.‬ذ بالقي‪.‬ام بع‪.‬دة‬
‫تطبيقات لمختلف المقومات األخرى مراقبة و غير مراقبة باستعمال التقليد‪.‬‬
‫يجب على المتعلم أن يمّي ز بين المقوم‪..‬ات من حيث خص‪..‬ائص مق‪..‬ادير الخ‪..‬روج‪ ،‬االس‪..‬تطاعة و إمكاني‪..‬ة‬ ‫‪‬‬
‫تغيير هذه األخيرة‪.‬‬
‫كتط‪..‬بيق‪ ،‬يمكن لألس‪..‬تاذ القي‪..‬ام بتجرب‪..‬ة ح‪..‬ول م‪..‬درج الض‪..‬وء (‪ ،)gradateur de lumière‬المس‪..‬تعمل‬ ‫‪‬‬
‫لمراقبة شدة الضوء‪.‬‬
‫إلى ه‪.. .‬ذا الح‪.. .‬د‪ ،‬يجب على المتعلم أن يعي ه‪.. .‬دف التق‪.. .‬ويم الم‪.. .‬راقب‪ ،‬و أن يس‪.. .‬تنتج ض‪.. .‬رورته من أج‪.. .‬ل‬ ‫‪‬‬
‫تطبيق محدد‪ ،‬كما يجب عليه أن يكون قادرا على يتوقع بالحساب خصائص التقويم لمقوم ما‪.‬‬

‫‪9‬‬
‫وظيفة االستطاعة‪:‬‬

‫الزمن المحدد‪ 20 :‬ساعة‬ ‫‪‬‬

‫‪ ‬المحرك الالتزامني ثالثي الطور‬


‫الكفاءات المنتظرة‪:‬‬ ‫‪‬‬

‫فهم مبدأ تشغيل المحرك الالتزامني ثالثي الطور‪.‬‬ ‫‪‬‬


‫اختيار محرك ال تزامني بداللة مقتضيات دفتر شروط‪.‬‬ ‫‪‬‬

‫التوصيات‪:‬‬ ‫‪‬‬

‫على األستاذ أن يمه‪.‬د لل‪.‬درس ب‪.‬التطرق إلى أهمي‪.‬ة ه‪.‬ذا المح‪.‬رك من خالل اس‪.‬تعماله الواس‪.‬ع في المي‪.‬دان‬ ‫‪‬‬
‫الصناعي و على نسبة االستطاعة المستهلكة من طرف هذا المحرك مقارنة بالمستقبالت األخرى‪.‬‬
‫يقوم بعده بشرح مبدأ الحصول على الحقل المغناطيسي الدوار انطالقا من نظام ت‪..‬وترات ثالثي الط‪..‬ور‬ ‫‪‬‬
‫متوازن‪ .‬يستعمل لذلك أوراق متعددة ليمثل حاالت تغذية متتالية‪ ،‬أو باستعمال ملفات متحركة‪.‬‬
‫الس‪..‬تعراض مكون‪.‬ات المح‪.‬رك و ش‪.‬رح مب‪.‬دأ تش‪.‬غيله‪ ،‬ينص‪..‬ح باالس‪.‬تعانة بتص‪..‬ميم يمث‪.‬ل منظ‪.‬ورة مفكك‪.‬ة‬ ‫‪‬‬
‫له‪ .‬يشرح األستاذ ما هو القانون الذي يعتمد للحصول على الدوران (حالة التش‪..‬غيل كمح‪..‬رك)‪ ،‬إلدراج‬
‫مفه‪.. .‬وم االن‪.. .‬زالق من خالل مقارن‪.. .‬ة س‪.. .‬رعة ال‪.. .‬تزامن للحق‪.. .‬ل ال‪.. .‬دوار م‪.. .‬ع س‪.. .‬رعة دوران ال‪.. .‬دوار(مث‪.. .‬ال‬
‫الحص ‪..‬ان و الج ‪..‬زرة)‪ .‬االن ‪..‬زالق ي ‪..‬زداد عن ‪..‬دما ي ‪..‬زداد ع ‪..‬زم المزدوج ‪..‬ة المقاوم ‪..‬ة للحمول ‪..‬ة‪ .‬يالح ‪..‬ظ أن‬
‫الدوار يمكن أن يكون ملفوفا‪ ،‬ذا قفص سنجاب أو كتلي‪.‬‬
‫على األستاذ تقديم حصيلة االستطاعات أو كيفية حساب المردود بطرق غير مباشرة‪.‬‬ ‫‪‬‬

‫مالحظ ‪AA‬ة‪ :‬بالنس‪.. .‬بة للمحرك‪.. .‬ات ذات اس‪.. .‬تطاعة ص‪.. .‬غيرة‪ ،‬اإلقالع المباش‪.. .‬ر مس‪.. .‬موح ب‪.. .‬ه‪ .‬يق‪.. .‬وم المتعلم بإدخ‪.. .‬ال‬
‫التصميم و تقليد اإلقالع المباشر لمحرك ال تزامني ثالثي الطور‪.‬‬
‫بالنس‪.‬بة للمحرك‪.‬ات ذات االس‪.‬تطاعة المتوس‪.‬طة و الكب‪.‬يرة‪ ،‬اإلقالع المباش‪.‬ر غ‪.‬ير مس‪.‬موح ب‪.‬ه بس‪.‬بب ارتف‪.‬اع ش‪.‬دة‬
‫تيار اإلقالع (‪ 5‬إلى ‪ 7‬مرات الشدة االس‪..‬مية)‪ .‬ع‪.‬دة س‪.‬بل لتخفيض ش‪.‬دة تي‪.‬ار اإلقالع‪ ،‬من بينه‪.‬ا اإلقالع النجمي‬
‫المثلثي‪.‬‬
‫يقوم التلميذ بإدخال التصاميم و تقليد اإلقالع النجمي المثلثي لمحرك ال تزامني ثالثي الطور‪.‬‬ ‫‪‬‬
‫في نهاية المحور يعرض األستاذ كل عناصر سلسلة تغذية المحرك الالتزام‪.‬ني ثالثي الط‪.‬ور( مح‪.‬ول‬ ‫‪‬‬
‫أو شبكة‪ ،‬القاطع حامل المنصهرات‪ ،‬الفاصل‪ ،‬المالمس‪ ،‬مرحل الحماية‪ ،‬المحرك)‪.‬‬

‫‪10‬‬
‫‪ ‬المحركات خطوة خطوة‬
‫الكفاءات المنتظرة‪:‬‬ ‫‪‬‬

‫شرح مبدأ تشغيل المحركات خطوة خطوة‪.‬‬ ‫‪‬‬


‫التحكم في محرك خطوة خطوة باستعمال سجل زيحان أو بدارة مندمجة من النوع ‪.SAA1027‬‬ ‫‪‬‬
‫توصيات‪:‬‬ ‫‪‬‬

‫يقوم األستاذ بالتطرق أوال إلى فائدة و إلى ميادين استعماله‪.‬ثم يش‪.‬رح مب‪.‬دأ تش‪.‬غيله باالس‪.‬تعانة بتص‪..‬اميم‬ ‫‪‬‬
‫إجمالية أو بملفات عرض على الحاسوب‪.‬‬
‫ال يمكن التط‪..‬رق إلى المح‪..‬رك خط‪..‬وة خط‪..‬وة ب‪..‬دون التط‪..‬رق إلى نظ‪..‬ام التحكم في‪..‬ه‪.‬على األس‪..‬تاذ اختي‪..‬ار‬ ‫‪‬‬
‫تجهيز تحكم مناسب حسب نوع المحرك المقدم‪ .‬إذا ك‪.‬انت س‪.‬جالت الزيح‪.‬ان ق‪.‬د درس‪.‬ت من قب‪.‬ل فيمكن‬
‫إعطاء مثال تستعمل فيه السجالت للتحكم أو باستعمال الدارة ‪.SAA1027‬‬
‫ال تعم‪...‬ق الدراس‪...‬ات النظري‪...‬ة الخاص‪...‬ة بالمحرك‪...‬ات خط ‪..‬وة خط ‪..‬وة‪ ،‬و ال يتم التط ‪..‬رق لمختل‪...‬ف ط‪...‬رق‬ ‫‪‬‬
‫التحكم‪ ،‬مثال واحد يكفي‪.‬‬
‫يمكن لألس ‪..‬تاذ أن يق ‪..‬دم مش ‪..‬روع إنج ‪..‬از مخط ‪..‬ط دارة التحكم في المح ‪..‬رك خط ‪..‬وة – خط ‪..‬وة باس ‪..‬تعمال‬ ‫‪‬‬
‫ميكرومراقب (‪.)microcontrôleur‬‬

‫‪ ‬اآلالت ذات المقاومة المغناطيسية المتغيرة‪.‬‬


‫يكتفي األستاذ بتقديم مبدأ تشغيل هذا النوع من المكنات و مقارنتها مع بقية األنواع‪.‬‬ ‫‪‬‬
‫من خالل م‪..‬ا ق‪..‬دم ‪ ،‬يجب على التلمي‪..‬ذ أن يك‪..‬ون ق‪..‬ادرا على ق‪..‬راءة و تفس‪..‬ير ك‪..‬ل المعلوم‪..‬ات المنس‪..‬وخة‬ ‫‪‬‬
‫على اللوحة الوصفية آللة كهربائية و العمل بها و استغاللها الختيار محرك مناسب من أج‪..‬ل اس‪..‬تعمال‬
‫معين و تركيبه بصفة محكمة‪.‬‬

‫‪11‬‬
‫وظيفة تضخيم االستطاعة‪.‬‬
‫الكفاءات المنتظرة‪:‬‬ ‫‪‬‬

‫حساب التضخيم االستطاعة لتركيب صنف ‪B‬‬ ‫‪‬‬


‫استعمال مقحل من النوع ‪ MOSFET‬للتحكم في مخرج نوع الكل أو ال شيء‬ ‫‪‬‬
‫استعمال مضخم على شكل دارة مندمجة باستعمال وثائق الصانع؛‬ ‫‪‬‬

‫التوصيات‪:‬‬ ‫‪‬‬

‫‪ ‬حالة إشارات تماثلية (صوتية‪ ،‬بصرية‪)....‬‬


‫وضعية إشكالية‪ :‬ضرورة تضخيم االستطاعة‪.‬‬ ‫‪‬‬
‫يمكن اس‪..‬تغالل ال‪..‬دارة اإللكتروني‪..‬ة لجه‪..‬از رادي‪..‬و‪ ،‬لتحدي‪..‬د موق‪..‬ع المض‪..‬خم(مباش‪..‬رة قب‪..‬ل مك‪..‬بر الص‪..‬وت)‪ .‬يتس‪..‬اءل‬
‫عن حالة استعمال هذا المضخم في جهاز راديو لسيارة وهل ستكون االستطاعة التي قد يقدمها كافية؟‬
‫يقدم األستاذ مضخم جهاز راديو لسيارة الذي يس‪.‬تعمل مقاح‪.‬ل االس‪..‬تطاعة‪ ،‬ويق‪.‬وم بمقارن‪.‬ة المقاح‪.‬ل المس‪.‬تعملة‬
‫في التركيبيين‪ ,‬بداية من حيث األبعاد ثم من وجهة نظر االستطاعة‪ ,‬بدون أن تنسى ض‪..‬رورة تس‪..‬ريب الح‪..‬رارة‬
‫نظرا لإلستطاعات الكبيرة المستعملة‪.‬‬
‫بم‪.‬ا أن االس‪..‬تطاعة ال‪.‬واجب إنتاجه‪.‬ا ال تتح‪.‬دد بعش‪.‬رات من ال‪.‬واط فق‪.‬ط (جه‪.‬از رادي‪.‬و لس‪.‬يارة)‪ ,‬فمن الض‪..‬روري‬
‫التضخيم أكثر (مضخمات الصوت المستعملة في قاعات الحفالت)‪.‬‬

‫التضخيم صنف ‪B‬‬ ‫‪‬‬


‫استعمل تركيب بسيط يستعمل كحمولة مقاومة‬
‫رسم مستقيم الحمولة لمقحل و تعّين نقطة التشغيل‪ .‬يمكن استغالل البيان لتعريف التشغيل في الصنف ‪.B‬‬
‫إعطاء عبارات االستطاعات العظمى المستهلكة و المفيدة‪ ,‬ثمّ إيجاد المردود‬

‫مضخمات االستطاعة في الدارات المندمجة‬ ‫‪‬‬


‫تعطى من طرف‬ ‫استطاعة مضخمات االستطاعة الدارات المندمجة المستعملة في أجهزة راديو لسيارة‬
‫الص‪.. .‬انع( اس‪.. .‬تعمل ‪ data book‬أو ‪ .)datasheet‬اله‪.. .‬دف من التج‪.. .‬ارب ه‪.. .‬و اختب‪.. .‬ار ه‪.. .‬ذا المض‪.. .‬خم إليج‪.. .‬اد‬
‫االستطاعة العظمى التي يقدمها في المخرج‪.‬‬

‫‪ ‬حالة إشارات منطقية (الكل أو الالشيء)‬


‫في ه‪.‬ذه الوض‪.‬عية‪ ،‬اله‪.‬دف المرج‪.‬و ه‪.‬و تض‪.‬خيم اإلش‪.‬ارة المنطقي‪.‬ة ال‪.‬تي تص‪.‬درها وح‪.‬دة المعالج‪.‬ة (ج‪.‬زء التحكم)‬
‫قصد التحكم في المنفذات المتصدرة أو في المنفذات‪.‬‬
‫يمكن اعتبار وضعيات إشكالية كما يلي‪:‬‬

‫‪12‬‬
‫كي‪..‬ف يمكن التحكم بواس‪..‬طة إش‪..‬ارة ض‪..‬عيفة‪ ،‬له‪..‬ا ت‪..‬وتر يق‪..‬در ببعض الفول‪..‬ط و تي‪..‬ار تق‪..‬در ش‪..‬دته ب‪..‬الميلي‬ ‫‪‬‬
‫آمب ‪..‬ير(إذن اس ‪..‬تطاعتها تبل ‪..‬غ بعض الميلي واط)‪ ,‬في م ‪..‬وّز ع أو مح‪ّ. .‬ر ك (يمكن أن يك ‪..‬ون تش ‪..‬غيله بتي ‪..‬ار‬
‫مس‪..‬تمر أو متن‪..‬اوب ‪ ،‬أح‪..‬ادي أو ثالثي الط‪..‬ور) ذو اس‪..‬تطاعة كب‪..‬يرة يمكن تق‪..‬ديرها ب‪..‬الواط أو ب‪..‬الكيلو‬
‫واط و توتر تغذية يمكن أن يصل مئات الفولط؟‬
‫حسب الحالة‪ ،‬يمكن توقع حلول متعددة‪:‬‬
‫استعمال تركيب دارلينتون ‪ Darlington‬بواسطة مقاحل ثنائية القطب‪ ،‬لرفع التضخيم في التيار‪.‬هذا‬ ‫‪‬‬
‫التركيب صالح لقيادة مرحالت التيار المستمر و ذلك مهما تكن توتراتها االس‪..‬مية‪ .‬كم‪..‬ا يمكن اس‪..‬تغالل‬
‫هذه الفرصة لإلشارة إلى أن المضخمات السكونية تلعب دور مكيفات التوتر‪.‬‬
‫بع‪..‬د اس‪..‬تعمال المقح‪..‬ل الثن‪..‬ائي القطب في الس‪..‬نة الثاني‪..‬ة‪ ،‬نعوض‪..‬ه بمقح‪..‬ل من ن‪..‬وع ‪ ،MOFSET‬م‪..‬ع ذك‪..‬ر‬ ‫‪‬‬
‫إيجابياته (مقاومة القاعدة غير موجودة‪.)...،‬‬
‫كمثال تطبيقي يمكن إنجاز أو تقليد مولد النبضات (‪.)centrale clignotante‬‬
‫بما أن التضخيم الس‪.‬كوني بالمقاح‪.‬ل ال يمكن اس‪.‬تعماله في التحكم مباش‪.‬رة في حمول‪.‬ة في المتن‪.‬اوب‪ ،‬فم‪.‬ا‬ ‫‪‬‬
‫ه‪..‬و العنص‪..‬ر ال‪..‬ذي يمكن ل‪..‬ه القي‪..‬ام به‪..‬ذا ال‪..‬دور؟ به‪..‬ذه الكيفي‪..‬ة يمه‪..‬د إلدخ‪..‬ال عنص‪..‬ر جدي‪..‬د ش‪..‬به ناق‪..‬ل كث‪..‬ير‬
‫االستعمال في إلكترونيك االستطاعة‪ ،‬و هو التري‪..‬اك‪ .‬بم‪..‬ا أن دارة التحكم تش‪..‬تغل بالمس‪..‬تمر‪ ،‬بينم‪..‬ا دارة‬
‫االس‪..‬تطاعة بالمتن‪..‬اوب‪ .‬تبقى إش‪..‬كالية ع‪..‬زل ال‪..‬دارتين مطروح‪..‬ة‪ .‬به‪..‬ذه الكيفي‪..‬ة ك‪..‬ذلك نمه‪..‬د إلى التري‪..‬اك‬
‫الضوئي ‪.opto-triac‬‬
‫يمكن تقديم كيفية استعمال الترياك و التري‪..‬اك الض‪..‬وئي من خالل تركيب‪..‬ات إن‪..‬ارة مغ‪..‬ذاة تحت ‪220V, 50‬‬

‫‪.Hz‬‬

‫‪13‬‬
‫اكتساب‪ ،‬تحويل المعلومات و الترشيح‪.‬‬ ‫‪-I‬‬
‫الكفاءات المنتظرة‪:‬‬ ‫‪‬‬

‫استغالل الملتقطات في محيطها‬ ‫‪‬‬


‫يكون قادرا على تحقيق الترابط بين الملتقط و جزء التحكم‬ ‫‪‬‬
‫يكون قادرا على استعمال ‪ CNA DAC 08‬و ‪CAN ADC 804‬‬ ‫‪‬‬
‫التوصيات‪:‬‬ ‫‪‬‬

‫درس‪.. .‬ت ه‪.. .‬ذه الوظيف‪.. .‬ة في الس‪.. .‬نة الثاني‪.. .‬ة‪ ،‬يمكن اعتب‪.. .‬ار التط‪.. .‬رق إليه‪.. .‬ا من جدي‪.. .‬د كتكمي‪.. .‬ل منطقي أو كتعمي‪.. .‬ق‬
‫للمكتسبات السابقة‪ .‬على األستاذ أن يشير إلى مبدأ سلسلة كسب المعلومات ‪ ،‬التي تحتوي األجزاء التالية‪:‬‬
‫التوصيل ‪( ‬التحويل‪ ،‬الترشيح‪،‬التكييف) ‪ ‬التجهيز ‪ ‬الكشف‬

‫تطبيقيا‪ ،‬يتم إنجاز‪:‬‬


‫تركيب يقوم بتحويل إشارة تماثلية (توتر) إلى النظام الثنائي‪ :‬و هو مبدأ الفولط متر الرقمي‪.‬‬ ‫‪‬‬
‫نستعمل الدارة المندمجة ‪ ADC804‬كعنصر أساسي بينما يمكن استعمال الثنائيات الضوئية لمشاهدة‬
‫النتيجة (ترقين بالثنائي)‪.‬‬
‫محّو ل رقمي تماثلي ‪ CNA‬بالمضخمات العملية في حالة جامع‪.‬إنجاز أو تقليد التركيب الموالي و‬ ‫‪‬‬
‫مأل الجدول الذي يعطي قيمة المخرج ‪ Vs‬بداللة الحاالت المنطقية للمداخل ‪. ABCD‬‬

‫استعمال المحول ‪ : DAC0800‬أنجز التصميم التالي و أمأل الجدول الموالي‪.‬‬ ‫‪‬‬

‫‪14‬‬
‫المرشحات‪:‬‬
‫نكتفي بدراسة المرشحات الخاملة‪ :‬ممرر سفلي‪ ،‬ممرر علوي‪ ،‬ممرر الرقعة‪ ،‬قاطع الرقعة‪.‬‬ ‫‪‬‬
‫تقليد مختلف أنواع المرشحات‪.‬‬ ‫‪‬‬
‫نستعمل الصيغة المركبة في مختلف الحسابات‪.‬‬ ‫‪‬‬
‫استغالل برمجية لحساب المرشحات‪.‬‬ ‫‪‬‬

‫‪15‬‬
‫المنطق التعاقبي‬
‫الحجم الساعي ‪:‬‬ ‫‪‬‬

‫الكفاءات المنتظرة‪:‬‬ ‫‪‬‬

‫استخراج جدول الحقيقة للقالبات ‪ RS، RSH، D‬و ‪JK‬؛‬ ‫‪‬‬


‫تحقيق مخطط عداد ال تزامني و عداد تزامني باستعمال قالبا تتأثر بجبهة؛‬ ‫‪‬‬
‫استغالل وثائق صانع لتحقيق عداد باستعمال الدارات المندمجة ‪ SN7490‬و ‪SN7493‬‬ ‫‪‬‬
‫استعمال سجل زيحان في دارة مندمجة‬ ‫‪‬‬
‫استعمال سجل ذاكرة‬ ‫‪‬‬
‫استعمال الدارة المندمجة ‪ ، NE555‬عداد تصاعدي ‪ ،‬عداد تنازلي أو خلية ‪ RC‬لتحقيق وظيفة‬ ‫‪‬‬
‫التأجيل‪.‬‬
‫التوصيات‪:‬‬ ‫‪‬‬

‫وظيفة الذاكرة‪:‬‬
‫يمكن التمهيد لوظيفة الذاكرة بمقارنة دارة اإلنارة البسيطة متحكم فيه‪.‬ا بواس‪.‬طة زر ض‪.‬اغط (ال توج‪.‬د ذاك‪.‬رة)‬
‫م ‪..‬ع دارة متحكم فيه ‪..‬ا بواس ‪..‬طة قاطع ‪..‬ة ( ذاك ‪..‬رة ذات ح ‪..‬التين‪ :‬مفتوح ‪..‬ة‪ 0‬و مغلق‪..‬ة‪ )1‬كم ‪..‬ا يمكن اس ‪..‬تعمال مب ‪..‬دأ‬
‫التغذية الذاتية لمرحل يتحكم في مصباح‪.‬‬

‫‪1‬‬
‫‪0‬‬

‫إن إدراج و دراس‪..‬ة ال‪..‬ذاكرة اإللكتروني‪..‬ة‪ ،‬أو القالب ‪ RS‬يمكن أن يتم بواس‪..‬طة ال‪..‬تركيب الت‪..‬الي ( أو بواس‪..‬طة‬
‫‪+Vcc‬‬ ‫التقليد)‪.‬‬

‫‪S‬‬ ‫‪Q‬‬
‫‪R‬‬

‫‪GND‬‬

‫‪GND‬‬

‫‪16‬‬
‫يستعمل هذا ال‪.‬تركيب لملء ج‪.‬دول الحقيق‪.‬ة للقالب ‪ . RS‬كم‪.‬ا تك‪.‬ون اإلش‪..‬ارة إلى اس‪.‬تعمال القالب في ال‪ّ.‬د ارات‬
‫ضد االرتداد‪.‬‬

‫القالبات التزامنبية‪:‬‬
‫بالنسبة للقالب ‪ RST‬نتناول العناصر المعرفية التالية‪:‬‬
‫دور المدخل ‪.T‬‬ ‫‪‬‬
‫إشارة التوقيتية و خصائصها‪.‬‬ ‫‪‬‬
‫المخطط الزمني للقالب ‪RST‬‬ ‫‪‬‬
‫الحصول على إشارة التوقيتية باستعمال الدارة المندمجة ‪.NE555‬‬ ‫‪‬‬
‫‪ o‬إعطاء تصميم التركيب‪.‬‬
‫‪ o‬شرح تشغيله‪.‬‬
‫إعطاء عبارة دور إشارة الخروج‪.‬‬ ‫‪o‬‬
‫نظرا لتوسع استعمال التوقيتية‪ ،‬يستحسن إنجاز توقيتيات في دارات مطبوعة و ذلك‬ ‫‪o‬‬
‫الستغاللها في تطبيقات أخرى‪.‬‬
‫عند دراسة القالبات ‪ D , T, JK‬نكتفي بالقالبات ذات اإلعتاق بالجبهة النازلة أو الصاعدة‪.‬‬ ‫‪‬‬
‫بعد تعريفها‪ ،‬يستعمل التقليد للحصول على جداول الحقيقة لكل منها‪.‬‬ ‫‪‬‬
‫مثال لتصميم التركيب الذي يتم تقليده‪.‬‬ ‫‪‬‬
‫‪+Vcc‬‬

‫‪D‬‬
‫‪PR‬‬
‫‪Q‬‬
‫‪H‬‬ ‫‪CK‬‬
‫‪CL‬‬
‫‪R‬‬

‫‪GND‬‬

‫تجميع القالبات‪:‬‬

‫‪ .1‬العدادت الالتزامنية‪:‬‬
‫للتمهي‪..‬د للع‪..‬دادات‪ ،‬نق‪..‬دم تمرين‪..‬ا أو ع‪..‬دة تم‪..‬ارين ح‪..‬ول تجمي‪..‬ع ثالث‪..‬ة أو أربع‪..‬ة قالب‪..‬ات و نطلب من التلمي‪..‬ذ ملء‬
‫ج‪..‬دول الحقيق‪..‬ة لح‪..‬االت متتالي‪..‬ة للمخ‪..‬ارج‪ .‬ه‪..‬ذا الج‪..‬دول يس‪..‬مح بتعري‪..‬ف الع‪..‬د الثن‪..‬ائي (رغم أن ه‪..‬ذا الش‪..‬يء يك‪..‬ون‬
‫معروف‪..‬ا )‪ .‬نس‪..‬تغل مباش‪..‬رة العالق‪..‬ة بين ع‪.‬دد القالب‪..‬ات و ع‪.‬دد الح‪..‬االت ال‪..‬تي يك‪..‬ون عليه‪..‬ا الع‪..‬داد‪ .‬تعري‪..‬ف م‪..‬ا ه‪..‬و‬
‫العداد ذو ترديد ‪.n‬‬

‫‪17‬‬
‫بعد تعريف وظيفة العد يبقى إنجازها‪.‬‬
‫دراسة عداد ال تزامني بسيط (مثال ذو ترديد ‪ )6‬باستعمال قالبات ذات إعتاق بالجبهة النازلة‪.‬‬
‫إنجاز جدول الحقيقة‬ ‫‪o‬‬
‫رسم المخطط المنطقي للمخارج‪ .‬و استنتاج التحكم في كل قالب و نوع القالبات التي‬ ‫‪o‬‬
‫تضمن االنتقال بين حالتين متتاليتين‪.‬‬
‫بعد التعرف على نوع هذ القالب (صنف ‪ ،)T‬يقوم التالميذ بالتفكير و إيجاد كيفية تحويل‬ ‫‪o‬‬
‫القالبات ‪ D‬و ‪ JK‬إلى القالب ‪.T‬‬
‫بما أن دورة العداد غير كاملة ‪ ،‬قم بدراسة دارة اإلرغام إلى الصفر‪.‬‬ ‫‪o‬‬
‫رسم التصميم المنطقي لهذا العداد‪.‬‬ ‫‪o‬‬

‫النشاطات‪:‬‬
‫القيام بتمارين تطبيقية على المقلد‪.‬‬ ‫‪‬‬
‫استعمال الدارات المندمجة من النوع ‪ SN 7490‬و ‪ SN 7493‬إلنجاز عدادت مختلف ة‪،BCD :‬‬ ‫‪‬‬
‫باستعمال مفك الترميز و ترقين ‪7‬قطع‪...،‬‬

‫‪ .2‬العدادات المتزامنة‪:‬‬
‫وض‪..‬عية إش‪..‬كالية‪ :‬في حال‪..‬ة الع‪..‬دادات الالتزامني‪..‬ة‪ ،‬القالب‪..‬ات ال تتغ‪..‬ير حالته‪..‬ا في نفس ال‪..‬وقت مم‪..‬ا ق‪..‬د ي‪..‬ؤدي إلى‬
‫ظه‪..‬ور ح‪..‬االت غ‪..‬ير مرغ‪..‬وب فيه‪..‬ا‪ .‬ه‪..‬ذا المش‪..‬كل يمكن حل‪..‬ه بتط‪..‬بيق نفس إش‪..‬ارة التوقيتي‪..‬ة على جمي‪..‬ع القالب‪..‬ات‬
‫المشكلة للعداد فنحصل على عداد تزامني‪.‬‬

‫دراسة عداد بالقالبات ‪.JK‬‬


‫جدول الحقيقة‬ ‫‪o‬‬
‫المخطط الزمني‬ ‫‪o‬‬
‫جدول اإلنتقال للقالب ‪.JK‬‬ ‫‪o‬‬
‫معادالت المداخل ‪ JK‬للقالبات‪.‬‬ ‫‪o‬‬
‫المخطط المنطقي‪.‬‬ ‫‪o‬‬
‫تقليد التشغيل‪.‬‬ ‫‪o‬‬
‫‪ .3‬السجالت‪:‬‬
‫سجالت الزيحان‪:‬‬ ‫‪‬‬

‫ش‪..‬رح مب‪..‬دأ س‪..‬جالت الزيح‪..‬ان س‪..‬هل نس‪..‬بيا‪ .‬إنطالق‪..‬ا من مب‪..‬دأ الزيح‪..‬ان في عملي‪..‬ة الض‪..‬رب في الثن‪..‬ائي‪.‬‬ ‫‪‬‬
‫يطلب من التالميذ البحث عن العالقة ال‪.‬تي يجب تحقيقه‪.‬ا بين قالبين للحص‪..‬ول على انتق‪.‬ال المعطي‪.‬ات‬
‫بينها‪ .‬تن‪.‬وع الس‪.‬جالت من حيث اتج‪.‬اه الزيح‪.‬ان ‪ ،‬ن‪.‬وع الش‪.‬حن (تسلس‪.‬لي أو مت‪.‬وازي)‪ ،‬المخ‪.‬ارج على‬

‫‪18‬‬
‫التسلسل أو على التوازي‪ .‬يكون التلميذ قادر على تحقي‪.‬ق البي‪.‬ان الزم‪.‬ني لس‪.‬جل معين‪ .‬يمكن الم‪.‬رور‬
‫بس‪.. .‬رعة إلى دراس‪.. .‬ة س‪.. .‬جلين في دارات مندمج‪.. .‬ة‪ ،‬هم‪.. .‬ا ‪ SN 7496‬و ‪ SN 74198‬بمس‪.. .‬اعدة وث‪.. .‬ائق‬
‫الصانع‪.‬‬
‫استعماالت السجالت عديدة‪:‬‬ ‫‪‬‬
‫التحكم في محركات خطوة خطوة؛‬ ‫‪o‬‬
‫عداد ‪ ، Johnson‬عداد حلقة‬ ‫‪o‬‬
‫قاسم التواتر‪ ،‬إلخ‪...‬‬ ‫‪o‬‬

‫سجالت الذاكرة‪:‬‬ ‫‪‬‬

‫يكفي إعط‪..‬اء مث‪..‬ال باس‪..‬تعمال ‪ 4‬أو ‪ 8‬قالب‪..‬ات من الن‪..‬وع ‪ D‬لتخ‪..‬زين كلم‪..‬ة منطقي‪..‬ة تتك‪..‬ون من ‪ 4‬أو ‪ 8‬بيت‪ .‬تتم‬
‫و ‪LE (Latch‬‬ ‫دراس ‪..‬ة ال ‪..‬دارة ‪ SN 74373‬و يك ‪..‬ون التط ‪..‬رق إلى دور الخط ‪..‬وط )‪OE (Output Enable‬‬
‫)‪.Enable‬‬
‫تط ‪..‬رح على التالمي ‪..‬ذ وض ‪..‬عية إش ‪..‬كالية أين يطلب وض ‪..‬ع في ذاك ‪..‬رة ‪ 16‬أوك ‪..‬تي باس ‪..‬تعمال دارات ‪.SN 74373‬‬
‫تستنتج ضرورة إقران مفك الترميز العنوان (‪ )décodeur d’adresse‬باستعمال بواب‪.‬ات منطقي‪.‬ة لتمكين س‪.‬جل‬
‫من بين كل السجالت‪ ،‬هكذا يتم تحقيق ذاكرة حية ‪ RAM‬ذات ‪16‬أوكتي مع خط توص‪..‬يل ‪bus de données‬‬
‫(‪ D0‬إلى ‪ )D7‬و خط العناوين ‪ A0( bus d’adresses‬إلى ‪ )A3‬وتحكم بواسطة الخطوط ‪ OE‬و ‪.LE‬‬

‫‪ .4‬المؤجالت‪:‬‬
‫باس‪..‬تعمال خلي‪..‬ة ‪ : RC‬اس‪..‬تعمال مع‪..‬ادالت تش‪..‬حين وتفري‪..‬غ مكثف‪..‬ة المدروس‪..‬ة في الس‪..‬نة الثاني‪..‬ة لتحدي‪..‬د‬ ‫‪‬‬
‫مدة التأجيل أو قيمة ‪ R‬و ‪ C‬عند التحكم في مرحل بواسطة مقحل‪.‬‬

‫المؤجل بعداد تصاعدي‪:‬‬ ‫‪‬‬


‫قيمة التأجيل تتعلق بقيمة دور التوقيتية و بعدد القالبات المستعمل‪.‬‬
‫المؤجل بعداد تنازلي‪:‬‬ ‫‪‬‬
‫نفس المبدأ مع السابقة‪ ،‬فقط ‪ ،‬هنا مدة التأجيل تكون محققة عند وصول العداد إلى الصفر‪.‬‬
‫باستعمال الدارة المندمجة ‪NE 555‬‬ ‫‪‬‬

‫‪19‬‬
‫دراسة التكوين الداخلي للدارة المندمجة ‪ NE 555‬ثم استعمالها كقالب ال مستقر‪ .‬في البداية يكون‬
‫استعمال زر ضاغط للتحكم ثم باستعمال مخارج دارات منطقية‬

‫‪20‬‬
‫وظيفة التحكم‬
‫الكفاءات المنتظرة‪:‬‬ ‫‪‬‬

‫يكون التلميذ قادرا على‪:‬‬


‫تحقيق متمن نظام آلي من دفتر شروط‬ ‫‪‬‬
‫تجسيد المتمن بواسطة التكنولوجيا المربوطة و التكنولوجيا المبرمجة؛‬ ‫‪‬‬
‫التوصيات‪:‬‬ ‫‪‬‬

‫تسمح هذه الوظيفة‪ ،‬الكشف عن الهيكلة القاعدية لكل نظام مع ربط كل جزء من النظام بالوظيفة ال‪.‬تي يق‪.‬وم به‪.‬ا‬
‫وكذلك عرض كل الطرق و وسائل الدراسة و تجسيد تشغيل األنظمة اآللية‪.‬‬

‫هيكلة النظام اآللي‪:‬‬ ‫‪‬‬


‫يتم التطرق إليها لغرض توضيح مختلف األجزاء و خصوصياتها‪ ،‬مثل الوظائف و الطاقات التي تتدخل‬
‫في تشغيل النظام اآللي‪.‬‬
‫المنفذات (االستطاعة)‬ ‫‪o‬‬
‫منفذات متصدرة (الترابط بين التحكم و االستطاعة)‬ ‫‪o‬‬
‫الملتقطات ( اكتساب المعلومات)‬ ‫‪o‬‬
‫الحوار إنسان ‪ -‬آلة (اآللي المبرمج ‪ ،‬الحاسوب)‬ ‫‪o‬‬

‫حدود عزل النظام اآللي‪:‬‬ ‫‪‬‬


‫النظام اآللي و محيطه‪.‬‬ ‫‪o‬‬
‫عزل جزء التحكم بالنسبة للجزء المنفذ بالمنفذات المتصدرة مثل المالمسات و الموزعات‬ ‫‪o‬‬

‫المتمن (‪.)Le Grafcet‬‬ ‫‪‬‬


‫تعري ‪.. .‬ف العناص ‪.. .‬ر البياني ‪.. .‬ة القاعدي ‪.. .‬ة للمتمن‪ :‬المراح ‪.. .‬ل‪ ،‬االنتق ‪.. .‬االت و القابلي ‪.. .‬ات المرفق ‪.. .‬ة‪،‬‬ ‫‪o‬‬
‫الروابط الموجهة وربطها بالمفاهيم التي تمثلها في تشغيل النظام اآللي‪.‬‬
‫ذكر و شرح قواعد تطور غرافست نظام آلي‪.‬‬ ‫‪o‬‬
‫دراسة مختلف الحاالت الممكنة في المتمن ‪ :‬التعاقب‪..‬ات المختلف‪..‬ة ب‪..‬دءا من التع‪..‬اقب الوحي‪..‬د ثم‬ ‫‪o‬‬
‫التعاقبات الموجهة ‪ ،‬قفز المراحل‪ ،‬إعادة المراحل و التعاقبات اآلنية‪.‬‬

‫مفهوم وجهة نظر‪:‬‬ ‫‪‬‬

‫‪21‬‬
‫ي‪..‬درج من خالل ه‪..‬ذا المح‪..‬ور مفه‪..‬وم إنج‪..‬از نظ‪..‬ام آلي‪ ،‬فنعتم‪..‬د على مفه‪..‬وم وجه‪..‬ة نظ‪..‬ر نظ‪..‬ام إلب‪..‬راز مختل‪..‬ف‬
‫الوظ‪..‬ائف المحقق‪.‬ة من ط‪..‬رف النظ‪..‬ام‪ ،‬ثم على مفه‪..‬وم وجه‪..‬ة نظ‪..‬ر الج‪..‬زء المنف‪.‬ذ ال‪..‬ذي يح‪..‬دد المنف‪.‬ذات ال‪..‬تي تنج‪..‬ز‬
‫الوظ ‪..‬ائف‪ ،‬و أخ ‪..‬يرا على مفه ‪..‬وم وجه ‪..‬ة نظ ‪..‬ر ج ‪..‬زأ التحكم ال ‪..‬ذي يح ‪..‬دد التكنولوجي ‪..‬ا المس ‪..‬تعملة في المنف ‪..‬ذات و‬
‫المنفذات المتصدرة و الملتقطات‪.‬‬
‫تجسيد الغرافست‪:‬‬ ‫‪‬‬
‫الهدف هنا هو تنظيم تنفيذ الغرافست‪ .‬تعالج التكنولوجيات الثالثة (الكهربائية‪،‬اإللكترونية و الهوائية) بواس‪..‬طة‬
‫معقب يشمل مختلف التعاقبات المشار إليها سابقا‪.‬‬
‫دليل دراسة أساليب العمل و التوقف‪.‬‬ ‫‪‬‬
‫يقدم أوال الهيكلة القاعدية لـنموذج ‪.GEMMA‬‬ ‫‪o‬‬
‫ش‪..‬رح العالق‪..‬ات بين مختل‪..‬ف أج‪..‬زاء ال‪..‬دليل في حال‪..‬ة التش‪..‬غيل الع‪..‬ادي ثم التط‪..‬رق إلى دراس‪..‬ة‬ ‫‪o‬‬
‫بعض الحاالت الخاصة مثل‪:‬جزأ التحكم بدون طاقة‪ ،‬حالة الخلل و التوقفات‪.‬‬
‫المتمن المتعدد األشغوالت‬ ‫‪‬‬
‫تعريف األشغولة‬ ‫‪o‬‬
‫تحديد مختلف األشغوالت المكونة للنظام المدروس عند اإلنتاج العادي‪.‬‬ ‫‪o‬‬
‫تحقيق متمن تنسيق األشغوالت باحترام التشغيل الشامل للنظام ‪ ،‬تجنب العوائق و الربح في‬ ‫‪o‬‬
‫الوقت؛‬
‫إنشاء و شرح متمن القيادة و التهيئ‪.‬ة من خالل ت‪.‬أثيره على النظ‪.‬ام بخص‪..‬وص نم‪.‬ط التش‪.‬غيل‪:‬‬ ‫‪o‬‬
‫دورة بدورة أو دورة مستمرة و كذا تهيئة الجزء المنفذ‪.‬‬
‫إنش‪..‬اء و ش‪..‬رح متمن األمن بخص‪..‬وص ت‪..‬أثيره على النظ‪..‬ام من خالل أوام‪..‬ر اإلرغ‪..‬ام ال‪..‬تي‬ ‫‪o‬‬
‫يصدرها عند الخلل أو التوقف اإلستعجالي‪.‬‬
‫تنظيم تدرج المتمنات‬ ‫‪o‬‬
‫الدارات المنطقية المندمجة القابلة للمبرمجة‪.‬‬ ‫‪‬‬
‫قب‪.. .‬ل س‪.. .‬نوات قليل‪.. .‬ة‪ ،‬ك‪.. .‬ان إنج‪.. .‬از ت[[ركيب إلك[[تروني رقمي يتطلب اس[[تعمال العدي[[د من ال[[دارات المندمج[[ة‬
‫المنطقية‪ ،‬مما يكلف كثيرا و كذا صعوبة في اإلنجاز و دارة مطبوعة ذات حجم كبير‪.‬‬
‫إن تط‪.. . . . . .‬ور ال‪.. . . . . .‬ذاكرات المس‪.. . . . . .‬تعملة في اإلعالم اآللي س‪.. . . . . .‬مح بظه‪.. . . . . .‬ور ال‪.. . . . . .‬دارات المنطقي‪.. . . . . .‬ة المبرمج‪.. . . . . .‬ة‬
‫(‪ )PLD : programmable logic device‬التي تدمج في دارة واحدة عدد من وظائف منطقية قابلة للبرمجة‬
‫من طرف المستعمل‪ .‬برمجتها بسيطة و سهلة تتم بواسطة مبرمج ‪ ،‬حاسوب و برمجي مناسب‪.‬‬
‫نتطرق في هذه الوظيفة إلى العناصر اإللكترونية القابلة للبرمجة و التي تنقسم إلى قسمين‪ :‬العناصر القابل‪..‬ة‬
‫للبرمج ‪..‬ة مث ‪..‬ل ال ‪..‬ذاكرات ‪ ..; PAL ; GAL:‬و العناص ‪..‬ر الميكرومبرمج ‪..‬ة مث ‪..‬ل المع ‪..‬الج و الميكرومع ‪..‬الج (‬
‫‪ )microprocesseur‬و الميكرومراقب (‪.)microcontrôleur‬‬

‫‪22‬‬
‫بالنسبة للن[وع األول‪ ،‬نس[تغل مس[ألة في المنط[ق الت[وافقي ذات ع[دة م[داخل و مخ[ارج (‪ 5‬أو أك[ثر) نق[وم‬
‫بإنجاز جدول الحقيقة و نظهر للتلميذ تعقد التركيب المرافق(سوف نحتاج إلى العشرات من البوابات المنطقي[[ة‬
‫و بالتالي الكثير من الدارات المندمجة)‪.‬‬
‫نقدم بعد ذلك هيكلة ذاكرة ‪ 4‬كلمات ذات ‪ 4‬بيت مش[[كلة من ‪ 4‬س[[جالت ‪ 4‬بيت يتم االختي[[ار بينه[[ا بواس[[طة‬
‫مفك ترميز ‪ 2‬إلى ‪ .4‬يتم تشكيل جدول الذاكرة (عنوان‪ ،‬محتوى ) ثم يحقق التش[[ابه م[[ع ج[[دول الحقيق[[ة لنظ[[ام‬
‫منطقي‪ .‬يكون استنتاج إمكانية برمجة ‪ 4‬دوال منطقية من متغيرين في هذه الذاكرة‪.‬‬
‫يعطى ‪ datasheet‬ل‪..‬ذاكرة ‪( 27XXX‬مثال ‪ :2716‬ذاك‪..‬رة ذات ‪ ،)Kilo octets 02‬يطلب من التلمي‪..‬ذ تحدي‪..‬د‬
‫العدد الممكن من الدوال المنطقية ( و كذا العدد األقصى من متغيرات الدخول) التي يمكن برمجتها فيها‪.‬‬
‫كتطبيق‪ ،‬يطلب من التلميذ إنجاز مفك ترميز ‪ BCD – 7‬قطع باستعمال الذاكرة ‪.2716‬‬
‫إلى هذا الحد يمكن تعميم و إعطاء الهيكلة القاعدية لـ ‪ PLD‬متكون من‪( :‬أنظر الشكل‪)1‬‬
‫مجموعة متعامالت منطقية « ‪ » ET‬التي تصل إليها متغيرات الدخول و متمماتها‪.‬‬ ‫‪o‬‬
‫مجموع‪.. . .‬ة متع‪.. . .‬امالت منطقي‪.. . .‬ة « ‪ » OU‬ال‪.. . .‬تي تص‪.. . .‬ل إلى م‪.. . .‬داخلها‪،‬مخ‪.. . .‬ارج المتع‪.. . .‬امالت‬ ‫‪o‬‬
‫« ‪» ET‬‬
‫احتمال هيكلة المخرج ( بوابات نفي ‪ ،‬منطق ذات حاالت ‪ ،‬سجالت‪.)...‬‬ ‫‪o‬‬

‫الشكل ‪ :1‬الهيكلة القاعدية لـ ‪PLD‬‬

‫لدراسة ‪ PALs‬يقترح للتلميذ مخططه الزمني (أنظر الشكل ‪ )2‬و يطلب منه إنجاز نفس مفك الترميز وذلك‬
‫بقطع التوصيالت الغير مفيدة‪.‬‬

‫‪23‬‬
‫الشكل ‪ :2‬هيكلة ‪PAL‬‬

‫برمجة الدارات ‪PLDs‬‬ ‫‪‬‬


‫إن برمج‪..‬ة ه‪..‬ذا الن‪..‬وع من ال‪..‬دارات‪ ،‬تحت‪..‬اج إلى ب‪..‬رمجي مكي‪..‬ف إلنج‪..‬از البرن‪..‬امج‪ ،‬و إلى جه‪..‬از م‪..‬برمج يسمح‬
‫برمجة الدارة (إدخال البرنامج) ‪ .‬ال بد اتباع الخطوات التالية‪:‬‬
‫دفتر الشروط‬ ‫‪o‬‬
‫وضع المسألة على شكل معادالت منطقية‪.‬‬ ‫‪o‬‬
‫حل المسألة بشكل معادالت منطقية‪ ،‬مخططات منطقية أو خوارزمية‪.‬‬ ‫‪o‬‬
‫إدخال المعادالت المنطقية ‪ ،‬جدول الحقيقة‪ ،‬البيان المنطقي أو الخوارزمية‬ ‫‪o‬‬
‫اختزال منطقي‬ ‫‪o‬‬
‫احتمال تقليد الدارة قبل إنشاء ملف البرمجة‪.‬‬ ‫‪o‬‬
‫توليد ملف البرمجة (‪)fichier de programmation‬‬ ‫‪o‬‬
‫اختيار نوع ‪ PLD‬حسب عدد المداخل و المخارج‪.‬‬ ‫‪o‬‬
‫برمجة الـ ‪PLD‬‬ ‫‪o‬‬

‫الميكرومراقب ‪:‬‬ ‫‪‬‬


‫هو عنصر تقني إلكتروني مندمج‪ ،‬يظهر عادة الوظائف التي لها دور معالج‪..‬ة المعلوم‪..‬ات‪ :‬عملي‪..‬ات حس‪..‬ابية‬
‫(جم‪..‬ع‪ ،‬ض‪..‬رب‪ )...،‬أو منطقي‪..‬ة (أو ‪ ،‬و ‪ ) ...‬بين إش‪..‬ارات دخ‪..‬ول مختلف‪..‬ة ال‪..‬تي تس‪..‬مح تولي‪..‬د إش‪..‬ارات خ‪..‬روج‪.‬‬
‫يمكن إنجاز هذه الوظائف بواسطة دارات مندمجة تماثلية أو منطقية‪ .‬لكن عن‪..‬دما يص‪..‬بح العنص‪..‬ر التق‪..‬ني معق‪..‬د‪،‬‬
‫ويصبح من الضروري إنجاز معالجات معلومات كثيرة‪ ،‬من األبسط استعمال الميكرومراقب‪.‬‬
‫نظرا لصعوبة فهم تشغيل الميكرومراقب في زمن قصير ينصح لألستاذ التعلم باستعمال أمثلة بسيطة س‪..‬هلة‬
‫التحقيق ( استعمال اق‪..‬ل ع‪.‬دد ممكن من التعليم‪.‬ات دون وض‪..‬ع مس‪.‬بق لتنظيم بي‪.‬اني ) و اس‪.‬تعمال الميكروم‪.‬راقب‬
‫األكثر بساطة لتسهيل المهمة‪.‬‬

‫‪24‬‬
‫البداي‪.‬ة تك‪.‬ون بإدخ‪.‬ال المع‪.‬الج كمك‪.‬ون قاب‪.‬ل للبرمج‪.‬ة‪ .‬و ال‪.‬ذي ه‪.‬و عب‪.‬ارة عن آل‪.‬ة تعاقبي‪.‬ة معق‪.‬دة مهمته‪.‬ا تنفي‪.‬ذ‬
‫التعليم‪..‬ات المكون‪..‬ة للبرن‪..‬امج و المخ‪..‬زن في ال‪..‬ذاكرة ( مفه‪..‬وم م‪..‬ادي و ب‪..‬رمجي ‪ HARD‬و ‪ .) SOFT‬إعط‪..‬اء‬
‫كمثال آخر الحاسب الذي ال يشتغل دون نظام االستغالل‪.‬‬
‫يتكون الميكرومراقب أساسا من العناصر التالية ‪:‬‬ ‫‪o‬‬
‫وحدة منطقية حسابية ‪ :‬وحدة المعالجة‬ ‫‪o‬‬
‫عداد البرنامج ‪ :‬يؤشر إلى التعليمة المراد تنفيذها‪.‬‬ ‫‪o‬‬
‫منطق لفك الترميز و تنفيذ التعليمات (انظر الشكل ‪. )3‬‬ ‫‪o‬‬
‫مجموعة من التعليمات( تر ميزات ) التي تكون مجموعة تعليمات الميكرومراقب‪.‬‬ ‫‪o‬‬
‫وظيفته يمكن تلخيصها في األفعال التالية ‪:‬‬
‫الذهاب للبحث عن التعليمة في الذاكرة ( نزوده بعنوان الخلية المعنية و إشارة القراءة )‪.‬‬ ‫‪o‬‬
‫فك ترميز التعليمة و تنفيذها‪.‬‬ ‫‪o‬‬
‫تحقيق انتقال المعطيات من أو إلى الذاكرة أو نحو المداخل و المخارج‪.‬‬ ‫‪o‬‬
‫حساب عنوان التعليمة الموالية و ضمان تتابع البرنامج ‪.‬‬ ‫‪o‬‬

‫الشكل ‪ : 3‬بنية الوحدة المركزية للمعالجة ‪CPU‬‬

‫‪25‬‬
‫انطالقا من هنا‪ ،‬يمكن القول أنه للحصول على نظام وظيف(انظر الشكل ‪ ،)4‬يجب ضروريا إدراج ‪:‬‬
‫ذاكرة برنامج تحتوي على التعليمات المراد تنفيذها‬ ‫‪o‬‬
‫ذاكرة عمل لتخزن المعلومات مؤقتا‪.‬‬ ‫‪o‬‬
‫واجه‪..‬ات أو رواب‪..‬ط دخ‪..‬ول و خ‪..‬روج ‪ ،‬من اج‪..‬ل تب‪..‬ادل المعلوم‪..‬ات م‪..‬ع المحي‪..‬ط ( أزرار ‪،‬‬ ‫‪o‬‬
‫أداة برمجة‪ ،‬مرقنات ‪.)....‬‬

‫شكل ‪ : 4‬بنية الميكرومراقب‬

‫الميكروم ‪..‬راقب ي ‪..‬دمج في نفس العلب ‪..‬ة ك ‪..‬ل العناص ‪..‬ر الم ‪..‬ذكورة‪ .‬بني ‪..‬ة وظيفي ‪..‬ة لنظ ‪..‬ام ذو ميكروم ‪..‬راقب يعطى‬
‫بالشكل ‪ 5‬الموالي ‪:‬‬

‫شكل ‪ : 5‬بنية وظيفية لنظام ذو ميكرومراقب‬

‫بما أننا سنقتصر على الميكرومراقب ‪ ،‬فال نتطرق لمفهوم الناقل ‪ ( BUS‬ناقل للمعطيات ‪ ،‬ناقل العناوين‬
‫و التحكم‪..‬ات ) للميكرومع‪..‬الج‪ .‬بالمقاب‪..‬ل ن‪..‬درس الس‪..‬جالت الداخلي‪..‬ة ( الم‪..‬دخر‪،‬س‪..‬جل الحال‪..‬ة) للميكروم‪..‬راقب ‪،‬‬
‫تدريجيا حسب المتطلبات والتطبيقات ‪.‬‬

‫مجموعة التعليمات ‪ :‬لغة اآللة ‪ ،‬لغة المجمع (‪)ASSEMBLEUR‬‬ ‫‪‬‬

‫‪26‬‬
‫التعليم‪.. .‬ات المخزن‪.. .‬ة في ذاك‪.. .‬رة البرن‪.. .‬امج عب‪.. .‬ارة عن تت‪.. .‬ابع كلم‪.. .‬ات ثنائي‪.. .‬ة مفكك‪.. .‬ة الترم‪.. .‬يز تنف‪.. .‬ذ من ط‪.. .‬رف‬
‫الميكرومعالج المسماة لغة اآللة‪.‬‬
‫هذه الرموز صعبة الفهم من طرف المبرمج‪ ،‬ألجل ذلك تترجم إلى كلم‪..‬ات مختلف‪..‬ة تنتمي إلى لغ‪..‬ة المجم‪..‬ع "‬
‫‪. "LANGAGE ASSEMBLEUR‬‬

‫في ه‪..‬ذا المس‪..‬توى يمكن اس‪..‬تعمال البني‪..‬ة الداخلي‪..‬ة األدنى للميكروم‪..‬راقب لتنفي‪..‬ذ خط‪..‬وة ‪ -‬خط‪..‬وة التعليم‪..‬ات‬
‫البسيطة ال‪.‬تي يتم فيم‪.‬ا بع‪.‬د الكش‪.‬ف إلى التلمي‪.‬ذ مختل‪.‬ف أن‪.‬واع التعليم‪.‬ات ال‪.‬تي يتم تص‪.‬نيفها حس‪.‬ب الفع‪.‬ل ال‪.‬ذي‬
‫تولده‪ :‬حسابية‪ ،‬منطقية‪ ،‬تحويل ‪ ،‬قفز ‪ ،‬ربط ‪....‬‬
‫يمكننا هنا االستعانة ببرمجي تقليد لمتابعة تنفيذ تعليمة بواسطة الميكرومراقب ‪.‬‬
‫كما أشير أعاله يمكن البدأ بالتدريب بواسطة أمثلة بسيطة يتم وضعها حيز التنفيذ مثل ‪:‬‬
‫النظام األدنى الذي يحتوي على ثنائيات باعثة للضوء ‪ LED‬غمازة‪.‬‬ ‫‪o‬‬
‫إدراج زر ضاغط يتحكم في إشعال و إطفاء ‪.LED‬‬ ‫‪o‬‬
‫فيما بعد نتط‪..‬رق إلى مث‪..‬ال معق‪.‬د ال‪..‬ذي يوض‪..‬ح الحاج‪..‬ة إلى أداة تفس‪..‬يرية لوص‪..‬ف األش‪..‬غولة‬ ‫‪o‬‬
‫المراد تحقيقها ‪.l’algorithmique :‬‬
‫كمثال يمكن التحكم في محرك خطوة بخطوة مع عكس اتجاه الدوران ‪.‬‬ ‫‪o‬‬
‫‪ ‬محاولة أوال وضع البرنامج دون المرور بالبيان التنظيمي ‪.‬‬
‫‪ ‬يوض ‪..‬ع فيم ‪..‬ا بع ‪..‬د البي ‪..‬ان التنظيمي ثم البرن ‪..‬امج بلغ ‪..‬ة ‪ ASSEMBLEUR‬ال ‪..‬ذي يتم‬
‫تقليده قبل أن ينجز تطبيقيا ( على جهاز مقلد أو لوحة تجارب )‪.‬‬

‫لماذا وقع االختيار على ‪ PIC ( 16F84):‬أو (‪)16F876‬؟‬ ‫‪‬‬


‫‪ ‬سهولة الوضع حيز التنفيذ‪.‬‬
‫‪ ‬الوفرة‬
‫‪ ‬غير مكلف‬
‫‪ ‬وفرة أدوات التطوير‪:‬‬
‫‪ : MPLAB‬البرمجة بلغة ‪ ASSEMBLEUR‬و تقليد‪.‬‬ ‫‪‬‬

‫إنج‪..‬از بس‪..‬يط للم‪..‬برمج ‪ JDM‬ال‪..‬ذي يش‪..‬تغل بـ ‪ ICPROG‬إذا لم يت‪..‬وفر ل‪..‬دينا‬ ‫‪‬‬

‫مبرمج عالمي‪.‬‬

‫‪27‬‬
‫الوثائق جد متوفرة‪.‬‬ ‫‪‬‬

‫‪28‬‬
‫وظيفة ترقين المعلومات ‪:‬‬
‫الحجم الساعي ‪ 20 :‬سا‬ ‫‪‬‬

‫الكفاءة المنتظرة‪:‬‬ ‫‪‬‬

‫يكون التلميذ قادرا على ‪:‬‬


‫ترقين إشارات منطقية باستعمال ثنائيات مضيئة ‪ ،‬مرقنات ‪ 7‬قطع أو مرقنات ‪.LCD‬‬ ‫‪‬‬
‫توصيات‪:‬‬ ‫‪‬‬

‫س ‪..‬يتم إنج ‪..‬از ه ‪..‬ذه الوظيف ‪..‬ة في إط ‪..‬ار دراس ‪..‬ة الوظ ‪..‬ائف األخ ‪..‬رى أين ت ‪..‬رقين المعلوم ‪..‬ات يك ‪..‬ون ض ‪..‬روري‬
‫(المنط ‪..‬ق‪ ،‬ال ‪..‬دارات المنطقي ‪..‬ة المبرمج ‪..‬ة‪ .)...،‬ك ‪..‬ان التط ‪..‬رق إلى ه ‪..‬ذه الوظيف ‪..‬ة في الس ‪..‬نة الثاني ‪..‬ة‪ ،‬س ‪..‬يتم هن ‪..‬ا‬
‫تعميقها بإدخال المرقنات ذات البلورات السائلة(‪ .)LCD‬فيما يخص استعمال الثنائيات المضيئة يطلب القي‪..‬ام‬
‫بالحس ‪..‬اب لتحدي ‪..‬د مقاوم ‪..‬ات الحماي ‪..‬ة (حس ‪..‬ب مم ‪..‬يزات الثنائي ‪..‬ات والتي ‪..‬ارات األقص ‪..‬ى المنتج ‪..‬ة في مخ ‪..‬ارج‬
‫الدارات المندمجة)‪ .‬فيما يخص المرقنات ‪ 7‬قطع نتطرق إلى مفهوم ‪ rafraîchissement‬في حالة م‪..‬ا يك‪..‬ون‬
‫استعمال عدد من المرقنات في نفس الوقت وموصولة على نفس خط نقل المعلومات (‪ ، )bus‬أنظ‪.‬ر الش‪.‬كل‬
‫الموالي‪.‬‬

‫المرقن ‪ LCD‬منتش‪.‬ر بك‪.‬ثرة في الس‪.‬اعات اإللكتروني‪.‬ة‪ ،‬شاش‪.‬ات أجه‪.‬زة الموبي‪.‬ل‪ ...،‬ولكن في ه‪.‬ذه الدراس‪.‬ة‬
‫يكتفي التطرق إلى المرقنات التي ترقن الرموز (حروف‪ ،‬أرقام‪ 1x16 :)..،‬أو ‪ . 2x16‬بما أن هذا الم‪.‬رقن‬
‫يكس ‪..‬ب ك ‪..‬ل العناص ‪..‬ر اإللكتروني ‪..‬ة الض ‪..‬رورية لل ‪..‬ترقين (أنظ ‪..‬ر الش ‪..‬كل )‪ ،‬ليس من الض ‪..‬رورة دراس ‪..‬ة تش ‪..‬غيل‬
‫البلورات السائلة و ال اإلشارات التي تولدها‪.‬‬

‫‪29‬‬
‫كما يبنه المخطط الوظيفي إليه ف‪.‬إن ال‪.‬ترقين يحت‪.‬وي على مكون‪.‬ات أخ‪.‬رى غ‪.‬ير المرقن‪.‬ات ‪ .LCD‬دارة مندمج‪.‬ة‬
‫للتحكم متخصصة‪ ،‬المراقب ‪ LCD‬يتولى تسيير المقي‪.‬اس ‪ .‬ي‪.‬ؤدي الم‪.‬راقب وظيف‪.‬ة مزدوج‪.‬ة ‪ :‬من جه‪.‬ة يتحكم‬
‫في الترقين و من جهة أخرى يتولى مهام االتصال مع الخارج ‪.‬‬
‫التوص ‪..‬يالت الم ‪..‬راد إنجازه ‪..‬ا بس ‪..‬يطة‪ .‬يجب طبع ‪..‬ا توص ‪..‬يله بناق ‪..‬ل معلوم ‪..‬ات (‪ 4‬أو ‪ 8‬بيت) لميكرومع ‪..‬الج أو‬
‫لميكرومراقب‪.‬‬
‫يمكن استعمال المرقنات ‪ LCD‬في إطار إنجاز المشاريع الصغيرة أو دراسة الميكرومراقب‪.‬‬

‫‪30‬‬
‫دراسة و إنجاز المشاريع المصغرة‬
‫الحجم الساعي ‪ 30 :‬ساعة‬ ‫‪‬‬

‫الكفاءات المنتظرة ‪:‬‬ ‫‪‬‬

‫يكون التلميذ قادرا على ‪:‬‬


‫تعرف و قراءة ‪ Datasheet‬عنصر ما‬ ‫‪‬‬
‫انجاز دارة مطبوعة انطالقا من مخطط كهربائي باستعمال أدوات ‪.CAO‬‬ ‫‪‬‬
‫تقليد مشروع كامال أو جزئيا باستعمال الحاسوب‬ ‫‪‬‬
‫تحقيق المشروع‬ ‫‪‬‬
‫البقية ‪ :‬المنف[[ذات‪ ،‬التحكم في التكنولوجي[[ا المربوط[[ة أو المبرمج[[ة (آلي م[[برمج أو ميكروم[[راقب)‪‘،‬لخ‪ ...‬تك[[ون حس[[ب اختي[[ار‬
‫الفرقة البيداغوجية‪.‬‬

‫‪31‬‬

You might also like