Download as pdf or txt
Download as pdf or txt
You are on page 1of 12

Journal of Electronic Testing

https://doi.org/10.1007/s10836-018-5717-x

Handling Unknown with Blend of Scan and Scan Compression


Pralhadrao V. Shantagiri 1 & Rohit Kapur 2

Received: 15 November 2017 / Accepted: 7 March 2018


# Springer Science+Business Media, LLC, part of Springer Nature 2018

Abstract
In scan compression, all scannable Flip-Flops are part of internal scan channels connected between Decompressor and
Compressor. The capture-X (unknown values in the test response) in the Flip-Flops after capture cycle of scan
synthesis, results in loss of coverage and/or pattern inflation when masking is used to block the Xs irrespective of
the X-masking techniques used in scan compression. In this paper, we exploited this potential and propose a hybrid
DFT (Design For Testability) architecture to achieve better compression and reduce patterns count. This is a mixture of
an external scan chain and scan compression. A methodology has been put in place based on the potential of a
capture-X value of occurring in Flip-Flips, to find out which Flip-Flops (scan cells) should be part of the internal
scan channels (chains) between Decompressor and Compressor, and which Flip-Flops should be put outside the codec
(Compressor-Decompressor) as an external scan chain. The results show the benefits of the hybrid architecture which
is shown to bring significant improvement in pattern count.

Keywords ATPG . DFT . Hybrid scan . Scan compression . X-chain . X-masking

1 Introduction contentions or tri-state buffers, generating patterns pulsing multi-


ple clocks of interacting clock domains to detect maximum faults
Scan Compression has alleviated the problems of the test per pattern, unmodeled blocks such as analog or mixed signal,
application time and test data volume issues seen in scan multi-domain interactions, black boxed modules, limitation in ac-
testing. By inserting decompression logic between the curacy of simulation are sources of unknowns in a design under
scan-ins and the scan chains few inputs are used to sup- test [1–5, 7–9, 11–13, 17–30, 33, 34, 36–38, 40, 42, 44–50]. The
ply test data to a large number of scan chains. X effect is further exacerbated in high compression
Compression logic between the scan chains and the implementations where the value in a Flip-Flop combines with
scan-outputs allows for all the values in the Flip-Flops many other values in the compressor.
to be observed on the test equipment. The biggest chal- Scan compression techniques employ different ways to han-
lenge in scan compression, is the ability to handle un- dle capture-X values present in the scan cell. These capture-X
knowns (Xs) in the test response without having to in- values affect the observability by corrupting the final test re-
crease the number of patterns for equivalent fault cover- sponse as it is brought together by the compressor [6, 28, 48].
age or to prevent a loss of fault coverage. Different EDA (Electronic Design Automation) vendor tools use
X’s come from many sources: un-initialized RAMs are a com- different techniques to handle the X-profile in the scan chain.
mon source of X’s. These embedded memories are typically There are three types of compactors: space compactors,
bounded by wrapper scan chains which capture the unknown time compactors and hybrid space-time compactors. The
values. False Path, Multi-cycle paths, Tri-state buses or bus different X-handling techniques used in these different
scan compression technologies have been described below:
Responsible Editor: N. A. Touba
1.1 X-Bounding or X-Blocking
* Pralhadrao V. Shantagiri
gpralhadrao@gmail.com
In this technique, capture-Xs are blocked from reaching the
scan cell of the scan channel. Proposed in [40, 41], X-
1
Department of Computer Science, Jain University, Bengaluru, India bounding or X-blocking was to eliminate the sources of
2
Research Guide, Jain University, Bengaluru, India capture-X values by modifying the Design Under Test
J Electron Test

(DUT). This prevents propagation of capture-Xs to scan 1.3 Tolerant / X-Canceling


cells by adding DFT logic and avoids creation of capture-
X value by forcing capture-X sources to 0 or 1 using a X-Canceling MISR is useful when there is a low density
control point [40–43]. The addition of DFT logic, adds of unknowns present in the scan chains, requiring less
up to increased design area and may result in functional number of control bits compared to X-Masking tech-
timing issues. The X-block method in [43] falls under this niques. The X-tolerant hybrid compaction methods of
category, requiring simpler test logic and less control data. both time and space are shown in [12, 27, 28]. These
The LFSR (Linear-Feedback Shift Register) re-seeding compactors consider value, shifting out of internal scan
technique of [42] also blocks capture-Xs from reaching channels (I) in a finite number of shift-out cycles (C) into
the scan cell. The method in [22], masks capture-X value observable compacted test response at (O) outputs, where
reaching scan cell by reseeding of the LFSR while ensuring I > O. Much higher compaction ratio can be achieved in
faults’ effects to propagate. The unknowns can also be convolution compaction schemes. The convolutional com-
blocked by inserting test points [17]. But these techniques, pactors are best suited for X-tolerance [27].
using scan compaction neither blocks nor removes all un- Handling of simultaneous errors and Xs coming from a
knowns reaching scan cell completely during testing. set of internal scan channels in XOR (Exclusive-OR) trees
response compactor is shown in X-compact [18, 19].
MISR based compactors are worse than XOR based com-
1.2 X-Masking pactors in handling of capture-Xs. Hence, addition of
DFT logic is required to handle them [20]. The masking
X-Masking is the popular technique used in all scan com- logic inserted between internal scan channels output and
pression schemes provided by EDA. This method allows to response compactor inputs, is shown in Fig. 1. Couple of
mask capture-Xs at the input to response compactor with- hybrid unload compactors use Steiner Triple System [9],
out modifying the DUT. The masking of the whole internal which support 2 unknowns per shift without affecting the
scan channel or slice of an internal scan channels or chain observability of any other internal scan channel in the
output at specified clock cycles are addressed in this meth- codec.
od. The X-Masking is done by adding DFT logic to the Several methods of X-Tolerant compactions have been de-
output response compactor between internal scan channel signed and shown in [11, 13, 16, 29, 36, 38, 49, 50].
and compactor. These mask bits are controlled through
control bits [8]. These control bits are run from tester chan- 1.4 X-Impact
nels to decide when to mask scan chain or slice or scan cell
at specified clock cycle. During the X-Masking process, X-Impact technique handles capture-Xs on space compactors
good values (0 or 1) also get masked, impacting pattern algorithmically using ATPG and without adding any extra
inflation, coverage and observability loss [6, 28, 48]. DFT logic [39].
Masking of selected unload capture-Xs by adding DFT
circuitry is shown in OPMISR [1, 2] and making sure not
reaching compactor. The combinational compactor with 1.5 X-Filtering
small MISR (Multiple-Input Signature Register) is used
in between internal scan channel output and compactor The X-Filtering scheme proposed in [32], cancel out
inputs with improved test time is shown in [44]. Static capture-Xs from response compactor by means of error
X-chain partitioning within codec, with better compres- correcting codes (ECC), while retaining correction and
sion and reduced test application time and cost is shown detection capabilities. The X-filtering logic needs inputs
in [5]. The limited Xs with aggressive multiple clocking from ATE (Automated Test Equipment), every clock cycle
exhibited more observability than pulsing single clock at [32]. Another X-Filtering scheme is toggle-masking,
time in the ATPG (Automatic Test Pattern Generator) [3]. which is used to mask consecutive bits of capture-Xs at
The interval-based mask analysis presented in [4] shows the output of all scan chains [31].
high X-tolerance achieved. The formation of separate in-
ternal X-chains from a set of internal scan channels pres- 1.6 Hybrid Methods (Combination of X-Masking
ent between codec to improve observability and reduce and X-Canceling)
pattern inflation is shown in [47] is the motivation for this
work. X-Masking method stops the capture-X’s from reaching
Many techniques have been published for designing X- response compactor and X-canceling cancels the capture-
Masking DFT Logic and reduced mask data requirement in X’s using selective XORing at response compactor. The
scan compression [7, 21, 23–26, 30, 33, 34, 37, 45, 46, 48]. hybrid method of X-Masking and X-canceling need more
J Electron Test

Fig. 1 Compression architecture


showing masking with MISR

re-usable control bits to remove X’s from signature with- Specifically in Section 3.1, we have shown the formula
out loosing fault coverage and improved test time [14]. to find length of an external X-Chain. In Section 3.2, we
Our research is about the trade-off between scan and have shown the probability of capture-X Flip-Flop occur-
scan compression having X-chains partitions within codec rence in unload pattern and probability calculation for
or part of an external scan chain. Every Flip-Flop (FF) each scan cell. The details about unload patterns analysis
need not be part of scan compression architecture. We pro- with respect to capture-X is done in Section 3.3. Section 4
pose the hybrid DFT architecture which is a blend of scan explains the algorithm which is used to determine Flip-
and scan compression. While the concepts we discuss are Flops to be part of an external X-chain or codec. Finally,
independent of the compression scheme, we use the we present the results, and conclusion of our work.
DFTMAX Ultra technology for our experiments. The FFs
having capture-X are put into an external chain and other
FFs into the internal scan channels between Compressor 2 X-Chain Partitioning in Scalable Adaptive
and Decompressor (codec). This external scan chain is re- Scan
ferred as external X-Chain or scan X-Chain. While the X-
chains are no longer between the Decompressor and Motivation for the proposed hybrid DFT architecture is a
Compressor the compression scheme still needs masking blend of scan and scan compression which is based on
as not all the X’s are removed from the internal scan Scalable Adaptive Scan architecture presented in [5, 6].
chains. The proposed hybrid DFT architecture trades-off The Scalable Adaptive scan with X-chain partitioning is
I/O bandwidth to the scan compression scheme with the described below:
usage of masking to block Xs within the codec. It is observed that, scan cells capturing X do not
Furthermore, removing scan chains from within a codec capture stuck-at, transition or any other faults. So it is
is beneficial from a congestion perspective. The trade-off better to avoid observing such cells at the output [5],
of our implementation is best seen in Fig. 2, some of the otherwise they increase pattern inflation and test time
scan inputs and outputs (Si_16, So_16) are used to supply and lead to increased test cost. Figure 3 shows the
scan data to external scan X-chain, while others (Si_1–15, capture-X distribution in the industrial design and these
So_1–15) are used to supply and observe compressed data capture-Xs are distributed randomly in the internal scan
of a compression architecture. channels. Masking and observation of such cells were
In Section 2, we explain the X-Chain partitioning in done accordingly. This distribution of capture-Xs ran-
adaptive scan compression which we used in our experi- domly, leads to reduced observability of faults, as
ment as base. It includes architecture of X-Chains parti- known values (0 and 1) observation also got impacted
tion within codec with high x-tolerant support [5]. In and resulted into fault coverage loss [5]. When X-cells
Section 3, we discuss the proposed research, analytics to are grouped separately, there will be an impact on
form an external X-chain and scan compression. routing, but the impact is small and can be ignored.
J Electron Test

Fig. 2 Blend of Scan and Scan


compression architecture having
multiple Scan input and output
ports of DFTMax-Ultra. External
chain with X-Cells

The capture-X values are created from a different X- propagated, have been identified by analyzing the test pat-
Profile, as depicted in Section 1. terns and structural tracing.
In X-tolerant adaptive scan compression architecture in- All X-cells were grouped together to form X-chains
ternal scan channels are partitioned based on the capture-X within the codec of Scalable Adaptive Scan [6] without
value and non-unknown values. To find out capture-X mixing them with non-X scan cells chains. As scalable adap-
cells, the design rule checking method is used in [5]. The tive scan doesn’t support chains having only scan-in, separate
structures producing Xs and scan cells to which it is being scan out for these chains was considered to avoid loss of

Fig. 3 Distribution of static Xs in


an industrial circuits [5]
J Electron Test

observability. The masking control bits are fed to masking because of higher compression ratio demand. This hap-
block accordingly. The X-chains in scalable adaptive scan pens because of a low number of interface input ports
have been shown in the Fig. 4. being connected to multiple internal scan channels in
The disadvantage of this method is: as X-chains are the scan compression. The adaptive scan compression
partitioned within the codec, it still needs masking and feeds the same value to multiple internal scan channels
separate output to observe. The additional X-chains with- connected between decompressor and compressor. This
in the codec leads to more fan-out from external port to leads to more pattern inflation to detect faults. Hence,
internal scan channels at decompressor and leads to more more capture-X values in scan cells lead to loss of
congestion and routing. Adaptive scan compression feeds coverage and pattern inflation. The internal scan chan-
the same value to multiple internal scan channels for bet- nels having capture-X FFs have negative impact on the
ter compression, leading to more patterns generation com- observability of good responses that come together in
pared to scan. Separate scan-out for X-chains also adds to the compressor [15]. The internal scan channel(s) hav-
pattern inflation to some extent. ing capture-Xs need(s) masking and separate output to
Hence, to avoid these problems, we propose hybrid DFT observe. When outputs of the many internal scan chan-
architecture which is blend of scan and scan compression to nels are brought together through logic to fewer observ-
handle capture-Xs in the design. We pick up scan cells, able outputs the capture-Xs in the response compactor
capturing X value, based on probability calculation of oc- kill the fault detection ability in scan cells that are part
currence of capture-X in unload pattern is sown in of X-chains between Decompressor and Compressor [4].
Section 3.2. The highest probability of capturing X’s cells The X-chains between Decompressor and Compressor
will be picked (based on patterns/ design rule checking leads to more fan-out from external port to internal scan
/assume scan) and put into an external chain. But these scan channels at Decompressor and leads to more congestion
cells also might capture other value with less probability. and routing [6].
To overcome these disadvantages, we propose hybrid
DFT architecture which includes an algorithm to reduce
3 Architectural Considerations with FF patterns inflation by pulling Flip-Flops with capture-Xs
Compressability having higher probability of occurrence in unload pattern
is shown in Table 1. More details about probability of
The existing adaptive scan compression techniques re- capture-X cells is described in Section 3.2. The proposed
quire high test cost, test time and pattern inflation hybrid DFT architecture is shown in Fig. 2. The external

Fig. 4 Partitioning the chains into


static X capturing and non-static
X capturing chains. A masking
scheme implemented to match the
x-chains [5]

(a) (b) (c)


J Electron Test

Table 1 Showing probability


calculations for each scan cell in FF1 FF2 FF3 FF4 FF5 FF6 FF7 FF8 FF9 FF10
the scan chain based on unload
patterns P1 H H H H H H H M L M
P2 M L M H L M L M M M
P3 L M L H L H M M H L
P4 H H L L L H M M M M
P5 H L H H M L L M L M
P6 L M M H M M H M M M
P7 H L H L H M M M M M
P8 H H M L H L M M H M
P9 H L H H H L H M M M
OESC 1 2 3 0 2 3 4 9 5 8
Prob_X-Cell 0.11 0.22 0.33 0 0.22 0.33 0.44 1 0.56 0.89

The 'M' represents capture-X

X-chain is created by embedding these Flip-Flops into an steps:, DFT Configuration, Scan Synthesis and Generate
external chain. This helps to avoid masking for these Protocol File and Scan inserted design can be replaced
Flip-Flops and killing fault detection ability in X-chain. by Assume scan or design rule checking to get the list
The proposed hybrid DFT architecture also helps to re- of Flip-Flops having static capture-X. The ATPG pat-
duce pattern count and improves coverage as no masking terns generated for scan chains are then analyzed to
is required for an external scan chain. It also reduces determine if the FF is to be part of the scan (external
congestion and routing. The proposed hybrid DFT archi- chain) or scan compression in our hybrid DFT architec-
tecture doesn’t add extra overhead in the DUT. The FFs ture. Each bit of the pattern represent Flip-Flip of a
that are to be scanned remain scanned. The trade-off is in scan chain.
the use of the scan terminals (ports) for compression and The proposed hybrid DFT architecture is a blend of
scan. Since the total scan-ins and scan-outs remain the scan and scan compression architecture, which is
same and there is no additional overhead to a scan com- depicted in this section and proposed algorithm to select
pression scheme where all the chains are embedded with- Flip-Flips to be embedded into an external X-chain is
in the codec. depicted in Section 4. The length of an external X-
The total scan-ins (input ports) and scan-outs (output
ports) budget of adaptive scan compression is reduced by
one, as we need to allocate just one scan input and out-
put port to an external X-chain. Hence, scan-ins and
scan-outs port budget for scan architecture remains the
same before and after applying proposed hybrid DFT
architecture.
Many hybrid unload compactors use Steiner Triple
System [9], which supports 2 capture-Xs per shift with-
out affecting the observability of any other internal scan
channel in the codec. In our proposed hybrid DFT ar-
chitecture, we put these capture Xs into separate exter-
nal chain and hence there is no need of masking and
helps to overcome the limitation of Steiner Triple sys-
tem in the external X-chain.
The proposed algorithm analyzes a sample or all pat-
terns created in a way where the values are determined
in the Flip-Flops without having to worry about the
usability of the patterns. This can be achieved by run-
ning ATPG in a assumed scan approach or design rule
checking, or by actually inserting scan and creating the
test patterns. The flow of execution of the proposed Fig. 5 Showing flow of DFT Synthesis and pattern generation for pre and
approach is depicted in Fig. 5. In Fig. 5, the first three post external chain insertion
J Electron Test

chain calculation has been shown in Section 3.1. The design is carried out. This mixture of external scan chain
formation of external chain process will take into ac- and scan compression is called hybrid DFT architecture
count the length of the chain as shown in Section 3.1. which is proposed in this research. The patterns are gen-
The Flip-Flops embed into an external X-chain and are erated for hybrid DFT architecture using ATPG tool.
excluded from adaptive scan compression technique.
The two phase method is used to implement proposed
method. The scan synthesis of design based on DFT 3.1 Deciding Length of an External X-Chain
configuration to insert test logic is carried out and then
test patterns are generated. In the next phase, unload The length of an external scan chain is based on two
patterns are analyzed for captured-X values as per the parameters: length of load serializer register and length
algorithm provided in Section 4. Then formation of an of longest internal scan channel (chain) in the codec.
external X-chain happens based on Table 1. The calcula- Let us consider BSerLength^ as length of the load
tion of a length of an external chain, probability of FF serializer and BIntChainMaxLen^ as length of longest
having capture-X occurrence calculation and pattern internal scan channel between Decompressor and
analysis are done in the following sub-sections. Once Compressor. The summation of BSerLength^ and
the external chain is formed, again scan synthesis of BIntChainMaxLen^ gives the size of external X-chain.

SerLength ¼ size of the load serializer register in Decompressor of codec


IntChainMaxLen ¼ size of longest internal scan channel embedded between Decompressor and Compressor
ExtChainLen ¼ SerLength þ IntChainMaxLen

The value of SerLength can be found in StreamingStructure value. The probability value ranges from 0 to 1. Same
block of protocol file. The length of each internal scan channel has been illustrated in Section 3.3.
is also available in the ScanStructure block of protocol file. The
longest internal scan channel BIntChainMaxLen^ between 3.3 Patterns Analysis
Decompressor and Compressor is obtained from protocol file
generated for the compression mode by DFTMax-Ultra tool of This algorithm is based on captured-X value of Flip-
Synopsys Inc. after scan synthesis. Flops. There are two types of capture-X’s: static-Xs
[3, 5] and Dynamic-Xs [3, 5]. If captured value in scan
cell is always ‘X’ through functional logic, then it is
3.2 Probability of Capture-X Flip-Flop Occurrence static-X. If the captured value is boolean (0 or 1) and
Calculation in Unload Pattern some times capture-X, then it is dynamic-X. In [3, 5]
capture ‘X’ cells are put within codec as part of internal
The test patterns for the scan architecture are generated scan channel at the beginning and have special masking
using the ATPG tool named Tetramax [10]. Each and to avoid coverage loss. This is shown in Fig. 6. In the
every unload pattern is mapped to scan chain. Each bit proposed hybrid DFT method, we pull the scan flip-
of unload pattern is mapped to scan cell in the corre- flops that meet the criteria out of the codec and put
sponding scan chain. The proposed hybrid DFT archi- them into an external X-chain, simultaneously we re-
tecture is based on the probability analysis of capture-X duce one scan-in and scan-out port from the scan-ins
cells present in the design. The analysis of probability and scan-outs port budget of scan compression architec-
of occurrence of each capture-X scan cells in the scan ture. This scan-in and scan-out port is assigned to an
chain is determined based on the unload patterns gener- external X-chain. This ensures that the total allocated
ated. The occurrence of capture-X cell in the unload scan-ins and scan-outs port budget remains the same.
patterns is represented as BOESC^. The total number In this method, we analyze capture-X scan cells (FFs)
of patterns generated is represented as BTotPat^. in the scan chain using the test patterns generated in the
OESC ¼ occurrence of a capture‐X for a scan cell in the design
scan mode. Each and every FF in the scan chain, has
TotPat ¼ total number of patterns generated capture-X occurrence probability value range from 0 to
Prob: X‐Cell ¼ OESC=TotPat 1 in the unload patterns. The probability of occurrence
of capture-X (Prob_X-cell in Section 3.2) for each Flip-
Probability occurrence of each X-cell is calculated and Flop is calculated as shown in the representative
arranged in descending order based on the probability Table 1. The value ‘L’, ‘H’ and ‘M’ represents ‘0’, ‘1’
J Electron Test

Fig. 6 Scan compression


architecture DFTMax-Ultra with
X-chains inside the codec

and ‘X’ respectively. The FF1 to F10 represent repre- in representative Table 1 and method described in
sentative scan cell in the scan chain. The P1 to P9 Section 3. The algorithm for the same has been depicted
represent representative patterns. in this section.
The representative Table 1 shows the probability of
capture-X for each scan flip-flop in the scan chain. These
FFs are arranged in descending order based on the proba- 4.1 External X-Chain Based on Highest Occurrence
bility value of capture-X of each FF. The ordered FFs of of Weighted Random Patterns of Capture X’s
scan chain are shown in representative Table 2. in the Unload Patterns
Suppose BExtChainLen^ is 6, then we pick 6 FFs from
the representative Table 2. These cells can be F8, FF10, In this algorithm, an external X-chain is formed based
FF9, FF7, FF3 and FF6. The algorithm to choose scan cells on the FFs having highest probability of captured-X’s in
for an external X-chain has been described in Section 4. the output/unload patterns. The number of FFs being
embedded into an external X-chain is equal to the
BExtChainLen^.
The capture-X FFs can be obtained from patterns
4 Algorithms to Determine generated by ATPG or from ‘Assume scan’ or from
the Compressability of a FF Pre-DRC (Design Rule Check) of X-cells analysis. But
in our proposed method, we obtain capture-X cells from
The external chain can be formed based on the scan ATPG patterns generated. Every Flip-Flop in the design
cells having captured-X value present in the unload pat- can be analyzed based on output/unload test pattern.
terns. This decision is made based on the occurrence of The unload pattern can have ‘L’, ‘H’ and ‘M’ in scan
capture-Xs for a scan cell in the unload patterns. The cell as a bit value. The ‘M’ represents capture-X, ‘L’
probability of occurrence of a scan cell having capture- and ‘H’ represents 0 and 1 respectively. Each bit of the
X in unload patterns is calculated as per the data shown pattern is mapped back to scan cell in the scan chain.

Table 2 Showing ordered scan


cells based on probability of Scan cell FF8 FF10 FF9 FF7 FF3 FF6 FF2 FF5 FF1 FF4
occurrence of capture-X for each
cell in the scan chain Prob-X-Cell 1 0.89 0.56 0.44 0.33 0.33 0.22 0.22 0.11 0
J Electron Test

Following is an algorithm to form an external X-chain in


this method:

Algorithm: creating X-chain


Assumption:
1. Patterns for the scan mode have been generated.
2. The generated patterns are available in “unload_patterns_list”.
3. The length of an external chain (ExtChainLen) has been calculated.
Inputs:
1. unload_patterns_list - set having unload patterns
2. ExtChainLen.
3. “X_list” to hold occurrence of capture-X for each scan cell.
Output:
1. X_list: A set of scan cells having capture-X in unload pattern to form an external X-chain.
Steps:
1. Initialize X_list elements to zero
2. No_of_patterns = sizeof(unload_patterns_list)
3. Initialize Count to 0
4. Initialize Flag to 0
5. foreach pattern in unload_patterns_list
6. Length= length(pattern).
7. Initialize Pos to 0
8. Increment Count by 1
9. If (Count == No_of_patterns)
10. Flag = 1
11. Endif
12. While (Pos != Length ) do
13. If (pattern[Pos] equal to “M”) // i.e “X”
14. ‘X_list[0][Pos] = X_list[0][Pos] + 1’
15. EndIf
16. If (Flag == 1) // Probability calculation
17. X_list[1][Pos] = X_list[1][Pos] / No_of_patterns
18. EndIf
19. Increment Pos by 1.
20. EndWhile
21. EndForeach
22. Sort X_list in descending order based on probability of occurrence, stored in X_list[1]
23. Select first “ExtChainLen” Scan cells (FFs) and form an external X-chain.
24. End
J Electron Test

5 Experimental Results channels connected between codec. The ‘#Patterns’ and


‘%Coverage’ in ‘Before’ column represent number of ATPG
The proposed hybrid DFT architecture applied to 7 indus- patterns generated and percentage of coverage obtained re-
trial designs. These designs have FF count from 6703 to spectively. Column ‘#Patterns’ and’%Coverage’ in
530,352, having different capture-X densities. All these ‘Proposed hybrid DFT’ column represent the number of pat-
designs have genuine capture Xs and were not introduced terns generated and coverage achieved using proposed hybrid
for research purpose. The results captured here are gener- DFT architecture. Column ‘%Patterns count improvement’
ated for all faults including stuck-at, transition etc… Used shows the percentage of patterns count improvement achieved
DFTMax Ultra [10] for inserting the scan chains, com- using hybrid DFT architecture at same test coverage of both
pressor and decompressor. ATPG tool TetraMax [35] used ‘Before’ and ‘Proposed hybrid DFT’.
to generate test patterns. The Table 3 shows the result before (scan compression)
The results are shown in Table 3, with internal X-Chain and after using hybrid DFT architecture which is depicted
partition within adaptive scan codec as ‘Before’ and with in Section 3.
our proposed method as BProposed hybrid DFT^. We com- The results show the benefits of the hybrid DFT architecture
pared the patterns count of ‘Before’ with ‘Proposed hybrid which is shown to bring significant improvement in pattern
DFT’ at same test coverage. To evaluate patterns count im- count.
provement of hybrid DFT architecture, TetraMax ATPG was
run on the scan synthesized design with and without our pro-
posed hybrid DFT architecture. 6 Conclusion
In Table 3, column ‘Design Name’ shows the name of the
circuit. Total number of Flip-Flops present in the circuit have In this paper, we proposed a hybrid DFT architecture which is a
been shown in column ‘#FFs’. Column ‘I/O Ports count’ rep- mixture of an external scan chain and adaptive scan compres-
resents number of external scan-in and scan-out ports used. sion. It takes advantage of scan as well as adaptive scan com-
Column ‘#Chains’ represents number of internal scan pression techniques. The proposed method reduces volume of

Table 3 Results showing for both scan compression and hybrid DFT architecture proposed

Design name #FFs I/O Ports count #Chains Before Proposed hybrid DFT % Patterns count improvement

#Patterns %Coverage #Patterns %Coverage

D1 147,283 8 800 6889 94.42% 2972 94.42% 56.80%


10 500 5745 95.31% 4936 95.31% 14.00%
16 800 6128 95.05% 4980 94.05% 18.80%
16 1000 5383 94.51% 2312 94.51% 57.00%
16 2000 7550 92.28% 6655 92.28% 11.85%
D2 232,453 8 2000 30,105 99.74% 21,009 99.74% 30.21%
12 2000 14,774 84.66% 11,453 84.66% 22.48%
16 400 11,678 99.75% 9715 99.75% 16.80%
D3 11,497 16 2000 5839 97.47% 5303 95.55% 9.18%
D4 6703 8 400 2120 94.08% 1999 93.88% 5.70%
D5 330,174 8 800 8371 95.93% 7783 95.94% 7.00%
12 2000 9770 95.89% 9079 95.89% 7.00%
16 2000 9708 93.88% 8095 95.89% 16.61%
D6 530,352 8 400 9255 92.86% 7947 92.86% 14.32%
8 2000 13,428 92.95% 11,944 92.95% 11.00%
10 1000 8869 92.90% 7619 92.88% 13.50%
16 2000 7550 92.28% 6291 92.28% 16.88%
16 3000 13,727 93.05% 11,223 92.98% 18.24%
D7 28,480 4 200 2774 74.97% 1457 74.97% 47.48%
6 300 2798 75.42% 590 75.42% 78.90%
8 100 1988 74.27% 1095 74.27% 44.92%
8 400 2765 75.61% 602 76.09% 78.22%
J Electron Test

test patterns which is significant. There is no overhead of hy- 15. Kapur R, Mitra S, Williams TW (2008) Historical perspective on
scan compression. IEEE Des Test Comput 25(2):114–120
brid DFT architecture. The FFs that are to be scanned remain
16. Lumetta SS, Mitra S (2003) X-codes: Theory and applications of
scanned. The trade-off is in the use of the scan terminals (ports) unknowable inputs. Coordinated Science Laboratory Report no.
for compression and scan. Since the total scan-ins and scan- UILU-ENG-03-2217
outs remain the same there is no additional overhead to a scan 17. McCluskey EJ, Burek D, Koenemann B, Mitra S, Patel J, Rajski J,
compression scheme where all the chains are embedded within Waicukauski J (2003) Test data compression. IEEE Des Test
Comput 20(2):76–87
the codec. All other X handling techniques would also work
18. Mitra S, Kim KS (2002) X-compact: An efficient response com-
with our method to get a complete benefit. For example, X- paction technique for test cost reduction. In Proc. International Test
Masking of codecs would still be used to handle the X’s left Conference, pp 311–320
embedded in the codec. Since fewer X’s would exist masking 19. Mitra S, Kim KS (2004) X-Compact: An Efficient Response
is used infrequently. The existing results were added to an Compaction Scheme. IEEE Trans Comput Aided Des Integr
Circuits Syst 23(3):421–432
environment that has most of the prior X handling methods.
20. Mitra S, Mitzenmacher M, Lumetta SS, Patil N (2005) X-
So the results improvement is showing how in the existing tolerant test response compaction. IEEE Des Test Comput
solution ecosystem the results can be improved further. Our 22(6):566–574
proposed solution is developed on adaptive scan compression 21. Mrugalski G, Mukherjee N, Rajski J, Czysz D, Tyszer J (2009)
technology using DFTMax Ultra [10] tool from Synopsys Inc. Highly X-tolerant selective compaction of test responses. In: Proc.
VLSI Test Symposium, pp 245–250
ATPG patterns are generated using Tetra-max [35]. 22 Naruse M, Pomeranz I, Reddy SM, Kundu S (2003) On-Chip
Compression of Output Responses with Unknown Values Using
LFSR Reseeding. In: Proc. International Test Conference, pp
1060–1068
References 23 Pomeranz I, Kundu S, Reddy SM (2002) On output response com-
pression in the presence of unknown output values. In: Proc. 39th
annual Design Automation Conference, pp 255–258
1. Barnhart C, Brunkhorst V, Distler F, Farnsworth O, Keller B, 24 Rabenalt T, Goessel M, Leininger A (2011) Masking of X-
Koenemann B (2001) OPMISR: The foundation for compressed values by use of a hierarchically configurable register. J
ATPG vectors. Proc. International Test Conference, pp 748–757 Electron Test 27(1):31–41
2. Barnhart C et al (2002) Extending OPMISR beyond 10x scan test 25 Rajiski J, Tyszer J, Wang C, Reddy SM (2005) Finite memory test
efficiency. IEEE Des Test Comput 19(5):65–73 response compactors for embedded test applications. IEEE Trans
3. Chandra A, Kapur R (2008) Not All Xs are Bad for Scan Comput Aided Des Integr Circuits Syst 24(4):622–634
Compression. In: Proc. Asian Test Symposium, pp 7–12 26 Rajski W, Rajski J (2006) Modular compactor of test responses. In:
4. Chandra A, Kapur R (2008) Interval based X-masking for scan Proc. VLSI Test Symp., pp 242–251
compression architectures. In: Proc. 9th International Symposium 27 Rajski J, Tyszer J (2005) Synthesis of X-tolerant convolutional com-
on Quality Electronic Design (ISQED), pp 821–826 pactors. In: Proc. VLSI Test symposium, pp 114–119
5. Chandra A, Kanzawa Y, Kapur R (2009) Proactive management of
28 Rajski J, Tyszer J, Wang C, Reddy SM (2003) Convolutional
X’s in scan chains for compression. In: Proc. 10th International
compaction of test responses. In: Proc. International Test
Symposium on Quality Electronic Design (ISQED), pp 260–265
Conference, pp 745–754
6. Chandra A, Kapur R, Kanzawa Y (2009) Scalable adaptive scan
29 Rajski J, Tyszer J, Wang C, Reddy SM (2005) Finite mem-
(SAS). In: Proc. Design Automation and Test in Europe
ory test response compactors for embedded test applications.
Conference, pp 1476–1481
IEEE Trans Comput Aided Des Integr Circuits Syst 24(4):
7. Chao MT, Wang S, Chakradhar ST, Cheng KT (2005) Response
622–634
shaper: A novel technique to enhance unknown tolerance for output
response compaction. In: Proc. IEEE/ACM International 30 Rajski J, Tyszer J, Mrugalski G, Mukherjee N, Kassab M (2006) X-
Conference on Computer-Aided Design, pp 80–87 press compactor for 1000× reduction of test data. In: Proc.
8. Chickermane V, Foutz B, Keller B (2004) Channel masking syn- International Test Conference, pp 1–10
thesis for efficient on-chip test compression. In: Proc. International 31 Ramdas A, Sinanoglu O (2012) Toggle-masking scheme for x-fil-
Test Conference, pp 452–461 tering. In: Proc. 17th IEEE European Test Symposium, pp 1–6
9. Colbourn CJ, Rosa A (1999) Triple systems. Oxford University 32 Sharma M, Cheng WT (2005) X-filter: Filtering unknowns from
Press, Oxford compacted test responses. In Proc. International Test Conference
10. DFT Compiler, Synopsys DFT Synthesis solution, http://www. 33 Shi Y, Togawa N, Yanagisawa M, Ohtsuki T (2008) GECOM: Test
synopsys.com/products/test/dft_compiler_ds.pdf data compression combined with all unknown response masking. In:
11. Gizdarski E (2008) Constructing augmented multimode compac- Proc. 2008 Asia and South Pacific Design Automation Conference,
tors. In: Proc. VLSI Test Symposium, pp 29–34 pp 577–582
12. Han Y, Xu Y, Li H, Li X (2003) Test resource partitioning based on 34 Tang Y, Wunderlich HJ, Vranken H, Hapke F, Wittke M,
efficient response compaction for test time and tester channels re- Engelke P, Polian I, Becker B (2004) X-masking during logic
duction. In: Proc. 12th Asian Test Symposium, pp 440–445 BIST and its impact on defect coverage. In Proc. International
13. Hilscher M, Braun M, Richter M, Leininger A, Gössel M (2009) X- Test Conference, pp 442–451
tolerant test data compaction with accelerated shift registers. J 35 TetraMAX, Synopsys ATPG solution, http://www.synopsys.com/
Electron Test 25(4–5):247–258 products/test/tetramax_ds.pdf
14. Kang JH, Touba NA, Yang JS (2016) Reducing control bit over- 36 Touba NA (2007) X-canceling MISR—An X-tolerant methodology
head for X-masking/X-canceling hybrid architecture via pattern for compacting output responses with unknowns using a MISR. In:
partitioning. In: Proc. Design Automation Conference, pp 1–6 Proc. International Test Conference, pp 1–10
J Electron Test

37 Volkerink EH, Mitra S (2005) Response compaction with any num- 46 Wohl P, Waicukauski JA, Patel S (2004) Scalable selector architec-
ber of unknowns using a new LFSR architecture. In: Proc. 42nd ture for X-tolerant deterministic BIST. In: Proc. 41st Design
annual Design Automation Conference, pp 117–122 Automation Conference, pp 934–939
38 Wang C, Reddy SM, Pomeranz I, Rajski J, Tyszer J (2003) On 47 Wohl P, Waicukauski JA, Neuveux F (2008) Increasing scan compres-
compacting test response data containing unknown values. In: sion by using X-chains. In: Proc. International Test Conference, pp 1–10
Proc. 2003 IEEE/ACM International Conference on Computer- 48 Wohl P, Waicukauski JA, Neuveux F, Gizdarski E (2010) Fully X-
aided design, p 855 tolerant, very high scan compression. In: Proc. Design Automation
39 Wang LT, Wen X, Furukawa H, Hsu FS, Lin SH, Tsai SW, Abdel- Conference, pp 362–367
Hafez KS, Wu S (2004) Virtual Scan: A new compressed scan 49 Yang JS, Touba NA (2012) X-canceling MISR architectures for
technology for test cost reduction. In: Proc. International Test output response compaction with unknown values. IEEE Trans
Conference, pp 916–925 Comput Aided Des Integr Circuits Syst 31(9):1417–1427
40 Wang LT, Wu CW, Wen X (2006) VLSI test principles and 50 Yang JS, Chung J, Touba NA (2016) Enhancing superset X-
architectures: design for testability. Academic Press, canceling method with relaxed constraints on fault observa-
Cambridge tion. IEEE Trans Comput Aided Des Integr Circuits Syst
41 Wang LT, Wu CW, Wen X (2006) Design for testability: VLSI 35(2):298–308
test principles and architectures. Morgan Kaufmann (San
Francisco)
42 Wang S, Wei W, Chakradhar ST (2007) Unknown blocking Pralhadrao V. Shantagiri received the MIT in Information Technology
scheme for low control data volume and high observability. and MS in VLSI-CAD from Manipal University, Manipal, Karnataka,
In: Proc. Design automation and test in Europe, pp 33–38 India in 2003 and 2010, respectively, and M.Phil in Computer Science
43 Wang S, Balakrishnan KJ, Wei W (2008) X-block: an effi- from Christ University, Bengaluru, Karnataka, India. He is pursuing PhD
cient LFSR reseeding-based method to block unknowns for in Computer Science at Jain University, Bengaluru, Karnataka, India. His
temporal compactors. IEEE Trans Comput 57(7):978–989 current research interests include design automation and IC Test methods
and their use in design flows.
44 Wohl P, Waicukauski JA, Williams TW (2001) Design of compac-
tors for signature-analyzers in built-in self-test. Proc. International
Test Conference, pp 54–63 Rohit Kapur is an IEEE Fellow and a Research guide at Jain University,
Bengaluru, Karnataka, India. His research interests include IC Test
45 Wohl P, Waicukauski JA, Patel S, Amin MB (2003) X-tolerant com-
methods and their use in design flows. He has a BS in electronics engi-
pression and application of scan-ATPG patterns in a BIST architec-
neering from Birla Institute of Technology, Mesra, India, and MS and
ture. In: Proc. International Test Conference, p 727
PhD in Computer Engineering from the University of Texas at Austin.

You might also like