Professional Documents
Culture Documents
Vlsi Design Flow: RTL To GDS: Constraints I
Vlsi Design Flow: RTL To GDS: Constraints I
GDS
Lecture 25
Constraints I
Sneh Saurabh
Electronics and Communications
Engineering
IIIT Delhi
Lecture Plan
▪ Basics of constraints
▪ Clock constraints
▪ Input/Output constraints
▪ Timing exceptions
▪ Attributes of a clock signal such as frequency, duty cycle, skew, uncertainty and delay
▪ Attributes of external incoming signal and expected behavior of the signals produced by a
design
Functionality of the design (informative)
▪ Timing exceptions (paths that are false and paths that are allowed to behave differently
than traditional synchronous behavior), modes of design
▪ Maximum slew at the port, maximum capacitance at a pin, and soft constraints
Clock Signal
current_design MyComp
create_clock -name EXT_CLK -period 10 -waveform {0 4}
[get_ports clk_in]
create_clock -name INT_CLK -period 10 [get_pins CS1/clk_g]
▪ Safety margins
▪ Bhasker, Jayaram, and Rakesh Chadha. Static timing analysis for nanometer designs: A
practical approach. Springer Science & Business Media, 2009.
Lecture 26
Constraints II
Sneh Saurabh
Electronics and Communications
Engineering
IIIT Delhi
Constraints
Environment
▪ The delay of OD needs to be chosen such that the setup/hold requirements in the actual
circuit and equivalent circuit match
▪ Delay of OD is specified in set_output_delay command
VLSI Design Flow: RTL to GDS NPTEL 2023 S. Saurabh
Illustration: set_output_delay
Functionality
▪ Bhasker, Jayaram, and Rakesh Chadha. Static timing analysis for nanometer designs: A
practical approach. Springer Science & Business Media, 2009.
Lecture 27
Technology Mapping
Sneh Saurabh
Electronics and Communications
Engineering
IIIT Delhi
Lecture Plan
▪ Technology Mapping
Unmapped Netlist:
▪ Netlist of generic logic gates
Logic Function:
′
▪ 𝑌 = 𝐴. 𝐵 + 𝐶
Logic Function:
′
𝑌 = ( 𝐴. 𝐵 ′ . 𝐶′)′
= 𝐴. 𝐵 ′ . 𝐶 ′
= 𝐴. 𝐵 + 𝐶 ′
Logic Function:
𝑌 = 𝐴. 𝐵 ′ . 𝐶′
= 𝐴. 𝐵 + 𝐶 ′
Logic Function:
′
𝑌 = ( 𝐴. 𝐵 ′ . 𝐶′)′
Lecture 28
Timing-driven Optimizations
Sneh Saurabh
Electronics and Communications
Engineering
IIIT Delhi
Lecture Plan
▪ Timing-driven Optimizations
▪ Timing critical signals are moved closer to the sink in a cone of logic to reduce the overall
path delay
𝑌 = 𝐹(𝑥0 , 𝑥1 , 𝑥2 , … , 𝑥𝑁 )
𝑍 = 𝐹 𝐴, 𝐵, 𝐶 = 𝐴𝐵 + 𝐶
𝐹𝐵=0 = 𝐶
𝐹𝐵=1 = 𝐴 + 𝐶
Lecture 29
Power Analysis
Sneh Saurabh
Electronics and Communications
Engineering
IIIT Delhi
Lecture Plan
Power Analysis:
▪ Energy dissipated inside a cell 𝐸𝑖𝑛𝑡 is the property of the cell and
modelled in the library
▪ Energy dissipated outside a cell 𝐸𝑒𝑥𝑡 depends on the
environment (external load)
➢ Tools can compute it after (𝐶𝑤 +𝐶𝐼 ) is known
▪ Power can be estimated using energy per transition by
multiplying with activity and clock frequency
▪ Values represent energy dissipated per S. Saurabh, “Introduction to VLSI Design Flow”.Cambridge
University Press, 2023.
transition
Lecture 30
Power Optimizations
Sneh Saurabh
Electronics and Communications
Engineering
IIIT Delhi
Lecture Plan
Illustration:
▪ Consider a processor that can perform a task in 10 ms at 1.2 GHz and 1.2 V.
▪ Let us reduce the clock frequency and the supply voltage to half (600 MHz, 0.6 V),
➢ Task will now complete in double the original time, i.e., 20 ms.
➢ Will reduce the switching power dissipation by 1/8 (𝑃𝑡𝑜𝑡 ∝ 𝑉𝐷𝐷2
𝑓𝑐𝑙𝑘 )
➢ Will reduce the energy consumption by 1/4
Power Gating:
▪ Switch off the power supply for a block
▪ Effective technique to tackle both static and dynamic components of power dissipation.
▪ Requires a careful circuit design and inserting specially designed circuit elements.
Circuit Elements
▪ Switch Cell
▪ Retention Cell
▪ Isolation Cell
Lecture 31
DFT: Basic Concepts
Sneh Saurabh
Electronics and Communications
Engineering
IIIT Delhi
Lecture Plan
▪ Basic Concepts
related to DFT
Functional Testing:
▪ Apply all possible 2𝑁 input combinations and check output
▪ Becomes infeasible for large 𝑁 (say 50 or 100)
Structural Testing:
▪ Test the components that implements a logic function rather than testing the input–output
functionality
▪ The paradigm of structural testing is widely employed
➢ It reduces the number of test patterns required for good test quality
Answer: 18
▪ Any input pattern, or sequence of input patterns, that produces a different output response
for a faulty circuit and a fault-free circuit
Single stuck-at fault model makes the number of fault linear in the number of circuit elements
Test Vectors 0 0 1 1 1 1 1 1 1 0 1 1 1 1 1
0 1 0 0 1 1 1 1 1 0 1 1 1 1 1
▪ 1111 (A/0, B/0,
C/0, D/0, Z/1) 0 1 0 1 1 1 1 1 1 0 1 1 1 1 1
0 1 1 0 1 1 1 1 1 0 1 1 1 1 1
▪ 0111 (A/1, Z/0)
0 1 1 1 1 1 1 1 1 0 0 1 1 1 1
▪ 1011 (B/1, Z/0)
1 0 0 0 1 1 1 1 1 0 1 1 1 1 1
▪ 1101 (C/1, Z/0)
1 0 0 1 1 1 1 1 1 0 1 1 1 1 1
▪ 1110 (D/1, Z/0)
1 0 1 0 1 1 1 1 1 0 1 1 1 1 1
1 0 1 1 1 1 1 1 1 0 1 0 1 1 1
1 1 0 0 1 1 1 1 1 0 1 1 1 1 1
𝑁𝑢𝑚𝑏𝑒𝑟 𝑜𝑓 𝑡𝑒𝑠𝑡
𝑣𝑒𝑐𝑡𝑜𝑟𝑠 = 𝑛 + 1 1 1 0 1 1 1 1 1 1 0 1 1 0 1 1
1 1 1 0 1 1 1 1 1 0 1 1 1 0 1
1VLSI Design
1 1Flow: 1RTL to0GDS 1 1NPTEL 2023
1 1 S. Saurabh
0 0 0 0 0 1
Combinational Circuit: Controllability and
Observability
▪ If the NAND gate was lying too deep in a
circuit, it is difficult to apply the required test
vectors at the inputs
Problem of observability
Lecture 32
Scan Design Flow
Sneh Saurabh
Electronics and Communications
Engineering
IIIT Delhi
Lecture Plan
Scan Design Flow
▪ Design Modifications
▪ Mechanism of Testing
▪ Tasks
Effect
Mode TM SE
Normal 0 0
Shift 1 1
Capture 1 0
VLSI Design Flow: RTL to GDS NPTEL 2023 S. Saurabh
Scan Cells
▪ Different kinds of scan cell can be ▪ The multiplexer selects data between D and SI
used using the value at SE pin
▪ Most popular is MUXED-D Scan Cell
▪ In the normal/capture mode: SE=0
➢ Value at D is latched
▪ IO Pin Cost
Lecture 33
Automatic Test Pattern Generation (ATPG)
Sneh Saurabh
Electronics and Communications
Engineering
IIIT Delhi
Lecture Plan
▪ Terminologies
▪ General approach to ATPG
▪ Redundant Faults
But how can you talk with a person if they always say the
same thing?’
Source:
https://commons.wikimedia.org/wiki/File:Lew
isCarrollSelfPhoto.jpg Lewis Carroll, Public
domain, via Wikimedia Commons
Practical Solution
▪ Sequential ATPG problem is transformed to Combinational ATPG problem by Scan Design
Flow
▪ Combinational ATPG problem, though NP-complete, has efficient algorithms
To Find: A test vector (value of A, B, C, ▪ Line Justification: Find the value at input ports
D) which will be able to detect the that will set the side-inputs as found in fault
existence of SA1 at G4/X1 propagation
➢ Find A, B, C, D such that G4/X2=1 (C=0
D=0)
Test Vector: (1,1,0,0)
▪ Fault Sensitization
➢ Find A, B, C such that G3/X1=0 (B=0)
▪ Fault Propagation:
➢ For Propagation to Z: Set G3.X2=1
and G4/X1=0
▪ Line Justification:
➢ B=1 is in conflict with earlier B=0
Given: Stuck-at 1 at pin G3/X1 ▪ No test vector exists to detect this fault
To Find: A test vector to detect this fault ▪ This is known as redundant fault
▪ Redundant fault means that the behavior of the circuit with/without fault is the same
▪ Assume that the fault site has constant 0/1 optimize out gates that are not required
Algorithmic Advancements
▪ D-algorithm: Roth 1966
▪ PODEM (Path-oriented Decision Making): Prabhu Goel 1981
▪ FAN (Fanout-oriented) Algorithm: Fujiwara
▪ M. Bushnell and V. Agrawal, “Essentials of electronic testing for digital, memory and mixed-signal
VLSI circuits”, Springer Science & Business Media, 2004.
▪ S. Saurabh, “Introduction to VLSI Design Flow”. Cambridge: Cambridge University Press, 2023.
Lecture 34
Built-in Self-test (BIST)
Sneh Saurabh
Electronics and Communications
Engineering
IIIT Delhi
Lecture Plan
▪ Distinguishing Features
▪ Architecture
▪ Test Controller
➢ Controls all the operations of
BIST
➢ Strategy for overall test control is
most difficult part of BIST
▪ Signature: a statistical property of a circuit, usually a number computed for a circuit from its
responses
▪ Signature Analysis: signature of a good circuit (“golden signature” ) compared with the
signature of a “potentially” faulty circuit (“test signature”)
➢ If “golden signature” matches “test signature” then the circuit is assumed to be good,
else faulty
➢ Desirable: signature of a good circuit and faulty circuits are different
▪ Aliasing: During testing, it is possible that the signature of “good” circuit and “faulty” circuit
match
➢ Due to information loss during compaction
VLSI Design Flow: RTL to GDS NPTEL 2023 S. Saurabh
BIST: Test Response Analyzer
▪ Techniques to compute
Signature
➢ Ones Counting: count
number of ones across all
circuit responses
❑ Different permutations
can yield same
signature
➢ Modular LFSR: Extra XOR
gate at the input
❑ Single Input Signature
Register (SISR)
❑ Need to start with a
seed value
Advantages Disadvantages
▪ Lower cost of test, since the need for ▪ Additional silicon area
external electrical testing using an ATE ➢ Yield and Reliability decrease
will be reduced
▪ Performance loss
▪ No need to store test patterns ➢ Extra delays
▪ At-speed test can be done ▪ Additional pin (and possibly bigger
package size) requirements
▪ Capability to perform tests outside the
production electrical testing
environment
Lecture 35
Basic Concepts for Physical Design - I
Sneh Saurabh
Electronics and Communications
Engineering
IIIT Delhi
Lecture Plan
▪ Fabrication of IC
▪ Interconnects
▪ Parasitics in Interconnects
IC Fabrication
Two phases:
1. Front End Of the Line (FEOL) processes: active elements (transistors, diodes,
capacitors)
2. Back End Of the Line (BEOL) processes: layers of wires
▪ Ion Implantation:
➢ Appropriate ions created, using arc
discharge
▪ accelerated/filtered using
electric and magnetic fields
➢ Bombard the substrate through a thin
layer of screening silicon dioxide
▪ Patterning
➢ Local interconnect
Interconnects/Wires
Interconnect
Parasitics
𝐿 𝜌
▪ 𝑅 = 𝑅𝑆 𝑊 where 𝑅𝑆 = 𝑇 is called the
sheet resistance
▪ Sheet resistance is defined in the
library
Lecture 36
Basic Concepts for Physical Design - II
Sneh Saurabh
Electronics and Communications
Engineering
IIIT Delhi
Lecture Plan
▪ Signal Integrity
▪ Antenna Effect
Noise or Glitches
Antenna Effect
▪ During fabrication before addition of Metal-2, long Metal-1 connected to the gate can
become charged and can destroy the gate
▪ Antenna Ratio: ratio of the conductor area to the gate oxide area
Library Exchange
Format (LEF)
▪ J. Bhasker and R. Chadha. “Static Timing Analysis for Nanometer Designs: A Practical Approach”.
Springer Science & Business Media, 2009.
▪ S. Saurabh, “Introduction to VLSI Design Flow”. Cambridge: Cambridge University Press, 2023.
Lecture 37
Chip Planning - I
Sneh Saurabh
Electronics and Communications
Engineering
IIIT Delhi
Lecture Plan
▪ Chip Planning
➢ Hierarchical Design Implementation
➢ Floorplanning
➢ Power Planning
“…While times are quiet, it is easy to take action; ere (before) coming troubles have cast their
shadows, it is easy to lay plans.... A journey of a thousand miles began with a single step.”
Implementation
Methodology
Hierarchical design
Flat Design Implementation
implementation
Other approaches:
▪ Group modules into clusters
▪ Partition a netlist using partitioning algorithm
➢ Reduce the number of cuts or nets crossing blocks
VLSI Design Flow: RTL to GDS NPTEL 2023 S. Saurabh
Budgeting
▪ Process of allocating some fraction of a clock cycle to different blocks and the top-level
design for signals crossing block boundaries
Disadvantages:
▪ Challenging to partition a design optimally
▪ Loose some opportunities of inter-block optimizations
Lecture 38
Chip Planning - II
Sneh Saurabh
Electronics and Communications
Engineering
IIIT Delhi
Lecture Plan
▪ Chip Planning
➢ Hierarchical Design Methodology
➢ Floorplanning
➢ Power Planning
Design Parameters:
▪ Layers in which the grid spans
▪ Width and spacing of wires
Lecture 39
Placement
Sneh Saurabh
Electronics and Communications
Engineering
IIIT Delhi
Lecture Plan
▪ Placement
➢ Global Placement
➢ Legalization and Detailed Placement
➢ Scan Cell Reordering
➢ Spare Cell Placement
▪ Global Placement
➢ Cells are spread over the core area
➢ Overlap may exist
▪ Post-Placement Optimizations
➢ Buffering, resizing, etc.
VLSI Design Flow: RTL to GDS NPTEL 2023 S. Saurabh
Placement: Wirelength Estimates
▪ Placer needs to compute the wirelength of Half-perimeter Wirelength (HPWL)
each net multiple times ▪ Easy to compute
▪ Ideally, estimated wirelength should match ▪ Half of the perimeter of the bounding
post-routing wirelength rectangle that encloses all the pins of a net
▪ Widely used
Half-perimeter wirelength=11
▪ Use efficient solvers to obtain minimum cost for the mathematical formulations
➢ Suitable constraints of fixed entities
➢ Cost related to cell density added
▪ Cells allowed to overlap in global placement
➢ Legalization becomes necessary
Legalization:
▪ Removes all overlaps and snap cells to legal
sites
➢ With the minimum impact on the
wirelength, timing and congestion
Detailed placement:
▪ Improves the QoR by incremental changes to the cell location(s)
▪ Improves wirelength and routability by:
➢ Swapping location of neighboring cells
➢ Re-distributing free sites
➢ Moving cells to unused location
VLSI Design Flow: RTL to GDS NPTEL 2023 S. Saurabh
Timing-driven Placement
▪ Perform timing analysis internally and incrementally during placement
➢ Target Worst Negative Slack (WNS) and Total Negative Slack (TNS)
▪ Control the proximity of cells that are on critical paths
▪ Depending on placement algorithm, different approaches to obtain timing driven placement
is taken
▪ Additional weight is added to nets to indicate timing criticality
➢ Give more weights to nets that are timing critical
➢ Additional weights bias the placement engine to place timing critical objects together
▪ Scan cells that are nearby form consecutive flip-flops in the scan chain
▪ Cannot be anticipated where will be the spare cells be actually be required to be connected
➢ Place randomly over the unused placement area
▪ Tools must be informed that which are spare cells and should not be optimized out
Lecture 40
Clock Tree Synthesis (CTS)
Sneh Saurabh
Electronics and Communications
Engineering
IIIT Delhi
Lecture Plan
▪ Clock Tree Synthesis implements clock distribution structure on the layout having behavior
similar to the ideal clock
➢ Minimizes the clock skew
➢ Inserts clock buffers/inverters and performs routing of clock distribution network
Insertion Delay/delay/latency: time taken by the clock signal to propagate through a clock
tree and reach a clock sink
Disadvantages:
➢ Increases total capacitance and the size of clock drivers
➢ Increases power consumption (due to increased capacitance and short-circuit power
dissipation)
1
𝑓𝑚𝑎𝑥 = = 4 𝐺𝐻𝑧
250𝑝𝑠
▪ Clock Network is frozen after post-CTS optimization and very small ECO fixes are allowed
Lecture 41
Routing
Sneh Saurabh
Electronics and Communications
Engineering
IIIT Delhi
Lecture Plan
▪ Routing
➢ Global Routing
➢ Detailed Routing
➢ Post-routing optimization
Global Routing
▪ Creates the plan of routing for each net (in terms of routing regions)
▪ Actual layout of nets not created
Detailed Routing
▪ Decides actual layout of each net in the pre-assigned routing regions
Post-Routing Optimizations
▪ Localized changes to fix issues in the design
Objectives
▪ Maximize the probability that the detailed router can complete the routing
▪ Minimize Total Interconnect Length
▪ Minimize critical path delay
Desirable:
▪ Global Routing used in other design phases for estimates: prototyping, floorplanning,
placement etc.
➢ Need to be fast
𝑈𝑆𝐸(𝑒)
Congestion 𝐶𝐺 𝑒 = 𝐶𝐴𝑃(𝑒)
▪ Add dummy metal fills during routing to ensure more uniform metal density
▪ The existence of dummy metal fills in the vicinity of current-carrying wires can affect the
coupling capacitance.
➢ Dummy metal fills can impact the timing of a circuit.
Lecture 42
Post-Layout Verification and Signoff
Sneh Saurabh
Electronics and Communications
Engineering
IIIT Delhi
Lecture Plan
Post-layout Verification
▪ Layout Extraction
▪ Physical Verification
Outputs:
▪ Layout Netlist: typically in
SPICE
▪ ERC Report
Compares:
1. Layout netlist (extracted)
2. Source netlist (schematic):
logical netlist combined with
device information
ECO tools:
▪ Enable making targeted incremental changes, rather than re-
implementing the entire design
▪ Verify the correctness of the ECO changes.
➢ Saves designer time, effort, cost, and risk
▪ Occasion to celebrate !