Download as pdf or txt
Download as pdf or txt
You are on page 1of 21

PROCEEDINGS OF SPIE

SPIEDigitalLibrary.org/conference-proceedings-of-spie

High-NA EUV lithography enabling


Moore’s law in the next decade

Jan van Schoot, Kars Troost, Frank Bornebroek, Rob van


Ballegoij, Sjoerd Lok, et al.

Jan van Schoot, Kars Troost, Frank Bornebroek, Rob van Ballegoij, Sjoerd
Lok, Peter Krabbendam, Judon Stoeldraijer, Erik Loopstra, Jos P. Benschop,
Jo Finders, Hans Meiling, Eelco van Setten, Bernhard Kneer, Peter Kuerz,
Winfried Kaiser, Tilmann Heil, Sascha Migura, Jens Timo Neumann, "High-NA
EUV lithography enabling Moore’s law in the next decade," Proc. SPIE 10450,
International Conference on Extreme Ultraviolet Lithography 2017, 104500U
(16 October 2017); doi: 10.1117/12.2280592

Event: SPIE Photomask Technology and EUV Lithography, 2017, Monterey,


California, United States

Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 25 Jan 2023 Terms of Use: https://www.spiedigitallibrary.org/terms-of-use


Public

High-NA EUV lithography


enabling Moore’s law in the next decade

Jan van Schoot1, Kars Troost1, Frank Bornebroek1, Rob van Ballegoij1, Sjoerd Lok1, Peter Krabbendam1,
Judon Stoeldraijer1, Erik Loopstra1, Jos Benschop1, Jo Finders1, Hans Meiling1, Eelco van Setten1
Bernhard Kneer2, Peter Kuerz2, Winfried Kaiser2, Tilmann Heil2, Sascha Migura2, Jens Timo Neumann2
1 ASML Veldhoven, The Netherlands
2 Carl Zeiss Oberkochen, Germany

13 September 2017, EUVL Conference, Monterey

Outline
Public
Slide 2
EUVL 2017

Why high-NA?

Anamorphic Optics

Imaging

System Architecture

High-NA, what else?

Progress at Zeiss

Conclusions

International Conference on Extreme Ultraviolet Lithography 2017, edited by Paolo A. Gargini,


Patrick P. Naulleau, Kurt G. Ronse, Toshiro Itani, Proc. of SPIE Vol. 10450, 104500U
© 2017 SPIE · CCC code: 0277-786X/17/$18 · doi: 10.1117/12.2280592

Proc. of SPIE Vol. 10450 104500U-1


Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 25 Jan 2023
Terms of Use: https://www.spiedigitallibrary.org/terms-of-use
EUV extension roadmap
Public
Slide 3
introduction 55 WPH 125 WPH 145 WPH 185 WPH Overlay [nm] EUVL 2017

2013 NXE:3300B 7

2015 NXE:3350B 3.5

2017 NXE:3400B 3

NXE:next <3

High NA <2

products under study

Larger NA reduces Local CDU


0

5.

0
0******* 4330
h 4 Ot. 4 it p #
0.
a 41
# ft
a,
p * p 4 ft a
4' 0
#

ft * ft ft 0 ft ft
44 40

10.
Public
Due to larger aerial image contrast
.9k,

3,

ft ft at ft e
Slide 4
i. * ft

EUVL 2017
,3
if #

*p* pp
**3 0 *
ft

t
resist dose contrast
ft #
03*

.6
53$

ft *
wo,
ft

ft

i_hv(l+ Non-CAR resist, Quasar Illumination


LCDU (nm) .7.5
Q E)

7.0 4 50
Resist A
Resist R 20mJ/cm2 45 18nm CH
6.0 Resist C
Resist D
40 LCDU=15% ADI
5.0 O Resist E oC ® 35-
4.0
Resist F
Resist G
M= r Ó Ó
®á
a 2- 0.33NA \ 30
E 25 -
m
u 20
3.0 '-
0.55NA G 15
-
2.0 10-
5
1.0 O8 o
0.01 0.02 0.03 0.04 ana 0.06 0.07 0.08 0.09 0.10 18 23 28 38 l 4
1/Ydosen/HIIS Half nth trim]
NILS

Proc. of SPIE Vol. 10450 104500U-2


Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 25 Jan 2023
Terms of Use: https://www.spiedigitallibrary.org/terms-of-use
Larger NA results in higher effective throughput

>

bK
!
a a e a : a.a a a

ßß8 a
ä$
*
t
*
Public
NA limits # of LE steps and dose needed for LCDU Slide 5

fi
EUVL 2017

*
*
Quasar Illumination
1 1
1 TPT reduction by dose for LCDU and LEn
1 1
1 1
1 180 1 1
*
.x

1 1 t
t 160
t 140
1
1
1
1
1 1 1
t-
a 120 1 1
100 1 1
>, 80
1 2 U
c, 60 E2
2
1
1
40
20 LE3
lis, 1
2
1
2
1
2 3 3 3
0 1 1
1 2
24 22 20 18 16 14 12 10 2 2 2
1 (Final) Half Pitch [nm] 3 3
2 1 1 1 1
2 2
3 3 3
1 1
* Effective throughput = throughput / # LE steps

Public
Slide 6
EUVL 2017

Anamorphic Optics

Proc. of SPIE Vol. 10450 104500U-3


Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 25 Jan 2023
Terms of Use: https://www.spiedigitallibrary.org/terms-of-use
EUV: it’s all about the angle
Public
High-NA comes with large angles Slide 7
EUVL 2017

MoSi Multilayer ML reflection NA=0.55


0.7
0.6
Reflection 0.5
0.4
\ 0.3
0.2
0.1
0
0 5 10 15 20
Angle of incidence [deg]

Jan van Schoot


Jan vanet al, EUV
Schoot et al,roadmap extension
EUV roadmap extensionby
byhigher Numerical
higher Numerical Aperture,
Aperture, EUVL EUVL conference
conference 2016, Japan
2016, Hiroshima, Hiroshima, Japan

Light cones at the mask for a 0.33NA Scanner


Public
Enabling a solution with 26 mm slit on 6” masks Slide 8
EUVL 2017

Projection with 0.33 NA


Reticle Mag X: 4x
Reticle layout compatible with
today 6” mask production Mag Y: 4x

132 mm
104 mm

x
33 mm
y
6” mask
26 mm
x
y

Source: Jan van Schoot, ASML, “EUV roadmap extension by higher Numerical Aperture”, 2016 international symposium on EUV, 24 October 2016, Hiroshima Wafer

Proc. of SPIE Vol. 10450 104500U-4


Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 25 Jan 2023
Terms of Use: https://www.spiedigitallibrary.org/terms-of-use
Anamorphic High-NA EUV reduces the angles
Public
Enabling 26 mm slit on 6” masks, CRAO=5.355deg Slide 9
EUVL 2017

Multilayer Reflectivity
70%

60%

50% 0.33NA – Mag 4x


Reflectivity [%]

Y
40%
X
CRAO=6deg
33 mm
30%
High-NA CRAO=5.355deg
20% 26 mm
Y - 8x Y - 4x
4x/8x
0.55NA – Mag 4x
10%
X
0%
0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18

Angle of incidence on the mask [deg] Wafer

High-NA anamorphic Half Field concept


Public
Faster stages required to obtain high productivity half-field scanner Slide 10
EUVL 2017

Acceleration of mask stage ~4x

Y-magnification 4x  8x:
2x wafer acceleration results
Projection: 0.33 NA Projection: > 0.5 NA in 4x mask acceleration

III
;1111111
111111 I I Acceleration of wafer stage ~2x
111111 I I I
I I 1111 I I I I Half Field yields 2x more fields:
I I 1111 I I I I 2x wafer stage acceleration maintains
I 111111111 I I I overhead while going
to twice number of scans

Proc. of SPIE Vol. 10450 104500U-5


Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 25 Jan 2023
Terms of Use: https://www.spiedigitallibrary.org/terms-of-use
High-NA Field and Mask Size productivity
Public
Throughput >185wph with anamorphic Half Fields Slide 11
EUVL 2017

Throughput for various source powers and doses


200
High-NA anamorphic
180 WS 2x, RS 4x

160 HF
Throughput [300mm/hr]

0.33NA
140 WS, RS current performance

120

100
FF

80

60 500W Watt
30mJ/cm2
40
Fast stages enable
20
high throughput
0
0 5 10 15 20 25 30 35
despite half fields
Source Power/Dose [W/(mJ/cm2]

High-NA projection optics design available


Larger elements with tighter specifications Public
Slide 12
EUVL 2017

Extreme aspheres enabling


further improved wavefront / imaging performance
Mask level Obscuration enables
higher optics Transmission
Big last mirror driven by
High-NA

0.4
High NA optics design supports
significant reduction in wavefront RMS
rms [nm]

0.2
NXE:3300B
NXE:3350B
NXE:3400B
Wafer level High NA
(projected)
NA 0.33 NA >0.5 0.0
Design examples systems

Proc. of SPIE Vol. 10450 104500U-6


Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 25 Jan 2023
Terms of Use: https://www.spiedigitallibrary.org/terms-of-use
High-NA flexible illuminator design available
Public
Principle NXE:3300/3400 illuminator can be reused Slide 13
EUVL 2017

pupil @ mask pupil @ wafer Field Facet Mirror

Illuminator elliptical pupil


is projected in a
circular pupil at the wafer

Intermediate
Focus
Pupil Facet Mirror

See Joerg Zimmermann et al. “Flexible illumination for ultra-fine resolution with 0.33 NA EUV lithography”, EUVL Symposium 2016

Public
Slide 14
EUVL 2017

Imaging

Proc. of SPIE Vol. 10450 104500U-7


Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 25 Jan 2023
Terms of Use: https://www.spiedigitallibrary.org/terms-of-use
High-NA imaging evaluation of key structures:
Public
Comparable performance as 0.33 NA at ~40% tighter resolution Slide 15
EUVL 2017

25 300

250
æ 20 0.33NA Ê 0.33NA
ä
a S 200
9 15
0.55NA 0.55NA

510 10
o

0
f i i
Dense Hor Dense CHs Dense Hor Semi -dense Semi -iso
LIS spaces Hor spaces Hor spaces
to
C
50

0
Dense Hor Dense CHs Dense Hor Semi -dense Semi -iso
LIS spaces Hor spaces Hor spaces

Comparable Exposure Latitude at 40% DOF at equivalent k1 factor


. 
lower resolution Follows NA scaling 
.
Simulations based on high-NA lens Jones pupil; mask 3D effects included

Good overlapping process windows


For customer relevant structures: spaces and CH’s Public
Slide 16
EUVL 2017

8nm spaces through resolution 12nm staggered CHs


20 20
Si' 8nm CD, pitch 16nm 7
v 15 10nm CD, pitch 20nm ÿ 15
12nm CD, pitch 24nm
E to 3 10
N w
n -8nm HOr spaces thru pitch Ñ
ó 0 5
xx -enm Ver spaces thru pitch w
x
0
0 50 100 0 50 100
Depth of Focus mnm] Depth of Focus him]

DoF @ 10% EL (H,V) = 68 / 56nm DoF @ 10% EL = 73nm


Multi-pitch L/S pattern
Logic cutmask
....................................... _ ...

.......:.........:.........:.........:._...

....................................... _ ...

.._ ...:.........:.........:.........:._...

........'
r....
.._ I'
IN
'.

:
'
:

11111111
Simulations based on high-NA lens Jones pupil; mask 3D effects and curved exposure slit included

Proc. of SPIE Vol. 10450 104500U-8


Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 25 Jan 2023
Terms of Use: https://www.spiedigitallibrary.org/terms-of-use
High-NA system has smaller M3D effects than 0.33NA
Public
Smaller mask angles of incidence thanks to anamorphic optics Slide 17
EUVL 2017

1.0-''-
18nm 81/m0.33

1.2 - delta 45 [nm] - Ti

Two -bar trenches are a


V 1.1- -Tz
canary for M3D effects
0.9 -
0.8
NA=0.33 Y (4x) -150 -100 -50 0 50 100 150
defocus

NA=0.33 X (4x) 11nm NA =0.55


High-NA CRAO=5.355deg delta 7 ¡nm]

-
X f" T2
NA=0.55 Y (8x) 1.2 - Ti
V 1.1 -
1.0 -
NA=0.55 X (4x)
á 0.9
0.8
0 5 10 15 -50 -25 o 25 50
Mask Angle of Incidence [degr.] defocus

*L. de Winter, Understanding the Litho-impact of Phase due to 3D Mask-Effects when using off-axis illumination, EMLC 2015

Public
Slide 18
EUVL 2017

System Architecture

Proc. of SPIE Vol. 10450 104500U-9


Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 25 Jan 2023
Terms of Use: https://www.spiedigitallibrary.org/terms-of-use
High-NA system architecture available
Public
Slide 19
Lens & illuminator EUVL 2017
Improved metrology Mask Stage
• NA 0.55 for sub-10nm resolution
2~3x improvement in overlay/focus 4x increase in acceleration
• High transmission

TWINSCAN NXE 3400

New Frames Source


Wafer Stage
Improved thermal and dynamic Compatible with future 0.33 NA sources,
2x increase in acceleration
control with larger optics power improvements over time

New mask stage architecture allows for higher acceleration


Public
Slide 20
Current motor architecture EUVL 2017

limits improvements in
acceleration
Power ~  ·
12x 200 ·  ·  · 

10x 180
RS electrical power

Throughput [wph]

8x 160

6x 140
In vacuum Long Stroke motor enables higher
4x 120 acceleration
Limit the increasing power by:
2x 100 • Improved motor technology (k, R)
Values relative to NXE:3400 • Low mass in-vacuum stage
0x 80 architecture
0 1x 2x 3x 4x
Acceleration

Proc. of SPIE Vol. 10450 104500U-10


Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 25 Jan 2023
Terms of Use: https://www.spiedigitallibrary.org/terms-of-use
Reticle stage acceleration
Public
4 x 3400 acceleration Slide 21
EUVL 2017

3400 reticle stage High-NA reticle stage

Jan van Schoot et al, EUV roadmap extension by higher Numerical Aperture, EUVL conference 2016, Hiroshima, Japan

Mask stage short-stroke motor: demonstrated improved


Public
accuracy at high acceleration Slide 22
EUVL 2017

Measured Required
Actuators force (N) ref 1.2x

Positioning accuracy 0.37 <1.1


(MA-Y, nm)

;;;

Proc. of SPIE Vol. 10450 104500U-11


Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 25 Jan 2023
Terms of Use: https://www.spiedigitallibrary.org/terms-of-use
Cooling Hood as Wafer Heating Solution
Public
Extract heat from wafer using a cold body & gas pressure outside exposed area Slide 23
EUVL 2017
without Top Cooler with Top Cooler (“Cooling Hood”)

DGL EUV DGL EUV


Include
Gas Wafer Top Top Cooler T = low Gas
Wafer Cooling Wafer Pcool Pcool

Clamp Clamp

  ,  ·  · 

Pcool = Cooling power [W]


Modelled raw distortions Modelled raw distortions A = Top cooler area [m2]
11.4nm 1.0nm dT = Twafer – Thood [K]
HTC = heat transfer coefficient
[W/(m2·K)]

Generic System Service Access Public


Slide 24
EUVL 2017
Outer covering removed

access to outer shell of machine

Proc. of SPIE Vol. 10450 104500U-12


Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 25 Jan 2023
Terms of Use: https://www.spiedigitallibrary.org/terms-of-use
Generic System Service Access Public
Slide 25
EUVL 2017
Wafer stage shifted out

access to bottom side of illuminator, projection optics & metro frame

Generic System Service Access Public


Slide 26
EUVL 2017
Wafer stage shifted out

access to alignment sensor & level sensor

Proc. of SPIE Vol. 10450 104500U-13


Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 25 Jan 2023
Terms of Use: https://www.spiedigitallibrary.org/terms-of-use
Generic System Service Access Public
Slide 27
EUVL 2017
Top module lift & shift

111110

Ijlllll,
0
rll'Itr/4:..
100$4
0440
111 1111111
00
Iljllljlllj,
II111¡
11111111;

lr
11j11111111

11
10SSi \
1IIIIjllllj1111 .
1111111111111
Iljljlllj11111i,
11111111111111,,1

044*
1111011
Iljllllllj111

access to bottom side of top module


top side of illuminator & projection optics
,

Generic System Service Access Public


Slide 28
EUVL 2017
Mid frame removed

access to sides of illuminator


& projection optics, swap of optics

Proc. of SPIE Vol. 10450 104500U-14


Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 25 Jan 2023
Terms of Use: https://www.spiedigitallibrary.org/terms-of-use
Public
Slide 29
EUVL 2017

High-NA, what else?

Source power: 250W demonstrated


Public
10x improvement in five years, good future outlook Slide 30
EUVL 2017

EUV Power History 250W with dose in specifications


50
obtained on development source
25
--
(MeanHb91%)(mJ]

00 Overhead EUV - 53
(Mean + /- BB.] %) [ %] (Meen+l-99.7%) [mJ]
FIN -33

o 6N
75
50
cc

25
Paw r-53
(Mean) [WI
ág ég8

00
Research
75
o

Shipped
50 To be shipped
21°/)

in 2017
25
Pre
Me an n.

0 0
9

08 09 10 11 12 13 14 15 16 17 18
Year

Proc. of SPIE Vol. 10450 104500U-15


Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 25 Jan 2023
Terms of Use: https://www.spiedigitallibrary.org/terms-of-use
Resist is progressing…
Public
Exposure at PSI, SEM at ASML Slide 31
EUVL 2017

Dense Inpria YA-Series Inpri a YA-Series Inpria YA-Series Inpria YA-Seri es


Lines hp 13nm 12nm 11nm 10nm

SEM
image
©BE/BF

Dose 37 mJ/cm 55 nJ/cm 69 mJ/cm 70mJ/cm2


EL 20% 11/0 -

LWR 3.2nm 3.4nm

• Down to 10nm resolution is shown through dose Ònpria


Down to lOnm resolution is shown through dose
• Mask and process optimization will improve line quality
• <10nm hp is expected with suitable mask
Doses are calibrated to match NXE:3400 with baseline CAR

High-NA delivers 2-3x power to the wafer wrt NXE:3400


Power density at the pellicle does not change Public
Slide 32

Mask
' Mask
High-NA pellicle power
density (W/cm2) unchanged:
EUVL 2017

Increased
optics
transmission \\ Increased slit
POB width (4/8x)
High-NA delivers 2-3x more
power to the wafer:
Improved LCDU
Wafer
Increased
source power Wafer
Improved throughput

Proc. of SPIE Vol. 10450 104500U-16


Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 25 Jan 2023
Terms of Use: https://www.spiedigitallibrary.org/terms-of-use
Thinner high-k absorber improves imaging and overlay
Public
Slide 33
Impact of M3D effect can be mitigated by EUVL 2017

Absorber stack
• Use of SRAF’s
• M3D aware SMO
• Tight focus control MoSi Multi layer
• Improved mask absorber stack

Sub -Resolution- Assist -Features recover contrast loss ASML High k absorber could increase contrast and reduce ASML
from obscuration and reduce Mask3D overlay Public
Slide 20 Mask3D overlay by -2x for Dipole test case slide2z

0
DipoleY / X 55nm Ta-based DipoleY / X

obscured L/S through resolution SI L/S through resolution unobscured L/S through resolution 1/S through resolution
pupil
i pupil 10
-H-55nmTa =H - 33nm high k 1
-H-55nmTa H - 33nm high k
V- 55nm Ta ---V- 33nm high k
Ç 0.8 8 0.8 ----V - 55nm Ta V - 33nm high k
6
ó 0.6 6
c 0.6
z 4
v_
.`._
_ __
, 0.4 4 <T, 0.4
2
>
O 0.2 2 Ó0.2 ------_ ---_:..
o 0 0 0
5 10 15 20 25 30 5 10 15 20 25 30 10 20 30 40 50 0 10 20 30 40 50
Half pitch [nm] Half pitch [nm] Half pitch [nm] Half pitch [nm]
H H - Obsc -H Obsc BRAE SRAF size adjusted per pitch:
Alternative mask stack:
V - Obsc - - --V - Obsc Assumed Focus budget:
V
Horizontal: 20nm - 56nm (mask, 8x) 33nm high k material (n,k Ni used) 0.55NA = 30nm
Vertical: 16nm - 30nm (mask, 4x)
A 2017 International Symposium on Extreme Ultraviolet Lithography, Monterey. CA

Eelco van Setten et al., EUVL 2017

Public
Slide 34
EUVL 2017

Progress at Zeiss

Proc. of SPIE Vol. 10450 104500U-17


Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 25 Jan 2023
Terms of Use: https://www.spiedigitallibrary.org/terms-of-use
High-NA surface metrology
Public
Slide 35
EUVL 2017
vacuum chambers
 Accuracy of mirror surface metrology is key for
imaging quality

 High-NA wave front needs 2x


better measurement accuracy

 Larger mirrors: Diameter of


mirrors about doubled

Courtesy Carl Zeiss SMT GmbH, 2016-09-20

Long-lead items getting in place Public


Slide 36

Vessel parts are machined, 1st robots for large mirror handling EUVL 2017

ander

Chamber doors Outer mirror handling robot

Courtesy Carl Zeiss SMT GmbH, 2016-09-20

Proc. of SPIE Vol. 10450 104500U-18


Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 25 Jan 2023
Terms of Use: https://www.spiedigitallibrary.org/terms-of-use
New grinding technology and machinery Public
Slide 37
EUVL 2017

Courtesy Carl Zeiss SMT GmbH, 2016-09-20

Facility construction Zeiss Oberkochen, status Sept ‘17 Public


Slide 38
EUVL 2017

r' l1,7/i`#w-

Courtesy Carl Zeiss SMT GmbH, 2016-09-20

Proc. of SPIE Vol. 10450 104500U-19


Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 25 Jan 2023
Terms of Use: https://www.spiedigitallibrary.org/terms-of-use
Summary
Public
Slide 39
EUVL 2017

• High-NA extends Moore’s Law into the next decade


• Larger contrast of High-NA helps mitigating LCDU

• New anamorphic concept enables good imaging with existing mask


infrastructure resulting in a Half Field image

• New stages technologies and high transmission enable throughput


~185WpH

• We are closing the feasibility, optics in design phase

Public
The authors would like to thank the High-NA teams in
- Oberkochen
- Wilton
- Veldhoven

Thank you
for your attention

Parts of these developments were funded by ECSEL JU projects SeNaTe, TAKE5 and TAKE-MI5

We thank the Federal Ministry of Education and Research (Germany) for funding of the BMBF project 16N12256K
„ETIK“ and the projects 16ES0255K „E450LMDAP“, 16ESE0036K “SeNaTe”, 16ESE0072K “TAKE5” within the
framework of the ENIAC and ECSEL programs, respectively.

Proc. of SPIE Vol. 10450 104500U-20


Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 25 Jan 2023
Terms of Use: https://www.spiedigitallibrary.org/terms-of-use

You might also like