Contador Utilizando Datos Tipo Entero Intege

You might also like

Download as pdf or txt
Download as pdf or txt
You are on page 1of 1

File: C:/My_Designs/Contador_utilizando_datos_tipo_entero_integer/integer/src/integer.

vhd

1 ------------------------------------------------------------------------
-------
2 --
3 -- Title : cont
4 -- Design : integer
5 -- Author : kirito11134532@gmail.com
6 -- Company : ALPHAMECATRONICS
7 --
8 ------------------------------------------------------------------------
-------
9 --
10 -- File : c:\My_Designs\Contador_utilizando_datos_tipo_entero_integer\integer\
integer.vhd
11 -- Generated : Tue Nov 14 00:56:10 2023
12 -- From : interface description file
13 -- By : Itf2Vhdl ver. 1.22
14 --
15 ------------------------------------------------------------------------
-------
16 --
17 -- Description :
18 --
19 ------------------------------------------------------------------------
-------
20
21 --{{ Section below this comment is automatically maintained
22 -- and may be overwritten
23 --{entity {cont} architecture {arq_cont}}
24
25 library IEEE;
26 use IEEE.std_logic_1164.all;
27
28 entity cont is
29 port(
30 clk : in STD_LOGIC;
31 reset : in STD_LOGIC;
32 Q : inout integer range 0 to 15
33 );
34 end cont;
35
36 architecture arq_cont of cont is
37 begin
38 process (clk,reset) begin
39 if (clk'event and clk='1')then
40 if (reset ='1' or Q=9) then
41 Q<=0;
42 else
43 Q<= Q + 1;
44 end if;
45 end if;
46 end process;
47
48
49
50
51 end arq_cont;
52

- 1 -

You might also like