Download as pdf or txt
Download as pdf or txt
You are on page 1of 1

verdi简介和安装

仿真器默认,且为设置PATH 做准备
VERDI_HOME/NOVAS_HOME

让系统能够找到verdi
PATH

让系统能够找到verdi所需要的库文件
LD_LIBRARY_PATH
verdi环境配置(gvim ~/.bashrc)

例:

tb文件中添加代码段
产生.fsdb文件
注意:async_fifo为.fsdb文件的名字,可修改

基于Verilog系统函数

-full64 以64位linux系统运行

-sverilog 支持system verilog 语法

-debug_all 编译命令

-timescale=1ns/10ps 编译精度

-f xxx.list
皆可以指定Verilog设计文件列表(相对路径)
-f xxx.f

-f file.list 所有需要的.v文件相对路径
M akefile脚本
-fsdb 表示支持fsdb相应操作
V C S部分
+define+FSDB 在所有的.v文件中添加`define FSD B ,有这个才能调用fsdb的相关函数

-l xxx.log 保存编译过程生成的日志

./simv 启动仿真

-f file.list 所有需要的.v文件相对路径

-ssf xxx.fsdb 启动 verdi 自动加载 fsdb 类型的波形

verdi部分 -nologo 软件打开界面不加载欢迎界面

-top xxx 指定xxx模块为仿真顶层模块

& 后台打开verdi

-debug_pp 使能ucli命令

生成(.fsdb)波形文件和编写M akefile脚本 -LDFLAGS 传递参数给V C S的Linker

-rdynamic 指示需要加载的动态库

-P xxx/novas.tab 加载表格文件novas.tab

-P xxx/pli.a 加载静态库pli.a
M akefile脚本
verdi +vcs+lic+wait 若没有可用的通知,则告诉V C S等待网络许可证
V C S部分

-ucli -i xxx.tcl ucli的输入文件(-i)为xxx.tcl

+fsdb+autoflush 命令行参数autoflush,边仿真边dum p波形

基于ucli/tcl接口

-ssf xxx.fsdb 启动 verdi 自动加载 fsdb 类型的波形


verdi部分
-sv 支持 system verilog

使用ucli、tcl接口(V C S使用tcl脚本) touch xxx.tcl

两种方法优缺点

熟悉界面

模块波形:选择某一模块,按 ctrl+4 (或者直接拖拽)


选择信号
代码界面中选择某一信号,按 ctrl+w (或者直接拖拽)
某一信号波形:
nw ave界面按 g,选择响应的信号

全局波形:按 f 键 ,或者nw ave界面点击100%

缩小波形:按 z 键

放大波形:鼠标左键拖选放大范围

移动信号:鼠标中键选位置 + 鼠标左键选择信号 + M ,或直接选中拖拽


查看波形(nw ave界面)
显示结构:按 h 键

删除信号:按 delete 键

复制信号:选中按ctrl+p

粘贴信号:鼠标中键选择位置,按 Fn+insert

nw ave界面:按 shift+M

标记时间节点(M arker)

nw ave界面:file - save signals


信号保存(格式为xxx.rc)
按 shift + s
信号保存和恢复
nw ave界面:file - restore signals
verdi快速使用 信号恢复
按r

ntrace界面:source - find signal/instance


查找信号
按 shift + A

ntrace界面:source - active annotation

查看信号变化

鼠标放置代码窗口,按 x

nwave

熟悉一个新的设计

ntrace

在ntrace界面: tools - preferrence - G eneral - font 修改字体大小和类型,后关闭软件

修改后的设置保存在 /hom e/synopsys/verdi/novas.rc中

永久修改verdi默认设置字体 gvim ~/.bashrc 添加 export N O VA S_R C =“


/hom e/synopsys/verdi/novas.rc”

在命令行输入 source ~/.bashrc

配置完毕,重开软件

You might also like