US20040178172A1

You might also like

Download as pdf or txt
Download as pdf or txt
You are on page 1of 7

US 2004O178172A1

(19) United States


(12) Patent Application Publication (10) Pub. No.: US 2004/0178172 A1
Huang et al. (43) Pub. Date: Sep. 16, 2004
(54) METHOD OF REMOVING METAL ETCHING Publication Classification
RESIDUES FOLLOWING A METAL
ETCHBACK PROCESS TO IMPROVE A CMP (51) Int. Cl." ........................ H01B 13/00; H01L 21/302;
PROCESS HO1L 21/.461
(52) U.S. Cl. ................................................................ 216/20
(75) Inventors: Yai-Yei Huang, Hsinchu (TW); Yuh-Da
Fan, Hsinchu (TW)
(57) ABSTRACT
Correspondence Address:
TUNG & ASSOCATES
Suite 120 A method for reducing wafer Surface Scratching in a metal
838 W. Long Lake Road CMP process including providing a Semiconductor wafer
Bloomfield Hills, MI 48302 (US) having a proceSS Surface comprising a blanket deposited
metal layer, dry etching in an etchback process comprising
(73) Assignee: Taiwan Semiconductor Manufacturing a fluorine containing etching chemistry to remove at least a
Co., Ltd. portion of the metal layer forming a metal and fluorine
containing etching residue at the process Surface; cleaning
(21) Appl. No.: 10/385,767 the process Surface with a hydrofluoric acid (HF) containing
cleaning Solution; and carrying out a Subsequent metal
(22) Filed: Mar. 11, 2003 chemical mechanical polishing (CMP) process.

PROVIDE PROCESS WAFER HAVING PLUIG 201


OPENINGS AND BARRIER LAYER S.

BLANKETDEPOSITTUINGSTEN 203

DRYETCHBACK TUINGSTEN FORMING 205


ETCHING RESIDE AT PROCESS SURFACE

CLEAN PROCESS SLIRFACE WITH HFSOLLITION 207

BLANKETDEPOSITT LAYER OVER PROCESS 209


SURFACE TO FILL PLLIG KEYHOLES

CMP TO REMOVE Ti LAYER AND BARRIER LAYER 211

IMD OXDE CMP BIFFING 213


Patent Application Publication Sep. 16, 2004 Sheet 1 of 2 US 2004/0178172 A1

14
12

Figure 1C 20 18B
18A
14
12
10
Figure 1D

18B 20

12
Patent Application Publication Sep. 16, 2004 Sheet 2 of 2 US 2004/0178172 A1

PROVIDE PROCESS WAFER HAVING PLUIG 20


1.
OPENINGS AND BARRIER LAYER

BLANKETDEPOSITTUINGSTEN 203

DRY ETCHBACK TUINGSTEN FORMING 20


ETCHING RESIDLIEAT PROCESS SURFACE 5

CLEAN PROCESS SLIRPACE WITH HFSOLLITION 207

BLANKETDEPOSITT LAYER OVER PROCESS


SURFACE TO FILL PLUIG KEYHOLES
209

CMP TO REMOVE T LAYER AND BARRIER LAYER 211

IMD OXIDE CMP BUIFFING 213

Figure 2
US 2004/0178172 A1 Sep. 16, 2004

METHOD OF REMOVING METAL ETCHING embodied and broadly described herein, the present inven
RESIDUES FOLLOWING A METAL ETCHBACK tion provides a method for reducing wafer Surface Scratching
PROCESS TO IMPROVE A CMP PROCESS in a metal CMP process.
FIELD OF THE INVENTION 0008. In a first embodiment, the method includes provid
0001. This invention generally relates to semiconductor ing a Semiconductor wafer having a process Surface com
processing methods including formation of metallization prising a blanket deposited metal layer, dry etching in an
plugs and more particularly to a method to reduce CMP etchback process comprising a fluorine containing etching
Scratching caused by metallic residue contamination formed chemistry to remove at least a portion of the metal layer
during a metal etchback process. forming a metal and fluorine containing etching residue at
the process Surface, cleaning the proceSS Surface with a
BACKGROUND OF THE INVENTION hydrofluoric acid (HF) containing cleaning Solution; and,
0002 Metallization interconnects are critical to the carrying out a Subsequent metal chemical mechanical pol
proper electronic function of Semiconductor devices. Sev ishing (CMP) process.
eral advances in Semiconductor processing have been aimed 0009. These and other embodiments, aspects and features
at improving Signal transport Speed by reducing metal of the invention will be better understood from a detailed
interconnect resistivities and improving resistance to elec description of the preferred embodiments of the invention
tromigration effects. Copper has increasingly become a which are further described below in conjunction with the
metal of choice in, for example, upper levels of metallization accompanying Figures.
in a multi-level Semiconductor device due to its low resis
tivity and higher resistance to electromigration. Tungsten is BRIEF DESCRIPTION OF THE DRAWINGS
still preferred for use in the lower metallization layers
adjacent to the Silicon Substrate Since it provides an effective 0010 FIGS. 1A-1F are cross sectional side view repre
diffusion barrier to metal diffusion from overlying metalli Sentations of a portion of a Semiconductor wafer at Stages in
Zation layers to react with the Silicon Substrate. Tungsten device manufacture according to the method of the present
further has high resistance to electromigration and can invention.
effectively be used to fill high aspect ratio vias by chemical 0011 FIG. 2 is a process flow diagram including several
vapor deposition (CVD) processes. embodiments of the present invention.
0.003 A Serious problem in tungsten plug formation
according to prior art methods of using a dry etchback DETAILED DESCRIPTION OF THE
process to remove the tungsten metal above the via level is PREFERRED EMBODIMENTS
that during the dry etchback proceSS residual metal contain
ing particles ranging in size from about 0.5 microns to about 0012 Although the method of the present invention is
40 microns frequently remain on the wafer proceSS Surface. explained by reference to formation of a tungsten plug, it
Following the tungsten etchback process a CMP proceSS will be appreciated that the method of the present invention
may be Subsequently used to complete the removal of exceSS may be advantageously applied to the any metal plug
tungsten above the plug level or to remove an underlying formation process where residual metallic contaminates
barrier layer blanket deposited to line the plug prior to form on a process Surface where components in the etching
tungsten deposition. A major problem in tungsten plug chemistry have chemically reacted with a metal layer in a
formation proceSS is the Scratching of the process wafer dry etchback process to produce a metal containing etching
Surface caused by the residual particles or etching residue in by-product at the proceSS Surface.
a subsequent CMP process. 0013 In a first embodiment, the method includes provid
0004 Prior art processes been attempted cleaning the ing a Semiconductor wafer including a dielectric insulating
wafer Surface of tungsten particles by wet methods typically layer having plug openings lined with a barrier layer formed
including deionized water together with Scrubbing. These according to a blanket deposition proceSS and an overlying
methods have not been entirely Successful and have been first metal layer formed according to a blanket deposition
found in many cases to fail to reduce the level of Scratching process filling the plug openings, dry etching in an etchback
in Subsequent CMP processes. process to remove at least a portion of the first metal layer
above the plug opening level while forming a metallic
0005 Therefore, there is a need in the semiconductor etching residue by reaction with an etching chemistry on the
processing art to develop a method for a reliable cleaning wafer Surface; and, performing a post etchback wet cleaning
proceSS following a metal etchback to remove metal con process using a hydrogen fluoride (HF) containing Solution
taining etching residue produced during the metal etchback to remove the metallic etching residue.
proceSS in order to improve a Subsequent CMP process.
0014. In Subsequent processes, a second metal layer is
0006. It is therefore an object of the invention to provide deposited over the wafer surface followed by a chemical
a method for a reliable cleaning proceSS following a metal mechanical polishing (CMP) to remove at least a portion of
etchback to remove metal containing etching residue pro the Second metal layer overlying the plug level.
duced during the metal etchback proceSS in order to improve
a Subsequent CMP process while overcoming other short 0015. In one embodiment, the etching chemistry includes
comings of the prior art. fluorine. In another embodiment, the etching chemistry
SUMMARY OF THE INVENTION
includes both fluorine and sulfur, for example, SF. Prefer
ably, the metallic etching residue includes fluorine formed
0007 To achieve the foregoing and other objects, and in by chemical reaction between the fluorine containing etch
accordance with the purposes of the present invention, as ing gases and the metal etching target Surface. In another
US 2004/0178172 A1 Sep. 16, 2004

embodiment, the metal etching target Surface is a titanium Referring to FIG. 1D, the tungsten metal layer 18 overlying
containing metal, for example at least one of a titanium the barrier layers 18A and 18B above the plug level 16 is
nitride or titanium containing barrier layer. In a preferred then removed according to a dry etchback process to at least
embodiment, the Second metal layer is a titanium containing partially reveal the underlying barrier layer 18B and 18A
metal, for example at least one of a titanium nitride or according to a conventional tungsten metal dry etch back
titanium, and the metal CMP process is a titanium metal process, for example, using Sulfur-hexafluoride SF and
CMP process. optionally chlorine or bromine as an etching chemistry.
0016 Referring to FIGS. 1A-1F, in an exemplary 0019. It has been found that during the etchback process,
embodiment of the method of the present invention, side a metallic etching residue, formed by a chemical reaction
View portions of a Semiconductor wafer are shown at Stages between the etching chemistry and the barrier layer, is
in a Semiconductor device integrated circuit manufacturing formed during dry etching of the barrier layer e.g., 18B
process. Referring to FIG. 1A, a first metal layer 10, for and/or 18A, believed to be a titanium/fluoride compound
example a contact layer formed of an aluminum:copper e.g., (TF) and also believed to include Sulfur. Regardless of
alloy is deposited by conventional methods, for example, the precise chemical formulation of the metallic etching
physical vapor deposition (PVD). A first barrier layer 12, for residue, it has been determined that the metallic etching
example a titanium/titanium nitride layer, is provided over residue is responsible for causing Scratching in a Subsequent
the metal layer 10. For example, a titanium/titanium nitride metal CMP process.
(e.g., Ti/TiN) layer is deposited by conventional PVD and
CVD methods, for example, first depositing Ti by a PVD 0020 For example, following the tungsten etchback pro
process followed by a low pressure CVD (LPCVD) process cess as described by reference to FIG. 1D, according to an
to deposit TiN, to a thickness of about 50 to about 300 aspect of the present invention, a wafer cleaning process
Angstroms. Overlying the first barrier layer 12 is formed an using a hydrofluoric acid containing cleaning Solution is
inter-metal dielectric (IMD) layer 14, formed of, for used to remove the metallic residue, for example the tita
example TEOS (tetra-ethyl-Ortho-silicate) silicon dioxide, nium/fluorine (e.g., TiF) containing residue formed during
and optionally including a dopant Such as fluorine or carbon the dry tungsten etchback process. Preferably, at least a
to lower the dielectric constant. The IMD layer is typically brush cleaning process using a hydrofluoric acid containing
formed by plasma enhanced CVD (e.g., PECVD or HDP cleaning solution is used. For example, a DNS (Dai Nippon
CVD) deposited to a thickness of about 3,000 to about 7,000 Screen brush) cleaner has suitable properties for brush
Angstroms. An anti-reflectance (ARC) layer (not shown), cleaning the process Wafer according to an embodiment of
for example titanium nitride, is optionally deposited over the the present invention. For example, the DNS Model
IMD layer 14 to reduce light reflections in a subsequent AS-2000 (DNS brush cleaner) cleans the wafer using a
photolithographic patterning process to form a plug opening combination of rinsing, megaSonic rinsing, and brush clean
etching pattern. ing and is Suitably used in a preferred cleaning process
according to an embodiment of the invention. Preferably the
0017 Referring to FIG. 1B, following a conventional brush cleaning process includes the use of polyvinyl alcohol
photolithographic patterning and anisotropic etching proceSS (PVA) brushes where the cleaning solution may be sprayed
a plug opening 16 is anisotropically etched by a conven or dripped onto the wafer Surface or provided through the
tional RIE process, for example including fluorocarbon and brush.
hydrofluorocarbon etching chemistries in a multi-step pro
ceSS, including etching through the IMD layer, and barrier 0021 Preferably, the HF cleaning solution is a 0.5 per
layer 12 to form closed communication with the underlying cent to about 1.5 percent by volume HF in deionized water,
metal layer 10. A Second barrier layer, for example, a more preferably about a 1 percent by Volume. For example,
titanium/titanium nitride bilayer e.g., 18A and 18B, is blan the dilute HF cleaning solution is formed by a volumetric
ket deposited over the plug opening to line the plug opening mixture of about 1:50 ratio of electronic grade HF (49% HF)
16 at a thickness of about 50 Angstroms to about 300 in deionized water. In a preferred embodiment, the cleaning
Angstroms by conventional PVD and CVD process. More process using a brush Scrubbing process is carried out for a
preferably, for example, in the formation of integrated period of about 6 Seconds to about 18 Seconds. For example,
circuits used for image sensors, a PVD layer of titanium (TI) following the tungsten etchback proceSS at least a portion of
e.g., 18A is first deposited at a thickness of about 50 to about the barrier layer is revealed. During the wafer cleaning
200 Angstroms followed by formation of a CVD layer 18B process it is important not to overetch the barrier layer in
of titanium nitride to form a barrier layer having a total order to prevent creating larger or new Voids and keyholes
thickness of 200 to about 300 Angstroms to improve a in the tungsten plugs.
Subsequent conventional tungsten metal filling CVD pro 0022 Referring to FIG. 1E, following the wafer cleaning
ceSS. For example, it has been found that the titanium layer process a titanium containing layer, preferably including a
18A including the overlying CVD titanium nitride layer 18B
improves the tungsten filling process to reduce the formation first layer 22A of titanium nitride (e.g., TiN) of about 50 to
of keyholes or voids in a Subsequent tungsten deposition about 150 Angstroms is deposited by a conventional PVD
proceSS filling plug opening 16 to form a tungsten plug. process followed by PVD deposition of a titanium (Ti) layer
22B to a thickness of about 1200 Angstroms to about 1700
0.018 Referring to FIG. 1C, tungsten is blanket deposited Angstroms, more preferably about 1400 to about 1600
by a conventional CVD process, for example by using Angstroms. It will be appreciated that the TiN layer 22A
hydrogen and/or silane reduction of WF by a low pressure may be formed by a conventional nitridation process fol
CVD (LPCVD) process carried out at about 350° C. to 450 lowing PVD deposition of titanium layer 22B. According to
C., to fill the plug opening 16 and form an overlying an aspect of the present invention, the deposition of the TiN
tungsten layer 20 over the barrier bilayer 18A and 18B. layer 22A and the Tilayer 22B are for the purpose of filling
US 2004/0178172 A1 Sep. 16, 2004

in any keyholes or voids formed in the tungsten plug filling What is claimed is:
proceSS and exposed following the dry etchback process. 1. A method for reducing wafer Surface Scratching in a
metal CMP process comprising the Steps of:
0023 Referring to FIG. 1F, following the deposition of
the titanium containing layer, e.g., 22A and 22B, a conven providing a Semiconductor wafer having a proceSS Surface
tional titanium CMP process is used to remove the overlying comprising a blanket deposited metal layer;
titanium containing layer, for example, Tilayer 22B and TiN dry etching in an etchback process comprising a fluorine
layer 22A above the tungsten plug level followed by a containing etching chemistry to remove at least a
conventional oxide buffing process of the IMD layer 14 to portion of the metal layer forming a metal and fluorine
remove any scratches from the CMP process. containing etching residue at the process Surface;
0024. It has been found that the titanium/fluorine con cleaning the process Surface with a hydrofluoric acid (HF)
taining residue formed during the dry etchback process of containing cleaning Solution to remove the metal and
the tungsten metal layer above the plug level causes Severe fluorine containing etching residue, and,
scratching in the titanium CMP process. For example the carrying out a Subsequent metal chemical mechanical
Scratches include both macro Scratching, Visible to the naked polishing (CMP) process.
eye and micro Scratching visible on a visible microscope 2. The method of claim 1, wherein the metal and fluorine
magnification of about 50x to about 200x. The wafer containing etching residue comprises titanium.
cleaning process of the present invention removes the tita 3. The method of claim 1, wherein the blanket deposited
nium/fluorine containing residue and reduces Scratching to metal layer is provided over an etched opening formed in a
produce a relatively Scratch free Surface (by optical micros dielectric insulating layer the etched opening lined with a
copy) following the titanium CMP process as well as pro blanket deposited barrier layer.
ducing a keyhole-free tungsten plug. 4. The method of claim 3, wherein the barrier layer
0.025 In another aspect of the invention, the titanium comprises titanium.
CMP process an over polish time of about 0 seconds to about 5. The method of claim 4, wherein the barrier layer
20 Seconds is used following polishing the overlying tita comprises a bilayer of titanium and titanium nitride.
nium metal layer to reveal the (TiN) layer. It is important to 6. The method of claim 4 wherein the metal layer com
limit the over polish time to avoid reforming keyhole or prises tungsten.
Voids revealed in the tungsten etchback process. 7. The method of claim 3, wherein the step of dry etching
in an etchback process further comprises removing the metal
0026. Thus, according to the present invention a method layer to reveal at least a portion of the barrier layer.
has been provided to reduce wafer Surface Scratching to 8. The method of claim 4, wherein the step of dry etching
produce a relatively Scratch-free wafer Surface following a in an etchback process further comprises removing the metal
tungsten etchback process and a Subsequent metal CMP layer to reveal at least a portion of the barrier layer.
process, thereby improving the Subsequent metal CMP pro 9. The method of claim 8, wherein the fluorine containing
ceSS. In addition the method of the present invention pro etching chemistry comprises Sulfur hexafluoride.
vides a method to produce keyhole free tungsten plugs 10. The method of claim 8, wherein the wherein the metal
following a tungsten etchback process. and fluorine containing etching residue comprises titanium
and at least one of fluorine and Sulfur.
0.027 Referring to FIG. 2 is a process flow diagram 11. The method of claim 1, wherein the HF containing
including Several embodiments of the present invention. In cleaning solution includes about 0.5 to about 1.5 volume
a first process 201 a dielectric insulating layer (IMD layer) percent HF in deionized water with respect to a cleaning
is provided including plug openings lined with a titanium Solution volume.
containing barrier layer. In process 203, a tungsten layer is
blanket deposited to fill the plug openings. In process 205, 12. The method of claim 11, wherein the HF containing
a dry etchback process is used to remove the tungsten layer cleaning Solution includes about 1 Volume percent HF in
above the plug to reveal at least a portion of the barrier layer deionized water with respect to a cleaning Solution Volume.
thereby producing a titanium fluoride containing etching 13. The method of claim 11, wherein the step of cleaning
residue. In process 207, a cleaning proceSS using an HF comprises at least a brush Scrubbing process while Simul
containing cleaning Solution is used to the etching residue. taneously applying the cleaning Solution.
In proceSS 209, a titanium containing layer is blanket depos 14. The method of claim 3, further comprising blanket
ited over the tungsten filled plugs to fill in tungsten plug depositing a Second metal layer over the process Surface
keyholes and voids. In process 211, a titanium CMP process following the Step of cleaning the proceSS Surface.
is carried out to remove the titanium containing layer above 15. The method of claim 14, wherein the second metal
the plug level. In proceSS 213, an oxide buffing process is layer comprises titanium.
carried out to remove Scratches introduced during the tita 16. The method of claim 15 wherein a metal CMP process
nium CMP process. is used to remove at least a portion of the Second metal layer.
0028. The preferred embodiments, aspects, and features 17. A method for reducing wafer Surface Scratching in a
titanium CMP process to provide keyhole-free tungsten
of the invention having been described, it will be apparent plugs comprising the Steps of:
to those skilled in the art that numerous variations, modifi
cations, and Substitutions may be made without departing providing a Semiconductor water having a process Surface
from the spirit of the invention as disclosed and further comprising a blanket deposited tungsten layer Said
claimed below. deposited tungsten layer formed over a plug opening
US 2004/0178172 A1 Sep. 16, 2004

formed in a dielectric insulating layer the plug opening 19. The method of claim 18, wherein the step of cleaning
lined with a blanket deposited titanium containing the process Surface further comprises at least one of a
barrier layer; megaSonic and brush Scrubbing proceSS while contacting the
dry etching in an etchback process comprising a fluorine process Surface with the cleaning Solution.
containing etching chemistry to remove the tungsten 20. The method of claim 17, wherein the fluorine con
layer to reveal at least a portion of the barrier layer to taining etching chemistry comprises Sulfur hexafluoride
form a titanium and fluorine containing etching residue (SF).
at a process Surface;
21. The method of claim 17 wherein the barrier layer
cleaning the process Surface with a hydrofluoric acid (HF) comprises at least one of titanium and titanium nitride.
containing cleaning Solution to remove the titanium 22. The method of claim 18, wherein the step of cleaning
and fluorine containing etching residue,
in a brush cleaning proceSS is carried out from about 6
blanket depositing a titanium containing layer over the Seconds to about 18 Seconds.
process Surface; and,
23. The method of claim 17, wherein the titanium con
carrying out a CMP process to remove the titanium taining layer is deposited to a thickness of from about 1200
containing layer above the tungsten filled plug level. to about 1700 Angstroms.
18. The method of claim 17, wherein the HF containing
cleaning Solution comprises from about 0.8 to about 1.2
volume percent HF in deionized water with respect to a
cleaning Solution volume.

You might also like