Professional Documents
Culture Documents
Lab1 Ex2 - 2
Lab1 Ex2 - 2
Lab1 Ex2 - 2
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity L1_E2_2_201B013 is
Port ( a : in STD_LOGIC;
b : in STD_LOGIC;
c : out STD_LOGIC);
end L1_E2_2_201B013;
begin
process(sel,a,b)
begin
case sel is
end case;
end process;
end Behavioral;
Test Bench
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
ENTITY l1_e2_013_tb IS
END l1_e2_013_tb;
COMPONENT L1_E2_2_201B013
PORT(
a : IN std_logic;
b : IN std_logic;
c : OUT std_logic
);
END COMPONENT;
signal c : std_logic;
BEGIN
a => a,
b => b,
c => c
);
-- Stimulus process
stim_proc: process
begin
a <= '0';
b <= '0';
wait for 100 ns;
a <= '0';
b <= '1';
a <= '1';
b <= '0';
a <= '1';
b <= '1';
a <= '0';
b <= '0';
a <= '0';
b <= '1';
a <= '1';
b <= '0';
a <= '1';
b <= '1';
a <= '0';
b <= '0';
a <= '0';
b <= '1';
a <= '1';
b <= '0';
a <= '1';
b <= '1';
a <= '0';
b <= '0';
a <= '0';
b <= '1';
a <= '1';
b <= '0';
a <= '1';
b <= '1';
wait;