Download as pdf or txt
Download as pdf or txt
You are on page 1of 21

5 4 3 2 1

VB1 lane1-8
HDMI1(ARC) VB1 lockn
HDMI2.0 VB1 htpdn
SCL(panel i2c)
HPD
LVDS SDA(panel i2c) VB1 PANEL
DDC HDMI1-RX1
DATA(CLK)+-

HDMI2 HDMI2.0
HPD
D DATA X16 D
DDC CLK DDR4
HDMI2-RX2 CKE 8Gb(X16)
DATA(CLK)+-
DDR ADRESS BUS

ADRESS BUS
CKE DDR4
HDMI3 NC HDMI3-RX3 CLK 8Gb(X16)
DATA X16

DDR 置1G
内 B
USB2.0

USB1
DATA+- USB1_DM
USB1_DP

MSD6A838
DATA+-
USB4_DM/P
USB3.0

RX+-
USB2
TX+-
USB_SSTXP/N CMD
Emmc_CLK
USB_SSRXP/N
DATA0
DATA1
USB1_D:USB1 (USB 2.0 ) NAND/EMMC DATA2
DATA3 Emmc FLASH
USB4_D :USB3.0 DATA4
C
USB2/USB3_D:BT/WIFI DATA5
DATA6 C
DATA7 16GB
USB2_DM
DATA+-
REST
USB2_DP
BT+WIFI

USB3_DM
DATA+-
USB3_DP I2C( SYS I2C) EEPROM
E2P E2P WP(SOC GPIO) 24C32

CI &
无TF CARD MCLK
PCM-A[14:0]PCM-D[7:0] CI & TF CARD BCK AMP (L/R)
LRCK NTP8822
I2S
ANT 内置隔离器
I2C(TUNER I2C)
SILC TUNER
(M X 6 6 1 ) IF1+- ATTENUATE IF1+-
CIRCUIT QAM

TRANSFORMER
ETHERNET
ESD&LC FILTER

ETHERNET
IFAGC1 TX1+- TX1+-
ETH RX1+- RX1+-

B B
Coaxial

ATTENUATE DC-BLOCK SPDIF

I2C Addess List


名称 位号 地址 1 默 认 地址 2 地址 3 地址 4
COMPOSITIVE VIDEO

TAS5751 功放 0X54
ATTENUATE DC-BLOCK CVBS
TAS5751 重低 音 0X56
AT24C32N EEPROM 0XA8 0XA2 0XA4 0XA6
DC-BLOCK AUDIO_R
MSH6110A CP U 调
压 D C D C 0X80
DC-BLOCK AUDIO_L L D 部分 0X88/OX89/0X46/0X47

NC CVBS2
屏 不 同 屏 不一 致
NC CVBS3
MxL661 tuner mstar 0xC0 十进 制 96 97 98 99

A
NPCA110D 功放前 的 w ave s芯片 0X E6 A

VEML7700 光感芯片 0X 10

5 4 3 2 1
5 4 3 2 1

VB1 lane1-8
HDMI1(ARC) VB1 lockn
HDMI2.0 VB1 htpdn
SCL(panel i2c)
HPD
LVDS SDA(panel i2c) VB1 PANEL
DDC HDMI1-RX1
DATA(CLK)+-

HDMI2 HDMI2.0
HPD
D DATA X16 D
DDC CLK DDR4
HDMI2-RX2 CKE 8Gb(X16)
DATA(CLK)+-
DDR ADRESS BUS

ADRESS BUS
CKE DDR4
HDMI3 NC HDMI3-RX3 CLK 8Gb(X16)
DATA X16

DDR 置1G
内 B
USB2.0

USB1
DATA+- USB1_DM
USB1_DP

MSD6A838
DATA+-
USB4_DM/P
USB3.0

RX+-
USB2
TX+-
USB_SSTXP/N CMD
Emmc_CLK
USB_SSRXP/N
DATA0
DATA1
USB1_D:USB1 (USB 2.0 ) NAND/EMMC DATA2
DATA3 Emmc FLASH
USB4_D :USB3.0 DATA4
C
USB2/USB3_D:BT/WIFI DATA5
DATA6 C
DATA7 16GB
USB2_DM
DATA+-
REST
USB2_DP
BT+WIFI

USB3_DM
DATA+-
USB3_DP I2C( SYS I2C) EEPROM
E2P E2P WP(SOC GPIO) 24C32

CI &
无TF CARD MCLK
PCM-A[14:0]PCM-D[7:0] CI & TF CARD BCK AMP (L/R)
LRCK NTP8822
I2S
ANT 内置隔离器
I2C(TUNER I2C)
SILC TUNER
(M X 6 6 1 ) IF1+- ATTENUATE IF1+-
CIRCUIT QAM

TRANSFORMER
ETHERNET
ESD&LC FILTER

ETHERNET
IFAGC1 TX1+- TX1+-
ETH RX1+- RX1+-

B B
Coaxial

ATTENUATE DC-BLOCK SPDIF

I2C Addess List


名称 位号 地址 1 默 认 地址 2 地址 3 地址 4
COMPOSITIVE VIDEO

TAS5751 功放 0X54
ATTENUATE DC-BLOCK CVBS
TAS5751 重低 音 0X56
AT24C32N EEPROM 0XA8 0XA2 0XA4 0XA6
DC-BLOCK AUDIO_R
MSH6110A CP U 调
压 D C D C 0X80
DC-BLOCK AUDIO_L L D 部分 0X88/OX89/0X46/0X47

NC CVBS2
屏 不 同 屏 不一 致
NC CVBS3
MxL661 tuner mstar 0xC0 十进 制 96 97 98 99

A
NPCA110D 功放前 的 w ave s芯片 0X E6 A

VEML7700 光感芯片 0X 10

5 4 3 2 1
5 4 3 2 1

MAX 5A/8A
VCC_PANEL
VDD_PANEL
VIN VOUT
AO4459/4805
SW
PANEL ON/OFF

MAX 2.5A
D D

L +1.05V_VDDC_CPU 3.5A 纹波要求 小 于 100m v ; N1


L +5V CTL BY STB
VIN
MSH6110A
VOUT +1.05V_VDDC_CPU
L EN
SOC_I2C
AVDDL_MHL3
GPIO CTL
MAX 8A
APN要
求使用
8 A 以 上DCDC , 纹 波 小 于 1 0 0m v;
AVDDL_USB3 MSD6A838
12V_IN FB +1.10V_VDDC MAX 5480mA
VIN 新
料 SYT288 A DVDDL_DDR
+5V CTL BY STB VOUT MAX 3A AVDDL_MOD
EN
TPS563201DDCR +1.5V_DDR电
流 9 2 0A , 1 . 5 V ± 0 .03V , 纹 波≤10 0mV AVDD_USB
VIN VOUT AVDD_USB3
N30 MAX 3A MAX 6.5A ?? +5V
+5VSTB EN AVDD_DMPLL
+12V_INput 12V_IN TPS563201DDCR SOC CTL
VIN VOUT
5VS +5V DDR4 +1.22V_DDR 880mA 纹波≤ 1

,± 0.03V 00m
V AVDD33_LAN
VIN VOUT TPS563201DDCR
AO4459 VIN MAX 2A
EN VOUT AVDD_NODIE
SW EN
CTL BY STB SOC CTL
+0.6V_DDR AVDD_DADC
VIN TPS51206 VOUT
L90 MAX 1A +5V AVDD33_ADC
5VSTB TLV1117 390mA MAX 3.3VSTB +3.3V_Standby 电
流 320m
A ,3.3± 0
V , 纹 波 ≤1 0 0
.
1 m
V AVDD_AU33
VIN VOUT
AVDD_EAR33
VDDP33
AP1084D 5A AVDD3P3_MHL3
C
220mA MAX(SOC) AVDD_MOD
C

5VSTB
L 5V_WIFI
VIN AP1084 VOUT
3.3V 流 360m
+3.3V_Normal电 A , 3.3V± 0
V , 纹 波≤ 1
.
1 0 0 m
V AVDD_LPLL
+5V L VCC AVDD_PLL
WIFI&BT
MAX 1A JP AVDD_DDR/AVDD15_MOD
N31 MAX 3A eMMC 1.8V AVDD_DDR_LDO_A-ddr3/B--ddr4
VIN LDO VOUT
LD1117-1.8 VDDP_NAND_A
+5V_USB 流 600m
+1.8V_Normal电 A , 1.8V± 0.
V , 纹 波 ≤1 0 0
0
5 m
V
VIN MP1658 VOUT
+5V
EN DDR4
AVDD_DDR1_S MIU1: DDR4 1.22V
8Gb X2
2400MHz
AVDD_DDR0_S MIU0: DDR3 1.5V
F2(2A)
5V_USB2
USB2.0 500MA MAX 1A

V_TUNNER tuner 3.3V 310mA EEPROM


F1(2A) 5V_USB3 VIN LDO VOUT
VCC
USB3.0 900MA LD1117 24C32

VCC3.3V_EMMC_SD N56
VCC EMMC
B B

DVDD3V3

12V_IN AMP
L/NC

12V_IN VCC_AMP
L/NC PVDD

T1>5ms VCC_A
T2>5ms L VDD SI_TUNER
T3>1ms
T4>5ms 1.8V
T5>0ms
T6>1ms 1.8V

最大电流
1) +1.0V_VDDC 1v电源5480m A,
用6.5
采 以上DC / D C
A
A
2 )V D D C _ C P U 1 . 1 v电
源采 用 M S H 61 1 0 A ;3.5A A

3) +3.3V_Standby 电 流 320m
A,待 机 5 mA
4 )+ 3 . 3 V _ N o r m a l电流 3 6 0m A
5 )+ 3 . 3 V _ t u n e r l电流 3 1 0m A
6) +1.8V_Normal 电 流600 m A ,
7) DDR4
+1.22V_DDR 电 流880m A 待机
TR控 。
S
+2.5V LDO

5 4 3 2 1
5 4 3 2 1

5V FOR USB
V Z 物料待 确 认CIS库 。
1113575 片
式压
敏 电 阻 \ ESDC0 40 2 \ T P \ J KH 作 废 料 , 改T V S1 1300 32 ;
\R
O VOUT = 0.807(1+ R1/ R2) =5. 157 待物料号更新
3.3V_Normal
1061259 \ULCE0505A015FR\TP\JK\ROH。 改 TV 物料:
S +12V_IN +5V_USB +5V N13
SOT252 +3.3V_Normal

Power Input +12V_input +12V_IN


已连 CS库,注 意封 装 L1改
为饶线
式封装 , 注意
使 用 4 .7 u
2 3
+12V POWER N31 Vin Vout

1
VCC-A

10u/16V

100n/16V

100n/16V
4.7 uH

2
L1

ADJ

ESD5621W15-2/TR/NC
2 PANEL_ON/OFF R466 0R/NC L11 BLM18PG330SN1D 1 2
XP1 VIN SW VZ55
L12 BLM18PG330SN1D C77 VZ12

C37

C17
1 2 1 SW

C33

C74
C76

C79
BL-ON/OFF L13 BLM18PG330SN1D +5V ESD5621W15-2/TR/NC

C78

C75

VZ14
R465 0R

1
R65 10R 1u/16V

C2
3 4 AP1084D33GAZ1084D-3.3

ESD3V388D-C
NX_PWM GND BST R47
2

3 4 1 PWM VZ8

C86

C31

C34
BL-ADJUST

22u/6.3V

22u/6.3V
C6

1
44.2k

2
R46

10u/16V

100n/16V/NC
10u/16V

22u/6.3V

22u/6.3V
10p/50V

22u/6.3V/NC
SPD4200B-2/TR/NC

100n/16V/NC
VCCA 10k

2
C5
1 5 6 5 6

C35
VZ1 R64 24.9k
VCC-A EN FB
VD22

VCC-A 7 8 MP1658GTF

10u/16V

100n/16V
R45 R48
9 10 C3 8.2k 140k/NC 3.3V_Standby
1

SPD82152B\TR 3.3Vstb
STB 100n/16V SOT223

1
1STANDBY 11 12 5Vstb VD23
MBR0520LT1/B0520LW N14 TLV1117LV33DCY
VCC1
1 +12V_input 13 14 1 2 3 4

最大电流
+12V_input VOUT = 0.807(1+ R1/ R2) =5. 157 VIN VOUT

1
物 料 号 待 换 VCC2

C14

C25
C13
V Z 靠插座摆 放 ,

C71

C70

ESD5621W15-2/TR/NC
VZ56

2
1 +12V_input 15 16 至于测试 点 之 后 R35 0R/NC 2
V D 靠插座摆 放 , VOUT

ADJ
D 至于测试 点 之 后 R76 0R
1) +1.0V_VDDC 电
1v 源5480m A,

VZ15
D
VZ11

10u/16V

100n/16V

100n/16V
22u/6.3V

22u/6.3V

ESD3V388D-C
ESD5621W15-2/TR/NC

E O S 器件,放在 末端

1
用6.5
采 以上DC / D C
A

2
BL on/off
+5V
2 )V D D C _ C P U 1 . 1 v电
源采 用 M S H 61 1 0 A ;3.5A
+3.3V_Standby 电流320 ,待 机 5
3.3Vstb R14

PM,上
拉3 . 3
解决交流关机闪亮 线问 题
V D / V D 、R二选一贴件 2k 5VS FOR System BLM18PG330SN1D
1.8V_Normal 3) mA mA
电流 360
R73 R44 R34 5VS 5Vstb
200R +5V +1.8V_Normal
H:off
L:on 2k
1 VD25
0R

2 R23 1
3
V2
BL-ON/OFF L9

L27 N18
SOT224 4) +3.3V_Normal m A
5 )+ 3 . 3 V _ t u n e r l电流 3 1 0m A
VBL_CTRL
C32 C26 C23 BLM18PG330SN1D 3 4
2 1SS400SMT2R/NC 10k R17 VIN VOUT

C87
R516 10u/16V 100n/16V

1
2

2
10u/6.3VV 2

C21

C48
6)+1.8V_Normal电流 600mA ,
R526 0R/NC

C20

C22
10k/NC 2PC4617Q 10k/NC VOUT

ADJ

ESD5621W15-2/TR/NC
+5V VZ57
叠放

VZ16
VD24
1 2 +12V_IN VZ10

10u/16V

100n/16V

100n/16V
22u/6.3V

22u/6.3V
8 POWER_OFF-MUTE
7) DDR4

1
N30

ESD3V388D-C
ESD5621W15-2/TR/NC LD1117A-1.8AZ1117H-1.8

1
1SS400SMT2R/NC L2 4.7 uH
R57 1 2 5VS
VIN SW

+1.22V_DDR 电 流880m A 待机
TR控 。
+3.3V_Normal

100n/16V
22u/6.3V

22u/6.3V

22u/6.3V

22u/6.3V
2

2
4.7k C67
S

100n/16V

LM3Z3V9T1/NC
VD6
R27

C54 10u/16V

C57 10u/16V
R153 100n/25V R28
PWM ADJ R74 R2 1k BL-ADJUST 100k
3
GND BST
4 C88

2k
BL-ADJUST 1 10R 44.2k
22p/50V/NC +2.5V LDO
3

5 6

C18
C53

C55

C84
V6 R30 24.9k

C52
BRI_ADJ EN FB

C56
R3 10k 1 R4 C42
2 BRI_ADJ R151
MP1658GTF R26 R297
非P M 10k/NC 100n/16V/NC 22.1k C68

新料:能提供最 大平 均 电流 8 A 。测5 . 8 A
C50
2

R515
10k/NC 100n/16V/NC
2PC4617Q 100n/16V 8.2k
140k/NC

近 D C DC根 部
Core_VDDC
C24 100n/25V 改为小封装,一体成型电感 +1.10V_VDDC 出线尽量宽,换层 多 孔 和电 容
VOUT = 0.768(1+ R1/ R2) =5. 145 V 用0.68uH 申

物料号
! ! !
+12V_IN R70 远
端反馈 , 即 从
IC 底 部
引 线 !

10
12
16
L5 0.68uH 1178109 片

电 感\LQH2725-15.5A-0.68U-M\TP\JK\
R
OH +1.10V_VDDC

1
预留能效 模 拟 调 光 +5V 100k
2
N34

PG

BS
NC_1
NC_2
NC_3
IN_1 C106

1
3 6
R53
4 IN_2 LX_1 19
1.01V
4.7k C450 5 IN_3 LX_2 20 220p/50V VZ58

2
100n/16V IN_4 LX_3 14
0R/NC 远端反馈,从芯片底部引线
R51 1k NX_PWM VZ6 core_ENR527 10k 11 FB R71 VDDC_FB R33 VZ17 ESD3V388D-C

C30

C81
R55 R49 +1.10V_VDDC
ESD5621W15-2/TR EN 1k

ESD5621W15-2/TR/NC
GND_3
GND_1
GND_2
VDDC_FB
3

C28 C41 C43 0R


DDR3 POWER R69 510R

C49

C58

C61

C72
V3 ILIMT 13

EPAD
15k
NX_ADJ ILMT R31 R1 C62 C104

22u/6.3V

22u/6.3V
10k

2
R50 1 R52 15 17

1
2 NX_ADJ C8 BYP VCC R32
10k/NC C44 24.9k

100n/16V
C9

10u/16V

10u/16V

100n/16V

100n/16V
22u/6.3V

22u/6.3V

22u/6.3V

22u/6.3V
4.7u/10V/NC 150k
非P M 使
用TI最
新低 成 本 DCDC 563 20 1- -3 A
2

18
7
8
21
R58 100n/16V/NC 2PC4617Q SYT288ARAC
2.2u/10V R2
10k/NC C105
1u/16V
R75
+1.5V_DDR VOUT = 0.6 x(1+ R1/ R2) 30k 靠
近 DC-D C
+12V_IN
N15
C C
100n/16V 3 2 L3 2.2 uH
VIN SW
2

M1 M2 M5
C99 10u/16V

C90 10u/16V

100n/16V

1
C92 R5 C93 100k

3
1 6 R68 core_EN
VZ3 100n/25V 8.2k

C95

C96

C97

C98
GND BST +5V

2
NC/MARK NC/MARK NC/MARK 22p/50V/NC VZ54
M6
ESD5621W15-2/TR

C89

M3 M4 R63 10k VZ19 ESD3V388D-C R56 4.7k


1

5 4 1

C94
22u/6.3V

22u/6.3V

22u/6.3V

22u/6.3V
VID_0 2

ESD5621W15-2/TR/NC
EN VFB V7
主芯片控
R7 C426
NC/MARK NC/MARK NC/MARK 1.5k 100n/16V 2N7002K 默认 H

2
2
1
C91 TPS563201DDCR
100n/16V 靠
近 D C DC根 部
EN_DDR
R62
10k 制 :默 认 1 . 0 1 V
VID0控
VID[0] R2 V D D C 到I C底部电压
VOUT = 0.768(1+ R1/ R2) =1. 5129 V L 24.9K 0.96V

主+ 5 V 开
P O WE R关 H 21.874K 1.01V

5VS +5V 5Vstb


+5V

N5
AO4459 R24 R25
1 8 C103 C38 4.7k 4.7k/NC
2 S1 D1 7 10u/16V 100n/16V
S2 D1
R18 3 6 直连 控 st r R29 R21 100R EN_DDR
C40 20k C39 C102 4 S3 D2 5 PM,上
拉3 . 3
3

G D2 0R V1 C59
100n/16V 1u/16V100n/16V
2 DDR_EN
DDR_EN
1
2PC4617Q/NC
兼容不 同 I/O
100n/16V VDDC_CPU Power 最大平均 电 流 2A 测3 A
100n/25V/NC

待机时:
10k/NC

R19 4.7k DDR_EN:高 电平 - - - - > E N _ DDR:高 电


平 快速开机
C66
R36

D D R _ E N : 低电平 - - - - > E N _ DDR:低 电平 非快速开机 更


改电容 值 为
10 0 n ,
加快 DDR上 电 时 间 201312 09
Addr 0x80
3

工作时:
STANDBY R20 1 V10 DDR_EN:高 电平- - - - > E N _ DD
:高 电 平
R +12V_IN N33 1.1V
STAND B Y:
4.7k +1.05_VDDC_CPU
HIG H - > 通
2PC4617Q
LO W - > 断

端反馈 , 即 从
IC 底 部
引 线 !
2

8 6 L4 2.2 uH
PVDD LX

2
R8 C46 100n/16V C36
EN_Core1 10k R1
VZ7 1 7

1
EN BST

2
待机 5Vstb ESD5621W15-2/TR 电
阻靠 近 D CDC, 敏
感线, 远
离 干 扰 源
330p/50V 18k/NC
远端反馈 VZ18
MOS :
叠放 用哪个 , pin2上哪个 电 阻 3 2
近端电容 引 R54 +1.05_VDDC_CPU VZ59
15k

ESD5621W15-2/TR/NC
5Vstb 4A 5V FB ESD3V388D-C
Power for Panel C15 C45 C16 C27 C101C100 C29 C107

C51

C60

C63

C11
R38 R41 0R R42 0R

PowerPAD
8A

1
STAND B Y:
HIGH->NORMAL
R37
2k +12V_IN
VDD_Panel
R78
2,8 I2C1-SDA
4
SDA SCL
5
I2C1-SCL 2,8 选值 FR C82 C83

2
100n/16V
LO W - > 待

1u/16V
10u/16V

10u/16V
VCC-Panel

1u/16V

1u/16V
22u/6.3V

22u/6.3V

22u/6.3V

22u/6.3V

1u/10V
100n/16V

100n/16V

100n/16V
10k 0R/NC N45
R43 R72 L6 BLM18PG330SN1D AO4459
STB
R39 100R 2k 1 8 靠
近 DC-D C MSH6110A 部内 置
2

9
STANDBY 4.7k S1 D1 MSH6110A IC内
3

L7 BLM18PG330SN1D R6 R88 2 7
C73

C4

C69
V5 S2 D1

2
4.7k C12
1 R40 PWR-ON/OFF VZ4 C10 C65 20k 0R 3 6
R2=50K,外 部 NC 靠
近 DC-D C
3

2PC4617Q
C19

PWR-ON/OFF 10u/16V 100n/16V 4 S3 D2 5 VZ9


V4 1 1u/16V G D2
R66 2PC4617Q ESD5621W15-2/TR/NC ESD5621W15-2/TR/NC
10u/16V

10u/16V

100n/16V

4,13
2

C64
10k/NC

B C80 B
R9 10k R13 C7 100n/16V
2

+5V
1

P M , 上拉
100n/16V/NC

100n/16V/NC bom加
上 - - - - 1 1 .4
4.7u/10V/NC R10 1k
10k 100k Vout=0.828V*(1+R1/R2)
PM,上
拉3 . 3 R16 EN_Core1
3

V8 V9
2 PANEL_ON/OFF
R15 1 1
+5V
MSH6110A EN Pin 务
必在IC与

容 间 串电

Vout=0.828V*(1+15/R2)=1.076
STB 2PC4617Q 2PC4617Q
STB 104 10k R12 C1 ,参照公版 更 改 为1 0 K
100n/16V

2
10k/NC

H:off C47
L:on 100n/16V

DDR4 POWER 2017.2


1.22V For DDR4 2.5V For DDR4
5Vstb
5Vstb
N25
+2.5V_DDR 0.6V Power for DDR4 Terminators
一体成型 电 感 1176044--2.2
L61 BLM18PG330SN1D/NC L56 需改美磊新物料 号 L59 BLM18PG330SN1D 1 5
+1.2V_DDR VIN VOUT
C472 10u/16V +1.2V_DDR
MAX 2A
1

+12V_IN
R489

N26
C470
C469

N12
10k

C471 100n/16V TPS51206DSQT +5V


GND

EN_DDR VZ53
L62 BLM18PG330SN1D 3 2 L8 2.2 uH 3 4
100n/16V

R491 10k
VIN SW ShutD ADJ
2

L54 BLM18PG330SN1D 1 10 L55 BLM18PG330SN1D


C322 10u/16V

C320 10u/16V

100n/16V

22u/6.3V

ESD3V388D-C

VDDQSNS VIN

2
100n/16V

C319 R504 C313 C468 100n/16V


2

R490

AP2127K-ADJ
4.7k

VZ50 1 6 100n/25V VZ49


C163

C309

C310

C311

GND BST 4.02k VZ52


2

22p/50V/NC C476 ESD5621W15-2/TR/NC


2

VZ51 R494
ESD5621W15-2/TR

2 9
C321

R502 10k ESD3V388D-C C475 C479


1

5 4 VLDOIN S5
C312

1u/16V
22u/6.3V

22u/6.3V

22u/6.3V

22u/6.3V

10u/10V 10u/10V 0R

1
EN VFB
ESD5621W15-2/TR/NC

R503 3 8
2k VOUT=0.8*(1+10/4.7)=2.502V VTT GND 11
2
1

TPS563201DDCR +0.6V_DDR EPAD R492


C314 0R
100n/16V/NC 靠
近 D C DC根 部 4 7
EN_DDR PGND S3
R505 C473
10k C474 C480 5 6
100n/16V 10u/10V R493 10R VTTSNS VTTREF
10u/10V
VOUT = 0.768(1+ R1/ R2) C478
=1.23V 10u/10V C477
100n/16V

B O T 一个

A A

5 4 3 2 1
5 4 3 2 1

HDMI/Audio Block PCMCIA/TS/NAND/FE


N1B
HDMI1 : Port A needs shielding ground all signal pair PCMCIA AC25
AG23 PCMDATA[0]/CI_DATA[0]
N1C AB25 PCMDATA[1]/CI_DATA[1]
N3 Y3 C108 2.2u/10V AD25 PCMDATA[2]/CI_DATA[2]
HDMI1-RX0N RXA0N LINE_IN_0L HD_LIN PCMDATA[3]/CI_DATA[3]
N2 Y2 AC24 M24
HDMI1-RX0P
HDMI1-RX1N P3 RXA0P
RXA1N
LINE_IN_0R
C109 2.2u/10V
HD_RIN AV Audio in
AC23 PCMDATA[4]/CI_DATA[4]
PCMDATA[5]/CI_DATA[5]
TS2 TS2DATA [0]
TS2CLK
N24 12.3 0
P2 AD24 N25
HDMI1-RX1P
HDMI1-RX2N P1 RXA1P
RXA2N LINE_IN_2L
Y1
in已
AD23 PCMDATA[6]/CI_DATA[6]
PCMDATA[7]/CI_DATA[7]
(In) TS2VALID
TS2SYNC
P25 C版 本 去掉
TP
HDMI1-RX2P R3
RXA2P LINE_IN_2R
AA3 A u di o 去掉
HDMI1-CLKN M1 AE24
M2 RXACKN AE23 PCMADR[0]/CI_A[0]
HDMI1-CLKP RXACKP 删除远程 拾 音 I O口 PCMADR[1]/CI_A[1]
HDMI1-SCL R2 AC22
T3 DDCDA_CK AB5 AD22 PCMADR[2]/CI_A[2]
HDMI1-SDA DDCDA_DA LINE_OUT_0L PCMADR[3]/CI_A[3]
T2 AB6 AC21 AH20
HDMI1-HPD IN
P5 HOTPLUGA LINE_OUT_0R AC5 AG22 PCMADR[4]/CI_A[4] CI TS0DATA_[0] AG20
HDMI-CEC
HDMI1-Detect
U3 CEC Analog LINE_OUT_2L AC6 AD21 PCMADR[5]/CI_A[5] TS0DATA_[1] AF17
HOTPLUGA_HDMI20_5V LINE_OUT_2R AC20 PCMADR[6]/CI_A[6] PCMCIA TS0DATA_[2] AH18
J2 AD5 PH-Lin AC19 PCMADR[7]/CI_A[7] TS0DATA_[3] AG17
HDMI2-RX0N K3 RXB0N AudioEARPHONE_OUT_R
EARPHONE_OUT_L AD6 PH-Rin AF21 PCMADR[8]/CI_A[8]/SDIO_D0 TS0 TS0DATA_[4] AF20
HDMI2-RX0P K2 RXB0P 增 加 耳 机 AV Audio out 去
掉 AD20 PCMADR[9]/CI_A[9]/SDIO_D1 TS0DATA_[5] AG18
HDMI2-RX1N L3 RXB1N M4 R157 AD19 PCMADR[10]/CI_A[10]/SDIO_D2 (In) TS0DATA_[6] AF18
HDMI2-RX1P L2 RXB1P ARC0
22R
HDMI-ARC 调整远程 拾 音 的IO口 AD18 PCMADR[11]/CI_A[11]/SDIO_D3 TS0DATA_[7] AF19
HDMI2-RX2N L1 RXB2N 耳机去掉 AE18 PCMADR[12]/CI_A[12] TS0CLK AH17
HDMI2-RX2P J3 RXB2P AA2 AUVAG AE20 PCMADR[13]/CI_A[13] TS0VALID AG19
HDMI2-CLKN J1 RXBCKN AUVAG AA1 AUVRM PCMADR[14]/CI_A[14] TS0SYNC
HDMI2-CLKP RXBCKP AUVRM AUWS_OUT I2S-OUT_WSO
N5 AUMCK_OUT R164 22R I2S-OUT_MCKO AB24 U23
HDMI2-SCL N6 DDCDB_CK I2S-OUT_WSO 8 AB23 PCM2_CE_N/SDIO_CLK TS1DATA_[0] T25
HDMI2-SDA AUBC K_OUT R165 22RI2S-OUT_BCKO
R4 DDCDB_DA I2S-OUT_MCKO 8 PCM2_IRQA_N/SDIO_CMD TS1DATA_[1] N23
HDMI2-HPD IN HOTPLUGB AUSD _OUT R89 22R I2S-OUT_SDO I2S-OUT_BCKO 8 删除大卡后,保留上拉件移 过 来 PC M_IRQA_N TS1DATA_[2]
P4 R166 22R AE17 P23
D HDMI2-Detect HOTPLUGB_HDMI20_5V I2S-OUT_SDO 8 PCMIRQA/CI_INT TS1DATA_[3] D
T21 +5V AE21 U24
B1 NC-T21 R20 Close to IC
C121 C122 C123 C124 AG21 PCMOEN TS1 TS1DATA_[4] T23
C1
C2
RXC0N
RXC0P HDMI NC-R20
NC-R21
R21 with width
10p/50V 10p/50V 10p/50V10p/50V
AC18 PCMIORD/CI_RD
PCMCEN/CI_CS (In/Out)
TS1DATA_[5]
TS1DATA_[6]
R25
RXC1N AUBC K_OUT tra ce PC M_CD_N AD17 PCMWEN TS1DATA_[7]
R24
D3 E6 AUMCK_OUT AH21 R23
D2 RXC1P
RXC2N
I2S I2S_OUT_BCK
I2S_OUT_MCK
E5 AUWS_OUT AC16 PCMCD/CI_CD
PCMRST/CI_RST
TS1CLK
TS1VALID
P24
E3 F4 R604 R290 R291 AF22 T24
RXC2P I2S_OUT_WS AUSD _OUT PCMREG/CI_CLK TS1SYNC
A2 F6 I2S_OUT_SD 1 2.2k 4.7k 4.7k PCM_IRQA_N PC M_WAIT_N AC17
B2 RXCCKN I2S_OUT_SD F5 PCMIOWR/CI_WR
I2S_OUT_SD 2 1 AD16
去掉 M5 RXCCKP I2S_OUT_SD1 G5 1 TP1 AUVAG
PCM_WAIT_N
PC M_CD_N
PCMWAIT/CIWACK
L6 DDCDC_CK I2S_OUT_SD2 TP2
C111
J4 DDCDC_DA
HOTPLUGC C110 100n/16V
H4 R605
HOTPLUGC_HDMI20_5V 10u/6.3VV
AUVRM 2.2k
F1
3 D_ FLA G已去掉
F2 NC-F1 K6 LD ERROR
SPDIF_OUT 601/0402/300mA
G3 NC-F2 SPDIF SPDIF_IN J5 LD ERROR
G2 NC-G3 SPDIF_OUT SPDIF_OUT L18 N A N D 部分已 去掉 AC13
H3 NC-G2 AD12 NAND_ALE/EMMC_IO15
BLM15AG601SN 1
H2 NC-H3 FB/200mA/60ohm NAND-CEZ AG11 NAND_WPZ/EMMC_IO17
E1 NC-H2 NAND-CEZ AC12 NAND_CEZ/EMMC_IO9/EMMC_CMD
F3 NC-E1 NAND-REZ AF11 NAND_CLE/EMMC_IO14 AC2 C112 100n/16V DIFP
K5 NC-F3 Close to MST IC NAND-REZ AD13 NAND_REZ/EMMC_IO10/EMMC_CLK IP_T AC3 C113 100n/16V DIFM DIFP
L5 NC-K5 with width trace 线
粗 NAND-RBZ AG10 NAND_WEZ/EMMCIO16 IM_T DIFM
M6 NC-L5 NAND-RBZ AE12 NAND_RBZ/EMMC_IO11/EMMC_RSTn AD1
L4 NC-M6 NAND-DQS AD14 NAND_CEZ1/EMMC_IO12 SIFP AD2
NC-L4 NAND-DQS NAND_DQS/EMMC_IO8 NAND SIFM
AC1 IFAGC
NAND-AD[7:0]
NAND-AD0 AG15
NAND_AD0/EMMC_IO6/EMMC_D[6]
EMMC IFAGC_T IFAGC
IFA GC 上
拉已放 t u nn e r 页
NAND-AD1 AH15
NAND-AD2 AH14 NAND_AD1/EMMC_IO7/EMMC_D[7] AD3 R85 100R EEWP
MSD6A838UYGN NAND_AD2/EMMC_IO2/EMMC_D[2] TGPIO0
NAND-AD3 AG14 AE2 T_SC L
NAND-AD4 AG13 NAND_AD3/EMMC_IO1/EMMC_D[1] Front TGPIO1 AF3 T_SD A
NAND_AD4/EMMC_IO0/EMMC_D[0] TGPIO2 T_SC L T_ S CL/ S DA上
NAND-AD5 AF13
NAND_AD5/EMMC_IO3/EMMC_D[3] End TGPIO3
AE4
T_SD A
拉已放 t unner 页
NAND-AD6 AH12

RGB/CVBS/PHY/USB Block NAND-AD7 AF12 NAND_AD6/EMMC_IO4/EMMC_D[4]


NAND_AD7/EMMC_IO5/EMMC_D[5] IP_S
IM_S
AH2
AH3
AF4
QP_S AG3
QM_S
AG4
IFAGC_S
SPDIF_DET
AG5 R532 0R Tuner_RST
GPIO15/DiSEqC_out AF5 SPDIF_DET 4,10
N1E
GPIO18/DiSEqC_in Tuner_RST
MDI_TN R60
B3 MDI_TP R59
V1 TN A3 MDI_TN 100R/NC
V3 RIN0P PHY TP B4
MDI_RN
MDI_RP
MDI_TP 0R/NC
U1 GIN0M RN C4 MDI_RN
V G A 已去掉 GIN0P RP MDI_RP
U2

P6
BIN0P
GPIO19/LED[0]
R27
R26
VCOM_C TL_EN

R175 100R
WP_GPIO VCOM_C TL_EN
WP_GPIO 4,10
MSD6A838UYGN:1174475
R5 HSYNC0 GPIO20/LED[1]
VSYNC0 屏
VCOM WP MSD6A838UYGN
YPB PR
R328 33R C308 47n/16V RIN1 U6
YPbPr_PR V6 RIN1P
R329 68R C295 47n/16V GIN1N
GIN1 V4 GIN1M L27 System -RST
R326 33R C293 47n/16V
YPbPr_Y GIN1P HWRESET
R327 33R C307 47n/16V BIN1 V5 AG1 XTALI
YPbPr_PB BIN1P RGB XIN AF1 XTALO
XOUT

Y6
Y5 RIN2P
IRIN
AE5 IR-in-s oc
LVDS/GPIO PM_PAD的 GPIO
W6 GIN2M SAR0~3( D4,D5,C5,B4 ) , POWE T(
R DETEC E4 ) ,
GIN2P PM_SPI_CK( )
G6 , PM_SPI_D I( H6 ) , PM_SPI (
_ DO 5)
H ,
S CART已 N1D ) , DDCA_CK/DDCA_D A(AD )
去掉 W5
BIN2P
PM_SPI_CZ1/GPIO_PM6( J6 10,A
C1 0
,
BR I_ADJ GPIO _PM0( ), GPIO_P
AE6 M 1( H 6) , G P IO _ PM3 ( W2 ),
A
T6 BR I_ADJ NX_ADJ P27 T27 G P I O _ P M 4 (W 3), G P I O _ PM 5 (AH 5 ) , G P IO _ P M7( A A5),
HSYNC1 LRSYNC已
去掉 PWM0 NC-T27
R6
VSYNC1 B7
USB0_D-
USB0_D+ 2
2 NX_ADJ
VSYNC_LIKE
VSYNC_LIKE R28
N28 PWM1 NC-U27
U27
U26 此
部分 T C O N
G P I O _ P M 8 (A A 6), L ED 0 (AD 4 ) ,L E D1( A C4 ) ,WOL(A E

1 1 ) ,
USB0_DM C7 USB1_D- T22 PWM2 PWM NC-U26 T28 VID0-3( AB2,AB3,AA4,Y4
USB USB0_DP
USB1_DM
B6 USB1_D+ NC LD_HSYNC PM_PWM
NC-T22 NC-T28
R94 68R C114 47n/16V VCOM V2 A6 USB2_D- AF6 V27
VCOM USB1_DP 2 LD_HSYNC PWM_PM B0M(R_ODD[7])
C6 USB2_D+ V28
USB2_DM USB2_D- B0P(R_ODD[6])
T5
CVBS0 USB2_DP
A5
USB2_D+ PM KEY0-in
LED _FB_SOC D4
SAR0 B1M(R_ODD[5])
W28 只有 3 . 3V耐 压 的 IO :
R95 33R C115 47n/16V CVBS1 U4 PANEL_ON/OFF D5 W27
EMMC的 :PAD_EMMC_IO
GPIO 9 ( AG 1 ) , PAD_EMMC_ A E 1 2) ,
I O12(
AV1 CVBS1
USB0_D:BT/W IFI 增 加 耳 机 检 PANEL_ON/OFF HP_DEC C5 SAR1
SAR2
SAR B1P(R_ODD[4])
B2M(R_ODD[3])
Y27 B2M
B2M PAD_EMMC_IO14( AC12 ), PA D _ E M M C _ I O1 0 ( AF11) ,
)改 R521 100R/NCB5 AA26 B2P
USB1_D:USB1 (USB 2.0
USB2_D:TP 改 USB2.0
BT 测
口 HP _ D E C POWER_DETEC T
E4 SAR3 B2P(R_ODD[2]) AA28 BC KM B2P PAD_EMMC_IO16( AD13 ), PA D _ E M M C _ I O1 7 ( AD12) ,
USB4_D :USB2 (USB3.0)
SAR5/PW_det BCKM(R_ODD[1]) AB26 BC KP BC KM PAD_EMMC_IO15( AC13 ), PA D _ E M M C _ I O1 1 ( AG10) ,
CVBS SSUSB_TXP
AF7
PM 201 7 .2 预
留兼容LED _R
BCKM(R_ODD[0])
B3M(G_ODD[7])
AB27 B3M BC KP
B3M
PA D_EMMC_IO 8 (A D 1 4), P A D _ E M M C _ IO 1 ( AG14 )

USB_SSTXP R530 100R
AG7 G6 AC26B3P PA D_EMMC_IO 2 (A H 1 4), P A D _ E M M C _ IO 7 ( AH15 )

SSUSB_TXN AG8 USB_SSTXN
WIFI_RESET SPI-SDI H6 SPI_CK LVDS B3P(G_ODD[6]) AD26B4M B3P
PA D_EMMC_IO 6 (A G 1 5), P A D _ E M M C _ IO 5 ( AF12 )

AV_OUT USB3.0 USB_DM_PSS
USB_DP_PSS
AH8 USB4_D-
USB4_D+
R179 100R H5 SPI_DI
SPI_DO 60Hz B4M(G_ODD[5])
B4P(G_ODD[4])
AD27B4P B4M
B4P PA D_EMMC_IO 4 (A H 1 2), P A D _ E M M C _ IO 3 ( AF13 )

C TP5 1 R117 0R U5 AH9 公
版 TP点拉 到 u sb2 . 0 AMP-MUTER531 100R J6 C
CVBSOUT1 SSUSB_RXP AG9 USB_SSRXP SPI_CZ1/GPIO_PM6 SPI AE26 A0M PAD_EMMC_I O0( AG13)
预留 测 试 C116
SSUSB_RXN USB_SSRXN G6--SPI_CK开机复
位 低 , A0M (G_ODD[3]) AE28 A0P A0M
A0P
R116 120p/50V/NC J6--SPI_CZ1/PM6开

复位高 A0P (G_ODD[2]) AF26 A1M
A1M
A1M (G_ODD[1]) AF27 A1P
75R A1P (G_ODD[0]) A1P
MSD6A838UYGN AG27 A2M
UART-RX R96 AD10 A2M (B_ODD[7]) AG28 A2P A2M
100R
PM UART-TX R97 100R AC10 DDCA_CK/UART0_RX A2P (B_ODD[6]) AH27 ACKM A2P
DDCA_DA/UART0_TX ACKM (B_ODD[5]) AH26 ACKP ACKM
SPI1_DO ACKP (B_ODD[4]) ACKP
SPI1_CSZ N27 AF25 A3M
SPI1_DO M26 GPIO2/EJ_TCK A3M (B_ODD[3]) AG25 A3P A3M
串口 2 SPI1_CSZ SPI1_DI R168 100R
A3P
M27 GPIO3/EJ_TMS A3P (B_ODD[2]) AG24
5vnor mal SPI1_DI SPI1_CK GPIO4/EJ_TDI A4M (B_ODD[1])
M28 AH24
SPI1_CK GPIO5/EJ_TDO A4P (B_ODD[0])
GPIO
加wave _ E N- - 1 1. 4 /UART
I2C-SCL R597 100R AC15 PM
I2C-SCL
Crystal RESET +12V_IN
I2C-SDA
I2C-SDA R598 100R AD15
AF16
AG16
GPIO9/TX2
GPIO10/RX2
GPIO11/TX3 GPIO_PM0
AE6 R177
W2 R265
100R
100R
VBL_CTRL
USB3_EN VBL_CTRL
GPIO12/RX3 GPIO_PM3 USB3_EN 9
XTALO R124 1M/NC XTALI 5Vs tb W3 PWR-ON /OFF
DDR_EN
PM GPIO_PM4/PW_ctrl
GPIO_PM7
AA5 R118 100R
PWR-ON /OFF
BT_RESET DDR_EN 2
R125 AA6
R101 GPIO_PM8 R84 100R
Z1 1k DDR4 config
100R 1 4 C119 PM
X1 GND NC/放
2.2u/10V TP 近芯片 5Vstb
2 3 System -RST
GND X2 R82 AMP-Res et R120 100R AC11
LD_EN
3

AMP-Res et GPIO30/SCK4
8Z24000016
24MHz_8pF_20ppm V16
10k
POWER_DETEC T
4,13
3 D _ EN 已
去掉
LD_EN
R119 100R AD11
GPIO31/SDA4 2017.2 用
复 R517 4.7k
C117 R81 BAV99LT1 I2C1-SCL I2C1-SCL R98 100R P26 I2C PM R519 4.7k/NC DDR-CONFIG1
C1=C2=2*CL-5 100k I2C1-SDA R99 N26 DDCR_CK DDR-CONFIG1 AMP-MUTE
8.2p/50V C118 I2C1-SDA 100R AMP-MUTE R518 4.7k/NC
DDCR_DA AH6 R528 100R/NC R520 4.7k DDR-CONFIG2
8.2p/50V LED _R
COG COG R83 C129 预留 GPIO_PM1/PM_TX1 AH5 DDR-CONFIG2
PM_UARTGPIO_PM5/PM_RX1 R529
1
2

1k 330p/50V/NC 100R/NC
VID_0
DCDC单 独拉一 路I2 C VID_0
AB2
NOTE:晶
体频偏
需 要 小 于30 P P M 孙
聪 2 0 17 . 5 . 1 AB3 VID0
1 AA4 VID1
PM TP26 VID2 LED_R AMP-MUTE
TP27 1 Y4 P21
VID3 TEST DDR-CONFIG2 DDR-CONFIG1
PM_LED 0
PM_LED 1 AD4 PAD_GPIO5_PM PAD_GPIO1_PM DRAM Brand
AC4 LED0
WIFI_WAKE_SOC
3.3Vs tb

R126 4.7k
Config PM_LED 1
GPIO Pull up 3.3Vs tb
BT_RESET R522
3.3Vs tb PM
R87 0R/NC wifi-wake AE11 LED1
WOL
H
H
H
L
NA
SKHynix
R128 4.7k/NC LED _FB_SOC
R127 4.7k/NC R184 4.7k/NC 4.7k/NC MSD6A838UYGN L H Samsung
R130 4.7k SPI-SDI VID_0 R170
R129 4.7k PM_LED 0 R91 4.7k 10k/NC L L Micron
R132 4.7k/NC
R131 4.7k/NC PM_PWM wifi-wake
DDR_EN R86 4.7k/NC
R133 4.7k R123 4.7k/NC WIFI_RESET
PANEL_ON/OFF R171
R476 4.7k
4.7k/NC
R176

调试串 口
CHIP_CONFIG[3:0]
{PAD_PM_LED1,PAD_PM_SPI_DI,PAD_PM_LED0,PAD_PWM_PM} AMP-MUTE R93 4.7k/NC
Value Description
4'b1010 1 ARM boot from ROM; outer storage is eMMC LED _R
R488 4.7k/NC 10k
4'b1011 ARM boot from ROM; outer storage is NAND

散热 器图
HP_DEC

孔回
流立 式端子: 号 0 3 0 4 = 6 8 * 80 , 0 305 加 大 6 8
+3.3V_Norm al
R378 1 1 411 1 3 *9 0
4.7k/NC Q3

孔回流
卧 式 端 子 : 1

兼容 LG 屏 T con上存 在一 个 E2与主 板 E2 地址冲 突,


NX_ADJ
LD_EN R477
R103
4.7k
4.7k
R377
1 1 262 0 7

棉 1146214-3.5/1177026-4 Q4 1
在主板预留 I2C-SCL
I2C-SDA
R79
R80
4.7k
4.7k
10k/NC
XS9
2 UART-RX Q1 Q5 1
R G1 G2 G3 G4 G5 G6 G7
NC1
NC2

LD ERROR R174 4.7k/NC UART-RX


Q6 1
AMP-Res et
SPI1_CSZ R167 4.7k SMR-TSL-4-4-5R SMR-TSL-4-4-5R SMR-TSL-4-4-5R SMR-TSL-4-4-5R SMR-TSL-4-4-5R SMR-TSL-4-4-5R SMR-TSL-4-4-5R
R169 4.7k/NC L 1 UART-TX
UART-TX Q7
1
2

+3.3V_Norm al GND 3 1
I2地 址:默 认 A 8 I2C1-SCL R188 4.7k RSAG7.308.0322
Q8
I2C1-SDA R189 4.7k 1
+3.3V_Norm al A(A2 A1 A0 R/W) R110 RV3 RV4
100R Debug port 1146214-3.5 1146214-3.5
N32 EEP_Vcc
R114 10k
R115 10k/NC 1 8
A0 VCC R113 C128
R106 10k 10k 100n/16V C125
1

1
R107 10k/NC 2 7 EEWP S1 S2 S4 S3
A1 WP 5 5 5 5
2.2u/6.3VV
1

1
5 5 5 5
R108 10k/NC 3 6 R112 100R I2C-SCL 4 8 4 8 4 8 4 8
A2 SCL 4 8 4 8 4 8 4 8
R109 10K R111 100R I2C-SDA
N C 按调试 RS232 Pin crossover
3 7 3 7 3 7 3 7
4 5 5Vs tb 3 7 3 7 3 7 3 7
B GND SDA
C126 10p/50V/NC PIN4. PWR B
C127 10p/50V/NC PIN3. RXD G12 2 6 2 6 2 6 2 6
2 6 2 6 2 6 2 6
PIN2. TXD SMR/NC
K24C32-SITGA UART-RX R100 4.7k PIN1. GND NC NC NC NC

UART-TX R102 4.7k

EEPROM tuner背 面

遥控 +5向 按键 +光感

触摸按键 灯
N7不使用触摸按键,与 N8兼 容 预 留
3.3Vstb VZ43 ESD05V88D-LC/NC
9
8
5Vs tb 2 1
C144 100n/16V/NC 7 3.3Vstb
5Vstb
IR_IN IR_IN BT_WAKE_SOC 6 LED-L
1 IR R376 0R/NC 5 R105 0R/NC L19
R90 to soc 4
4.7k/NC C138 STB_LED
1
LED-L R156 C137 3 BLM18PG121SN1/NC
LEDR145 IR_IN 100n/16V 4.7u/10V

C142

C143
C141
XP8 R346 0R 0R/NC R104 0R IR-in-BT R122 100R IR-in-soc 2.2k XP10 2 KEYPAD-KEY0
1 3.3Vstb 1
R347 0R/NC VZ41 ESD05V88D-LC /NC
2

IR 2 R539 0R/NC R471 0R C120 2 1


GND 3 1 +3.3V_Norm al VZ37 HX1.25-7P-W-K\NC
R146 0R 3U3

10u/16V/NC

10u/16V/NC
LED-1 5Vstb

100n/16V/NC
3.3VS 4 22p/50V KEYPAD-KEY0 R144 1k KEY0-in
5VS 5
I2C-SCL 数
字光感
6 R187 0R I2C-SCL
I2C-SDA
7 按键信 息 给SO C处理
KEY 0 按键 R186 0R I2C-SDA
GND 8 VZ20 1
ESD05V88D-LC
1

ESD05V88D-LC

KEYPAD-KEY0 KEY1 ESD05V88D-LC


1

LED-FB C130 C131


WIFI_REG_ON 9 LED _FB 1 2
ESD05V88D-LC
BT_WAKE_SOC 10 1
Wifi_REG_ON WIFI_RESET 给光 感
5 V ( S) 11 LED 1 100n/25V
DM1 BT_WAKE_SOC R147 100R VD2 VD7 4.7u/10V/NC
DP1 12 1 5Vstb
5UWF
SHIELD1 13 USB0_D-
5V_Wifi 5Vstb
GND 14 放板边,便于 初版调试; 5Vstb
3D R148 2.2R USB0_D+ 正式去 掉.
1
1

15
2

BT_REG_ON R149 2.2R 5V_Wifi


WIFI_DEV_WAKE 16 屏蔽地 0R
W I F IR289 +5V
17 3D_TB WD+ WD-
R160
12.3 0
1

18 R321 0R/NC L17 STAND BY: 10k/NC


5V_Wifi BLM18PG330SN1D/NC
19
20 1 3D_TB 5UBT
R501
100R
R506
10k L16
HIGH->N OR MAL
LOW->STAND BY bom加 反向
BT_REG_ON BT_RESET N6
R154 100R BLM18PG330SN1D
WI_HOST_WAKE WIFI_WAKE_SOC STB_LED R162 R470
R155 100R/NC 4 IR_IN STB
C133 100n/16V/NC

C132 100n/16V

C134
22
21

3
2


电 择 C136 C139 C135 100R/NC 4.7k/NC
3

2 wifi供 20151 1
5
0
1 100n/16V 10u/16V 10u/16V C140 1 R161 STB
STB 103
100n/16V

VZ44
100n/16V/NC 4.7k/NC
C323 V21
IRM-V838M3/TR1
2

4.7u/10V ESD05V88D-LC /NC 2PC 4617Q/NC


1

Earphone 遥控指 示 灯 LE D
预留
触摸按键,用 一 路 灯 效 时 , R 5 6 = 0 , R 50=NC, R53 = 0;

R55=NC,R1104=6.8k,分出
按键 电 压 2. 5V
5Vstb

EARPHONE端 子 耳机 5V上拉? ??
5Vstb
物料号 1 1 4 2 23 5 +5V +5V R173 R172
双反向 : H 待 机红 灯 510R 510R
470R
470R

6
5

L 蓝

开灯;
R534

R524

10k/NC R514

4 LED _FB
C430 22u/6.3V/NC R536 3
XS10 4.7k/NC R183 0R R163 0R/NC
R137 R139 HX1.25-4P-W-K 2 R185 6.8k/NC KEYPAD-KEY0
3 R324 C284 PH-Rin 1
R 2.2k/NC 0R/NC R159 0R LED -L
3

WR 4 5.1R/NC 22u/6.3V/NC 5Vstb


2SCR523EB/NC

WL 2 R523 4.7k/NC 1 V24 XP7 R178 0R/NC


3

A L 1 V17 A
GND 5
R325 C306 PH-Lin 1
5Vstb
2

C302 2PC 4617Q/NC


5.1R/NC 22u/6.3V/NC C347 R499
PJ-3580\Reflow R537 R140 R134 0R R138 0R LED-L LED _FB R375 0R/NC
2

C443 22u/6.3V/NC 4.7k/NC


0R/NC 10k LED -L
2.2u/10V/NC
3

V18
100n/16V/NC

HP_MUTE HP_DEC 1
EARPHONE 1 V22 1 V20 R136 2PA1774Q /NC
3

0R/NC 4.7k
3

MMBT3904LT1/NC MMBT3904LT1/NC R538 C349 R141 1 V19 R152 R349


2

10k/NC 2PC 4617Q/NC


5Vstb 2.2k/NC 0R/NC
R142
增加防爆 音 电 路
3
100n/16V/NC

LED_R
3
2

1 V15 R135 V39


2PC 4617Q 10k/NC 1

参数待确认 ? ??
+5V
10k R341 2PC 4617Q/NC
R360 0R 0R LED _FB
2

10k R348
R143
2

10k/NC V38
R535 1

增加耳 机 H P _D EC
R342
3

4.7k/NC
HP_MUTE 2PA1774Q /NC
R249 0R/NC 4.7k
1 V40
3

2PC 4617Q/NC
3

MUTE_AMP R335 10k/NC


3

1 V59 插入耳机时, 弹 片 分 开,H P_DEC为 高 电 平; LED _FB_SOC


4,11 MUTE_AMP 无插入耳机时, 弹 片 接触,H P_ DE C为 低 电平; 单反向给触摸 按键灯: R323 1 V29
L 待

呼 吸 10k 2PC 4617Q
2

2SC R523EB/NC R374


开机蓝灯; R322
2

H 10k/NC
10k/NC
12.30
b o m 减一反 向

5 4 3 2 1
5 4 3 2 1

CORE/CPU Power
+1.10V_VDDC

N1G
VDDC 4200mA, 1 0 0 mil
CORE Power

M11
M12
M16
M17
M18
M19
M20
M21
M22
M23

N11
N12
N16
N17
N18
N19
N20
N21
N22

P10
P11
P12
P13
P15
P16
P18
P19
P20
M3

P7
P8
P9
N1F C211 C212 C169 C164 C209 C165 C166 C167 C168 VDDC

GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND

GND
GND
GND
GND
GND
GND
GND
GND
GND

GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
22u/6.3VV C590 C591 +1.10V_VDDC
22u/6.3VV 22u/6.3VV 22u/6.3VV 10u/6.3VV 100n/16V 100n/16V 100n/16V 100n/16V
2.2u/6.3VV
1.0V VDDC
G7
VDDC
2.2u/6.3VV
+4
G8 A1 R1 C549 C548 C547 C610
H7 VDDC A8 GND GND R7
H8 VDDC A15 GND GND R8 +1.05_VDDC_CPU 100n/16V 100n/16V 100n/16V
VDDC GND GND 22u/6.3VV
J7 A17 R9 VDDC_CPU
J8 VDDC A24 GND GND R11
VDDC GND GND 2300mA, 1 0 0 mil
J9 A26 R12
K7 VDDC A28 GND GND R13
K8
K9
L7
VDDC
VDDC
VDDC B9
GND GND
GND
GND
R14
R15
R17
C214 C213 C586 C152 C201 C161 C159 C160 C158
CPU Power +1.05_VDDC_CPU
L8 VDDC B14 GND GND R18 22u/6.3VV22u/6.3VV 22u/6.3VV 10u/6.3VV 10u/6.3VV 100n/16V 100n/16V 100n/16V VDDC_CPU
L9 VDDC B16 GND GND R19 2.2u/6.3VV
VDDC GND GND
M9 B18
+4
移1大在 B O T
M8 VDDC B23 GND
VDDC GND C609
M7 B25
VDDC B27 GND C556 C554 C555
D
1.1V GND
100n/16V 10u/16V 100n/16V
22u/6.3VV
D
T9
C3 GND T10
V18 C8 GND GND T11 VDDC
VDDC_CPU
V19
V20
W18
VDDC_CPU
VDDC_CPU
VDDC_CPU
C11
C12
C13
GND
GND
GND
GND
GND
GND
T12
T17
T18 121/0402 500mA
AVDDL_MOD CORE Power
VDDC_CPU GND GND

双面放 件 BOT加 电容
W19 C20 T19
W20 VDDC_CPU C21 GND GND T20
VDDC_CPU VDDC_CPU GND GND L20
C597 C195 C200 W21 C22 T26 20mA, 2 5 mil
Y19 VDDC_CPU GND GND
C197 VDDC_CPU BLM15AG121SN1D
100n/16V 100n/16V 100n/16V Y20
VDDC_CPU
D6
GND
C198 C199
Y21 D7

原 TO P 面
22n/25V
Y22
AA19
AA20
VDDC_CPU
VDDC_CPU
VDDC_CPU
D8
D9
D10
GND
GND
GND
GND
GND
U10
U11
U12
2.2u/6.3VV 100n/16V +2
AA21 VDDC_CPU D12 GND GND U13
AA22 VDDC_CPU D14 GND GND U17
VDDC_CPU D16 GND GND U18
DVDD_NODIE D18 GND GND U19
DV DD_ NODIE 无
源 D20 GND GND U20 AVDDL_MHL3
Y12 D22 GND GND U21
DVDD_NODIE GND GND

C193 AVDD_NODIE Y7
D24
D26
D28
GND
GND
GND
U22
80mA, 2 5 mil
+2 AVDDL_MHL3
AVDD_NODIE GND V9
1u/16V GND
E2 V10 C194 C598
E7 GND GND V11 C546
2017.5更 改 电 容 降
低成本 DVDD_DDR GND GND 18PG330(1029725): 33R/3A
M10 E8 V12 10u/16V 100n/16V
N9 DVDD_DDR-M10 E9 GND GND V13 100n/16V
DVDD_DDR-N9 GND GND 新 s z无磁 珠
N10 E10 V14
DVDD_DDR-N10 E11 GND GND V15
E13 GND GND V16
GND GND
+2 AVDD_DDR_A
C440 C196
M14
N14
P14
AVDD_DDR_A
AVDD_DDR_A
AVDD_DDR_A
E15
E17
E19
GND
GND
GND
GND
GND
GND
V17
V21
V22
VDDC
CORE Power
2.2u/10V 100n/16V N15 E21 V23 DVDD_DDR
M15 AVDD_DDR_A E23 GND GND V24
AVDD_DDR_A GND GND DVDD_DDR
Y15 E25 V25
NC-Y15 GND GND

20 1 7 . 2 C540
AVDD_DDR_B
AA15

K12
NC-AA15

AVDD_DDR_B
E27

F7
GND

GND
GND
V26 180mA, 2 5 mil
C192
+1
C541 C503 K13 F8 W9 C551
C502 AVDD_DDR_B GND GND
100n/16V/NC K14 F9 W11 100n/16V
2.2u/10V 100n/16V J12 AVDD_DDR_B F10 GND GND W12 新 s z无磁 珠 100n/16V

AVDD_DRAM
+2
100n/16V/NC
J13 AVDD_DDR_B
AVDD_DDR_B
GND GND
GND
GND
W13
W14
K21 G9 W16
K26 AVDD_DDR_DRAM G10 GND GND W17
C186 AVDD_DDR_DRAM GND GND
G11 W22
可 加 留 2个 2.2u/6.3VV AVDD_DDR_VBP_A G12 GND
GND
GND
GND
W23
AVDD_DDR_VBP_A_DM J27 G13 W24
M13 AVDD_DDR_VBP_A-J27 G14 GND GND W25
AVDD_DDR_VBP_A_DM G15 GND GND W26
AVDD_DDR_VBN_A GND GND
AVDD_DDR_VBN_A_DM K28 G16
N13 AVDD_DDR_VBN_A-K28 G17 GND

20 1 7 . 2 AVDD_DDR_VBP_B
AVDD_DDR_VBP_B_DM H27
L13
AVDD_DDR_VBN_A_DM

AVDD_DDR_VBP_B-H27
G18
G19
G20
GND
GND
GND Y8
DDR3+4 -----2017.2
AVDD_DDR_VBP_B_DM G21 GND GND Y9
AVDD_DDR_VBN_B


置 DDR3_
,外 置
G28 G22 GND GND Y10
AVDD_DDR_VBN_B_DM
L12 AVDD_DDR_VBN_B-G28
AVDD_DDR_VBN_B_DM
G23
G24
G25
GND
GND
GND
GND
GND
GND
Y11
Y16
Y17
A D D R 4 -B 双面放 件 BOT加 电容
J14 G27 GND GND Y18
AVDD_DDR_LDO_B AVDD_DDR_LDO_B GND GND Y23 MIU0: DDR3 1.5V
GND
GND
Y24
Y26
MIU1: DDR4 1.2V
GND AVDD_DDR_A
R10 H1
AVDDL_MOD AVDDL_MOD-R10 GND AVDD_DDR_A
单面板无法出线,双面板才 连 T13 H9
AH23 AVDDL_MOD-T13 H10 GND
20 1 7 . 2 单面板无法出线,双面板才 连 W15 AVDDL_MOD-AH23
AVDDL_MOD_CAP
H11 GND
GND GND
AA7
+1.5V_DDR
300mA, 2 0 mil
C544 单面板无法出线,双面板才 连 J18 H12 AA8
C190 NC-J18 H13 GND GND AA11
C543 C542 H14 GND GND AA16 C587 C183
C171 C180 C179 C184
100n/16V/NC
100n/16V
100n/16V/NC 100n/16V/NC
AVDD15_MOD
K15
K16 AVDD15_MOD-K15
AVDD15_MOD-K16
H15
H16
H17
GND
GND
GND
GND
GND
GND
AA17
AA18
AA23
10u/6.3VV
2.2u/6.3VV
100n/16V 100n/16V 100n/16V 100n/16V +3 C559 C557 C558

GND GND 10u/6.3VV 100n/16V 100n/16V


H18 AA24
AA14 H19 GND GND AA25
AVDD_MOD AVDD_MOD GND GND
H20 AA27
C GND GND C
H21
H22 GND
H23 GND

AVDDL_MHL3
N7
N8 AVDDL_MHL3
H24
H25
GND
GND
GND AB7
DDR4 AVDD_DDR_B
AVDD_DDR_B

W10 AVDDL_MHL3 GND AB8


AA12 AVDDL_MHL3_CAP GND AB9 +1.2V_DDR
20 1 7 . 2 AVDD3P3_MHL3
AB12 AVDD3P3_MHL3
AVDD3P3_MHL3
GND
GND
AB16 300mA, 2 0 mil
C545

100n/16V
J10
J11 GND
GND
GND
GND
GND
AB17
AB18
AB19 C172
C588
2.2u/6.3VV
C182 C187 C181 C185
+3 C566 C565 C564
J15 AB20 10u/6.3VV 100n/16V 100n/16V
J16 GND GND AB21 10u/6.3VV 100n/16V 100n/16V 100n/16V 100n/16V
AA10 J17 GND GND AB22
VDDP_NAND_A VDDP_3318_A GND GND
J19 AB28
AB11 J21 GND GND
VDDP_NAND_C VDDP_3318_C GND
J22
AE15 J23 GND AC7
PADA_EMMC_CTRL J25 GND GND AC8
J26 GND GND AC9
GND GND AC14
W7 GND AC27
AVDD_DADC AVDD3P3_DADC GND
AVDD_DMPLL
AA9
AVDD3P3_DMPLL
MOD 1.5V +1.5V_DDR
+1.5V_DDR AVDD_DRAM
W8 K10 AD7 AVDD15_MOD
AVDD33_LAN AVDD3P3_ETH GND GND AVDD_DRAM
K11 AD8 AVDD15_MOD
U7 K17 GND GND AD9
AVDD_USB AVDD3P3_USB GND GND
T7 K18 AD28
T8 AVDD3P3_USB K19 GND GND 20mA, 1 5 mil
AVDD_USB3 AVDD3P3_USB3 GND C567
K20 C153 C600
V7 K22 GND AE3 C568
AVDD33_ADC AVDD3P3_ADC GND GND C154 100n/16V
V8 K23 AE8 100n/16V 100n/16V
AVDD3P3_ADC GND GND 100n/16V 10u/16V
K24 AE9
U8 K25 GND GND AE14
AVDD_AU33 AVDD_AU33 GND GND
U9 AE27
AVDD_EAR33

VDDP33
AB14
AB15
AVDD_EAR33

VDDP
GND

AF2 DDR4 +3
VDDP L10 GND AF8
GND GND AVDD_DDR_LDO_B
AA13 L11 AF9 +2.5V_DDR
AVDD_LPLL AVDD_LPLL GND GND
AB13 L14 AF10
AVDD_LPLL L15 GND GND AF14 AVDD_DDR_LDO_B AVDD_DDR_LDO_B
Y13 L16 GND GND AF15 C599
AVDD_PLL AVDD_PLL_A GND GND
Y14 L17 AF23
AVDD_PLL_B L18 GND GND AF24 60mA, 1 5 mil 100n/16V
GND GND C569
L19
L20 GND
GND 100n/16V
L23 AG2
L24 GND GND AG6
GND GND
GND
GND
AG12
AG26
DDR3 AVDD_DDR_VBP_A
DDR4 AVDD_DDR_VBP_B
C205 470n/10V AVDD_DDR_B C560 470n/10V
AVDD_DDR_A
AH1 AVDD_DDR_VBN_A AVDD_DDR_VBN_B
P22 GND AH11 C204 470n/10V C561 470n/10V
GND_EFUSE GND AH28
GND
R158
0R AVDD_DDR_VBP_A_DM AVDD_DDR_VBP_B_DM
C207 470n/10V/NC AVDD_DDR_B C562 470n/10V
MSD6A838UYGN MSD6A838UYGN AVDD_DDR_A
AVDD_DDR_VBN_A_DM AVDD_DDR_VBN_B_DM
C206 470n/10V/NC C563 470n/10V

T W 版 N C Joe y :
TW版 N C Macan: A_DM NC
Maxim: A_DM mount

2017.5

PM 电
源 PI N
B AVDD_3P3_DMPLL(AA9), ,AVDD_AU33(U8
AVDD_NODIE(Y7) ) , AVDD_EAR33(U9 ), AVDD_3P3_ETH(W
8), B

AVDD_3P3_DADC(W7),AVDD_3P3_ADC(V7 , V8) , AVDD_3P3_USB(


U 7,T7), AVDD_3P3_USB3( T 8。
)

eMMC/Nand Power
Standby Power 3.3V 双面放 件 BOT加 电容
Normal Power 3.3V +1.8V_Normal VDDP_NAND_A

3.3Vstb VDDP_NAND_A
20mA, 1 5 mil VDDP_NAND_A
+3.3V_Normal L23 BLM15AG601SN1/NC

Near IC AVDD_DMPLL
双面放 件 BOT加 电容 C570

双面放 件 BOT加 电容 +3
叠 放 R525 0R C602
L21
Near IC EMMC:1.8V C601 C155
10mA, 1 5 mil 100n/16V 2.2u/6.3VV 100n/16V 2.2u/6.3VV
3.3Vstb C442
Nand:3.3V
BLM15AG121SN1D C216 C147 C614
C613
2.2u/10V +3.3V_Normal VDDP_NAND_C
100n/16V 2.2u/6.3V 100n/16V 100n/16V
20mA, 1 5 mil
C449
+3.3V_Normal VDDP_NAND_C VDDP_NAND_C
C611 AVDD3P3_MHL3 2.2u/10V

AVDD_NODIE AVDD33_LAN
10u/6.3VV

40mA, 1 5 mil 放B O T AVDD3P3_MHL3 新 s z无磁 珠


C604 C572

放B O T C607
C606 C580 100n/16V
C603
2.2u/6.3VV 100n/16V
C571
2.2u/6.3VV +3
10mA, 1 5 mil 40mA, 1 5 mil 10u/6.3VV 100n/16V

C178
C574
+3 2.2u/10V

100n/16V
100n/16V

VDDP33 VDDP33

AVDD_DADC AVDD_USB AVDD_USB3 50mA, 1 5 mil


C444
Internel LDO
40mA, 1 5 mil 20mA, 1 5 mil
+3 2.2u/6.3V
C373 C176

100n/16V 100n/16V NC
30mA, 1 5 mil

C577
100n/16V

AVDD_LPLL AVDD_LPLL AVDD_MOD


AVDD_MOD

AVDD33_ADC 50mA, 1 5 mil


50mA, 1 5 mil +2
A C608 C581 A
AVDD33_ADC
100n/16V 100n/16V
60mA, 1 5 mil
C174 +1 C605

100n/16V 100n/16V

单面去掉 磁珠 AVDD_PLL

30mA, 1 5 mil

AVDD_AU33 AVDD_EAR33
C441
AVDD_EAR33
2.2u/6.3VV
C585 +1
L53 20mA, 1 5 mil 100n/16V

C579
BLM15AG121SN1D

C146 C150
+2 100n/16V

2.2u/6.3VV 100n/16V

5 4 3 2 1
5 4 3 2 1

CORE/CPU Power
+1.10V_VDDC

N1G
VDDC 4200mA, 1 0 0 mil
CORE Power

M11
M12
M16
M17
M18
M19
M20
M21
M22
M23

N11
N12
N16
N17
N18
N19
N20
N21
N22

P10
P11
P12
P13
P15
P16
P18
P19
P20
M3

P7
P8
P9
N1F C211 C212 C169 C164 C209 C165 C166 C167 C168 VDDC

GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND

GND
GND
GND
GND
GND
GND
GND
GND
GND

GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
22u/6.3VV C590 C591 +1.10V_VDDC
22u/6.3VV 22u/6.3VV 22u/6.3VV 10u/6.3VV 100n/16V 100n/16V 100n/16V 100n/16V
2.2u/6.3VV
1.0V VDDC
G7
VDDC
2.2u/6.3VV
+4
G8 A1 R1 C549 C548 C547 C610
H7 VDDC A8 GND GND R7
H8 VDDC A15 GND GND R8 +1.05_VDDC_CPU 100n/16V 100n/16V 100n/16V
VDDC GND GND 22u/6.3VV
J7 A17 R9 VDDC_CPU
J8 VDDC A24 GND GND R11
VDDC GND GND 2300mA, 1 0 0 mil
J9 A26 R12
K7 VDDC A28 GND GND R13
K8
K9
L7
VDDC
VDDC
VDDC B9
GND GND
GND
GND
R14
R15
R17
C214 C213 C586 C152 C201 C161 C159 C160 C158
CPU Power +1.05_VDDC_CPU
L8 VDDC B14 GND GND R18 22u/6.3VV22u/6.3VV 22u/6.3VV 10u/6.3VV 10u/6.3VV 100n/16V 100n/16V 100n/16V VDDC_CPU
L9 VDDC B16 GND GND R19 2.2u/6.3VV
VDDC GND GND
M9 B18
+4
移1大在 B O T
M8 VDDC B23 GND
VDDC GND C609
M7 B25
VDDC B27 GND C556 C554 C555
D
1.1V GND
100n/16V 10u/16V 100n/16V
22u/6.3VV
D
T9
C3 GND T10
V18 C8 GND GND T11 VDDC
VDDC_CPU
V19
V20
W18
VDDC_CPU
VDDC_CPU
VDDC_CPU
C11
C12
C13
GND
GND
GND
GND
GND
GND
T12
T17
T18 121/0402 500mA
AVDDL_MOD CORE Power
VDDC_CPU GND GND

双面放 件 BOT加 电容
W19 C20 T19
W20 VDDC_CPU C21 GND GND T20
VDDC_CPU VDDC_CPU GND GND L20
C597 C195 C200 W21 C22 T26 20mA, 2 5 mil
Y19 VDDC_CPU GND GND
C197 VDDC_CPU BLM15AG121SN1D
100n/16V 100n/16V 100n/16V Y20
VDDC_CPU
D6
GND
C198 C199
Y21 D7

原 TO P 面
22n/25V
Y22
AA19
AA20
VDDC_CPU
VDDC_CPU
VDDC_CPU
D8
D9
D10
GND
GND
GND
GND
GND
U10
U11
U12
2.2u/6.3VV 100n/16V +2
AA21 VDDC_CPU D12 GND GND U13
AA22 VDDC_CPU D14 GND GND U17
VDDC_CPU D16 GND GND U18
DVDD_NODIE D18 GND GND U19
DV DD_ NODIE 无
源 D20 GND GND U20 AVDDL_MHL3
Y12 D22 GND GND U21
DVDD_NODIE GND GND

C193 AVDD_NODIE Y7
D24
D26
D28
GND
GND
GND
U22
80mA, 2 5 mil
+2 AVDDL_MHL3
AVDD_NODIE GND V9
1u/16V GND
E2 V10 C194 C598
E7 GND GND V11 C546
2017.5更 改 电 容 降
低成本 DVDD_DDR GND GND 18PG330(1029725): 33R/3A
M10 E8 V12 10u/16V 100n/16V
N9 DVDD_DDR-M10 E9 GND GND V13 100n/16V
DVDD_DDR-N9 GND GND 新 s z无磁 珠
N10 E10 V14
DVDD_DDR-N10 E11 GND GND V15
E13 GND GND V16
GND GND
+2 AVDD_DDR_A
C440 C196
M14
N14
P14
AVDD_DDR_A
AVDD_DDR_A
AVDD_DDR_A
E15
E17
E19
GND
GND
GND
GND
GND
GND
V17
V21
V22
VDDC
CORE Power
2.2u/10V 100n/16V N15 E21 V23 DVDD_DDR
M15 AVDD_DDR_A E23 GND GND V24
AVDD_DDR_A GND GND DVDD_DDR
Y15 E25 V25
NC-Y15 GND GND

20 1 7 . 2 C540
AVDD_DDR_B
AA15

K12
NC-AA15

AVDD_DDR_B
E27

F7
GND

GND
GND
V26 180mA, 2 5 mil
C192
+1
C541 C503 K13 F8 W9 C551
C502 AVDD_DDR_B GND GND
100n/16V/NC K14 F9 W11 100n/16V
2.2u/10V 100n/16V J12 AVDD_DDR_B F10 GND GND W12 新 s z无磁 珠 100n/16V

AVDD_DRAM
+2
100n/16V/NC
J13 AVDD_DDR_B
AVDD_DDR_B
GND GND
GND
GND
W13
W14
K21 G9 W16
K26 AVDD_DDR_DRAM G10 GND GND W17
C186 AVDD_DDR_DRAM GND GND
G11 W22
可 加 留 2个 2.2u/6.3VV AVDD_DDR_VBP_A G12 GND
GND
GND
GND
W23
AVDD_DDR_VBP_A_DM J27 G13 W24
M13 AVDD_DDR_VBP_A-J27 G14 GND GND W25
AVDD_DDR_VBP_A_DM G15 GND GND W26
AVDD_DDR_VBN_A GND GND
AVDD_DDR_VBN_A_DM K28 G16
N13 AVDD_DDR_VBN_A-K28 G17 GND

20 1 7 . 2 AVDD_DDR_VBP_B
AVDD_DDR_VBP_B_DM H27
L13
AVDD_DDR_VBN_A_DM

AVDD_DDR_VBP_B-H27
G18
G19
G20
GND
GND
GND Y8
DDR3+4 -----2017.2
AVDD_DDR_VBP_B_DM G21 GND GND Y9
AVDD_DDR_VBN_B


置 DDR3_
,外 置
G28 G22 GND GND Y10
AVDD_DDR_VBN_B_DM
L12 AVDD_DDR_VBN_B-G28
AVDD_DDR_VBN_B_DM
G23
G24
G25
GND
GND
GND
GND
GND
GND
Y11
Y16
Y17
A D D R 4 -B 双面放 件 BOT加 电容
J14 G27 GND GND Y18
AVDD_DDR_LDO_B AVDD_DDR_LDO_B GND GND Y23 MIU0: DDR3 1.5V
GND
GND
Y24
Y26
MIU1: DDR4 1.2V
GND AVDD_DDR_A
R10 H1
AVDDL_MOD AVDDL_MOD-R10 GND AVDD_DDR_A
单面板无法出线,双面板才 连 T13 H9
AH23 AVDDL_MOD-T13 H10 GND
20 1 7 . 2 单面板无法出线,双面板才 连 W15 AVDDL_MOD-AH23
AVDDL_MOD_CAP
H11 GND
GND GND
AA7
+1.5V_DDR
300mA, 2 0 mil
C544 单面板无法出线,双面板才 连 J18 H12 AA8
C190 NC-J18 H13 GND GND AA11
C543 C542 H14 GND GND AA16 C587 C183
C171 C180 C179 C184
100n/16V/NC
100n/16V
100n/16V/NC 100n/16V/NC
AVDD15_MOD
K15
K16 AVDD15_MOD-K15
AVDD15_MOD-K16
H15
H16
H17
GND
GND
GND
GND
GND
GND
AA17
AA18
AA23
10u/6.3VV
2.2u/6.3VV
100n/16V 100n/16V 100n/16V 100n/16V +3 C559 C557 C558

GND GND 10u/6.3VV 100n/16V 100n/16V


H18 AA24
AA14 H19 GND GND AA25
AVDD_MOD AVDD_MOD GND GND
H20 AA27
C GND GND C
H21
H22 GND
H23 GND

AVDDL_MHL3
N7
N8 AVDDL_MHL3
H24
H25
GND
GND
GND AB7
DDR4 AVDD_DDR_B
AVDD_DDR_B

W10 AVDDL_MHL3 GND AB8


AA12 AVDDL_MHL3_CAP GND AB9 +1.2V_DDR
20 1 7 . 2 AVDD3P3_MHL3
AB12 AVDD3P3_MHL3
AVDD3P3_MHL3
GND
GND
AB16 300mA, 2 0 mil
C545

100n/16V
J10
J11 GND
GND
GND
GND
GND
AB17
AB18
AB19 C172
C588
2.2u/6.3VV
C182 C187 C181 C185
+3 C566 C565 C564
J15 AB20 10u/6.3VV 100n/16V 100n/16V
J16 GND GND AB21 10u/6.3VV 100n/16V 100n/16V 100n/16V 100n/16V
AA10 J17 GND GND AB22
VDDP_NAND_A VDDP_3318_A GND GND
J19 AB28
AB11 J21 GND GND
VDDP_NAND_C VDDP_3318_C GND
J22
AE15 J23 GND AC7
PADA_EMMC_CTRL J25 GND GND AC8
J26 GND GND AC9
GND GND AC14
W7 GND AC27
AVDD_DADC AVDD3P3_DADC GND
AVDD_DMPLL
AA9
AVDD3P3_DMPLL
MOD 1.5V +1.5V_DDR
+1.5V_DDR AVDD_DRAM
W8 K10 AD7 AVDD15_MOD
AVDD33_LAN AVDD3P3_ETH GND GND AVDD_DRAM
K11 AD8 AVDD15_MOD
U7 K17 GND GND AD9
AVDD_USB AVDD3P3_USB GND GND
T7 K18 AD28
T8 AVDD3P3_USB K19 GND GND 20mA, 1 5 mil
AVDD_USB3 AVDD3P3_USB3 GND C567
K20 C153 C600
V7 K22 GND AE3 C568
AVDD33_ADC AVDD3P3_ADC GND GND C154 100n/16V
V8 K23 AE8 100n/16V 100n/16V
AVDD3P3_ADC GND GND 100n/16V 10u/16V
K24 AE9
U8 K25 GND GND AE14
AVDD_AU33 AVDD_AU33 GND GND
U9 AE27
AVDD_EAR33

VDDP33
AB14
AB15
AVDD_EAR33

VDDP
GND

AF2 DDR4 +3
VDDP L10 GND AF8
GND GND AVDD_DDR_LDO_B
AA13 L11 AF9 +2.5V_DDR
AVDD_LPLL AVDD_LPLL GND GND
AB13 L14 AF10
AVDD_LPLL L15 GND GND AF14 AVDD_DDR_LDO_B AVDD_DDR_LDO_B
Y13 L16 GND GND AF15 C599
AVDD_PLL AVDD_PLL_A GND GND
Y14 L17 AF23
AVDD_PLL_B L18 GND GND AF24 60mA, 1 5 mil 100n/16V
GND GND C569
L19
L20 GND
GND 100n/16V
L23 AG2
L24 GND GND AG6
GND GND
GND
GND
AG12
AG26
DDR3 AVDD_DDR_VBP_A
DDR4 AVDD_DDR_VBP_B
C205 470n/10V AVDD_DDR_B C560 470n/10V
AVDD_DDR_A
AH1 AVDD_DDR_VBN_A AVDD_DDR_VBN_B
P22 GND AH11 C204 470n/10V C561 470n/10V
GND_EFUSE GND AH28
GND
R158
0R AVDD_DDR_VBP_A_DM AVDD_DDR_VBP_B_DM
C207 470n/10V/NC AVDD_DDR_B C562 470n/10V
MSD6A838UYGN MSD6A838UYGN AVDD_DDR_A
AVDD_DDR_VBN_A_DM AVDD_DDR_VBN_B_DM
C206 470n/10V/NC C563 470n/10V

T W 版 N C Joe y :
TW版 N C Macan: A_DM NC
Maxim: A_DM mount

2017.5

PM 电
源 PI N
B AVDD_3P3_DMPLL(AA9), ,AVDD_AU33(U8
AVDD_NODIE(Y7) ) , AVDD_EAR33(U9 ), AVDD_3P3_ETH(W
8), B

AVDD_3P3_DADC(W7),AVDD_3P3_ADC(V7 , V8) , AVDD_3P3_USB(


U 7,T7), AVDD_3P3_USB3( T 8。
)

eMMC/Nand Power
Standby Power 3.3V 双面放 件 BOT加 电容
Normal Power 3.3V +1.8V_Normal VDDP_NAND_A

3.3Vstb VDDP_NAND_A
20mA, 1 5 mil VDDP_NAND_A
+3.3V_Normal L23 BLM15AG601SN1/NC

Near IC AVDD_DMPLL
双面放 件 BOT加 电容 C570

双面放 件 BOT加 电容 +3
叠 放 R525 0R C602
L21
Near IC EMMC:1.8V C601 C155
10mA, 1 5 mil 100n/16V 2.2u/6.3VV 100n/16V 2.2u/6.3VV
3.3Vstb C442
Nand:3.3V
BLM15AG121SN1D C216 C147 C614
C613
2.2u/10V +3.3V_Normal VDDP_NAND_C
100n/16V 2.2u/6.3V 100n/16V 100n/16V
20mA, 1 5 mil
C449
+3.3V_Normal VDDP_NAND_C VDDP_NAND_C
C611 AVDD3P3_MHL3 2.2u/10V

AVDD_NODIE AVDD33_LAN
10u/6.3VV

40mA, 1 5 mil 放B O T AVDD3P3_MHL3 新 s z无磁 珠


C604 C572

放B O T C607
C606 C580 100n/16V
C603
2.2u/6.3VV 100n/16V
C571
2.2u/6.3VV +3
10mA, 1 5 mil 40mA, 1 5 mil 10u/6.3VV 100n/16V

C178
C574
+3 2.2u/10V

100n/16V
100n/16V

VDDP33 VDDP33

AVDD_DADC AVDD_USB AVDD_USB3 50mA, 1 5 mil


C444
Internel LDO
40mA, 1 5 mil 20mA, 1 5 mil
+3 2.2u/6.3V
C373 C176

100n/16V 100n/16V NC
30mA, 1 5 mil

C577
100n/16V

AVDD_LPLL AVDD_LPLL AVDD_MOD


AVDD_MOD

AVDD33_ADC 50mA, 1 5 mil


50mA, 1 5 mil +2
A C608 C581 A
AVDD33_ADC
100n/16V 100n/16V
60mA, 1 5 mil
C174 +1 C605

100n/16V 100n/16V

单面去掉 磁珠 AVDD_PLL

30mA, 1 5 mil

AVDD_AU33 AVDD_EAR33
C441
AVDD_EAR33
2.2u/6.3VV
C585 +1
L53 20mA, 1 5 mil 100n/16V

C579
BLM15AG121SN1D

C146 C150
+2 100n/16V

2.2u/6.3VV 100n/16V

5 4 3 2 1
5 4 3 2 1

AVDD_DDR_1_S
+2.5V_DDR AVDD_DDR_1_S
DDR_VPP_1 C220 C221C223 C224
2017.2 C484更
改为 1u
Close to DDR POWER PIN
Close to DDR POWER PIN 100n/16V
100n/16V
100n/16V
100n/16V
Close to DDR POWER PIN
C236 C237 C238 C239 C240 C241 C242 C243 C215 C227
C528 C222 C225 C226
100n/16V 100n/16V 100n/16V 100n/16V 100n/16V 100n/16V 100n/16V 100n/16V 100n/16V C527 C529
C484 4.7u/6.3VV 100n/16V
C483 C481 C482 100n/16V 100n/16V 100n/16V 2.2u/6.3VV
10u/6.3V
100n/16V 100n/16V 100n/16V 1u/16V


面仿 真 由 100n f 更 改 为 2. 2 u f 7700单
面仿真 由 100n f 更 改为 4 . 7 u f , 1 0u f
2017.2 +1.2V_DDR AVDD_DDR_1_S

AVDD_DDR_1_S

C265 C266 C263 C264


+2 DDR_VPP_1
Close to DDR POWER PIN Close to DDR POWER PIN

AVDD_DDR_1_S
22u/6.3VV 22u/6.3VV 10u/6.3VV10u/6.3VV C525 C526 C522 C524 C523 C228 C229 C230 C232
Close to DDR POWER PIN C530 C596
100n/16V 100n/16V 100n/16V 100n/16V 100n/16V 100n/16V 100n/16V 100n/16V 100n/16V 100n/16V 100n/16V
c486 c487 c488 c485
D 100n/16V 100n/16V 100n/16V 100n/16V D
C516 C517 C510 C511 C512 C515 C514 C520 C519
100n/16V 100n/16V 100n/16V 100n/16V 100n/16V C518 C513
100n/16V 100n/16V 100n/16V 100n/16V 100n/16V 100n/16V
+1
双面放件电容


DDR3海资 源:11 7 1002 4 GB
1171002 储IC\H5TQ4G63EFR-TEC\TP\JK\RO
存 H
改 1142589 CFR

BA2,CSB1,CSB2 need GND shielding 2017.2--8Gb DDR4*2


置DD
外 用三星
使
R DDR4 N60 N6 1
1167992 K4A8G165WB-BCRC
N1A
2017.2 通
公版 N
ET
DDR_VPP_1 AVDD_DDR_1_S DDR_VPP_1 AVDD_DDR_1_S
E12 CD-TMA0
B-A0 A11 CD-TMA1
B-A1 F12 CD-TMA2
B-A2 D17 CD-TMA3
B-A3 E14

G7

G1

G9
CD-TMA4

R9
D1

R1

C1

D9
B1

B3

B9

A1

A9
T9

F2

F8
L1

L9
J1

J9

J2

J8

G7

G1

G9
R9
D1

R1

C1

D9
B1

B3

B9

A1

A9
N61

T9

F2

F8
B-A4

L1

L9
J1

J9

J2

J8
B11 CD-TMA5 N60 K4A8G165WB-BCRC
B-A5 D13 CD-TMA6 K4A8G165WB-BCRC

VPP
VPP
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
B-A6

VPP
VPP
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
B10 CD-TMA7
B-A7 F13 CD-TMA8
B-A8 C9 CD-TMA9 CD-TMA0 P3 E2 C-TMDMU CD-TMA0 P3 E2 D-TMDMU
B-A9 E16 CD-TMA10 P7 A0 DMU B7
CD-TMA1 C-TMDQSU CD-TMA1 P7 A0 DMU B7 D-TMDQSU
B-A10 F11 CD-TMA11 A1 DQSU_t
CD-TMA2 R3 A7 C-TMDQSUB R3 A1 DQSU_t A7
B-A11 F16 A2 DQSU_c CD-TMA2 D-TMDQSUB
CD-TMA12 CD-TMA3 N7 A2 DQSU_c
B-A12 A3 CD-TMA3 N7
A9 CD-TMA13 CD-TMA4 N3 A3 C-TMDQU0 A3
B-A13 A4 DQU0 CD-TMA4 N3 A3 D-TMDQU0
F14 CD-TMBA0 CD-TMA5 P8 B8 C-TMDQU1 A4 DQU0
B-BA0 A5 DQU1 CD-TMA5 P8 B8 D-TMDQU1
A12 CD-TMBA1 CD-TMA6 P2 C3 C-TMDQU2 A5 DQU1
B-BA1 A6 DQU2 CD-TMA6 P2 C3 D-TMDQU2
D15 CD-TMBG0 CD-TMA7 R8 C7 C-TMDQU3 A6 DQU2
B-BG0 A7 DQU3 CD-TMA7 R8 C7 D-TMDQU3
B13 CD-TMRASB CD-TMA8 R2 C2 C-TMDQU4 A7 DQU3
B-RASZ A8 DQU4 CD-TMA8 R2 C2 D-TMDQU4
B12 CD-TMCASB CD-TMA9 R7 C8 C-TMDQU5 A8 DQU4
B-CASZ A9 DQU5 CD-TMA9 R7 C8 D-TMDQU5
F18 CD-TMWEB CD-TMA10 M3 D3 C-TMDQU6 A9 DQU5
B-WEZ A10 DQU6 CD-TMA10 M3 D3 D-TMDQU6
F19 CD-TMODT CD-TMA11 T2 D7 C-TMDQU7 A10 DQU6
B-ODT A11 DQU7 CD-TMA11 T2 D7 D-TMDQU7
C14 CD-TMCKE CD-TMA12 M7 A11 DQU7 +0.6V_DDR
B-CKE A12 CD-TMA12 M7
F15 CD-TMRESETB CD-TMA13 T8 E7 C-TMDML A12
B-RST A13 DML CD-TMA13 T8 E7 D-TMDML VTT_0.6V_1_MIU0
C10 CD-TMPAR G3 C-TMDQSL A13 DML
B-PARITY DQSL_t G3 D-TMDQSL
F17 CD-TMACT F3 C-TMDQSLB DQSL_t
B-ACTZ DQSL_c F3 D-TMDQSLB
C A14 CD-TMCK CD-TMBA0 N2 DQSL_c C438 C
B-MCLK BA0 CD-TMBA0 N2
C15 CD-TMCKB CD-TMBA1 N8 G2 C-TMDQL0 BA0
B-MCLKZ BA1 DQL0 CD-TMBA1 N8 G2 D-TMDQL0 10u/6.3V
B8 CD-TMCSB0 CD-TMBG0 M2 F7 C-TMDQL1 BA1 DQL0
B-CSB0
B-CSB1
D11 CD-TMCSB1
CD-TMRASB L8
BG0 DQL1
DQL2
H3
H7
C-TMDQL2
C-TMDQL3
CD-TMBG0 M2
BG0 DQL1
DQL2
F7
H3
D-TMDQL1
D-TMDQL2 2017.2改 150R
/RAS / A16 DQL3 CD-TMRASB L8 H7 D-TMDQL3
CD-TMCASB M8 H2 C-TMDQL4 CD-TMCASB M8 /RAS / A16 DQL3 H2 D-TMDQL4
C248 100n/16V
E22 C-TMDQL0 CD-TMWEB L2 /CAS / A15 DQL4 H8 C-TMDQL5 /CAS / A15 DQL4
+1
RN3 150R
MIU1 B-DQ[0]
B-DQ[1]
D19 C-TMDQL1 CD-TMODT K3 /WE / A14 DQL5 J3 C-TMDQL6
CD-TMWEB
CD-TMODT
L2
K3 /WE / A14 DQL5
H8
J3
D-TMDQL5
D-TMDQL6 CD-TMA13 5 4
A21 C-TMDQL2 CD-TMRESETB P1 ODT DQL6 J7 C-TMDQL7 ODT DQL6 CD-TMA9 6 3
B-DQ[2] /RESET DQL7 D-MRESETB P1 J7 D-TMDQL7
C17 C-TMDQL3 CD-TMCKE K2 /RESET DQL7 CD-TMA7 7 2
B-DQ[3] CKE D-MCKE K2
B21 C-TMDQL4 M1 C-MVREFCA CKE CD-TMA1 8 1 C249 100n/16V
B-DQ[4] VREFCA M1 D-MVREFCA
AVDD_DRAM C16 C-TMDQL5 CD-MCK K7 VREFCA RN4 150R
B-DQ[5] CK_t D-MCK K7
D23 C-TMDQL6 CD-MCKB K8 L7 CD-CSB0 CK_t AVDD_DDR_1_S CD-TMA5 5 4 C251 100n/16V
B-DQ[6] CK_c /CS D-MCKB K8 L7 CD-CSB1
B15 C-TMDQL7 T3 CD-TMPAR CK_c /CS CD-TMA3 6 3
B-DQ[7] PARITY T3 CD-TMPAR
E18 C-TMDML T7 L3 CD-TMACT AVDD_DDR_1_S PARITY CD-TMBA1 7 2 C252 100n/16V
B-DQM[0] NC1 ACTZ T7 L3 CD-TMACT R497
R199 B19 C-TMDQSL P9 ALERTZ10 R495 10k NC1 ACTZ CD-TMA12 8 1
RM19 B-DQS[0] ALERTZ P9 ALERTZ11
2k C19 C-TMDQSLB N9 TEN10 ALERTZ C253 100n/16V
B-DQSB[0] TEN N9 TEN11 CD-TMA8 5 4
TEN 10k
1% FR
CD-TMA2 6 3 C218 100n/16V
R498 CD-TMA11 7 2
H26 A20 C-TMDQU0 R496
A-RST B-DQ[8]/DQU0 10k

VSSQ
VSSQ
VSSQ
VSSQ
VSSQ
VSSQ
VSSQ
VSSQ
VSSQ
VSSQ
F20 C-TMDQU1 CD-TMPAR 8 1

VSSQ
VSSQ
VSSQ
VSSQ
VSSQ
VSSQ
VSSQ
VSSQ
VSSQ
VSSQ
10k C219 100n/16V

VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
B-DQ[9]/DQU1

VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
B20 C-TMDQU2 CD-TMBA0 RN55 4 150R

ZQ

ZQ
G26 B-DQ[10]/DQU2 E20 C-TMDQU3 6 3
C501 CD-TMA4
A-CKE B-DQ[11]/DQU3 D21 C-TMDQU4 C256 100n/16V
CD-TMA6 7 2
B-DQ[12]/DQU4

E1
K1
N1

B2
G8
E9
K9
M9

H1
A2
D2
E3
A8
D8
E8
C9
H9
F9

T1

F1
B17 C-TMDQU5

E1
K1
N1

B2
G8
E9
K9
M9

H1
A2
D2
E3
A8
D8
E8
C9
H9
F9

T1

F1
10n/50VV CD-TMA0 8 1
C500 B-DQ[13]/DQU5 F22 C-TMDQU6 R216 240R R217 240R C257 100n/16V
B-DQ[14]/DQU6
Z10 Z11 RN6RN7 150R150R
R200 F21 C-TMDQU7 CD-TMWEB 5 4 C258 100n/16V
2k 10p/50V B-DQ[15]/DQU7 F23 C-TMDMU 6 3
1% CD-TMACT
B-DQM[1] A18
1% FR C-TMDQSU 1% CD-TMBG0 7 2 C259 100n/16V
B-DQS[1] C18 C-TMDQSUB CD-TMA10 8 1
B-DQSB[1]
若在 T OP/地单 独打 孔 B28 D-TMDQL0 D-MCKE R507 150R/NC
C260

C261
100n/16V

100n/16V
AVDD_DRAM B-DQ[16]/DQL0 F25 D-TMDQL1 C507
B-DQ[17]/DQL1 C28 D-TMDQL2 10n/50V/NC C262 100n/16V
B-DQ[18]/DQL2 A23 D-TMDQL3
B-DQ[19]/DQL3 E28 D-TMDQL4
R203 1k L26 B-DQ[20]/DQL4 C23 D-TMDQL5 CD-TMRASB R509 150R C504 100n/16V
DRAM_VREF B-DQ[21]/DQL5 F28 D-TMDQL6 CD-TMODT R510 150R
1% FR B-DQ[22]/DQL6 B22 D-TMDQL7 2017.2 CD-TMCASB R511 150R C505 100n/16V
R204 C254 C255 B-DQ[23]/DQL7 F24 D-TMDML CD-MCK R513 56R/NC C509
1k B-DQM[2] B26 D-TMDQSL CD-MCKB CK1
B-DQS[2] C506 100n/16V
100n/16V 1n/50V C26 D-TMDQSLB R512 56R/NC 100n/16V
B-DQSB[2]

D27 D-TMDQU0
CD-TMCSB1 R205 22R CD-CSB1 独立包地 D-MCK R197 56R
B-DQ[24]/DQU0 CD-TMCSB0 R206 22R CD-CSB0
F26 D-TMDQU1 D-MCKB R198 56R
B-DQ[25]/DQU1 A27 D-TMDQU2
B-DQ[26]/DQU2 C24 D-TMDQU3
CD-TMCKB R218 0R CD-MCKB R207 56R D-MCKB
B-DQ[27]/DQU3 C27 D-TMDQU4
B-DQ[28]/DQU4 CD-TMCK R219 0R CD-MCK D-MCK
B 1% FR E24 D-TMDQU5 d d r 4 的1 0 nf改 4 7 0n D-MRESETB R508 150R/NC B
R201 240R B-DQ[29]/DQU5 CD-TMCKE R210 0R D-MCKE R209 56R
K27 E26 D-TMDQU6 C508
ZQ B-DQ[30]/DQU6
R202 240R M25 B-DQ[31]/DQU7
D25
F27
D-TMDQU7
D-TMDMU
CD-TMRESETB R208 0R D-MRESETB 2017.5 双
面 2 2R
改为 5 6 R CD-TMRESETB
470n/10V
ZQ1 B-DQM[3] C25 D-TMDQSU 2017.2 C235 2017.2
B-DQS[3] 470n/10V
1% FR
B-DQSB[3]
B24 D-TMDQSUB
面 10 改 4 70 n f
2017.5双
2017.5 双
面 10 改 4 70 n f AVDD_DDR_1_S
R212 1k
C-MVREFCA

AVDD_DDR_1_S
R213
1% FR 1k C244 C245
d d r 4 的1 kf改 1 0k 100n/16V
1n/50V
R195
10k
2017.5双
面 1K改 10 K
MSD6A838UYGN AVDD_DDR_1_S
D-MRESETB
R214 D-MVREFCA
D-MCKE
1k
1% FR R215
R196 1k C247 C246
1k 100n/16V
for STR 1n/50V

2017.2NC---0.75V Power for DDR3 Terminators

A A

5 4 3 2 1
5 4 3 2 1

NAND-AD[7:0]
NAND-AD[7:0] 4,6
DDR 4G:1142588

Net 储IC\ H5 TQ2G63F FR- RDC\ TP\ JK\ RO H 更


2G:1135720存
H5TQ4G63EFR-RDC\TP\JK\ROH
改 后型号 1 1
4Gb/18666M/16bit
58 12 存 储 I C\ H5 TQ2 G63GFR- RDC\ T P \ J K \ R O H EMMC_D6
EMMC_D7
EMMC_D2
NAND-AD0
NAND-AD1
NAND-AD2
E MMC : 存
储器 8 G E MM C :东
芝变
更 为 海 力士 ( 1 1 5 5 5 94切
换 为 1 1 6603 9) EMMC_D1 NAND-AD3
NAND-AD[7:0] 1166039 存
储 IC\ H2 6M4120 4 HPR\ TP\ JK \ RO
H EMMC_D0
NAND-AD[7:0] 4,6 NAND-AD4
1142196 B031升级 116605 5 存储I C\ K LM8G1 GEME- B041\ TP\ J K\ R OH EMMC_D3 NAND-AD5
EMMC_CMD NAND-CEZ EMMC_D4 NAND-AD6
EMMC_CLK NAND-CEZ 4,6 4G: EMMC_D5
NAND-REZ 4,6 1142209 储IC\ T HGBMBG5D1 KBAIL \ TP\ JK\ RO
存 H NAND-AD7
EMMC_RSTZ NAND-REZ EMMC_CMD
NAND-RBZ 4,6 级 116339 1 T HGBMDG5D1LBAI L 15 n m 4G
升 B NAND-CEZ NAND-CEZ 4,6
NAND-RBZ EMMC_CLK
1146985 储 IC\ K LM4G1 FEPD- B031 \ TP\ JK\ RO
存 H NAND-REZ
NAND-REZ 4,6
EMMC_DS NAND-DQS EMMC_RSTZ NAND-RBZ
NAND-DQS 4,6 EMMC_DS NAND-RBZ 4,6
存器 1 6G EM
储 MC : NAND-DQS 4,6
D
H26M52208FPR NAND-DQS D
EMMC 1157593 存储IC\ H2 6M52208F PR\ TP\ RO
H
DDR 1171002 存
储IC\ H5 TQ4G63EFR- T EC\ TP\ JK\ ROH---- - 老 的 1142 5 8
9 存储 I C\ H5T Q4 G63CFR- TEC\T P \ J
H
O
\R
K

EMMC-1157593 16GB

eMMC
HS200及
以上模 式VCCQ 需 要 选 用 1 . 8 V
EMMC_VIO
珠 物 料 :0402-1107
if磁 453 /0603-1035
3
2
6
+1.8V_Normal

L64 BLM15AG601SN1/NC pcb小 封 装11.5*13


EMMC_VIO R224 0R EMMC_VIO 三星要 求 CM D上 拉R239
VCC3.3V_EMMC_SD 叠放 +3.3V_Normal R379
叠放 10k 10k/NC EMMC_VIO
C448 L65 BLM15AG601SN1/NC N56 H26M52208FPR
C432 C433 C451 C431 EMMC_CMD NF_CMD M5 C6
C268 C273 C267 R228 0R

2
1u/16V EMMC_CLK NF_CLK CMD VDD_0
2.2u/6.3VV100n/16V C434 C274 C269 C270 R225 0R R226 22R M6 M4
2.2u/6.3VV100n/16V 100n/16V 10u/16V 2.2u/10V VZ21 CLK VDD_1 N4
2.2u/6.3VV100n/16V 100n/16V ESD5621W15-2/TR/NC EMMC_D0 NF_D0 A3 VDD_2 P3
R230 0R
2.2u/10V EMMC_D1 NF_D1 A4 DAT0 VDD_3 P5 VCC3.3V_EMMC_SD
R231 0R
emmc左 EMMC_D2 NF_D2 DAT1 VDD_4
12. 3 0 R232 0R A5

1
EMMC_D3 NF_D3 B2 DAT2 E6
R233 0R
EMMC_D4 NF_D4 DAT3 VDDF_0
C版 本加 EMMC_D5
R234
R235
0R
0R NF_D5
B3
B4 DAT4 VDDF_1
F5
J10
EMMC_D6 NF_D6 DAT5 VDDF_2
放 e m m c上下左 右 。CMD上拉电 阻 左 侧 地 线 左侧加 1。 R236 0R B5 K9
EMMC_D7 NF_D7 B6 DAT6 VDDF_3
C R227 0R C
EMMC_DS DS H5 DAT7 C2 C190_NET C271 2.2u/10V
Data Strobe VDDI
A7 E7 c284 公
版4.7
4层 已确
,
u 认2. 2 ok

2017. 2 EMMC_VIO VCC3.3V_EMMC_SD R237


10k
NF_D6 C5
E5
RFU_0
RFU_1
VSS_0
VSS_1
G5
H10
E8 RFU_2 VSS_2 J5
BOT面 E9 RFU_3
RFU_4
VSS_3
VSS_4
K8

HS400,要 A6/
5 接 地 2016 12 29
J
E10 A6
C594 C595 C593 C532 C534 C533 NF_CLK RFU_5 VSSQ_0
F10 C4
2.2u/6.3VV100n/16V 100n/16V 2.2u/6.3VV100n/16V 100n/16V G3 RFU_6 VSSQ_1 N2
C272 G10 RFU_7 VSSQ_2 N5
K6 RFU_8 VSSQ_0 P4
33p/50V/NC RFU_9 VSSQ_3
K7 P6
K10 RFU_10 VSSQ_5
P7 RFU_11 K5 NF_RSTZ R229 EMMC_RSTZ
0R
P10 RFU_12 Rest_n
RFU_13

A1 H2
NF_D4 A2 NC_0 NC_53 H3
NF_D7 A8 NC_1 NC_54 H12
A9 NC_2 NC_55 H13
12. 3 0 A10
A11
NC_3
NC_4
NC_56
NC_57
H14
J1
C版 本 A12
A13
NC_5
NC_6
NC_58
NC_59
J2
J3
去掉 了2个预 留 接地 的 0R A14 NC_7
NC_8
NC_60
NC_61
J12
R240 R241 B1 J13
NF_D7 B7 NC_9 NC_62 J14
B8 NC_10 NC_63 K1
B9 NC_11 NC_64 K2
B10 NC_12 NC_65 K3
B11 NC_13 NC_66 K12
B12 NC_14 NC_67 K13
B13 NC_15 NC_68 K14
B14 NC_16 NC_69 L1
NF_D3 C1 NC_17 NC_70 L2
B
C3 NC_18 NC_71 L3 B
C7 NC_19 NC_72 L12
C8 NC_20 NC_73 L13
C9 NC_21 NC_74 L14
C10 NC_22 NC_75 M1
C11 NC_23 NC_76 M2
C12 NC_24 NC_77 M3
C13 NC_25 NC_78 M7
C14 NC_26 NC_79 M8
C190_NET
NF_D5
D1 NC_27
NC_28
NC_80
NC_81
M9 为 N 2出线方 便 ,
D2
D3 NC_29 NC_82
M10
M11
无实际功能 连 接
D4 NC_30 NC_83 M12
D12 NC_31 NC_84 M13
D13 NC_32 NC_85 M14
D14 NC_33 NC_86 N1
NF_D5 E1 NC_34 NC_87 N3
E2 NC_35 NC_88 N6
E3 NC_36 NC_89 N7
NC_37 NC_90
这些网络连接只为出 线 方便 , E12
E13 NC_38 NC_91
N8
N9
无实际功能 连 接 E14 NC_39 NC_92 N10
F1 NC_40 NC_93 N11
F2 NC_41 NC_94 N12
F3 NC_42 NC_95 N13
F12 NC_43 NC_96 N14
F13 NC_44 NC_97 P1
F14 NC_45 NC_98 P2
G1 NC_46 NC_99 P8
G2 NC_47 NC_100 P9
G12 NC_48 NC_101 P11
G13 NC_49 NC_102 P12
G14 NC_50 NC_103 P13
H1 NC_51 NC_104 P14
NC_52 NC_105

A A

5 4 3 2 1
5 4 3 2 1

NAND-AD[7:0]
NAND-AD[7:0] 4,6
DDR 4G:1142588

Net 储IC\ H5 TQ2G63F FR- RDC\ TP\ JK\ RO H 更


2G:1135720存
H5TQ4G63EFR-RDC\TP\JK\ROH
改 后型号 1 1
4Gb/18666M/16bit
58 12 存 储 I C\ H5 TQ2 G63GFR- RDC\ T P \ J K \ R O H EMMC_D6
EMMC_D7
EMMC_D2
NAND-AD0
NAND-AD1
NAND-AD2
E MMC : 存
储器 8 G E MM C :东
芝变
更 为 海 力士 ( 1 1 5 5 5 94切
换 为 1 1 6603 9) EMMC_D1 NAND-AD3
NAND-AD[7:0] 1166039 存
储 IC\ H2 6M4120 4 HPR\ TP\ JK \ RO
H EMMC_D0
NAND-AD[7:0] 4,6 NAND-AD4
1142196 B031升级 116605 5 存储I C\ K LM8G1 GEME- B041\ TP\ J K\ R OH EMMC_D3 NAND-AD5
EMMC_CMD NAND-CEZ EMMC_D4 NAND-AD6
EMMC_CLK NAND-CEZ 4,6 4G: EMMC_D5
NAND-REZ 4,6 1142209 储IC\ T HGBMBG5D1 KBAIL \ TP\ JK\ RO
存 H NAND-AD7
EMMC_RSTZ NAND-REZ EMMC_CMD
NAND-RBZ 4,6 级 116339 1 T HGBMDG5D1LBAI L 15 n m 4G
升 B NAND-CEZ NAND-CEZ 4,6
NAND-RBZ EMMC_CLK
1146985 储 IC\ K LM4G1 FEPD- B031 \ TP\ JK\ RO
存 H NAND-REZ
NAND-REZ 4,6
EMMC_DS NAND-DQS EMMC_RSTZ NAND-RBZ
NAND-DQS 4,6 EMMC_DS NAND-RBZ 4,6
存器 1 6G EM
储 MC : NAND-DQS 4,6
D
H26M52208FPR NAND-DQS D
EMMC 1157593 存储IC\ H2 6M52208F PR\ TP\ RO
H
DDR 1171002 存
储IC\ H5 TQ4G63EFR- T EC\ TP\ JK\ ROH---- - 老 的 1142 5 8
9 存储 I C\ H5T Q4 G63CFR- TEC\T P \ J
H
O
\R
K

EMMC-1157593 16GB

eMMC
HS200及
以上模 式VCCQ 需 要 选 用 1 . 8 V
EMMC_VIO
珠 物 料 :0402-1107
if磁 453 /0603-1035
3
2
6
+1.8V_Normal

L64 BLM15AG601SN1/NC pcb小 封 装11.5*13


EMMC_VIO R224 0R EMMC_VIO 三星要 求 CM D上 拉R239
VCC3.3V_EMMC_SD 叠放 +3.3V_Normal R379
叠放 10k 10k/NC EMMC_VIO
C448 L65 BLM15AG601SN1/NC N56 H26M52208FPR
C432 C433 C451 C431 EMMC_CMD NF_CMD M5 C6
C268 C273 C267 R228 0R

2
1u/16V EMMC_CLK NF_CLK CMD VDD_0
2.2u/6.3VV100n/16V C434 C274 C269 C270 R225 0R R226 22R M6 M4
2.2u/6.3VV100n/16V 100n/16V 10u/16V 2.2u/10V VZ21 CLK VDD_1 N4
2.2u/6.3VV100n/16V 100n/16V ESD5621W15-2/TR/NC EMMC_D0 NF_D0 A3 VDD_2 P3
R230 0R
2.2u/10V EMMC_D1 NF_D1 A4 DAT0 VDD_3 P5 VCC3.3V_EMMC_SD
R231 0R
emmc左 EMMC_D2 NF_D2 DAT1 VDD_4
12. 3 0 R232 0R A5

1
EMMC_D3 NF_D3 B2 DAT2 E6
R233 0R
EMMC_D4 NF_D4 DAT3 VDDF_0
C版 本加 EMMC_D5
R234
R235
0R
0R NF_D5
B3
B4 DAT4 VDDF_1
F5
J10
EMMC_D6 NF_D6 DAT5 VDDF_2
放 e m m c上下左 右 。CMD上拉电 阻 左 侧 地 线 左侧加 1。 R236 0R B5 K9
EMMC_D7 NF_D7 B6 DAT6 VDDF_3
C R227 0R C
EMMC_DS DS H5 DAT7 C2 C190_NET C271 2.2u/10V
Data Strobe VDDI
A7 E7 c284 公
版4.7
4层 已确
,
u 认2. 2 ok

2017. 2 EMMC_VIO VCC3.3V_EMMC_SD R237


10k
NF_D6 C5
E5
RFU_0
RFU_1
VSS_0
VSS_1
G5
H10
E8 RFU_2 VSS_2 J5
BOT面 E9 RFU_3
RFU_4
VSS_3
VSS_4
K8

HS400,要 A6/
5 接 地 2016 12 29
J
E10 A6
C594 C595 C593 C532 C534 C533 NF_CLK RFU_5 VSSQ_0
F10 C4
2.2u/6.3VV100n/16V 100n/16V 2.2u/6.3VV100n/16V 100n/16V G3 RFU_6 VSSQ_1 N2
C272 G10 RFU_7 VSSQ_2 N5
K6 RFU_8 VSSQ_0 P4
33p/50V/NC RFU_9 VSSQ_3
K7 P6
K10 RFU_10 VSSQ_5
P7 RFU_11 K5 NF_RSTZ R229 EMMC_RSTZ
0R
P10 RFU_12 Rest_n
RFU_13

A1 H2
NF_D4 A2 NC_0 NC_53 H3
NF_D7 A8 NC_1 NC_54 H12
A9 NC_2 NC_55 H13
12. 3 0 A10
A11
NC_3
NC_4
NC_56
NC_57
H14
J1
C版 本 A12
A13
NC_5
NC_6
NC_58
NC_59
J2
J3
去掉 了2个预 留 接地 的 0R A14 NC_7
NC_8
NC_60
NC_61
J12
R240 R241 B1 J13
NF_D7 B7 NC_9 NC_62 J14
B8 NC_10 NC_63 K1
B9 NC_11 NC_64 K2
B10 NC_12 NC_65 K3
B11 NC_13 NC_66 K12
B12 NC_14 NC_67 K13
B13 NC_15 NC_68 K14
B14 NC_16 NC_69 L1
NF_D3 C1 NC_17 NC_70 L2
B
C3 NC_18 NC_71 L3 B
C7 NC_19 NC_72 L12
C8 NC_20 NC_73 L13
C9 NC_21 NC_74 L14
C10 NC_22 NC_75 M1
C11 NC_23 NC_76 M2
C12 NC_24 NC_77 M3
C13 NC_25 NC_78 M7
C14 NC_26 NC_79 M8
C190_NET
NF_D5
D1 NC_27
NC_28
NC_80
NC_81
M9 为 N 2出线方 便 ,
D2
D3 NC_29 NC_82
M10
M11
无实际功能 连 接
D4 NC_30 NC_83 M12
D12 NC_31 NC_84 M13
D13 NC_32 NC_85 M14
D14 NC_33 NC_86 N1
NF_D5 E1 NC_34 NC_87 N3
E2 NC_35 NC_88 N6
E3 NC_36 NC_89 N7
NC_37 NC_90
这些网络连接只为出 线 方便 , E12
E13 NC_38 NC_91
N8
N9
无实际功能 连 接 E14 NC_39 NC_92 N10
F1 NC_40 NC_93 N11
F2 NC_41 NC_94 N12
F3 NC_42 NC_95 N13
F12 NC_43 NC_96 N14
F13 NC_44 NC_97 P1
F14 NC_45 NC_98 P2
G1 NC_46 NC_99 P8
G2 NC_47 NC_100 P9
G12 NC_48 NC_101 P11
G13 NC_49 NC_102 P12
G14 NC_50 NC_103 P13
H1 NC_51 NC_104 P14
NC_52 NC_105

A A

5 4 3 2 1
5 4 3 2 1

AV Input 同轴
1126205
XS3 V/Y 84 RGB2-YPbPr_GIN+
D R/PB 7
3 RGB2-YPbPr_BIN+ RGB2-YPbPr_BIN+ R334 0R/NC HD2_R R246 10k XS1 D
RGB2-YPbPr_RIN+ RGB2-YPbPr_RIN+ R339 HD_RIN 4,8 C276 100n/16V
L/PR 6
2 0R/NC HD2_L R245 10k HD_LIN 4,8
1 R242 100R SPDIF_OUT
SIGNAL1 SPDIF_OUT 4,8
GND 5
1 3 SPDIFIN

2
SIGNAL2 2
GND VZ36 R244
R247 R248
R243 C275
12k 12k
R333 0R/NC HD2_R 10k
33p/50V
150R?
??
Close to Mstar IC

ESD05V88D-LC
150R Closed to IC
R332 0R/NC HD2_L
近端 子
R 3

1
WR 4 R331 0R UART-RX
XS8 2 UART-RX
WL
L 1 R330 0R UART-TX UART-TX
GND 5
CKX-3.5-111\Reflow

式通孔 回 流 1 142 0 5 9

式通孔 回 流 1 136 0 5 3
C HDTV Input R589 0R AV1 AV1 4,8
C

+3.3V_Normal
R590 0R/NC

RGB2-YPbPr_GIN+
YPbPr_Y
C429
RGB2-YPbPr_BIN+ L IN
YPbPr_PB 12.30 R250
R253 100n/16V H NC

RGB2-YPbPr_RIN+
b o m 加上件 100k 10k
YPbPr_PR R254
SPDIF_DET
SPDIF_DET 4

3
R469 1k V23 33R
SPDIFIN R467 1 C584
2PC4617Q
1

1
100R
C315 47p/50V/NC

C316 47p/50V/NC

C317 47p/50V/NC

C318 47p/50V/NC
R336 VZ8 R337 VZ13 R338 VZ2 C277
R340 100n/16V

2
VZ5 R478 0R/NC R468
75R
B C428 100n/16V B
75R/NC 75R/NC 75R/NC ESD05V88D-LC/NC
ESD05V88D-LC/NC
ESD05V88D-LC/NC

ESD05V88D-LC/NC

10u/6.3VV/NC
47k/NC
2


轴与 t u ner间
接 地 预 留/B O T

A A

5 4 3 2 1
5 4 3 2 1

USB2/3.0 2 1

D VD4 ESD05V88D-LC D
2 1
VD3 ESD05V88D-LC VD5
XS6
TXP 1 10 TXP
11 2 USB4_D- IN1 OUT10
Shield_10 D-_1 R262 USB4_D- 4,8
10 3 5.1R USB4_D+ TXN 2 9 TXN
Shield_5 D+_2 R255 5.1R USB4_D+ 4,8 IN2 OUT9
5V_USB3 7 9 TXP C278 100n/16V 3 8
GND_7 SSTX+_6 8 TXN USB_SSTXP 4,8 GND VCC
C287 100n/16V
4 SSTX-_7 USB_SSTXN 4,8 RXP 4 7 RXP
1 GND_4 6 RXP IN4 OUT7
VBUS SSRX+_9 R256 5.1R USB_SSRXP 4,8
5 RXN 5.1R RXN 5 6 RXN
SSRX-_4 R257 USB_SSRXN 4,8 IN5 OUT6
ESD5621W15-2/TR/NC
C280 10u/16V

C281 100n/16V

103010122
VZ23
TPD4E05U06DQA
1

R472 0R/NC R473 0R/NC


C C

Note:电
容靠 近US B端子 ,提
供u s b 读 写 稳定

USB 布

容 要 求 < 2pF
ESD分
T O P 层加连地的 电阻
内地层 不 割 ?? ?

USB3.0 POWER 5V~5.2V




兼容 , 用 T P S 2069 D . 不 能 用 2 0 6 5 D

B R270 100k/NC B
5V_USB3

F3 +5V_USB
N3
R260 R500 2 1
R259 100k 4 3
EN FLT 100R/NC nanoSMDC200F-2/NC
100R
4,8 USB3_EN 2 5V_USB3
GND
C283

C288

C292
5 1
IN OUT
C639

H :Power on +5V_USB C162


C289

L :Power off
100u/10V
100n/16V

10u/16V

10u/16V
TPS2065CDBVR-2\JK\NC
10u/16V

100n/16V

A A

5 4 3 2 1
5 4 3 2 1

USB2/3.0 2 1

D VD4 ESD05V88D-LC D
2 1
VD3 ESD05V88D-LC VD5
XS6
TXP 1 10 TXP
11 2 USB4_D- IN1 OUT10
Shield_10 D-_1 R262 USB4_D- 4,8
10 3 5.1R USB4_D+ TXN 2 9 TXN
Shield_5 D+_2 R255 5.1R USB4_D+ 4,8 IN2 OUT9
5V_USB3 7 9 TXP C278 100n/16V 3 8
GND_7 SSTX+_6 8 TXN USB_SSTXP 4,8 GND VCC
C287 100n/16V
4 SSTX-_7 USB_SSTXN 4,8 RXP 4 7 RXP
1 GND_4 6 RXP IN4 OUT7
VBUS SSRX+_9 R256 5.1R USB_SSRXP 4,8
5 RXN 5.1R RXN 5 6 RXN
SSRX-_4 R257 USB_SSRXN 4,8 IN5 OUT6
ESD5621W15-2/TR/NC
C280 10u/16V

C281 100n/16V

103010122
VZ23
TPD4E05U06DQA
1

R472 0R/NC R473 0R/NC


C C

Note:电
容靠 近US B端子 ,提
供u s b 读 写 稳定

USB 布

容 要 求 < 2pF
ESD分
T O P 层加连地的 电阻
内地层 不 割 ?? ?

USB3.0 POWER 5V~5.2V




兼容 , 用 T P S 2069 D . 不 能 用 2 0 6 5 D

B R270 100k/NC B
5V_USB3

F3 +5V_USB
N3
R260 R500 2 1
R259 100k 4 3
EN FLT 100R/NC nanoSMDC200F-2/NC
100R
4,8 USB3_EN 2 5V_USB3
GND
C283

C288

C292
5 1
IN OUT
C639

H :Power on +5V_USB C162


C289

L :Power off
100u/10V
100n/16V

10u/16V

10u/16V
TPS2065CDBVR-2\JK\NC
10u/16V

100n/16V

A A

5 4 3 2 1
5 4 3 2 1

Net =648 HDMI DETECT CEC & ARC


HDMI1-RX2P HDMI2-RX2P
HDMI1-RX2P 2 HDMI2-RX2P 2
HDMI2/5V
HDMI1-RX2N HDMI2-RX2N HDMI1/5V HDMI_ARC C290 1u/16V HDMI-ARC
HDMI1-RX2N 2 HDMI2-RX2N 2
HDMI1-RX1P HDMI2-RX1P
HDMI1-RX1P 2 HDMI2-RX1P 2
RV13
HDMI1-RX1N HDMI2-RX1N
HDMI1-RX1N 2 HDMI2-RX1N 2
HDMI1-RX0P HDMI2-RX0P R276
HDMI1-RX0P 2 HDMI2-RX0P 2
R273 33R
HDMI1-RX0N HDMI2-RX0N 10R
HDMI1-RX0N 2 HDMI2-RX0N 2
HDMI1-CLKP HDMI2-CLKP
HDMI1-CLKP 2 HDMI2-CLKP 2
HDMI1-CLKN HDMI2-CLKN HDMI2-Detect
HDMI1-CLKN 2 HDMI2-CLKN 2
HDMI1-Detect
D D
HDMI1-SCL HDMI2-SCL
HDMI1-SCL 2 HDMI2-SCL 2
HDMI1-SDA
HDMI1-SDA 2
HDMI2-SDA
HDMI2-SDA 2
R275 648 所
有 Port用 3 R R277 CEC HDMI-CEC
22k/NC 22k/NC
HDMI1-HPDIN HDMI2-HPDIN 838上拉 HDMI
1 用10R? ? ? R274 100R
HDMI1-HPDIN 2 HDMI2-HPDIN 2
RV6
HDMI1-Detect HDMI2-Detect
HDMI1-Detect HDMI2-Detect
HDMI-ARC
HDMI-ARC 2

近端 子
HDMI-CEC
HDMI-CEC
2

HDMI1(ARC) HDMI ESD 旧


的 114305 5
1166041 版
本 单 向 TVS \ TPD4E02 B 0 4DQAR\TP\ J K\ R
1 H
O
HDMI1/5V VD8 TPD4E02B04DQAR VD10 TPD4E02B04DQAR
HDMI1-HPD
HDMI1_RX2P 1 10 HDMI1_RX2P HDMI2_RX2P 1 10 HDMI2_RX2P
HDMI1/5V
IN1 OUT10 IN1 OUT10
XS4 HDMI1/5V
19 HDMI1_RX2N 2 9 HDMI1_RX2N HDMI2_RX2N 2 9 HDMI2_RX2N
HPDET IN2 OUT9 IN2 OUT9
2

+5V_17
18
17
HDMI1/5V VZ24 R272
100k/NC
靠近端子放置 3 8 3 8
CEC/GND_16 16 HDMI1-DDC-SDA ESD5621W15-2/TR/NC GND VCC GND VCC
SDA 15 HDMI1-DDC-SCL HDMI1_RX2P R220 2.2R HDMI1-RX2P HDMI1_RX1P 4 7 HDMI1_RX1P HDMI2_RX1P 4 7 HDMI2_RX1P
SCL HDMI_ARC R302 R304 R299 R300 IN4 OUT7 IN4 OUT7
14 1k 10k
1

NC 13 R285 0R CEC HDMI1_RX2N R221 2.2R HDMI1-RX2N 10k 10k HDMI1_RX1N 5 6 HDMI1_RX1N HDMI2_RX1N 5 6 HDMI2_RX1N
CEC 12 HDMI1_CLKN HDMI1_RX1P HDMI1-RX1P HDMI1-HPD IN5 OUT6 IN5 OUT6
C R222 2.2R C
CLK-_11 11 HDMI1-DDC-SCL HDMI1-SCL
CLKS HDMI1_CLKP HDMI1_RX1N
R303 22R
10 R223 2.2R HDMI1-RX1N
CLK+_9 VD9 TPD4E02B04DQAR VD11 TPD4E02B04DQAR

3
9 HDMI1_RX0N HDMI1_RX0P HDMI1-RX0P HDMI1-DDC-SDA HDMI1-SDA
R238 2.2R R301 22R V26
DATA0-_8 8 1 R298 HDMI1-HPDIN HDMI1_RX0P 1 10 HDMI1_RX0P HDMI2_RX0P 1 10 HDMI2_RX0P
DATA0S 7 HDMI1_RX0P HDMI1_RX0N HDMI1-RX0N 2PC4617Q IN1 OUT10 IN1 OUT10
R240 2.2R 4.7k
DATA0+_6 6 HDMI1_RX1N HDMI1_CLKP HDMI1-CLKP HDMI1_RX0N 2 9 HDMI1_RX0N HDMI2_RX0N 2 9 HDMI2_RX0N
R241 2.2R

2
DATA1-_5 5 IN2 OUT9 IN2 OUT9
DATA1S 4 HDMI1_RX1P HDMI1_CLKN HDMI1-CLKN 3 8 3 8
R251 2.2R
DATA1+_3 3 HDMI1_RX2N GND VCC GND VCC
DATA2-_2 HDMI1_CLKP HDMI1_CLKP HDMI2_CLKP HDMI2_CLKP
GND1
GND2
GND3
GND4

2 4 7 4 7
DATA2S 1 HDMI1_RX2P IN4 OUT7 IN4 OUT7
DATA2+_0 HDMI1_CLKN 5 6 HDMI1_CLKN HDMI2_CLKN 5 6 HDMI2_CLKN
R474 0R/NC

内 G N D层不 割 地
DC1R019JB1 R475 0R/NC IN5 OUT6 IN5 OUT6
20
21
22
23

ASES12U020R2
RV7
HDMI1-DDC-SCL

HDMI2 RV8 ASES12U020R2


HDMI1-DDC-SDA

RV9 ASES12U020R2
HDMI2-HPD HDMI2-DDC-SCL
HDMI2/5V
ASES12U020R2
RV10
HDMI2-DDC-SDA
2

XS5 R282
ASES12U020R2
HPDET
19
18 HDMI2/5V VZ25
100k/NC 靠近端子放置 HDMI2/5V HDMI2/5V
RV11
HDMI1-HPD
+5V_17 17 ESD5621W15-2/TR/NC
CEC/GND_16 16 HDMI2-DDC-SDA HDMI2_RX2P R252 2.2R HDMI2-RX2P ASES12U020R2
RV12
1

SDA 15 HDMI2-DDC-SCL HDMI2-HPD


SCL 14 HDMI2_RX2N R258 2.2R HDMI2-RX2N
NC 13 R287 0R/NC CEC HDMI2_RX1P HDMI2-RX1P
R261 2.2R R293 R296 R280
CEC 12 HDMI2_CLKN 10k 10k 1k R281
CLK-_11 11 HDMI2_RX1N HDMI2-RX1N
R263 2.2R 10k
B CLKS 10 HDMI2_CLKP HDMI2_RX0P HDMI2-RX0P HDMI2-HPD B
R264 2.2R
CLK+_9 9 HDMI2_RX0N HDMI2-DDC-SCL
DATA0-_8 R295 22R HDMI2-SCL

3
8 HDMI2_RX0N HDMI2-RX0N HDMI2-DDC-SDA
DATA0S
R266 2.2R R292 22R HDMI2-SDA V25
7 HDMI2_RX0P HDMI2_CLKP HDMI2-CLKP 1 R278 HDMI2-HPDIN
R267 2.2R
DATA0+_6 6 HDMI2_RX1N 2PC4617Q
DATA1-_5 HDMI2_CLKN 4.7k
5 R268 2.2R HDMI2-CLKN

2
DATA1S 4 HDMI2_RX1P
DATA1+_3 3 HDMI2_RX2N
DATA2-_2
GND1
GND2
GND3
GND4

2
DATA2S 1 HDMI2_RX2P 预留 E SD器 件
DATA2+_0
DC1R019JB1 待新物料号

内 G N D层不 割 地
20
21
22
23

预留 E SD器 件
待新物料号

A A

5 4 3 2 1
5 4 3 2 1

Net =648 HDMI DETECT CEC & ARC


HDMI1-RX2P HDMI2-RX2P
HDMI1-RX2P 2 HDMI2-RX2P 2
HDMI2/5V
HDMI1-RX2N HDMI2-RX2N HDMI1/5V HDMI_ARC C290 1u/16V HDMI-ARC
HDMI1-RX2N 2 HDMI2-RX2N 2
HDMI1-RX1P HDMI2-RX1P
HDMI1-RX1P 2 HDMI2-RX1P 2
RV13
HDMI1-RX1N HDMI2-RX1N
HDMI1-RX1N 2 HDMI2-RX1N 2
HDMI1-RX0P HDMI2-RX0P R276
HDMI1-RX0P 2 HDMI2-RX0P 2
R273 33R
HDMI1-RX0N HDMI2-RX0N 10R
HDMI1-RX0N 2 HDMI2-RX0N 2
HDMI1-CLKP HDMI2-CLKP
HDMI1-CLKP 2 HDMI2-CLKP 2
HDMI1-CLKN HDMI2-CLKN HDMI2-Detect
HDMI1-CLKN 2 HDMI2-CLKN 2
HDMI1-Detect
D D
HDMI1-SCL HDMI2-SCL
HDMI1-SCL 2 HDMI2-SCL 2
HDMI1-SDA
HDMI1-SDA 2
HDMI2-SDA
HDMI2-SDA 2
R275 648 所
有 Port用 3 R R277 CEC HDMI-CEC
22k/NC 22k/NC
HDMI1-HPDIN HDMI2-HPDIN 838上拉 HDMI
1 用10R? ? ? R274 100R
HDMI1-HPDIN 2 HDMI2-HPDIN 2
RV6
HDMI1-Detect HDMI2-Detect
HDMI1-Detect HDMI2-Detect
HDMI-ARC
HDMI-ARC 2

近端 子
HDMI-CEC
HDMI-CEC
2

HDMI1(ARC) HDMI ESD 旧


的 114305 5
1166041 版
本 单 向 TVS \ TPD4E02 B 0 4DQAR\TP\ J K\ R
1 H
O
HDMI1/5V VD8 TPD4E02B04DQAR VD10 TPD4E02B04DQAR
HDMI1-HPD
HDMI1_RX2P 1 10 HDMI1_RX2P HDMI2_RX2P 1 10 HDMI2_RX2P
HDMI1/5V
IN1 OUT10 IN1 OUT10
XS4 HDMI1/5V
19 HDMI1_RX2N 2 9 HDMI1_RX2N HDMI2_RX2N 2 9 HDMI2_RX2N
HPDET IN2 OUT9 IN2 OUT9
2

+5V_17
18
17
HDMI1/5V VZ24 R272
100k/NC
靠近端子放置 3 8 3 8
CEC/GND_16 16 HDMI1-DDC-SDA ESD5621W15-2/TR/NC GND VCC GND VCC
SDA 15 HDMI1-DDC-SCL HDMI1_RX2P R220 2.2R HDMI1-RX2P HDMI1_RX1P 4 7 HDMI1_RX1P HDMI2_RX1P 4 7 HDMI2_RX1P
SCL HDMI_ARC R302 R304 R299 R300 IN4 OUT7 IN4 OUT7
14 1k 10k
1

NC 13 R285 0R CEC HDMI1_RX2N R221 2.2R HDMI1-RX2N 10k 10k HDMI1_RX1N 5 6 HDMI1_RX1N HDMI2_RX1N 5 6 HDMI2_RX1N
CEC 12 HDMI1_CLKN HDMI1_RX1P HDMI1-RX1P HDMI1-HPD IN5 OUT6 IN5 OUT6
C R222 2.2R C
CLK-_11 11 HDMI1-DDC-SCL HDMI1-SCL
CLKS HDMI1_CLKP HDMI1_RX1N
R303 22R
10 R223 2.2R HDMI1-RX1N
CLK+_9 VD9 TPD4E02B04DQAR VD11 TPD4E02B04DQAR

3
9 HDMI1_RX0N HDMI1_RX0P HDMI1-RX0P HDMI1-DDC-SDA HDMI1-SDA
R238 2.2R R301 22R V26
DATA0-_8 8 1 R298 HDMI1-HPDIN HDMI1_RX0P 1 10 HDMI1_RX0P HDMI2_RX0P 1 10 HDMI2_RX0P
DATA0S 7 HDMI1_RX0P HDMI1_RX0N HDMI1-RX0N 2PC4617Q IN1 OUT10 IN1 OUT10
R240 2.2R 4.7k
DATA0+_6 6 HDMI1_RX1N HDMI1_CLKP HDMI1-CLKP HDMI1_RX0N 2 9 HDMI1_RX0N HDMI2_RX0N 2 9 HDMI2_RX0N
R241 2.2R

2
DATA1-_5 5 IN2 OUT9 IN2 OUT9
DATA1S 4 HDMI1_RX1P HDMI1_CLKN HDMI1-CLKN 3 8 3 8
R251 2.2R
DATA1+_3 3 HDMI1_RX2N GND VCC GND VCC
DATA2-_2 HDMI1_CLKP HDMI1_CLKP HDMI2_CLKP HDMI2_CLKP
GND1
GND2
GND3
GND4

2 4 7 4 7
DATA2S 1 HDMI1_RX2P IN4 OUT7 IN4 OUT7
DATA2+_0 HDMI1_CLKN 5 6 HDMI1_CLKN HDMI2_CLKN 5 6 HDMI2_CLKN
R474 0R/NC

内 G N D层不 割 地
DC1R019JB1 R475 0R/NC IN5 OUT6 IN5 OUT6
20
21
22
23

ASES12U020R2
RV7
HDMI1-DDC-SCL

HDMI2 RV8 ASES12U020R2


HDMI1-DDC-SDA

RV9 ASES12U020R2
HDMI2-HPD HDMI2-DDC-SCL
HDMI2/5V
ASES12U020R2
RV10
HDMI2-DDC-SDA
2

XS5 R282
ASES12U020R2
HPDET
19
18 HDMI2/5V VZ25
100k/NC 靠近端子放置 HDMI2/5V HDMI2/5V
RV11
HDMI1-HPD
+5V_17 17 ESD5621W15-2/TR/NC
CEC/GND_16 16 HDMI2-DDC-SDA HDMI2_RX2P R252 2.2R HDMI2-RX2P ASES12U020R2
RV12
1

SDA 15 HDMI2-DDC-SCL HDMI2-HPD


SCL 14 HDMI2_RX2N R258 2.2R HDMI2-RX2N
NC 13 R287 0R/NC CEC HDMI2_RX1P HDMI2-RX1P
R261 2.2R R293 R296 R280
CEC 12 HDMI2_CLKN 10k 10k 1k R281
CLK-_11 11 HDMI2_RX1N HDMI2-RX1N
R263 2.2R 10k
B CLKS 10 HDMI2_CLKP HDMI2_RX0P HDMI2-RX0P HDMI2-HPD B
R264 2.2R
CLK+_9 9 HDMI2_RX0N HDMI2-DDC-SCL
DATA0-_8 R295 22R HDMI2-SCL

3
8 HDMI2_RX0N HDMI2-RX0N HDMI2-DDC-SDA
DATA0S
R266 2.2R R292 22R HDMI2-SDA V25
7 HDMI2_RX0P HDMI2_CLKP HDMI2-CLKP 1 R278 HDMI2-HPDIN
R267 2.2R
DATA0+_6 6 HDMI2_RX1N 2PC4617Q
DATA1-_5 HDMI2_CLKN 4.7k
5 R268 2.2R HDMI2-CLKN

2
DATA1S 4 HDMI2_RX1P
DATA1+_3 3 HDMI2_RX2N
DATA2-_2
GND1
GND2
GND3
GND4

2
DATA2S 1 HDMI2_RX2P 预留 E SD器 件
DATA2+_0
DC1R019JB1 待新物料号

内 G N D层不 割 地
20
21
22
23

预留 E SD器 件
待新物料号

A A

5 4 3 2 1
5 4 3 2 1

Net 补充静音电路
MUTE_AMP VCC-A VCC_AMP
MUTE 2017.3.16
L58 BLM18PG121SN1
4,11 MUTE_AMP R312 0R +5V
+12V_IN

2
AMP-MUTE AMP-MUTE
L60 BLM18PG121SN1 R533 0R/NC

C439 10u/50V

C437 10u/50V

C149 10u/25V

C148 100n/25V

1
C145 10u/25V
AMP-Reset VCC-A VZ46 R315
AMP-Reset VD28
LM3Z10VT1G/NC 1k
1SS400SMT2R/NC

1
I2C-SCL
D
I2C-SCL 更改 为 50 V 和 25V兼 容 R309 R317 D

2
I2C-SDA I2C-SDA 5.15 xuchunhui 1k 4.7k
R311
靠近功放 芯 片 N81放 置 100k

1
VD12 POWER_OFF-MUTE 1

1
MUTE_AMP
1SS400SMT2R V27

3
VD14
解决关机闪亮 线问题 V28
R308 0R 2 3 POWER_OFF-MUTE 1 2 R310 22k 1

2
I2S-OUT_MCKO 2PC4617Q R314
I2S-OUT_MCKO C294 2PA1774Q
C291 1 2 R316 1SS400SMT2R/NC
10k

2
I2S-OUT_BCKO R313 VD13 1SS400SMT2R/NC
I2S-OUT_BCKO 100k
1M VD15
I2S-OUT_WSO 10u/50V/NC
1
AMP-MUTE 2
I2S-OUT_WSO 10u/50V
I2S-OUT_SDO 1SS400SMT2R
2 I2S-OUT_SDO L :Normal
H :Mute
Power Off Mute

C C
位号 ≥ 6 5寸 ≤ 6 0寸 更改为 50 V 和25V兼 容
AUDIO AMP/8822 C208
C202
1106364
1028465
NC
1068422 5.15 xuchunhui
VCC_AMP
+3.3V_Normal
C231 1106364 NC DVDD3.3V
C203 1028465 1068422 VZ65
L95
C435 NC 1102334 1 2
C436 NC 1102334 BLM18PG121SN1
C439 1106364 NC ESD5621W15-2/TR/NC C217 C210
C437 1106364 NC C208 10u/50V C231 10u/50V
C145 1028465 1068422 100n/16V 2.2u/10V
C149 NC 1068422 C202 100n/50V C203 100n/50V
C148 NC 1102334
C435 100n/25V/NC C436 100n/25V/NC
R92 C305
AMP-Reset AMP-Reset 靠近功放芯 片 放置
33R VRAIL
100n/16V
配I 2 C地址 : R357
4.7k
Adr I2C Address 更
改成 5*5封 装 电 感 2 0 170 5 0 6
0 0X54 6x6 3A
L10
1 0X56 DVDD3.3V R307
R121
15k/NC
0R
C300
AMP-Rout+
VRAIL 10.0 uH
22n/25V R269

100n/50V
DVDD3.3V C191
C298 5.1R/NC R486
NTP88 2 2 物

号 : 1 1 7 5 5 22 C279 220p/50V/NC 4.7k
40

37
36

35

34

33

32
31

C282
2

100n/25V
B N81 接地单独 , 回 EPAD B

470n/50V
C250
VDD_PLL
VDDIO

AD
#RESET

BST1A

PGND1A

OUT1A_1

PVDD1A
PVDD1B

100n/50V
220p/50V/NC C173
41 R150 R480
4 Epad 5.1R/NC L14 4.7k
I2S-OUT_SDO 7 GND 30 调值 AMP-Rout-
8 I2S-OUT_SDO I2S-OUT_WSO 8 SDATA OUT1B_1
8 I2S-OUT_WSO I2S-OUT_BCKO WCK 10.0 uH
R479 0R 38 29 C304
8 I2S-OUT_BCKO I2S-OUT_MCKO BCK PGND1B 22n/25V
8 I2S-OUT_MCKO 1 R318 0R/NC
TP14 I2C-SDA R305 22R 10 28
SDA BST1B

5
6
1I2C-SCL R306 22R 11
TP13 SCL 27 C286 1u/16V AMP-Rout+ 4
MUTE_AMP
I2S-OUT_MCKO
C177 1u/16V

R284 0R/NC 9
6
12 DVDD
#FAULT
NTP8822 VDR1

25
AMP-Rout-
AMP-Lout-
AMP-Lout+
3
2
1
MONITOR_O AGND
1

1 24 XP6
NC_1 VDR2 C299 1u/16V
5
TP23 13 NC_2 23
NC_3 BST2A
22 C233
3 PGND2A 22n/25V L15
LF AMP-Lout-
OUT2B_1
PGND2B

PVDD2B
PVDD2A

21 10.0 uH
BST2B

OUT2A_1
100n/50V

R77 C175
NC_4
NC_5
NC_6
NC_7

R487 R485
C188 3.3k 5.1R/NC
4.7k
C303 220p/50V/NC C296
100p/50V
C297
接地单独 , 回 EPAD
14
15
26
39

16

17

18

19
20

470n/50V

C189 C285
100n/50V

220p/50V/NC C170
A 1n/50V 100n/25V
R483 不要和电源或 输出地 直 连 R484 A

C234 VRAIL 5.1R/NC L22 4.7k


AMP-Lout+
22n/25V 10.0 uH

5 4 3 2 1
5 4 3 2 1

POWER Net 接口
TUNER POWER Tuner_RST
D 4,10 Tuner_RST D
V_TUNER
+5V V_TUNER IFAGC
4,10 IFAGC
N20
4,10 DIFP DIFP
V_TUNER
2
Vin Vout
3 3.3V_Tuner 靠近 8 38 中频放 入 T UNER屏蔽 罩 内

C363
4,10 DIFM DIFM

2
ADJ
C362 C361 C360
T_SDA
R352 R353

近 TUNNE R
2.2u/10V 100n/16V 100n/16V 4,10 T_SDA
4.7k 4.7k C364

10u/6.3VV
靠近 8 38
1

AP1084D33GAZ1084D-3.3 VZ30 T_SCL 100p/50V/NC R350


4,10 T_SCL N_IF_1P
DIFP R363 0R 10k

1
I2C_TSDA R356 100R T_SDA C389
IFAGC 100R IF_AGC_TUNER
R351 0R R359
I2C_TSCL R358 100R T_SCL 22p/50V
ESD5621W15-2/TR/NC DIFM R362 0R N_IF_1N C359 C367
C369 C368 C365 22n/25V 100n/16V
V_TUNER V_TUNER_SOB 100p/50V/NC
22p/50V 22p/50V
L66 BLM18PG121SN1

R364 0R/NC

近 TUNNE R
C387 C388
10u/6.3VV 100n/16V

Silicon On Board
2017.2 BOT留 3 个
Q2
1
2
预留屏蔽罩
C
C427 472/1000VV/NC
3
4
7.29-xuchunhui C

5
C539 10n/1kVV C538 10n/1kVV 6 V_TUNER_SOB
C382 4.7p/50VV
C395 472/1000VV/NC C391 472/1000VV/NC
VDD_1V8_SOB Z2 7V16000001
C392 472/1000VV C393 472/1000VV R361 1 4
100k C372 10n/50V X1 GND_3
2 3
1

C390 10n/1kVV C396 10n/1kVV Tuner_RST R11 0R/NC GND X2


VZ22 C384 4.7p/50VV
R22 C366 C376
ESDC0402 0R/NC
100n/16V 1u/10V

25

24

23

22

21

20

19
Wifi filter N2
C386 SOB_LNA_INN 用 MXL66
选 1 ,11328 5 6
2

1n/50V

VDD_1p8_3

GND_XTAL

XTAL_N
EPAD

RESET_N

XTAL_P
AS
2
3
4

L42 DXW21BN7511TL

地址 96
C394 C383 1n/50V C377 1n/50V R355
0R 3 2
GND2
GND3
GND4

V_TUNER_SOB
470p/3000V
330nH 140mA 5%

IF_IN
1
L44
C358

1p/50VV/NC
4 1
C381 1n/50V SOB_LNA_INP
C370 SOB_LNA_INP
1

2
VDD_3p3_1 CLK_OUT
18

17 I2C_TSDA
0XC0
LNA_INP SDA
U1 R354 VDD_1V8_SOB 100n/16VSOB_LNA_INN I2C_TSCL
3 16 V_TUNER_SOB
LNA_INN SCL
RF-M-407-SMT\Reflow 0R BLM15AG102SN1D 4 15
VDD_1p8_1 VDD_IO
L43 5 14
C378 C375

IF_OUTN_2/GPO_2
IF_OUTP_2/GPO_1
AGC_2/GPO_3 GND_DIG
100n/16V 6 13 100n/16V
AGC_1 VDD_1p2_1

VDD_3p3_2

VDD_1p8_2
IF_OUTN_1
IF_OUTP_1
C385
IF_AGC_TUNER 1.5n/50V

B
C400 B
MxL661 VDD_1V8_SOB

10

11

12
7

9
100n/16V

V_TUNER_SOB

C379 1u/16V

C380 1u/16V
C371
1u/10V

C374
100n/16V

N_IF_1N
N_IF_1P

A A

5 4 3 2 1
5 4 3 2 1

D
NET 0R更
改为 2 . 2R 75R 1% D
N9 XS7
MDI_TP R367 2.2R P3_TX+ P3_TX+ 1 16 1
2 MDI_TP TD1+_0_0_0
TX1+_15_15_15 TX+
2 15 R366 75R 2
MDI_TN R368 2.2R P3_TX- P3_TX- 3 CT1 CMT1 14 TX-
2 MDI_TN TD1-_2_2_2
TX2-_13_13_13 3
MDI_RP R369 2.2R P3_RX+ 4 13 6 RX+
2 MDI_RP NC1 NC4 R373 C399 1n/2000V RX-
5 12
MDI_RN R370 2.2R P3_RX- NC2 NC3 0R/NC R371 75R 4
2 MDI_RN NC
P3_RX+ 6 11 5
7 RD2+_5_5_5
RX2+_10_10_10 10 R365 75R NC2
P3_RX- 8 CT2 CMT2 9 7
RD2-_7_7_7
RX2-_8_8_8 8 NC3
R372 75R NC4
PM44-11BP

GND
GND
C C

C398 C397

2
100n/16V 100n/16V

9
10
C401
左右地隔离 VD17
1n/2000V
SPD4200B-2/TR/NC

1
P3_RX+
P3_TX+

式不带
灯 铁 壳 : 1 1 4 3 523

式不带
灯 铁 壳 : 1 1 4 2 736

式不带
灯不 带 铁 壳 : 114 4 3 9 6

VD19

VD20
2

2
B B

SPD9103W-2/TR

SPD9103W-2/TR
1

1
P3_RX-
P3_TX-

A A

5 4 3 2 1
5 4 3 2 1

D
Net VCC-Panel VCC-Panel VCC-Panel VCC-Panel VCC-Panel VCC-Panel VCC-Panel VCC-Panel D

I2C-SCL I2C-SCL Note1: 星 panel为地 , CMI,LG,Su


Pin8华 sung为
电源,兼 容 设 计
VCOM_CTL_EN
I2C-SDA
I2C-SDA VCOM_CTL_EN R419 R417 R415 R423 R413 R408 R409 R394
12k/NC
Note2:PIN14 LG panel 需要 NC,CMI, Susuang需

接地, 为 兼容CMI/LG/Samung/AUO
设计
12k/NC 12k/NC 12k/NC 12k/NC 12k/NC 12k/NC 12k/NC , PIN1 6 L G pane
Note3:PIN15 l 为 Da ta Form
,设 置为 “ 00” ,
a
t 1divison,
B2M
B2P
B2M
B2P Data_fromat_0 Data_fromat_1 PCID_EN 8b_10b-Sel 8b_10b_Sel LD_EN# Panel_Divider
CMI 为
眼睛
同步
的输
入输
出, 需要注 意会影响LG p a n e l设
置 华星光电
BCKM AGP N o t e 4 :P i n 2 2, P i n 2 3 S a m s u n g为
眼睛同
步的输
入 输出, 目 前 设置为NC ,
BCKM
BCKP Pin22 为 CMI Local dimming 设 ,
置设置为d
iasble
BCKP
B3M
B3M 分
区选 择/兼 容 主
板 V C OM调 整
B3P R420 R418 R416 R424 R414 R410 R411 R421 Pin21 为sansun g pan e 3 D enabl
Note5: ,
e目前N C
.
B3P
B4M
B4P
B4M 4.7k/NC 4.7k/NC 4.7k/NC 4.7k/NC 4.7k/NC 4.7k/NC 4.7k/NC 4.7k/NC Pin17 为 CM I pan e 3 D enabl
Note6: ,

e连

注 意 影 响其 他 P a
n
e
l. 放
置于 JAE端子之 后,紧靠J A E 端 子
B4P Note7:Pin20为

容主 板 调整 V C O M ;

53
52
A0M
A0M
VCC-Panel PCB评审时去 掉JAE 插 座
A0P
A0P
+3.3V_Normal Panel LG CMI Samsung L49 51 Pin1 物
料号 : 1 1 6 5 392
A1M Pin14 NC GND GND BLM18PG330SN1D 50 Pin2
A1M VCC_P
A1P Pin15 Data format0 Sync_Out NC 1 Pin1 1 49 Pin3
A2M
A1P
A2M
Local Dimming Pin16 Data format1 Sync_In NC L50 Pin2 2 48 Pin4
A2P R459 R462 0R R320 Pin17 PCID_EN 3D_EN NC BLM18PG330SN1D Pin3 3 47 Pin5
A2P SPI1_CK
ACKM 2k/NC Pin18 SDA SDA NC Pin4 4 46 Pin6
ACKM SPI1_DI SPI1_CK 4.7k/NC
ACKP V37 Pin19 SCL SCL NC L51 Pin5 5 45 Pin7
ACKP SPI1_CSZ SPI1_DI WP_Panel
SPI1_DO R458 100R 3 2 Pin20 NC NC NC BLM18PG330SN1D Pin6 6 44 Pin8
SPI1_CSZ
WP_GPIO VSYNC_LIKE
Pin21 Bit Sel NC 3D_EN Pin7 7 43 Pin9
SPI1_DO

3
A3M WP_GPIO 4,13 2N7002K/NC R398 0R/NC Pin22 LD_EN LD_EN Sync_Out Pin8 8 42 Pin10
A3M VSYNC_LIKE WP_GPIO V36 R444 TP17 1
A3P R457 10k/NC 1 Pin23 AGP NC Sync_In Pin9 9 41 Pin11
A3P 分
区选 择/兼 容 主板 V C OM调 整 R67
2PC4617Q/NC V30 Pin24 GND Bit Sel GND 0R R426 0R Pin1010 40 Pin12
LD_EN

1
BL-ADJUST 4.7k/NC I2C-SDA 3 2 I2C-SDA-PANEL R422 0RPin1111 39 Pin13
LD_EN 4,13 BL-ADJUST 1 R441

2
屏W P R438 0RPin1212 38 Pin14
2N7002K C424 R439 0RPin1313 37 Pin15
VCOM_CTL_EN 100R 0R/NC
R463 10p/50V/NC R412 0RPin1414 36 Pin16
1 I2C-SDA-PANEL Pin15 15 35 Pin17
TP18

1
初始 : R464 Pin16 16 34 Pin18
1 I2C-SCL-PANEL Pin17 17 33 Pin19
4.7k/NC TP21
I2C-SDA-PANEL R401 100R Pin18 18 32 Pin20
B2M C416 100n/16V VBY0N
+3.3V_Normal 增加 V C OM调 整WP要求不一致 硬 件 反 向 功 能。 8b_10b-Sel
R397 0R/NC I2C-SCL-PANEL R402 100R Pin19 19 31
B2P C420 100n/16V VBY0P 30 LD_EN#
C Pin20 20 C
BCKM C411 100n/16V VBY1N 8b_10b-Sel 29
I2C-SCL V31 I2C-SCL-PANEL R452 100R/NC 21 AGP
BCKP C422 100n/16V VBY1P 3 2 VCC-Panel LD_EN# 22 28 8b_10b_Sel
R436 I2C-SCL-PANEL I2C-SDA-PANEL R453 100R/NC
10k/NC AGP 23 27 VBYHTPDn
有预留上拉 LD_EN# C425 8b_10b_Sel
R437 100R/NC 屏I 2 C 2N7002K R425 0R 24 26 VBYLOCKn
B3M C408 100n/16V VBY2N 25

3
10p/50V/NC VBYHTPDn 25
B3P C412 100n/16V VBY2P LD_EN V32 R450 VBYLOCKn 26 24 VBY0N
R435 10k/NC 1

1
B4M C418 100n/16V VBY3N 2PC4617Q/NC 12k 27 23 VBY0P
B_ODD3
VBYLOCKn B4P C409 100n/16V VBY3P VBY0N 28 22
B_ODD2 R396 0R
VBYHTPDn

2

独分 压 2 0 1 5 092 8 VBY0P 29 21 VBY1N
30 20 VBY1P
R395 默认 通 VBY1N 31 19
A0M C419 100n/16V VBY4N 0R/NC R451 VBY1P 32 18 VBY2N
XP4
A0P C423 100n/16V VBY4P 4.7k 33 17 VBY2P
A1M C413 100n/16V VBY5N A2006WSO-2X4P-K-W\Reflow\NC
L47 L46 VBY2N 34 16
A1P C415 100n/16V VBY5P 1 2 Data_fromat_0 R427 15
VCC-Panel BLM18PG330SN1D/NC BLM18PG330SN1D/NC VCC-Panel 0R/NC Pin15 VBY2P 35 VBY3N
+3.3V_Normal I2C-SCL-PANEL R428 0R/NC 36 14 VBY3P
R381 0R/NC 3 4 R448 0R/NC Data_fromat_1
VBY3N 37 13
R429 0R/NC Pin16 VBY3P 38 12 VBY4N
A2M C421 100n/16V VBY6N 5 6 8b_10b-Sel 11
R403 0R/NC 39 VBY4P
A2P C410 100n/16V VBY6P VCC-Panel WP_Panel 10
R442 0R/NC VBY4N 40
ACKM C414 100n/16V VBY7N 7 8 L48 VBY4P 41 9 VBY5N
ACKP C417 100n/16V VBY7P VCC-Panel
9 10 BLM18PG330SN1D/NC
VCC-Panel
Local Dimming +3.3V_Normal PCID_EN
R404 0R/NC Pin17 42 8
7
VBY5P
R382 I2C-SDA-PANEL R405 0R/NC VBY5N 43
R449 0R/NC VBY5P 44 6 VBY6N
SERIAL_DATA 100R/NC

创屏 对 H T P / L OCKN有 要 求: LDC_DATA_I WP_Panel

ESD5621W15-2/TR/NC
11 12 R61 0R 45 5 VBY6P

2
Pin20 VBY6N 46 4
SPI1_CSZ Panel_Divider

VZ26
+3.3V_Normal R434
13 14 R386 100R R383 R384 R447 R385 R440 100R/NC VBY6P 47 3 VBY7N
0R R400 4.7k 1 VBYLOCKn
TP19 XP5 R387 100R/NC I2C-SDA-PANEL 4.7k 4.7k 4.7k/NC 4.7k 48 2 VBY7P
1 VBYHTPDn
TP20 A2006WSO-2X7P-K-W\Reflow\NC VBY7N 49 1
SERIAL_DATA SPI1_DI WP_Panel
R433 0R XP2 R388 100R R443 0R/NC AGP VBY7P 50

1
R407 0R 三
星 78吋屏 : X P 1 0 / L * * / L * */R **上 件 , 其他NC R481 100R/NC 51
3 2 R399 4.7k A3M VBYLOCKn XP3


屏 : XP11/R381/ R 4 4 8 /L4 8 上 件, 其他NC 1 I2C-SCL-PANEL R460 0R/NC
mstar芯片 p i n _ DI是芯 片
SPI输出 给 屏 电源
V35 R406 2 R482 100R/NC
A3P R432 0R VBYHTPDn 器件型
号:片 式 插 座 \ H X 1 . 2 5 - 1 0 P - W - K\ TP\ROH 3 R389 100R LDC_DATA_I SPI1_DO 8b_10b_Sel
2N7002K /NC 3 2 I2C-SDA-PANEL R461 0R/NC
主板脚 位 模组 屏定义 4 SPI1_CK
0R R390 100R
1 LD_CS/I2C_SDA 选/I2
SPI片 数据
C
1

5
B
3 2 V33
2N7002K /NC
2 LD_SDI
3 LD_SDO 屏
板-
主 >屏
主板
->
6
7
R391
R392
100R/NC
100R LDC_PWM
SCL_LOCAD R455
R446
0R/NC I2C-SCL-PANEL
100R/NCBL-ADJUST
兼容夏 普6 0 寸 屏 B
V34 4 LD_SCLK SPI时钟 VSYNC_LIKE
1

8 R445 100R
2N7002K /NC 5 GND 地 9 R393 100R/NC
LD_EN
6 LD_HSYNC/I2C_SCL 同
行步/I C 时 钟
2 10
1

7 3D_GLASS_VSYNC/PWM/Error 信号 /2D W

眼 P / 报
M 错 LDC_PWM
R454 100R LD ERROR
VCC-Panel R431 12k/NC
需 1 2 v控则加而 不直 连 8 LD_VSYNC 同步


LD ERROR

12
11
9 2D_3D 2D/3D切 SCL_LOCAD
10 GND 地 R456 100R/NC LD_HSYNC LD_HSYNC 2
R430 备注 :
12k/NC 1 、 P I N 1和 P I N6在驱动板上预留上下拉位置,依 据使用 情 况 采 用上拉 或 者 下拉 HX1.25-10P-W-K
2、 标灰 的 L D_ C S 、 L D_ S D O 和 L D _HSYNC 是 为 兼容无MCU的驱动 板 使 用 的信号定 义
3、 在驱动板 使 用 M C U 的情 况 下 PI N 1 和
PI N 6 定义 为 I 2 C总 线,PI N3 未用,P I N 7 视 实际机型 而 定。
4、去掉M
C U后, 无
I 接 口,
2
C 1 脚是 SPI 片选 CS , 6 脚 N C空 ,7 脚 E R R O R 报错脚, 9 脚 N
C 空
给板

驱 C U升级
M I2C AD D RESS 0X8 8
HSYNC需
要 V S Y NC 的 4 096 倍 ( 12 b i t ) = 1 2 0x4096 动板

给 M CU写 I2C ADD R ESS 0X46

A A

5 4 3 2 1
NET

PCMCIA PCMCIA POWER

PCM_CD1_N PCM_CD2_N PCM_CD_N

GND GND L 0V

NC H 2.5V
GND

NC GND H 2.5V

NC NC H 5V
5 4 3 2 1




容 , 用 TPS29D.不 能 用 2 0 6 5 D
0
6
R343 100k/NC
5V_USB1
USB2.0 F4 +5V_USB
N4 R380 2 1
R319
R294 100k 4 3 100R/NC
EN FLT nanoSMDC200F-2/NC
100R
2
4,8 USB3_EN GND 5V_USB1

C157

C156

C151
5 1
D IN OUT D
H :Power on

C640
+5V_USB C85

C301
L :Power off
100u/10V

100n/16V
TPS2065CDBVR-2\JK\NC

10u/16V

10u/16V
100n/16V
10u/16V
USB1

1
1
VZ64
VZ63 ESD05V88D-LC
ESD05V88D-LC
5V_USB1 XS2
1

ESD5621W15-2/TR/NC
VCC 2 USB2_D-
R192 2.2R

2
USB2_D- 104

2
D- 3 USB2_D+
VZ62 R193 2.2R

GND
USB2_D+ 104

2
C621 D+ 4
C620
GND
100n/16V 10u/10V

5
6
1
C C

B B

A A

5 4 3 2 1

You might also like