Evolution of Transistors Humble Beginnings To The Ubiquitous Present

You might also like

Download as pdf or txt
Download as pdf or txt
You are on page 1of 9

Chris Auth and Adithya Shankar

Evolution of Transistors
Humble Beginnings to the Ubiquitous Present

LICENSE TO USE IT IN PERPETUITY), LEFT TRANSISTOR: COMPUTER


BACKGROUND: STOCK.ADOBE.COM (INTEL CORPORATION HAS

HISTORY MUSEUM; TEM IMAGES: INTEL CORPORATION.

I
n 2022, I B I S Wo r ld the enormity of this number, the first tions to highly ­complex simulations to
reported that ~93% transistor was developed by J. Bard- sophisticated artificial intelligence all
of American house- een and W. Brattain in 1947, 75 years have been made possible in one way or
holds own at least one ago (Figure 1). Intel’s cutting-edge Pen- another by the evolution of transistor
computer. In fact, most tium 4 microprocessor introduced at technology in the last few decades.
of us are very much plugged into ei- the dawn of the 21st century in 2000 The evolution of transistors can be
ther our smartphones or computers contained 42 million transistors. divided into three eras, namely the
or both for a significant portion of Today’s microprocessors have ~300 pre-transistor era from 1900 to 1947,
our lives [1]. Every one of these devic- times as many transistors as they the early transistor evolution from
es is powered by billions of transis- did, just about 20 years back. While 1947 to 2000, and what we call the
tors; the most powerful desktop CPU both the invention and commercial- golden age of transistors from 2000
today, Intel’s 13th-generation Raptor ization of transistors were responsi- onwards. While the pre-2000 era of
Lake, is powered by ~12 billion tran- ble for the birth of Silicon Valley, the transistor invention and Dennard
sistors. Just to give some context into explosive scaling and evolution of scaling has been critical in the evolution
transistor technology have both fu- of transistors, a rich volume of litera-
Digital Object Identifier 10.1109/MSSC.2023.3281538 eled growth far beyond that of Silicon ture is available on the invention and
Date of current version: 20 August 2023 Valley. Advances from medical innova- evolution of transistors during this

20limited
ed licensed use SUMto:MNED
E R 2 0UNIV
23 OFIEEE SOLID-STATE AND
ENGINEERING CIRCUITS MAGAZINE Downloaded on November 15,2023 at 21:39:55 UTC from IEEE Xplore.
TECHNOLOGY. 1943-0582/23©2023IEEE
Restrictions apply.
time [3], [4]. This article will focus
In fact, Dennard scaling drove much of Moore’s
mainly on the post-2000 postconven-
tional scaling era, of which less has law through the 1980s and 1990s, successfully
been written but where tremendous scaling from ~10 µm to ~100 nm, two orders
transistor innovation and creativity
radically redesigned the transistor
of magnitude!
from head to toe.
design had significant limitations. of the 20th century. Jean Hoerni in-
Pre-Transistor Era—1900–1947 This led to the invention of the bi- vented a planar process wherein it
Based on Edison’s discovery that elec- polar junction transistor (BJT) by was proposed that instead of remov-
trons leaving a carbon filament are Shockley two years later [9]. As the ing silicon oxide from the entire sur-
attracted to a plate, the simplest vac- name suggests, these transistors face, it could be left in place over
uum tube was invented in 1904 by have two junctions and, hence, can junctions at the surface [11]. This
John Ambrose Fleming [5], a signifi- exist in two forms: PNP and NPN. planar approach would protect these
cant technological achievement at the The size of the “sandwiched” layer junctions from contaminants that
time, which then developed into the determined the extent of the am- mesa transistors were susceptible
triode vacuum tube in 1906. Electrons plification of the current out of the to. This planar process led to a far
flow unidirectionally from cathode to collector over the current applied to more profound invention in the form
anode in a vacuum tube, which can the base. This planted the seed for of integrated circuits connecting
be modulated by the voltage of the transistor scaling, which would be resistors, capacitors, and transis-
grids. This invention made possible taking the industry by storm over tors with interconnect components.
the development of an array of devic- the next several decades. While both Robert Noyce and Jack
es, including radio and long-distance The earliest forms of BJTs were Kilby received patents on the inven-
telephone signal transmission. commercialized in the form of mesa tion of integrated circuits, each sepa-
While vacuum tubes led to the ad- transistors and sold by Fairchild rately [12], [13], only Jack Kilby was
vent of electronics, they were bulky, Semiconductors [10]. While the mesa recognized with a Nobel prize for it
had poor reliability, and consumed transistors had widespread applica- in 2000 as Robert Noyce had passed
significant power, making them un- tions and were commercially viable, away by then.
suitable for most operations. This they had significant manufacturing Moder n integ rated circuits,
presented an opportunity for a new problems; for instance, the base-col- for the most part, are not based on
device to take over. lector junction, a very small region, bipolar transistors; rather, they in-
tended to gather defects, and with corporate metal-oxide-semiconductor
Early Transistor Evolution—1947–2000 existing manufacturing capabilities, FETs (MOSFETs). In 1959, Bell Labs
The concept of a field-effect tran- it was extremely difficult to clean researchers Mohamed Atalla and
sistor (FET) was first proposed by up, causing the malfunction of a sig- Dawon Kahng demonstrated the
physicist Julius Edgar back in 1926 nificant number of transistors. This first successful insulated-gate FETS
[6]. However, it wasn’t until 1947 led to some of the most noteworthy by thermally growing oxide on Si as
that the first transistor, a point- developments in solid-state devices the gate oxide to reduce the trapped
contact transistor, was demonstrat-
ed at Bell Labs by two physicists,
John Bardeen and Walter Brattain
[7], [8]. This transistor used two
closely spaced gold contacts on a
plastic triangle held against a block
of germanium crystal. Current ap-
plied to one of the two gold con-
tacts modulated the current flowing
through the germanium and out
through the second contact. In fact,
a small change in current through
one contact caused a large change
through the other, thereby acting
as a n a mplifier. While point-con-
(a) (b)
tact transistors were a significant
breakthrough and began the devel- FIGURE 1: (a) The first transistor, 1947. (Source: Adapted from [2].) (b) The latest cutting-edge
opment of solid-state devices, their transistor architecture, also called gate all around or RibbonFET.

IEEE15,2023
ed licensed use limited to: NED UNIV OF ENGINEERING AND TECHNOLOGY. Downloaded on November SOLID-STATE CIRCUITS
at 21:39:55 MAGAZINE
UTC SU M M
from IEEE Xplore. 21
E R 2 0 2 3 apply.
Restrictions
sional scaling of planar transistors
Moving to FinFETs or gate-all-around or
that worked so successfully in the
vertical transistors sounded like science 1980s and 1990s was starting to
fiction, something for the future. show its faults. Gate oxide thickness
scaling was leading to higher and
higher gate oxide leakage through
charge density [14]. Following this dustry to hit, making it the under- tunneling. Source/drain (S/D) ex-
work, RCA and Fairchild made exem- current of the digital evolution of the ternal resistance (Rext) was increas-
plary progress in MOS stability work past half-century. ing with the aggressive scaling of
during the 1960s, but the device was In the early 70s, Robert Dennard, the junction depths, leading to de-
significantly slower than bipolar a researcher at IBM, published a se- graded drive currents. In addition,
transistors and was seldom adopted ries of seminal articles postulating supply voltage (Vcc) scaling didn’t
in circuits. Because of the ability to that as transistors grew smaller, follow a constant electric field, lead-
scale MOSFETs much further than power density (power per unit area), ing to the degraded reliability of the
BJTs and the ease of integrating them would stay constant [16]. Now known devices. Meanwhile, the higher dop-
into very large-scale integrated cir- as Dennard scaling, this theory rec- ing in the channel was degrading
cuits (VLSIs), MOSFETs gradually took ommended using a few rules for scal- mobility in the device. The chorus
their place, and the application of ing to achieve MOSFET circuits with had started getting louder—“The
BJTs dwindled. low standby power dissipation. This end is coming.”
In 1965, based on the pace of ear- scaling law drove much of transistor While the end of Dennard scaling
ly transistor development, Gordon scaling until the early 2000s through was becoming obvious, the demand
Moore predicted that the number dramatic gate length and oxide thick- for higher speed and higher density
of transistors in an integrated cir- ness scaling. In fact, Dennard scaling transistors was greater than ever.
cuit would continue to double every drove much of Moore’s law through Three topics were dominating the
year [Figure 2(a)]; he then updated the 1980s and 1990s, successfully discussions at conferences, in indus-
his prediction a decade later in 1975 scaling from ~10 µm to ~100 nm, two try meeting rooms, and in academic
to state that the number of transis- orders of magnitude! lecture halls.
tors in a microchip would double The first was to change the gate
every two years [Figure 2(b)]. The End of Conventional Scaling— stack, moving to either high-gate
latter came to be popularly known 2000s and Beyond dielectric constant materials (Hi-k)
as Moore’s law. Over time, this law With the dot-com boom cresting in and/or metal gates. Higher gate ox-
turned from an observation to a late 2000, transistor scaling got re- ide capacitance would allow better
milestone for the semiconductor in- ally exciting! The geometric dimen- gate control, improved short-channel

16 M
1M it
Bipolar Logic Lim
Bipolar Arrays 1M
64 K MOS Logic
MOS Arrays
Components (Chip)
Components (Chip)

64 K
4K
4K

256
256

16 16

1 1
60 65 70 75 80 60 65 70 75 80 85
Year Year
(a) (b)

FIGURE 2: (a) The approximate component count for integrated circuits versus the year of introduction with the solid line representing
Moore’s law prediction of 1965. (b) Updated Moore’s law prediction presented in the 1975 IEEE International Electron Devices Meeting (IEDM)
by Gordon Moore. K: thousands; M: millions. (Source: Adapted from [15].)

22limited
ed licensed use SUMto:MNED
E R 2 0UNIV
23 OFIEEE SOLID-STATE AND
ENGINEERING CIRCUITS MAGAZINE Downloaded on November 15,2023 at 21:39:55 UTC from IEEE Xplore. Restrictions apply.
TECHNOLOGY.
characteristics, and higher drive cur-
Leaving the traditional silicon dioxide gate
rent. In 2000, neither of these was
ready for high-volume manufactur- dielectric with a polysilicon gate electrode
ing despite years of research. was a daunting undertaking.
The second popular idea was
to change the channel, either with
silicon-on-insulator (SOI) substrates with solely a reduction in Rext. Addi- The introduction of uniaxial strain
or by moving to III-V channels or to tionally, the gains depended on the to enhance transistor performance
introduce biaxial strain. SOI had a length of the S/D region. The second shook the semiconductor world and be-
couple of options, either “partially observation was with the introduc- came the industry standard for strain
depleted,” which provided some im- tion of a silicon nitride capping layer implementation. At the 90-nm node,
provement in short-channel effects on the transistor to assist the contact the PMOS transistor showed a drive cur-
and reduced junction capacitance, or etch in stopping on the S/D region. rent in the saturation regime (Id-Sat) gain
“fully depleted,” which had ideal gate When the capping layer was added, of 30% or 50× lower leakage current
control and greatly reduced short- the performance of the transistors (Ioff) (Figure 3). For the 65-nm node, Ge
channel effects. While SOI has found was dependent on the thickness of concentrations were increased in the
success in some markets and con- the capping layer, and interestingly, SiGe S/Ds, and the SiGe S/D junction
tinues to be used today, substrate it was better for NMOS and worse was brought closer to the channel to
costs and scalability concerns have for PMOS. Furthermore, if a raised increase the drive enhancement fur-
prevented large-scale adoption. Simi- PMOS S/D was used, it alleviated the ther. Meanwhile, on the NMOS side,
larly, while III-V and biaxial strain impact of the capping layer on the a thicker and more tensile capping
both promised higher mobility chan- PMOS performance. Both of these ob- layer was introduced for the NMOS
nels, high substrate costs and defects servations suggested the presence of transistor along with a novel stress
have limited their use. uniaxial strain as a significant force memorization technique. These came
The third idea was to leave pla- in the performance of the transistor: close to doubling the drive current
nar devices and change the archi- a compressive strain on the PMOS enhancement on both the NMOS and
tecture. Research groups across channel caused by the larger lattice PMOS side relative to an unstrained
the globe were proposing radical of the SiGe S/D and a tensile strain on transistor. Other stressor techniques
options such as FinFETs or gate-all- the NMOS channel caused by a ten- were studied and employed across
around or vertical transistors or sile silicon nitride capping layer [17]. the industry to improve transistor
vertical surrounding-gate transis- Silicon is a piezoresistive material, performance—for instance, adding a
tors. These were a scary proposition meaning that the mechanical lattice compressive nitride contact etch stop
for a generation of transistor device strain of the channel atoms can be layer for PMOS transistors [18].
experts who had, for decades, built exploited to improve transistor mo- The addition of mechanical stress-
numerous successful technology bility and drive current. ors for mobility enhancement has led
nodes with planar transistors. Mov-
ing to FinFETs or gate-all-around
or vertical transistors sounded like
science fiction, something for the 1,000
future. The industry stalwarts were
looking at each other, tacitly asking, 1.2 V
1V
“What’s Next?” 30% Strained
100
Under the overcast skies of these Control
concerns, the development of the
Ioff (nA/µm)

90-nm technology generation com- 10 50×


menced. Two observations were made
that ended up being key to the pro-
gression of the transistor for the next 1
two decades. The first was that, in
an effort to reduce Rext by increasing
the PMOS S/D doping, several groups 0.1
were experimenting with etching the 0.4 0.5 0.6 0.7 0.8 0.9
S/D regions and depositing highly B- Id-Sat (nA/µm)
doped SiGe regions. The results were
jaw-dropping, with performance gains FIGURE 3: With uniaxial strain, the PMOS transistor showed 30% more Id-Sat at a given Ioff
much higher than would be expected or 50× lower leakage (Ioff) at a given Id-Sat. (Source: Adapted from [17].)

IEEE15,2023
ed licensed use limited to: NED UNIV OF ENGINEERING AND TECHNOLOGY. Downloaded on November SOLID-STATE CIRCUITS
at 21:39:55 MAGAZINE
UTC SU M M
from IEEE Xplore. 23
E R 2 0 2 3 apply.
Restrictions
options to continue to squeak a few
While the short-channel benefit of FinFETs was
more generations without the large-
appealing, the process challenges with FinFETs scale disruption of overhauling the
deterred all but the most daring device engineers. gate stack. The cupboard was bare,
though, with no promising alterna-
tives to replacing the gate stack. The
to a plethora of layout-dependent ef- dielectric to significantly suppress question that plagued the communi-
fects that impacted designers. This tunneling leakage while maintaining ty was what challenge to embark on
has led to pre- to postlayout simula- and/or improving gate coupling to first—replace the gate dielectric with
tion gaps resulting in design itera- the channel. But the degradation of a Hi-k material or replace the poly-
tions [19], [20]. The breakthrough of mobility in the channel with the in- silicon gate with a highly conductive
adding uniaxial strain enabled addi- troduction of the Hi-k dielectric was a metal gate.
tional scaling [21], [22] but the chal- significant stumbling block. Instead of choosing one or the
lenges of scaling remained at the Even strain engineering was see- other, the industry decided to dive
forefront of technical conversations. ing the impact of the shrinking gate into both at once. Significant prog-
Gate dielectric thickness scaling was pitch. On the NMOS side, as the space ress had taken place in the under-
losing steam through 90- and 65-nm between the gates was shrinking, the standing of Hi-k and metal-gate
technology nodes. The industry-stan- drive current benefit of the strained stacks over the preceding five years
dard silicon oxynitride (SiON) gate di- tensile capping layer was reduced, (Figure 4). The degraded mobil-
electrics were simply running out of and similarly, on the PMOS side, the ity and reliability from Hi-k gate
atoms. (The industry migrated from benefit of compressive strain from dielectrics were resolved by com-
a pure silicon dioxide gate dielectric the embedded SiGe S/D was shrunk bining the Hi-k gate dielectric with
to SiON when p+ polysilicon was in- with the scaling of the pitch. a metal gate. One major problem
troduced in PMOS.) As the gate dielec- In the midst of these challenges, was solved, but the introduction of
tric thickness was scaled thinner and the definition of the 45-nm technol- metal gates along with Hi-k intro-
thinner, the gate leakage was growing ogy node began. The first order of duced several new issues. Two work-
exponentially. Meanwhile, depletion business was to decide on the gate function metals would be needed to
in the polysilicon gate, due to the lim- stack. Leaving the traditional silicon support both NMOS and PMOS de-
ited conductivity of polysilicon, was dioxide gate dielectric with a poly- vices, and a high-conductivity bulk
also becoming a limiter for inversion silicon gate electrode was a daunt- metal material would be needed. The
gate oxide thickness reduction. Sig- ing undertaking. The introduction new gate stack would also need to be
nificant resources had been dedicat- of silicon dioxide enabled the MOS compatible with the uniaxial strain
ed to the research of alternative high transistor to become a reality and performance enhancement that had
permittivity or Hi-k dielectrics to use was the foundation of the CMOS pro- been so successful over the past two
for the gate dielectric. A Hi-k dielec- cess for >40 years, so there was a generations. Looking at this list of
tric enables the use of a thicker gate large contingent that was looking for challenges, it would be easy to won-
der if it was worth leaving SiON +
polysilicon gates, but the gate leak-
age benefit was a compelling −25×
100
SiON / Poly 65 nm on NMOS and an astounding 1,000×
10 on PMOS. The verdict from the data
>25×
Normalized Gate Leakage

~1,000× was loud and clear.


1 In the development of a metal-gate
SiON/ Poly 65 nm process flow, there were two front
0.1
runners. One was called gate first.
0.01 This was similar to the existing flow
with the SiON gate dielectric swapped
0.001
for a Hi-k gate dielectric stack of
Hi-k + MG 45 nm Hi-k + MG 45 nm
0.0001 SiO2+HfO2, and the polysilicon gate
PMOS NMOS was replaced with a metal-gate stack
0.00001 that had two different work-function
–1.2 –1 –0.8 –0.6 –0.4 –0.2 0 0.2 0.4 0.6 0.8 1 1.2
metal layers. While this provided a
VGS (V)
familiar look and feel to the tradi-
FIGURE 4: Hi-K + metal gate provided >25× reduction in leakage on NMOS and ~1,000× tional flow, it introduced constraints
reduction in leakage on PMOS. MG: metal gate; Poly: polysilicon; VGS: gate-to-source voltage. on thermal processing for S/D epi-
(Source: Adapted from [23].) taxy and dopant activation, and it

24limited
ed licensed use SUMto:MNED
E R 2 0UNIV
23 OFIEEE SOLID-STATE AND
ENGINEERING CIRCUITS MAGAZINE Downloaded on November 15,2023 at 21:39:55 UTC from IEEE Xplore. Restrictions apply.
TECHNOLOGY.
also limited the choice of metals that the device reliability and transis- duced a complication for the NMOS
could be used. The second flow in- tor mobility. tensile capping layer. In this flow, the
troduced the Hi-k gate dielectric but With the change in gate stack wafer is polished back to the dummy
continued to use a polysilicon gate achieved, the question returned to gate to enable the replacement of the
that was a dummy layer. The poly- strain incorporation. Along with gate gate with metal. This polish would also
silicon dummy gate would remain pitch scaling, the gate-last flow intro- release the strain in the film, so a new
in place through S/D formation, and
after forming the interlayer dielectric
0 (ILD0), the dummy gate would be
removed. The work-function metals
would be deposited and patterned,
Dep. Hi-k and Patt. Met. 1 and Patt. Met. 2 and S/D Formation and
and a bulk metal would be deposited Met. 1 Dep. Met. 2 Etch Gates Contacts
and polished back.
This “Hi-k-first, gate-last” flow
had significant advantages, allowing
a high thermal budget for the S/D
epitaxy and dopant activation an- (a)
neals and a low thermal budget for
the work-function metals. This pro-
Dep. and Patt. S/D Formation Rem. Gate and Dep. Met. 2 + Fill
vided a broader set of options for the
Hi-k + Dummy and ILD Patt. Met. 1 and Polish
metal gate without the constraints on Gate Dep./Polish
the thermal budget. While this “Hi-
k-first, gate-last” approach was the
initial introduction of a Hi-k + metal-
gate process, a third approach, “gate
(b)
last,” has ultimately been the indus-
try-standard method of realizing this
change [22]. The “gate-last” approach Dep. and Patt. S/D Formation Rem. Gate, Dep. Met. 2 + Fill
Dummy Gate and ILD Dep. Hi-k and and Polish
is a similar flow to the “Hi-k-first,
Dep./Polish Patt. Met. 1
gate-last” flow with the exception
that the Hi-k film is also deposited
after the S/D and ILD0 processing
and dummy polysilicon (poly) remov-
al immediately prior to the metal- (c)
gate depositions (see Figure 5). With
the Hi-k deposited later in the flow, FIGURE 5: (a)–(c) Flows illustrating different metal-gate flow options. (a) Gate-first flow.
the subsequent thermal processing (b) Hi-K-first gate-last flow. (c) Gate-last flow. Dep.: deposit; Met.: metal; Patt.: pattern;
can be optimized to maximize both Rem.: removal.

GPa GPa
–0.04 0.22 –0.04 0.22
0.1 0.1
–0.02 –0.02
–0.02 –0.14 –0.02 –0.14
–0.26 –0.26
–0.38 –0.38
0 –0.5 0 –0.5
–0.62 –0.62
0.02 –0.74 0.02 –0.74
–0.86 –0.86
–0.98 –0.98
0.04 –1.1 0.04 –1.1
–1.22 –1.22
–1.34 –1.34
0.06 –1.46 0.06 –1.46
–1.58 –1.58
0.08 –1.7 0.08 –1.7
0 0.02 0.04 0.06 0.08 0.1 0.12 0.14 0.16 0 0.02 0.04 0.06 0.08 0.1 0.12 0.14 0.16
(a) (b)

FIGURE 6: (a) and (b) Stress contours in the PMOS transistor before and after the removal of the polysilicon dummy gate. Stress in the channel
is shown to increase 50% from ~0.8 GPa to >1.2 GPa. (a) Before gate removal. (b) After gate removal. (Source: Adapted from [24].)

IEEE15,2023
ed licensed use limited to: NED UNIV OF ENGINEERING AND TECHNOLOGY. Downloaded on November SOLID-STATE CIRCUITS
at 21:39:55 MAGAZINE
UTC SU M M
from IEEE Xplore. 25
E R 2 0 2 3 apply.
Restrictions
method of introducing strain was need- Introducing the Hi-k/metal gate effect is described in detail elsewhere
ed. The most significant component in marked a significant increase in the but is simply the need to increase the
gate pitch was the contact, along with number of elements incorporated threshold voltage (Vt) of the transistor
the gate itself. This presented an op- into the building of a modern silicon- as the gate length is scaled to meet
portunity to use a compressive gate based chip. In the 1980s, there were the off-state leakage current require-
fill material and a tensile contact mate- on the order of 10 elements. This in- ments. To increase Vt, the channel
rial to introduce tensile strain into the creased modestly to ~15 elements in doping is increased, leading to mobil-
channel. Since the PMOS had raised the 1990s, but this exploded to >50 ity degradation and thus degrading
S/Ds and a thicker work-function metal, elements used through the manufac- the drive current. The need was clear;
it was possible to protect it from the turing process in the 2000s (Figure 7). something was needed to improve
tensile strain. This rapid increase provided suffi- short-channel effects, enabling a low
To maintain the benefit of PMOS cient options that, along with the in- off-state leakage current with low Vt
strain with the scaled gate pitch, two troduction of immersion lithography, while maintaining a low channel dop-
methods were employed—one was enabled continued ­ advancement to ing for high mobility.
to increase the percentage of Ge in the 32-nm node. At this point, a new The ideal solution would be a very
the SiGe film, and the second was to problem started surfacing. While the thin channel where, with very low
reduce the distance from the SiGe to gate pitch continued to scale at ~0.7× channel doping, the gate work func-
the gate edge. Both these methods per technology node, the gate length tion would fully deplete the channel.
were used in the 65-nm node and scaling had significantly slowed This would both maximize the chan-
were further extended to the 45-nm down after the 65-nm node. Where nel control for reduced short-channel
node [21]. But there was one more the gate used to occupy 15% of the effects, thereby reducing the off-state
piece of magic. By removing the gate pitch at the start of the century, leakage, and increase the mobility for
dummy gate in the gate-last flow, the it now occupied more than 30%. high performance. While the concept
stress in the channel was enhanced The limiter for gate length scaling of the solution was clear, the means
by 50% (Figure 6)! This resulted in was short-channel effects; moreover, to that end were not. While there were
marked increases in drive current ionized impurity scattering was lim- several options, fully depleted SOI was
gain of more than 50%. This was a iting the channel doping levels that the most obvious. Surrounding-gate
new and unexpected benefit of the had earlier been increasing as per or gate-all-around structures had also
metal gate’s last flow. Dennard scaling. The short-channel been around for more than a decade.

10 nm
7 nm
Transistor
4 nm
Scaling
14 nm

22 nm

32 nm

Introduction of
45 nm
Uniaxial Strain

End of 65 nm Introduction and Scaling of


Conventional Tri-Gate or FinFET Transistors
Dennard
Scaling Era 90 nm Shift to Gate-All-Around or
RibbonFET architecture as
130 nm we enter the Angstrom era;
Hi-k and Metal Gate,
Provides complete gate
Both Introduced in
control.
Tandem

2000 – Early 2020s Time

FIGURE 7: The evolution of transistor technology since the 130-nm node, as we enter the postconventional scaling era or the “golden” era of
transistor evolution.

26limited
ed licensed use SUMto:MNED
E R 2 0UNIV
23 OFIEEE SOLID-STATE AND
ENGINEERING CIRCUITS MAGAZINE Downloaded on November 15,2023 at 21:39:55 UTC from IEEE Xplore. Restrictions apply.
TECHNOLOGY.
The most promising, though, was the
The baton of this evolution was passed through
tri-gate or FinFET structure. This was
a brand-new architecture that deviat- multiple generations, each coming up with
ed from decades of planar processing. innovations that made further miniaturization
It would require a very narrow sliver
or “fin” of silicon to be raised from the
and higher performance possible.
substrate with the gate laid over it,
providing gate control on both sides on each of these problems and defined tinuing the trajectory of performance
of the fin. This would fully deplete the solutions. The narrow fins were pat- gain while scaling density. This made
fin, enabling near-ideal room-temper- terned with a self-aligned pitch divi- FinFETs the key transistor structure of
ature subthreshold slopes of 60 mV sion process that used a deposited multiple subsequent technology nodes.
per decade of current. spacer to define the fin width. The Rext This brings us to today, where the
While the short-channel benefit of limitations were to be overcome with industry is undergoing another seis-
FinFETs was appealing, the process the same raised S/D processing that mic shift in transistor architecture.
challenges with FinFETs deterred all was used to introduce strain. Now it Gate-all-around, or RibbonFET, tran-
but the most daring device engineers. was added on the NMOS side as well. sistors (see Figure 7) are in relentless
First, FinFETs introduced a nonplanar The strain on the PMOS was extended development [27], [28]. Industry-wide
surface accompanied by high aspect by increasing the peak Ge concentra- adoption is expected in the next one
ratios for all subsequent process- tion further beyond 50%, and SiGe to two years. This represents the ul-
ing. Creating the fins themselves re- now underlapped the gate to form the timate transistor architecture with
quired innovation; for instance, the tip region. The result was a process complete gate control, at least until
width of the fins needed to be sub- that provided a 37% improvement the next innovation comes along to
wits of lithography capabilities in in delay or a 50% reduction in active shake up the industry again.
the 2010 timeframe. The narrow fins, power. Outstanding short-channel and
or thin channel, also introduced high drain-induced barrier-lowering (DIBL) Future
Rext to the S/D that had to be over- characteristics also enabled a 100-mV The astounding digitization of our
come. On top of these challenges, reduction in Vt [26] (Figure 8). world in the 21st century has brought
the integration of Hi-k/metal-gate One of the key benefits of FinFETs with it an insatiable demand for high-
and strain features with FinFETs, was its scalability. As the fin pitch is performance and low-power transis-
which were so meticulously incorpo- scaled, the drive/fin can be maintained tors in the existing market segments;
rated into the planar process, added since the transistor “width” is now in moreover, the industry is seeing rising
to the discomfort of proceeding the vertical direction. This provided demand from new segments, includ-
with FinFETs. dual benefits of drive per top-down ing super and quantum computing.
Given a problem statement, engi- width increase and the dead space While the chorus that “Moore’s law has
neers are an amazing group. They took capacitance reduction, thereby con- reached its end” is ever louder, the

2 0.5
NMOS 32 nm Vds = 0.05 V
0.4
1.8
0.3
37%
Transistor Gate Delay

1.6 Faster 0.2


32-nm 0.1
1.4 Planar 22 nm
Vt (V)

0
1.2 –0.1
–0.2 V 22 nm
1 –0.2
22-nm –0.3
0.8 Tri-Gate –0.4
PMOS 32 nm
0.6 –0.5
0.5 0.6 0.7 0.8 0.9 1 1.1 25 30 35 40
Operating Voltage (V) Lgate (nm)
(a) (b)

FIGURE 8: (a) A 22-nm tri-gate (FinFET) process provided a 37% improvement in delay and as a result, a 50% reduction in active power for
a given delay. (b) Linear threshold voltage versus gate length for NMOS and PMOS transistors show that FinFETs also enabled a 100-mV
­reduction in Vt owing to outstanding short-channel and drain-induced barrier-lowering (DIBL) benefits. Vds: drain-to-source voltage.
(Source: Adapted from [26].)

IEEE15,2023
ed licensed use limited to: NED UNIV OF ENGINEERING AND TECHNOLOGY. Downloaded on November SOLID-STATE CIRCUITS
at 21:39:55 MAGAZINE
UTC SU M M
from IEEE Xplore. 27
E R 2 0 2 3 apply.
Restrictions
progress and promise of Moore’s law [16] R. H. Dennard et al., “Design of ion-im- tor and interconnect processes along
planted MOSFET’s with very small physi-
will continue through transistor ar- cal dimensions,” IEEE J. Solid-State Cir- with the functional groups driving
chitecture evolution—3D transistors cuits, vol. 9, no. 5, pp. 256–268, Oct. 1974, the development of next-genera-
doi: 10.1109/JSSC.1974.1050511.
in the near future—and new mate- [17] K. Mistry et al., “Delaying forever: Uni- tion technologies. He joined Intel in
rial discoveries—such as 2D mate- axial strained silicon transistors in a 1997 and led the team responsible
90nm CMOS technology,” in Proc. Symp.
rials. The evolution of 3D transistors VLSI Technol. Dig. Tech. Papers, 2004, pp. for introducing the industry’s first
will continue through the next de- 50–51, doi: 10.1109/VLSIT.2004.1345387. use of strained silicon for transis-
[18] V. Chan et al., “Strain for CMOS perfor-
cade. The baton of this evolution was mance improvement,” in Proc. IEEE Cus- tor enhancement into the 90-nm and
passed through multiple generations, tom Integr. Circuits Conf., 2005, pp. 667– 65-nm CMOS flows. From 2005 to
674, doi: 10.1109/CICC.2005.1568758.
each coming up with innovations that [19] J. Faricelli, “Layout-dependent proximity 2008, he led the process develop-
made further miniaturization and effects in deep nanoscale CMOS,” in Proc. ment and introduction of the indus-
IEEE Custom Integr. Circuits Conf., 2010,
higher performance possible. As we pp. 1–8, doi: 10.1109/CICC.2010.5617407. try-first high-k/metal-gate process
write this review, that baton is on to [20] A. Loke et al., “Analog/mixed-signal design for the 45-nm CMOS flow. He led the
challenges in 7-nm CMOS and beyond,” in
the next generation to innovate and Proc. IEEE Custom Integr. Circuits Conf., 2018, process development and introduc-
deliver to the world even higher per- pp. 1–8, doi: 10.1109/CICC.2018.8357060. tion of the industry-first tri-gate pro-
[21] C. K. Maiti and T. K. Maiti, Strain-Engi-
formance transistors than the world neered MOSFETs. Boca Raton, FL, USA: cess into the 22-nm CMOS flow. He
has ever seen. CRC Press, 2013. holds 17 patents in semiconductor
[22] P. Bai et al., “A 65nm logic technology fea-
turing 35nm gate lengths, enhanced chan- devices and manufacturing and has
References nel strain, 8 Cu interconnect layers, low-k authored/coauthored 30 articles on
[1] “Percentage of households with at least ILD and 0.57 μm2 SRAM cell,” in Proc. IEEE
one computer,” IBISWorld, Manhattan, Int. Electron Devices Meeting, 2004, pp. 657– semiconductor process development.
NY, USA, Aug. 2022. [Online]. Available: 660, doi: 10.1109/IEDM.2004.1419253. He and his colleagues have also been
https://w w w.ibisworld.com/us/ bed/ [23] K. Mistry et al., “A 45nm logic technol-
percentage-of-households-with-at-least ogy with high-k+metal gate transistors, honored twice with a SEMI Award for
-one-computer/4068/ strained silicon, 9 Cu interconnect lay- North America, which recognized
[2] “Inventing the transistor – CHM revolu- ers, 193nm dry patterning, and 100% Pb-
tion,” Computer History Museum, Moun- free packaging,” in Proc. IEEE Int. Electron that the techniques pioneered by the
tain View, CA, USA. [Online]. Available: Devices Meeting, 2007, pp. 247–250, doi: team were widely adopted across the
https://www.computerhistory.org/revolution/ 10.1109/IEDM.2007.4418914.
digital-logic/12/273 [24] C. Auth et al., “45nm high-k + metal gate semiconductor industry. He received
[3] S. Chih-Tang, “Evolution of the MOS tran- strain-enhanced CMOS transistors,” in Proc. his bachelor’s degree in electrical
sistor-from conception to VLSI,” Proc. IEEE, IEEE Custom Integr. Circuits Conf., 2008, pp.
379–386, doi: 10.1109/CICC.2008.4672101. engineering from the University of
vol. 76, no. 10, pp. 1280–1326, Oct. 1988,
doi: 10.1109/5.16328. [25] S. Natarajan et al., “A 32nm logic technol- Washington and earned his master’s
[4] W. F. Brinkman et al., “A history of the in- ogy featuring 2nd-generation high-k +
metal-gate transistors, enhanced chan- and doctoral degrees in electrical en-
vention of the transistor and where it will
lead us,” IEEE J. Solid-State Circuits, vol. nel strain and 0.171μm2 SRAM cell size gineering from Stanford University. In
32, no. 12, pp. 1858–1865, Dec. 1997, doi: in a 291Mb array,” in Proc. IEEE Int. Elec-
tron Devices Meeting, 2008, pp. 1–3, doi: 2015, he was named a Fellow of IEEE
10.1109/4.643644.
[5] J. A. Fleming, “Instrument for converting al- 10.1109/IEDM.2008.4796777. for his contributions to strained sili-
ternating electric currents into continuous [26] C. Auth et al., “A 22nm high performance
and low-power CMOS technology featur- con transistor technology.
currents,” U.S. Patent 803 684, Nov. 7, 1905.
[6] J. E. Lilienfeld, “Method and apparatus for ing fully-depleted tri-gate transistors, Adithya Shankar (adithya.shankar@
controlling electric currents,” U.S. Patent self-aligned contacts and high density
MIM capacitors,” in Proc. IEEE Int. Electron intel.com) is a technical assistant in
1 745 175, Jan. 28, 1930.
[7] J. Bardeen and W. Brattain, “Three-electrode Devices Meeting, 2008, pp. 131–132, doi: technology development supporting
circuit element utilizing semiconductor ma- 10.1109/VLSIT.2012.6242496.
[27] J. P. Colinge et al., “Silicon-on-insulator the Advanced Technology Develop-
terials,” U.S. Patent 2 524 035, Oct. 3, 1950.
[8] M. Riordan and L. Hoddeson, Crystal Fire: ‘gate-all-around device,’” in Proc. Int. Tech. ment and Analytics Group, Intel Cor-
The Invention of the Transistor and the Dig. Electron Devices, 1990, pp. 595–598,
doi: 10.1109/IEDM.1990.237128. poration, Hillsboro, OR 97124 USA. In
Birth of the Information Age. New York,
NY, USA: Norton, 1997. [28] A. Agarwal et al., “Gate-all-around strained this role, he supports the functional
[9] W. Shockley, “Circuit element utilizing Si0.4Ge0.6 nanosheet PMOS on strain re-
laxed buffer for high performance low pow- groups driving the development of
semiconductive material,” U.S. Patent 2
569 347, Sep. 25, 1951. er logic application,” in Proc. IEEE Int. Elec- next-generation technologies with de-
[10] G. E. Moore, “The role of Fairchild in sili- tron Devices Meeting, 2020, pp. 2.2.1–2.2.4,
doi: 10.1109/IEDM13553.2020.9371933. velopment, operations, logistics, and
con technology in the early days of ‘Sili-
con Valley,’” Proc. IEEE, vol. 86, no. 1, pp. internal/external communications.
53–62, Jan. 1998, doi: 10.1109/5.658759. About the Authors Previously, he has been an etch pro-
[11] J. Hoerni, “Method of manufacturing semi­­
conductor devices,” U.S. Patent 3 025 589, Chris Auth (chris.auth@intel.com) is cess development engineer develop-
May 20, 1962. the vice president of Technology De- ing leading-edge plasma etches for
[12] R. N. Noyce, “Semiconductor device-and-
lead structure,” U.S. Patent 2 981 877, Apr. velopment and director of advanced 10-nm, Intel 7 and Intel 4 intercon-
25, 1961. transistor development at Intel Cor- nects. He received his bachelor’s de-
[13] J. S. Kilby, “Miniature semiconductor inte-
grated circuit,” U.S. Patent 3 115 581, Dec. poration, Hillsboro, OR 97124 USA. gree in chemical technology from the
24, 1963. He leads the Advanced Technology Institute of Chemical Technology, his
[14] K. Dawon, “Electric field controlled semi-
conductor device,” U.S. Patent 3 102 230, Development and Analytics Group. In M.S. degree in chemical engineering
Aug. 27, 1963. this role, he is responsible for lead- from Northwestern University, and his
[15] G. E. Moore, “Progress in digital integrat-
ed electronics,” in Proc. IEEE Int. Electron ing the development of Intel’s Intel 7 M.B.A. degree from the University of
Devices Meeting, 1975, pp. 11–13. high-performance CMOS logic transis- Chicago Booth School of Business.

28limited
ed licensed use SUMto:MNED
E R 2 0UNIV
23 OFIEEE SOLID-STATE AND
ENGINEERING CIRCUITS MAGAZINE Downloaded on November 15,2023 at 21:39:55 UTC from IEEE Xplore. Restrictions apply.
TECHNOLOGY.

You might also like