Download as pdf or txt
Download as pdf or txt
You are on page 1of 26

Global Semiconductor Alliance STREAMLINING ANALOG/MIXED-SIGNAL/RF VERIFICATION

MEETING THE CHALLENGES OF SMALL RADIO FREQUENCY ICs

DESIGN CHALLENGES FOR LOW-POWER, MIXED-SIGNAL CMOS SOCs

AUTOMATING ANALOG IP PROCESS MIGRATION: THE NEXT FRONTIER

SEMI-CUSTOM, VIA-CONFIGURABLE ANALOG AND MIXED-SIGNAL ASICs

Analog, Mixed Signal & RF


Vol.16 No.1 March 2009
Published by GSA $60 (U.S.) 1
ARTICLES CONTENTS
2 Parallel Testing Supplants Test Time as the New Cost-of-Test Measure
Anthony Lum, SOC Product Engineer, Advantest America Inc. ACCELERATE THE
6 14th Annual GSA Awards Dinner Celebration GROWTH AND
Alisa Curry, Event Management Specialist, GSA
Jay Roecker, Event Marketing Specialist, GSA
INCREASE THE
10 Streamlining Analog/Mixed-Signal/RF Verification
Paul Estrada, Chief Operating Officer, Berkeley Design Automation RETURN ON

14 Design Challenges for Low-Power, Mixed-Signal CMOS SOCs INVESTED CAPITAL


Dr. Vincent Peiris, Section Head, RF and Analog IC Design, Microelectronics, CSEM
Pierre-François Rüedi, Project Manager, Sensory Information Processing, Microelectronics, CSEM OF THE GLOBAL
Dr. Dragan Manic, Section Head, Industrialization and Production, Microelectronics, CSEM
Simon Gray, Head of Business Acquisition, Microelectronics, CSEM SEMICONDUCTOR
18 Away from the Bleeding Edge Life is Good INDUSTRY BY
Paul Double, Founder and Managing Director, EDA Solutions

22 Developing Diverse Technology Solutions that Support Multiple Application Areas FOSTERING A MORE
Samir Chaudhry, Manager, Device Modeling, Jazz Semiconductor, a Tower Group Company
Ramesh Ramchandani, Director, Marketing, Jazz Semiconductor, a Tower Group Company EFFECTIVE FABLESS
Shye Shapira, Director, RD Power Management Platforms, Tower Semiconductor
Ofer Tamir, Director, CAD and Design Enablement, Tower Semiconductor ECOSYSTEM

26 Semi-Custom, VIA-Configurable Analog and Mixed-Signal ASICs THROUGH


Jim Kemerling, Chief Technical Officer, Triad Semiconductor Inc.
COLLABORATION,
28 Foundry-Friendly Memory IP for Analog Trimming and Sensor Calibration
Jim Lipman, Director, Marketing, Sidense
INTEGRATION AND
32 Meeting the Challenges of Small Radio Frequency ICs
Jose Harrison, Director, Product Marketing, Computing and Consumer, SiGe Semiconductor Inc.
INNOVATION.
Peter L. Gammel, Chief Technical Officer and Vice President, Engineering, SiGe Semiconductor Inc.

A Powerful Platform for Amazing Performance 36 Why Logic Foundries Will Fail in Moving to Analog
▪ Address the
Jens Kosch, Chief Technology Officer, X-FAB Silicon Foundries
Volker Herbig, Marketing Manager, X-FAB Silicon Foundries challenges and
enable industry-wide

M I S S I O N A N D V I S I O N S TAT E M E N T
38 Automating Analog IP Process Migration: The Next Frontier
Performance. To get it right, you need a foundry with an Open Innovation Platform™ and process technologies that K. T. Moore, Senior Director, Business Development, Custom Design Business Unit, Magma Design Automation solutions within
provides the flexibility to expertly choreograph your success. To get it right, you need TSMC.
the supply chain,
including intellectual
Whether your designs are built on mainstream or highly advanced processes, TSMC ensures your products achieve IN EVERY ISSUE property (IP),
maximum value and performance.
electronic design
4 Semiconductor Member News
automation
Product Differentiation. Increased functionality and better system performance drive product value. So you need
8 Foundry Focus (EDA)/design, wafer
a foundry partner who keeps your products at their innovative best. TSMC’s robust platform provides the options you
need to increase functionality, maximize system performance and ultimately differentiate your products. 12 Back-End Alley manufacturing, test
and packaging
16 Supply Chain Chronicles
Faster Time-to-Market. Early market entry means more product revenue. TSMC’s DFM-driven design initiatives,
20 Global Market Trends
▪ Provide a platform
libraries and IP programs, together with leading EDA suppliers and manufacturing data-driven PDKs, shorten your yield
for meaningful
ramp. That gets you to market in a fraction of the time it takes your competition. 24 Industry Reflections global collaboration
30 Global Insights
Investment Optimization. Every design is an investment. Function integration and die size reduction help drive your ▪ Identify and
margins. It’s simple, but not easy. We continuously improve our process technologies so you get your designs produced 34 Private Showing articulate market
right the first time. Because that’s what it takes to choreograph a technical and business success. opportunities
39 Innovator Spotlight

Find out how TSMC can drive your most important innovations with a powerful platform to create amazing performance. ▪ Encourage
Visit www.tsmc.com and support
INTERESTED IN CONTRIBUTING TO THE GSA FORUM? entrepreneurship
To contribute an article or submit product or company announcements, contact: Chelsea Boone, Managing Editor,
cboone@gsaglobal.org. To advertise, contact: Monica Dominguez, Advertising Executive, mdominguez@gsaglobal.org. ▪ Provide members
with comprehensive
F O R M O R E I N F O R M AT I O N C O N TA C T
and unique market
Lisa Tafoya, VP, Global Research, ltafoya@gsaglobal.org ▪ GSA ▪ 12400 Coit Road, Suite 650, Dallas, TX 75251
intelligence
Copyright 2008 Taiwan Semiconductor Manufacturing Company Ltd. All rights reserved. Open Innovation Platform™ is a trademark of TSMC. phone 888.322.5195 ▪ fax 972.239.2292
▪ Four vector signal generators (SGs). is important that the cell phone doesn’t drop a call. Therefore, first
and foremost, the functional aspects of a circuit, such as bit error
▪ Four receivers.
rate (BER) and all other functional parameters, must be tested. So,
▪ Four continuous wave (CW) stimuli. in essence, testing has become more closely aligned with the end
Multiple instances of this capability can support up to 128 RF product.
Parallel Testing Supplants Test Time as the ports in a single test system. In the past, it would have required a
room full of racks to provide this type of capability, but today, it is
As SOCs continue to evolve, there will be more functional tests
and, necessarily, more emphasis among device makers on design-for-
simply a few slots in the test head. test (DFT) and built-in self-test (BIST).
New Cost-of-Test Measure Of course, RF density is a plus from the standpoint of delivering
many testing options in one package. However, automated test
Further complicating the test challenge, multiple in, multiple
out (MIMO) techniques, which allow antennae to process many
equipment (ATE) RF density alone does not solve the multi-DUT incoming and outgoing signals simultaneously with the development
challenge. System vendors need to extend their solution beyond the of true duplex-functional radio tests, need to be tested as if they were
boundaries of ATE resources. used in the end product (i.e., functionally).
Anthony Lum, SOC Product Engineer, Advantest America Inc. At a minimum, functional tests include hybrid system-level tests
Loadboard Layout Challenges such as:
The next major DUT challenge is the layout of the loadboard. In fact, ▪ Adjacent channel power ratio (ACPR) (also known as adjacent
this may be the single biggest challenge in enabling effective multi- channel leakage ratio (ACLR)): the ratio of transmitted power
DUT. Historically, test resources have been provided in focused areas to power in the adjacent radio channel.
n the past, a typical wireless system was built primarily from test times are being reduced to a theoretical minimum, multi-device
(RF resources in one corner, digital resources in another, mixed-signal
I a range of components and subcomponents. Common design
practices of yesteryear featured functional blocks that were
made up of transistors used for amplifiers. And mixers and discrete
under test (DUT) solutions are required. Multi-DUT is an attempt
to bridge the price-performance divide by testing a number of devices
in parallel. In essence, multi-DUT aims to balance the physical limits
resources in a third, etc.). Having a performance board environment
that provides all necessary resources adjacent to all DUTs in a multi-
DUT setup is very beneficial. Not only does this simplify the layout,
▪ BER.
▪ Error vector magnitude (EVM).
A visionary ATE solution needs to adapt to the continually shifting
elements, such as matching circuits, filters and resonators, populated of testing with the need to conserve capital resources and reduce cost-
but it also greatly improves measurement performance, resulting in industry test paradigm, including DFT, BIST and, most importantly,
the system. As a result, the physical size of the early wireless systems of-test with higher throughput. However, multi-DUT presents a
faster test times and improved yield. For example, in a quad solution full-functional system-level testing.
and packaged subcomponents was comparatively bulky, cumbersome number of other challenges in areas such as:
and less usable. with four DUTs, it is preferable to have all required resources readily
▪ RF instrument density. available and adjacent to each DUT to minimize coupling and achieve Processing and Packaging Challenges
Wireless Consumer Product Evolution ▪ Loadboard layout. better isolation. As previously mentioned, having dedicated resources When it comes to packaging, the great benefit of Moore’s Law is
available to each DUT is critical. The alternative is adding switches that more functionality can be integrated onto a single die. However,
The situation has continued to evolve rapidly. Around the mid- ▪ RF testing. or multiplexers on the loadboard and unnecessary complexity, higher levels of integration also create other challenges. For instance,
1990s, an integration of the functional blocks was realized, paving
the way for the radio frequency (RF) system-on-chip (SOC). As RF ▪ Processing and packaging. which increases test time, reduces dynamic range and limits yield. key system components can’t be isolated from one another. Therefore,
Furthermore, test program generation and checkout will become if a transmitter is running at full output, the receiver “next door”
SOC complexities increased along with higher levels of integration, ▪ Dimension and handler mechanics.
must remain sensitive.
wireless systems, such as cell phones and Wi-Fi, became more viable much more complex.
and ubiquitous in the home and workplace. However, as consumer RF Instrument Density Challenges In response, engineers have developed solutions such as system-
Figure 3. A Variety of Socket Layouts for Multi-DUT Solutions
demand increased, so did pricing pressures on SOC manufacturers. RF test solutions have evolved in recent years. In the past, RF testers in-package (SiP): the combination of multiple chips in a single
The market began to insist on lower cost product and delivery of a were typically “rack-and-stack” systems assembled from components package. However, within these solutions, a variety of interconnective
solution in smaller packages with more complexity. The challenges to address a specific testing need. However, the test industry has technology must be used to ensure functionality. However, this is by
associated with providing more capable test solutions with lower moved to higher levels of integration, consolidating resources in the High-Speed no means the end of testing challenges. For instance, pitch dimensions
Digital
cost-of-test are the subject of this article. test head, rather than in a big rack of equipment. DUT1 DUT2 currently hovering around 0.4mm are getting smaller. With such
DUT1 Power
DUT2
Supplies
a small space between contacts, the possibility of short circuits has
Figure 1. The Integration of a Discrete Component into a RF SOC Figure 2. The Evolution of RF Instruments never been greater. In addition, the reduced use of metals, such as
Analog
Baseband DUT3
Resources
DUT4
lead, in packaging has led to what is sometimes called gumminess
Rack-N-Stack DUT3 DUT4
RF IF Audio
RF (i.e., packages are more soft, sticky and prone to poor electrical
D/A Resources
Out RF Source performance that needs be verified through tests).
Receive Path
Quadrature
Demodulator
Digital RF Source
Rx VGA A/D
LNA Downconverter 0° Channel RF Source Dimensional Challenges and Handler Mechanics
Phase Equalizer &
Splitter Memory
VNA
90°
A/D
Decoder
Incorrect Correct As previously noted, packaging has evolved significantly and
NF RX
PLL RSSI
AGC
A/D Speech
Decode Evolution continues to change. Generally, this translates into tremendous
T/R Switch D/A Switch
or Duplexer N x Synthesizers Power/Burst Control D/A
DSP Controller
Mux Box RF Testing Challenges dimensional challenges. Early on there were small outline IC (SOIC)
Speech
AGC
D/A Encode
Monolithic Module
The very nature of RF testing is changing, which presents new packages – large, plastic, rectangular, surface-mounted chip packages
D/A Channel

? Phase
0° Encoder &
Spectral To Codec, RF instruments have evolved into high-density, test head-resident monolithic modules. challenges. The days of measuring traditional parametrics and trying with gull-wing style pins. In addition to SOIC, package sizes are now
Splitter

Power Amp Driver Upconverter Tx VGA


90°
D/A
Shaping RF Section,
Keyboard & to correlate electronic characteristics to ultimate system performance being driven by a wide range of form factors and configurations in
Display
Quadrature Early RF systems from over a decade ago had only 12 ports built the market, including:
Transmit Path Modulator
A/D Audio are waning. Issues such as gain, noise figure, third-order intercept
from several boxed stand-alone instruments. Today, by contrast, it
▪ Quad flat pack (QFP).
In
point (TOI) and black box-scattering parameters are still important,
Many of the discrete components that formed a wireless application in the early 1990s are now seems that about every instrument of yesteryear has been integrated
but they are no longer the main focus. Today, what really matters
integrated into RF SOCs. into a monolithic module. Density, which describes the number ▪ Leadless chip carrier (LCC).
is that the system-on-a-device actually performs as it is designed to
of instruments in a single module, has increased, thus allowing the perform. In the past, tests, such as gain and noise, were performed on ▪ Quad flat pack no lead (QFN).
Product Integration Creates Cost Pressures assignment of dedicated RF resources to every device pin in a multi- RF devices, but now the focus is simply on whether the cell phone Needless to say, some of these package types are particularly
The expanded capabilities of today’s RF devices map directly into DUT configuration. Today’s systems include a minimum of: functions as intended. challenging for test. The big challenges include the limited space on
longer test times. To address the need for lower costs, and the fact that ▪ 32 RF ports. For instance, if a consumer inserts a device into a cell phone, it performance boards and the ever-increasing drive for higher levels
2 3 See Testing page 40
Actel (NASDAQ: ACTL) announced that gateway, the neufbox. and 100G dual-polarization quadrature phase Express (PCIe) interconnect devices for ZTE’s LTE TDD is expected to become the dominant system integrators to offer the ideal solution for
its IGLOO field-programmable gate arrays Conexant Systems (NASDAQ: CNXT) shift keying (DP-QPSK). current and next-generation system platforms. 4G standard mobile operators utilize in China small office/home office (SOHO) and family
(FPGAs) and ProASIC3 FPGAs were awarded surpassed 300 million cumulative digital At the Learning and Technology World Qualcomm (NASDAQ: QCOM) is and other parts of the world. storage needs.
Best All Around FPGAs in Penton Media’s 2008 subscriber line (DSL) semiconductor port Forum in London, Cisco, Intel (NASDAQ: working with three local non-governmental Paratek and STMicroelectronics (NYSE: Vitesse Semiconductor (OTC: VTSS.PK)
Best Electronic Design Competition. Featured in shipments. Conexant has a long history of INTC) and Microsoft unveiled plans to organizations (NGOs) to support social and STM) entered into a strategic relationship to released the SparX-II-16™ and SparX-II-24™ SOC
Electronic Design magazine’s December special technical innovation and leadership in broadband underwrite a multi-sector research project to educational initiatives in India. The company supply radio frequency (RF) tunable products devices with an integrated, high-performance
issue, the award highlights the most significant access technologies, including developing and develop new assessment approaches, methods will work with Save The Children India in to mobile wireless markets. The two companies processing engine. SparX-II™ introduces carrier-
technologies in advancing electronic design. delivering the industry’s first DSL chipset. and technologies for measuring the success of Mumbai, MV Foundation (MVF) in Hyderabad have been cooperating to advance the next class features such as content-aware security,
AMD (NYSE: AMD) released the AMD Cortina Systems was named to Deloitte’s 21st-century teaching and learning in classrooms and Sikshana Foundation in Bengaluru. generation of Paratek’s ParaScan™ materials fast failover protection and advanced quality of
Sempron™ 210U and 200U processors for Technology Fast 50 Program for Silicon around the world. In response to growing demand for its visual technology for high-volume manufacturing service.
embedded systems. These new processors are Valley Internet, media, entertainment and Jennic successfully implemented and enhancement engine (VEE) technology among and to jointly develop tunable products that ProVision Communications is using ViXS
available with five-year longevity that is standard communications companies. installed the first phase of a wireless control users of Qualcomm chipsets, QuickLogic improve total radiated power (TRP) for mobile Systems’ ViXS XCode™ 3290 video processor for
for AMD embedded components and feature DSP Group (NASDAQ: DSPG) introduced network using its own solution. It is designed to (NASDAQ: QUIK) has developed a proven phones, leading to longer battery life and fewer their new AXAR 1000 product, which distributes
lidless ball grid array (BGA) packaging with the its CleaRange technology for extending the help the city of London improve control of the system block (PSB) for VEE that connects dropped calls. HD video throughout the home over an 802.11n
low power and high performance of AMD’s Direct effective range of clear voice communications heating system in its renowned school for girls, directly to the EBI2. The PSB simplifies the use Teradici has partnered with Samsung wireless network.
Connect Architecture. for Cordless Advanced Technology-Internet and offering better comfort preventing overheating, of VEE technology with a Qualcomm chipset Electronics to provide its revolutionary PC- WiSpry successfully sampled its first custom
Analog Devices (NYSE: ADI) developed Quality (CAT-iq) Digital Enhanced Cordless and helping it meet its obligations to reduce its and a smart liquid crystal display (LCD) to over-IP (PCoIP) technology directly integrated product containing its proprietary programmable
a new motion sensing device specifically for Telecommunications (DECT) and U.S. DECT carbon footprint. enhance image viewability and significantly into Samsung’s new SyncMaster 930ND 19- RF technology to one of the largest cellular handset
energy-constrained portable consumer products. 6.0 cordless telephones. Strengthening DSP Super Micro Computer selected LSI’s reduce system power. inch LCD. manufacturers in the world. This custom solution
The ADXL345 three-axis digital integrated Group’s rich portfolio of telephony performance (NYSE: LSI) 6Gb/s SAS RAID-on-chip (ROC) Redpine Signals released a pair of serial TranSwitch (NASDAQ: TXCC) released its combines WiSpry’s proprietary RF MEMS
microelectromechanical system (iMEMS®) enhancements, the innovative technology plays and MegaRAID® products to enable its next- wireless device server modules based on its ultra new Atlanta™ 2000 communications processor digital capacitor technology in an RF CMOS
accelerometer is the lowest power device in its class, an integral role in the company’s continuing generation entry- to enterprise-server platforms. low-power Lite-Fi™ 802.11n technology. The product family. Atlanta 2000 offers robust manufacturing process with advanced analog
achieving an 80 percent power savings compared efforts to push the boundaries of DECT Luminary Micro released a brushed DC modules provide industrial devices and other gateway routing, security and voice over Internet and digital support circuitry in a true single-chip
to competing three-axis inertial sensors. coverage without increasing power consumption motor controller module (MDL-BDC) and equipment with advanced wireless connectivity protocol (VoIP) capabilities with unmatched implementation with no external components.
Apexone Microelectronics was recognized by and radiation. reference design kit (RDK-BDC). Offered both to local-area networks, enabling communication performance and power efficiency. Wolfson Microelectronics (LSE: WLF.L)
China Software and IC Production Centre (CSIP) eSilicon used Magma’s IC implementation as a volume production module and a complete, with the devices from servers anywhere on the Trident Microsystems (NASDAQ: TRID) expanded its highly successful portfolio of high-
at its 2008 ChinaChip Awards Dinner Celebration announced that Grundig Eletronik’s Vision-9 performance digital-to-analog converters (DACs).
as one of China’s top fabless companies FHD 100Hz DTV has adopted Trident’s The WM8742 is targeted at professional audio
that have demonstrated excellence through applications and addresses the increasing demands
their success, vision, strategy of high-end home audio applications such as audio/
and future opportunity in the visual (A/V) receivers, compact disk (CD), digital
nation. At this event, Apexone video disk (DVD), super audio CD (SACD) and
was honored with the Best home theatre systems.
Market Performance Award. Mele selected Xceive’s XC5000 hybrid silicon
austriamicrosystems tuner for its new line
(SWX: AMS) expanded its of HMC-3915T
inductorless boost converter and HMC-S01BT
portfolio with the AS1302, an ultra-small software to design the world’s fastest field- personal video
inductorless direct current/direct current (DC/ programmable chip. With Magma’s integrated recorders (PVRs).
DC) boost converter. The AS1302 is capable of synthesis and place-and-route system, eSilicon open-tooled reference design, the MDL-BDC local network or on the Internet without the HiDTV™ PRO-QX system-on-chip (SOC) Targeted to European markets, these products are
output currents as high as 30mA from a wide- was able to maximize performance and achieve module provides OEMs with the freedom need to install wireless networking software or with an integrated advanced motion estimation now being manufactured under the Emtec brand
ranging input of 2.9V to 5.15V. first-pass silicon success. to choose how to go to production through a network stack. and motion compensation (MEMC) frame rate for use in its Movie Cube Q800, Movie Cube S800
Bay Microsystems’ ABEx® 2020 Multiservice Fulcrum Microsystems’ new Monaco volume procurement and integration of the RF Micro Devices (NASDAQ: RFMD) conversion engine, providing naturally smooth and G-Movie Cube product lines.
Transport Gateway platform, which enables platform is the first 10Gb Ethernet (10GbE) cost-effective MDL-BDC module unit, or by released the industry’s first fully integrated 100Hz video motions. Xilinx (NASDAQ: XLNX) introduced the
InfiniBand extension over wide area networks original equipment manufacturer (OEM) using the complete MDL-BDC hardware and 5.8GHz transmitter to comply with China’s Avnet Memec signed a pan-European industry’s first Ethernet audio video bridging
(WANs), was accepted into InfiniBand Trade switch to offer lossless fabric switching, allowing software design files and RDK-BDC reference electronic toll collection (ETC) standard GB/T distribution agreement with Tundra (AVB) endpoint for development of broadcast,
Association’s (IBTA) Integrators’ List. Ethernet switch manufacturers to deliver design kit as a jump start to a customer-tailored, 20851.1-2007: Electronic Toll Collection Semiconductor (TSX:TUN) under which professional and consumer A/V, automotive,
Broadcom (NASDAQ: BRCM) teamed with Ethernet throughout the data center that can Stellaris-based design. - Dedicated Short Range Communication Avnet Memec will market and sell Tundra’s entire and home networking systems. Developed
Sharp Electronics to deliver innovative Bluetooth® carry storage, clustering and networking traffic. Nordic Semiconductor ASA (OSE: NOD) (DSRC) Part 1: Physical Layer. RFMD’s product portfolio to new and existing European in collaboration with Harman International
technology for next-generation digital television Delivering unprecedented speeds for appointed Innotech as its distributor for the ML5830 is a low-power amplitude shift key customers, and will provide comprehensive Industries, the Xilinx Ethernet AVB LogiCORE
(DTV) products. As a result of this collaboration, multimedia connectivity, Monster Cable and important Japanese market. Innotech says its (ASK) and frequency shift key (FSK) transmitter technical design-in support for Tundra’s products intellectual property (IP) core uses cutting-edge
Sharp is now shipping its new AQUOS® XS1 series Gennum (TSX: GND) are developing HDMI goals are to solve challenging technical problems operating in the 5.8GHz industrial, scientific utilizing Avnet Memec’s network of 31 offices in programmable technology to easily adapt to
of DTVs with built-in Bluetooth from Broadcom connectivity products to be manufactured and by supplying cutting-edge technology that is and medical (ISM) band. 19 countries. changes in the emerging Institute of Electrical and
featuring the BCM2046 single-chip Bluetooth sold by Monster. considered to be best in its sector. SiBEAM’s line of wireless half-duplex Ubicom closed a $7 million Series 5 Electronics Engineers (IEEE) 802.1 Ethernet AVB
receiver in both the television and the remote Infineon Technologies AG (NYSE: IFX) The ability to drive big displays now comes transmission module (HDTM) chipsets has financing round. Ubicom is now opening its standard and support custom features.
control. announced its third generation of ultra low- in a small package with the release of NVIDIA’s entered volume production. SiBEAM has platform to address adjacent market segments, ZiLOG (NASDAQ: ZILG) introduced the
Electronic Products magazine awarded cost mobile phone chips. The X-GOLD™110 (NASDAQ: NVDA) Quadro® NVS 420, the provided sample wireless chipsets to select including integrated access devices (IADs), ZBASE Live!™ Web portal that supports model
California Micro Devices (NASDAQ: CAMD) is the world’s highest integrated, cost-effective, industry’s only low-profile professional graphics customers since Q1 2008 and is now preparing enterprise access points, security virtual private search and download, and offers consumer
with its 2008 Product of the Year award for the one-chip solution for Global System for Mobile solution designed for maximum display real to broadly expand its partners’ product network (VPN) routers, VoIP products, digital electronics and set-top boxes real-time access to the
CM1233-08DE. The CM1233-08DE is the Communications/General Packet Radio Service estate with a small form factor (SFF) computer. development activity. photo frames and digital media players. industry’s most comprehensive universal infrared
industry’s first product to provide both outstanding (GSM/GPRS) ultra low-cost phones. Featuring NVIDIA’s nView® display software and Skyworks Solutions (NASDAQ: SWKS) Ubidyne successfully demonstrated full (UIR) database.
signal integrity and robust electrostatic discharge Inphi introduced a 28G bit error ratio support for four 30-inch displays at 2560x1600 introduced the industry’s first multi-mode functionality and 3GPP radio performance with Zoran (NASDAQ: ZRAN) announced that
(ESD) protection for high-speed differential signals (BER) receiver reference design. The 28G BER high resolutions, business professionals can and multi-band frequency division duplex its breakthrough Antenna Embedded Radio™ 41 printer, copier and multi-function peripheral
such as High-Definition Multimedia Interface receiver is for research and development (R&D) maximize productivity through increased (FDD)/time division duplex (TDD) power architecture. (MFP) deployments that incorporate its intrusion
(HDMI) and DisplayPort. or production testing of emerging high-speed desktop real estate and easy-to-use display amplifier module (PAM) for fourth-generation VIA Technologies (TSE: 2388) released the detection (IPS™) software solution were recognized
SFR selected Cavium Networks’ (NASDAQ: protocols from 13Gbps to 28Gbps, including management tools. (4G) long-term evolution (LTE) applications. VIA NSD7800 home server, which supports up for excellence by the independent industry testing


CAVM) OCTEON CN50XX processor family 100Gb Ethernet, 40G differential quadrature ZTE Corporation chose PLX Technology Skyworks’ PAM is also the first product to to eight full-sized hard drives with a fraction of and research organizations BERTL and Buyers
for their next-generation gigabit, triple-play home phase shift keying (DQPSK), 14G Fibre Channel (NASDAQ: PLXT) as the key supplier of PCI specifically target LTE-TDD bands 38 and 40. the hassle associated with larger servers, enabling Laboratory Inc. (BLI).

4 5
Most Respected Private Semiconductor Company included Amalfi Semiconductor, Amimon Ltd, Audience, Stream
The industry’s Most Respected Private Semiconductor Company Processors and the winner, Tilera Corporation. Omid Tahernia,
award is designed to identify the private company garnering the president and CEO of Tilera Corporation, accepted the award. Tilera
most respect of the industry in terms of its products, vision and Corporation is a leader in highly scalable general-purpose, multi-core
future opportunity. The GSA Awards Committee reviews all private processors for the embedded market. Its general-purpose reduced
semiconductor companies, and the selected companies are based instruction set computer (RISC) cores, architecture and standards-
on the Committee’s analysis of each company’s performance and based tools provide a combination of performance, power efficiency
14th Annual GSA Awards Dinner Celebration likelihood of long-term success. Online voting takes place to allow
members of the semiconductor industry, including chip companies
and programming flexibility.
Following this award presentation, Dr. Nicky Lu, GSA’s Asia-
and partners, to cast a ballot for the private semiconductor company Pacific Leadership Council chairman and president and CEO of
they most respect. Etron Technology, provided a brief update on the Asia-Pacific region,
John East, president and CEO of Actel, and Dr. Ping Wu, reporting that the region has continued to grow, and many companies
Alisa Curry, Event Management Specialist, GSA
CEO, chairman and co-founder of Spreadtrum Communications, have expanded or established a greater presence in Asia in the past year.
Jay Roecker, Event Marketing Specialist, GSA
introduced the Most Respected Private Semiconductor Company He also noted that GSA signed a memorandum of understanding
award. Nominees in this category included eASIC Corporation, (MOU) with the China Semiconductor Industry Association (CSIA)
in September 2008 to strengthen the existing relationship between
Freescale Semiconductor and Open-Silicon, the winner of the award.
the two organizations and broaden and enhance value and resources
Open-Silicon’s CEO Naveed Sherwani accepted the award. Open-
to their members. Dr. Lu was joined on stage by David Baillie, GSA’s
Silicon sets new standards for the predictability and reliability of
Europe/Middle East/Africa (EMEA) Leadership Council chairman
n December 11, 2008, GSA hosted its 14th annual Awards specified criteria and selects nominees based on performance and ASICs to enable customers to differentiate their products through
and CEO of CamSemi. Mr. Baillie gave an overview of the expansion
O Dinner Celebration at the Santa Clara Convention Center
in Santa Clara, California. At the event, companies were
recognized for demonstrating excellence in 2008. The theme of the
likelihood of success. Online voting takes place to allow members of
the semiconductor industry to cast a ballot for the emerging public
semiconductor company they most respect.
affordable custom silicon.
Outstanding Financial Performance by Private Semiconductor
Companies
of the EMEA Leadership Council and the overall advancement of
GSA in the EMEA region, including the signing of a MOU with the
National Microelectronics Institute (NMI).
evening, “Visualizing Success,” was mirrored in the success of the Behrooz Abdi, president and CEO of RMI, and Joep van Beurden,
event that began with a cocktail reception and ended with a global CEO of CSR, introduced the award with the following companies The top five private semiconductor companies, in terms of growth Best Financially Managed Semiconductor Company
audience of 1,300 industry executives. GSA was proud to recognize being nominated: Actel Corporation, Atheros Communications and percentage, that double revenue over eight consecutive quarters are
The Best Financially Managed Semiconductor Company award is
the achievements of several semiconductor companies. Cavium Networks. The award went to Cavium Networks, a leading eligible to receive an award for their outstanding financial performance.
designed to evaluate the financial health of public semiconductor
As executive director of GSA, Jodi Shelton started the evening provider of highly integrated semiconductor products that enable Private semiconductor companies submit their confidential ballots
companies based on a number of financial metrics. GSA and financial
by greeting the guests and introducing Mark Edelstone of JP intelligent processing in networking, communications, storage, directly to a designated consulting firm to determine which companies
analysts evaluate each company against their peers, and the one with
Morgan. Mark provided an overview of the new JP Morgan/GSA wireless and security applications. double revenue.
the best overall performance is determined the winner.
Semiconductor CEO Sentiment Index and reminded all CEOs in Moshe Gavrielov, president and CEO of Xilinx, and Mark Keene,
Lip Bu Tan, chairman and founder of Walden International and
attendance to participate. After Mark’s speech, Jodi asked the audience managing director of Deutshe Bank, presented the Outstanding
president and chief executive officer of Cadence Design Systems,
to take a moment and remember those at SiPort. Financial Performance by Private Semiconductor Companies award
introduced the award with Daniel Hoste, president and CEO of
Jodi then introduced the evening’s title Sponsor, UMC. Once to both Ambarella and DisplayLink Corporation. Ambarella’s CEO Tundra Semiconductor Corporation. The nominees were Analog
UMC’s introductory video concluded, Tony Yu of UMC took the Fermi Wang and DisplayLink’s VP of strategic engineering and Devices, Linear Technology Corporation and the winner, MediaTek.
stage to introduce the keynote speaker, Chris Gardner. intellectual property (IP) Adrian van den Heever accepted the award. There to accept the award was MediaTek’s vice president Lawrence
Chris Gardner is most known for his New York Times best- Ambarella is a leader in low-power, high-definition video compression Loh. MediaTek is a leading fabless semiconductor company for
selling autobiography, “The Pursuit of Happyness.” The book was and image processing semiconductors. Its products bring consumers wireless communications and digital media solutions. The company
later adapted for film with Will Smith playing Gardner in the movie. unmatched high-definition video and digital still images together is a market leader and pioneer in cutting-edge system-on-chip (SOC)
Gardner’s speech focused on the trials and tribulations of beating the in one device. DisplayLink has reinvented the way computers talk system solutions for wireless communications, high-definition digital
odds and overcoming homelessness. Gardner’s story was a perfect with displays through the use of semiconductors and software. The TV, optical storage and high-definition DVD products.
introduction for this year’s awards celebration given the current company’s Universal Serial Bus (USB) graphics technology has
economic climate. significantly simplified the usability of multi-monitor computing. Most Respected Public Semiconductor Company
The Most Respected Public Semiconductor Company award is
Awards Ceremony Start-Up to Watch
designed to identify the public company garnering the most respect of
Joined by GSA’s board chairman Dr. Dwight Decker, Jodi returned The GSA Start-Up to Watch Awards Committee, which is comprised the industry in terms of its products, vision and future opportunities.
to the stage and officially opened the awards ceremony. During of members of the GSA Venture Capital Advisory Council and select In addition to achieving sales above $500 million, a company’s
their welcome, Jodi and Dwight touched on the current economic serial entrepreneurs in the industry, identifies the semiconductor profitability and market capitalization are considered. GSA’s Awards
Cavium Networks’ CEO and president Syed Ali accepted GSA’s Most Respected Emerging Public company that demonstrates the potential to positively change
circumstances facing the industry, highlighting the fact that most of Semiconductor Company award. Committee reviews the companies meeting the criteria and selects
GSA membership has manageable inventory levels and cash on hand, its market or the semiconductor industry, in general, through the the final list based on analysis of each company’s performance and
making them a viable source for future investment. After the Most Respected Emerging Public Semiconductor innovative use of semiconductor technology or a new application for likelihood of success in the industry. Online voting takes place to allow
Company award was given, Dennis Segers, GSA’s Emerging semiconductor technology. Companies taken into consideration must members of the semiconductor industry, including semiconductor
Most Respected Emerging Public Semiconductor Company Company CEO (ECCEO) Council chairman and CEO of Tabula, be fabless or an integrated device manufacturer (IDM) and generate companies and partners, to cast a ballot for the public semiconductor
The industry’s Most Respected Emerging Public Semiconductor spoke about the ECCEO Council’s desire to represent the needs of $1 million to $5 million in revenue or be three to five years old. company they most respect.
Company award is designed to identify the company garnering the the emerging company and work with the supply chain to develop Levy Gerzberg, president and CEO of Zoran Corporation, and David Bell, president and CEO of Intersil Corporation, along
most respect of the industry in terms of its products, vision and programs that will help the entire semiconductor ecosystem to grow. Pete Rodriguez, president and CEO of Exar Corporation, introduced with Andrew Micallef, executive vice president at LSI Corporation,
future opportunities. Companies achieving up to $499 million in Among its initial efforts, the Council has established a working group the Start-Up to Watch award after a brief video of the great Mugsy introduced this award. Nominees included in the $500 million to $10
annual sales, their profitability and market capitalization, among focused on identifying electronic design automation (EDA) solutions Bogues, a talented, but short NBA player. The video likened the billion category were Broadcom Corporation, Qualcomm CDMA
other financial and product successes, are taken into consideration. for emerging companies, with the goal to promote and encourage the nominated start-ups to Mugsy Bogues, as they had overcome the Technologies, and Xilinx, the winner of the award. Xilinx is a leader in
GSA’s Awards Committee reviews all companies meeting the availability of EDA programs and tools for emerging companies. odds and gained stature in their respective fields. The nominees complete programmable logic solutions. Its products include silicon,
6 7 See Awards Dinner page 40
American Semiconductor released its FleX™ impact of fine-pitch package on silicon early in the Microelectronics Limited has licensed its high- density to the PC connectivity, communication and (E) wmatthews@tsmc.com
extreme wafer thinning process. The unique FleX design development cycle, which should improve precision radio frequency (RF) models and design consumer markets. The 110nm technology applies (W) www.tsmc.com
wafer thinning process is capable of completely manufacturability and back-end-of-the-line yield kits to create a time-to-market advantage for 10 percent linear optical shrink on customers’
removing the handle silicon of a silicon-on- performance. 90nm and 65nm RF CMOS customers. 130nm graphic design system (GDS) database The Foundry Company’s highly differentiated
insulator (SOI) wafer, yielding fully functional, and mask-out with tighter pitch to generate more Automated Precision Manufacturing (APM)
flexible wafers with a final silicon thickness of For additional information, contact: For additional information, contact: die per wafer. In addition, the 110nm technology enables a level of synchronization and automation
<2000 angstroms. As an additional benefit, FleX Tiffany Sparks Melinda Jarrell is optimized to ensure silicon models and electrical that is unmatched in the industry. APM is
allows post-thinning fab processing. (T) 408-941-1185 (T) 949-435-8181 target are matched to 130nm specification. The currently employed in its Dresden fabs (and will
American Semiconductor is a U.S. foundry (E) tiffanys@charteredsemi.com (E) melinda.jarrell@jazzsemi.com technology is currently in pilot production with also be used in its forthcoming New York facility)
offering pure-play, on-shore foundry services, (W) www.charteredsemi.com (W) www.jazzsemi.com foundry design kits supported. to act as a central nervous system, using more
including custom fabrication for copy smart or copy than 400 patented technologies to provide tight
exact replication and Flexfet™ advanced CMOS Pioneering the open foundry business model MagnaChip Semiconductor, a leading Asia- For additional information, contact: integration and analysis to ensure maximum
technology. Flexfet is a unique, independent in China since 1997, CSMC Technologies is based designer and manufacturer of analog and Koh Meng Kong efficiency. As wafers enter and exit processing,
double-gated transistor technology that provides a leading pure-play specialty analog foundry mixed-signal semiconductor products for high- (E) mengkong_koh@silterra.com APM’s sophisticated infrastructure constantly
ultra low-power, dynamic threshold control and providing fabless design houses and integrated volume consumer applications, announced the (W) www.silterra.com monitors The Foundry Company’s customers’
inherent radiation tolerance. device manufacturers (IDMs) with 6-inch and availability of its industry-leading 0.18μm and products by collecting and analyzing information
8-inch manufacturing services. CSMC’s Fab 2 0.35μm advanced bipolar CMOS DMOS (aBCD) SVTC Technologies partnered with Entrepix to from the toolsets. Using real-time analysis of
For additional information, contact: commences 8-inch wafer production in 2008 with process technologies for foundry customers. provide 300mm chemical mechanical polishing this data, APM modifies the processing recipe to
Rich Chaney emphasis on high-voltage analog, mixed-signal MagnaChip’s aBCD process technologies (CMP) development and production services for ensure that the resulting products have minimal
(T) 208-336-2773 and power processes. The target capacity of Fab 2 represent the latest solutions of application-specific customers who use the Tool Access Program (TAP) defects and maximum quality.
(E) richchaney@americansemi.com is 30,000 8-inch wafers per month by the end of technology to meet the specialized customer needs at the SVTC fab in Austin, Texas. CMP is a critical
(W) www.americansemi.com 2009, with process technologies advancing to the for specific applications. The 0.18μm aBCD process step in semiconductor manufacturing. The For additional information, contact:
0.13μm node. process is suitable for complex, highly integrated partnership allows each company to leverage their Jon Carvill
austriamicrosystems’ business unit Full Service power management ICs such as those found in individual strengths, with SVTC furnishing a state- (E) jon.carvill@amd.com
Foundry expanded its cost-efficient and speedy For additional information, contact: mobile handsets. The 0.35μm aBCD, with higher of-the-art manufacturing environment with a full (W) www.newglobalfoundry.com
application-specific IC (ASIC) prototyping service, Jessie Shen voltage and power capabilities, is well suited for suite of process and metrology tools, and Entrepix
multi-project wafer (MPW) or shuttle run, in 2009 (T) 86-510-88113349 applications such as light-emitting diode (LED) providing CMP engineering and operations Tower Semiconductor earned the Platinum
with a more extensive schedule. (E) shenj@csmc.com.cn driver ICs for liquid crystal display (LCD) TVs experience. Under the terms of the agreement, Award for the third year in a row from the Standards
As part of the commitment to provide best- (W) www.csmc.com.cn and notebooks. all CMP processing, technology support and Institute of Israel for quality, automotive, safety,
in-class analog semiconductor process technology, customer interface for SVTC’s 300mm TAP will information security and environment systems.
manufacturing and services, austriamicrosystems IBM Microelectronics added the semiconductor For additional information, contact: be performed by Entrepix’s engineering team at Also, Tower was ranked by Deloitte Israel as one
now offers three prototyping runs for its advanced industry’s first 45nm SOI foundry offering and its Andy Brown SVTC, following the same outsourcing model
of the 2008 Technology Fast 50 for the second
0.18μm high-voltage CMOS technology H18, accompanying design kit to its portfolio. 45nm is (E) andy.brown@magnachip.com Entrepix uses at its foundry in Tempe, Arizona.
year in a row based on five-year revenue growth.
a joint development with IBM. In addition, four IBM’s sixth generation of SOI technology and is a (W) www.magnachip.com SVTC’s TAP provides manufacturers with access
In addition, Yitran Communications and Tower
MPW runs for foundry customers are available in key driver in many collaborative designs with clients to more than 200 tools covering such processes
announced the production launch of Yitran’s
the CMOS7RF base technology. The leading-edge – including networking, storage, gaming and other MOSIS announced support for the IBM 45nm as lithography, etch, CMP, cleaning, coating
IT700 PLC module, a small-sized, highly robust,
0.35μm CMOS, high-voltage CMOS, high-voltage consumer applications. IBM testing has shown the SOI CMOS (12S0) process. The design kit and metrology.
low-cost solution suited for an infinite number of
CMOS with embedded Flash and SiGe BiCMOS potential for 45nm SOI to offer up to 30 percent and ARM standard cell library is available now.
command and control applications.
technologies complete the industry-recognized performance improvement or 40 percent power Access is already available via MOSIS for a For additional information, contact:
MPW service. reduction when compared to the industry-standard variety of other IBM (CMOS, RF CMOS, SiGe Ashwin Kumar
bulk CMOS technology. The new IBM 45nm BiCMOS), TSMC (CMOS and RF CMOS), For additional information, contact:
The service, which combines several designs (T) 512-356-2312
SOI foundry offering is now available to original ON/AMI (CMOS and HV CMOS) and Melinda Jarrell
from different customers onto one wafer, offers (E) ashwin.kumar@atdf.com
equipment manufacturing (OEM) customers to austriamicrosystems (CMOS and HV CMOS) (T) 949-435-8181
significant cost advantages for foundry customers, (W) www.svtc.com
take advantage of this cutting-edge technology. processes. All technologies are available for (E) melinda.jarrell@tower-usa.com
as the costs for wafer and masks are shared among
prototyping (e.g., 40 pieces), mid-range (e.g., Taiwan Semiconductor Manufacturing (W) www.towersemi.com
a number of different shuttle participants. The full
schedule, including detailed start dates per process, For additional information, contact: 500, 2000 pieces) and small-volume production Company (TSMC) announced plans to deliver
Jennifer Chu quantities (i.e., dedicated runs which can start at its 28nm process as a full-node technology. This UMC has released its Silicon Shuttle multi-project
is available on austriamicrosystems’ Website.
(T) 802-769-6616 any time). Frequent multi-project runs provide process offers the option of both high-k metal gate test wafer program schedule for 2009. The Silicon
For additional information, contact: (E) jwchu@us.ibm.com convenient access to designers and facilitate (HKMG) and silicon oxynitride (SiON) material Shuttle program provides a cost-effective means
Ron Vogel (W) www.ibm.com quick application development. For additional to support different customer applications and for customers to verify their designs, prototypes
(T) 408-345-1790 information, including the MOSIS fabrication performance requirements. Initial production is and IP in UMC silicon. The program allows
(E) ronald.vogel@austriamicrosystems.com Jazz Semiconductor, a Tower Group Company, schedule, price list, design flows, intellectual expected during Q1 2010. separate “seats” to be purchased on the same
(W) www.austriamicrosystems.com announced a series of worldwide technology and property (IP) and further technical details, please TSMC also announced volume production of Silicon Shuttle test wafer, allowing customers to
marketing conferences with Tower Semiconductor visit MOSIS’ Website. the foundry segment’s only 40nm semiconductor split the overall mask cost among multiple parties
Chartered Semiconductor Manufacturing to present analog-intensive, mixed-signal (AIMS) manufacturing process with the successful ramp to reduce the cost per customer to a fraction
and A*STAR’s Institute of Microelectronics technologies and design enablement capabilities for For additional information, contact: of its 40nm general-purpose (G) and low- of the total. The 2009 schedule features several
(IME), Singapore successfully optimized a the production of advanced ICs. The conferences Wes Hansford power (LP) versions. The 40nm process is one shuttle runs for UMC’s leading-edge 45/40nm
range of fine-pitch packaging technologies for target cross-selling opportunities among the diverse (T) 310-448-9400 of the semiconductor industry’s most advanced technology, as well as monthly 65nm launches
copper metallization and low-k dielectric silicon customer bases of both companies. (E) support@mosis.com manufacturing process technologies. The 40LP (subject to demand). Please visit UMC’s Website
processes at 65nm and below. The Chartered-IME Jazz also announced that Ubidyne selected (W) www.mosis.com process targets low-power applications, including to view the full schedule.
collaboration has led to a greater understanding of its 0.18μm SiGe BiCMOS process to develop cellular baseband, application processors, portable
chip-package interaction for low-k devices through the world’s first pure digital radio system to To offer value to its global customers, SilTerra consumer and wireless connectivity devices. For additional information, contact:
modeling, simulations and reliability verifications enable mobile infrastructure equipment vendors Malaysia released the foundry-compatible 110nm Richard Yu
on silicon. The collaboration’s results provide worldwide to significantly improve performance, CMOS logic technology as the cost reduction path For additional information, contact: (T) 886-2-2700-6999 ext. 6951


package designers with benefits from silicon-proven flexibility and coverage. for 130nm CMOS logic design. This technology Wendy Matthews (E) richard_yu@umc.com
solutions and modeling tools to characterize the In addition, Jazz announced that Fujitsu offers the ideal combination of speed, power and (T) 408-382-8030 (W) www.umc.com
standard “golden” SPICE simulators within the SPICE tolerance RF circuits. All these analyses require true SPICE accuracy, yet even
settings. Default SPICE settings produce a tolerance band of a single pre-layout simulation with traditional SPICE may require
approximately 0.1 percent. This level of accuracy is at least one order days or weeks, which is not practical in the required time-to-market
of magnitude better than what is practical for behavioral simulation window. Without a practical way to sufficiently characterize these
with well-calibrated models, or for digital fastSPICE simulators circuits, designers must add sufficient margin or accept increased
Streamlining Analog/Mixed-Signal/RF running with the tightest possible settings.
True SPICE accuracy is even more important for RF simulation.
risk.
Design teams that use traditional SPICE and RF tools for complex
Many RF designers do not realize that traditional RF simulators blocks must make difficult decisions — all of which sacrifice accuracy.
Verification inherently trade accuracy for performance by limiting the number of
sidebands or harmonics they use. Designers can increase the sideband
They can take shortcuts with traditional SPICE/RF simulators (e.g.,
by simplifying the circuit or by combining block-level analysis),
or harmonic count only at the expense of severe penalties in periodic substitute behavioral models for transistor-level circuitry or use
steady state (PSS) convergence capacity; simulator runtime, which digital fastSPICE simulators. All these techniques sacrifice enough
may grow quadratically with the number of sidebands or harmonics; accuracy to yield highly questionable results. To mitigate the added
Paul Estrada, Chief Operating Officer, Berkeley Design Automation and memory consumption. risk, designers must add block-level design margin. As a result,
these techniques are potentially very expensive in terms of circuit
AMS/RF Block-Level Verification Problems
performance specifications, power consumption and silicon cost.
The first step in transistor-level AMS/RF verification is block-level
They also require a more complex methodology that saps valuable
design, where designers interactively verify schematic-level circuits by
designer productivity and lengthens the project.
using a traditional SPICE simulator. For RF blocks, designers also use
a traditional RF simulator. Block-level SPICE simulations generally Full-Circuit Verification Problems
finish in minutes or tens of minutes. Even these short simulation Full-circuit integration is the stage at which all transistor-level circuitry
times can significantly impact designer productivity because of the and embedded digital logic is integrated. Today’s top-level, pre-layout
large number of iterations. For RF blocks, nanometer-scale simulation circuits often contain 100,000 to 1 million elements. Parasitics can
erification methodologies for analog, mixed-signal and Figure 1. High-Level AMS/RF Verification Methodology
has become a bottleneck because traditional RF simulators have
V radio frequency (AMS/RF) circuits have become complex,
inefficient and ineffective. The impact is significant: low
designer productivity, long schedules, unnecessary respins, missed
Specification notoriously poor convergence, even in small blocks, and inherently
trade accuracy for performance.
Rigorous characterization is the most serious block-level
easily increase the size by 10x to 20x. At this stage in the design flow,
it is important to verify the inter-block connectivity and interactions.
Validating connectivity minimally requires simulation of the direct
current (DC) operating point for the full circuit. Traditional SPICE
specifications, low yield and higher silicon costs — not to mention System Model verification problem. Once a block meets specifications under
growing frustration. The key problem is that significant simulator does not have sufficient capacity to do so, and digital fastSPICE
nominal conditions, designers must characterize it under numerous tools do not generate electrically valid operating points. Design
limitations force design teams to constantly tradeoff accuracy, conditions, including process, voltage and temperature (PVT) corners,
performance, capacity and functionality. • Circuit design teams work around these limitations by generating DC operating
• Iterative verification and with post-layout parasitics, device noise and, ideally, with process points for combinations of blocks or relying on relaxed accuracy
Block-Level Design
It is time to retool AMS/RF verification. Digital design teams • Characterization parameter variations. Rigorous characterization can take several days
“functional verification” to identify problems. Both approaches are
typically retool at every major process node to optimize their flow. By for each block — especially at more advanced process nodes — and
time-consuming and may miss connectivity problems.
contrast, AMS/RF simulators have stagnated for a decade or longer, • Performance verification require complete re-characterization. Given the explosion in the
Complex-Block Design
Verifying inter-block interfaces requires electrical rule checking,
leaving design teams to develop increasingly elaborate verification • Noise analysis & RF analyses number of cases at advanced process nodes, traditional SPICE/RF
• Post-layout & corner analyses which is becoming increasingly complicated in circuits with multiple
methodologies to overcome increasingly severe tool limitations. As simulators simply do not have enough performance to meet today’s
modes for various configurations, standards and power settings.
AMS/RF circuits have moved from 0.50-micron to 90-, 65- and competitive design schedules.
• Inter-block & electrical rule verification Today, this often involves manually monitoring key waveforms, using
even 45-nanometer CMOS processes, their complexity has grown by Full-Circuit Verification • Full-circuit performance simulation
AMS/RF Complex Block Verification Problems circuit-level assertions and validating full-circuit outputs during
orders of magnitude. AMS/RF designers must now cope with physical • Package verification
Today’s most difficult AMS/RF verification challenges begin when interface corner-case tests. Such tests are accurate and reliable only
effects that developers of traditional SPICE and RF simulators never
integrating complex blocks such as integer-N and fractional-N phase- with true SPICE-accurate simulation, but again SPICE simulators
anticipated. rarely have sufficient capacity or performance for such verification.
Chip Integration locked loops (PLLs), high-performance ADCs, memory cores, power
Instead of adding yet another verification technique or simulator Full-circuit verification is the only opportunity to verify key IC
converters and receive chains. These circuits exhibit critical emergent
to work around another tool limitation, leading AMS/RF circuit performance specifications prior to measuring first silicon. Again, this
functional and performance characteristics that designers cannot
design teams are completely retooling with a new generation of Tapeout requires true SPICE-accurate simulation, which is unthinkable with
analyze at the block level.
precision circuit analysis (PCA) tools that provide uncompromising traditional tools, even when the embedded digital logic is co-simulated
Complex blocks often contain tens of thousands of elements.
accuracy, 5x to 30x higher performance, 5x to 30x higher capacity with a digital hardware description language (HDL) simulator. At
These blocks can be highly non-linear, sensitive to device noise
and advanced functionality for nanometer physical effects. The The Need for True SPICE Accuracy and parasitics, and subject other nanometer physical effects. Even this point, it is also important to verify high-frequency or highly
resulting precision verification methodologies reduce the AMS/RF True SPICE accuracy is critical for verifying transistor-level AMS/RF minor simulation inaccuracies can produce results that are not only sensitive interface circuits while including the package effects. Since
design cycle by 30 to 40 percent and enable verification that was circuits — especially those implemented in nanometer-scale CMOS quantitatively inaccurate, but actually functionally incorrect. In fact, this requires performance simulation with package models (including
previously impractical or impossible. or operating at GHz frequencies. Inaccuracies of even 1 percent can it may be desirable or necessary to simulate complex blocks with s-parameters and inductors), which is impractical or impossible with
have a substantial impact on measured specifications and can even tighter-than-the-default SPICE tolerances, for example, to get an today’s simulators, designers must create simplified models or margin
AMS/RF Verification Methodology Challenges produce waveforms with qualitatively incorrect behavior. For example, acceptable simulation result for the circuit’s dynamic range. Doing the circuit.
Verification takes center stage throughout AMS/RF design. Figure 1 only 1 percent simulator inaccuracy can add 20dB to the signal-to- so can cause traditional SPICE convergence failure or impose serious
illustrates a simplified AMS/RF design methodology and highlights noise ratio of a simulated analog-to-digital converter (ADC). The runtime penalties. Streamlining Methodologies with PCA Tools
key circuit-level verification tasks. The methodology begins with device noise in the same ADC is only 10dB, so the simulation error Designers would like to characterize complex blocks as thoroughly Design teams using traditional AMS/RF verification tools
system designers making architectural decisions based on behavioral completely masks the device noise effects. Likewise, even 1 percent as they would characterize block-level circuits. Such characterization must continuously make tradeoffs throughout their verification
models and ends with full-chip integration. This article focuses on inaccuracy makes post-layout simulation, corner analysis, process should include pre-layout transient simulation, post-layout transient methodology due to tool accuracy, performance, capacity and
common problems in transistor-level AMS/RF verification — the variation analysis and device noise analysis essentially meaningless. simulation, variation analysis (corners analysis and/or Monte Carlo), functional limitations. While abstraction is useful during system
heart of the methodology. “True SPICE accuracy” means identical waveforms to industry- random and deterministic noise analysis, and periodic analysis for design, once the design reaches the transistor level, it is easiest,
10 11 See Verification page 42
X-Series test platform – the FX2 and FX-HS production ramp. In a customer support For additional information, contact:
digital subsystems. Both options enhance collaboration program with Verigy, MVTS Adeline Chiu
the ability of the X-Series to provide cost- added a V93000 port scale radio frequency (T) 780-433-9441 ext. 306
optimized test solutions for the full range of (RF) system to its TAC floor in Carlsbad, (E) achiu@scanimetrics.com
consumer and mobility devices. FX2 doubles California, and is now offering fabless (W) www.scanimetrics.com
the digital pin count per instrument as current companies a low-cost option for developing
FX1 digital subsystems, resulting in a lower products on this platform. Teradyne acquired Eagle Test Systems (ETS)
cost per pin and twice the pin count. FX-HS in November 2008 and added the ETS line to
provides a powerful combination of hardware For additional information, contact: complete its spectrum of semiconductor test
and software features targeted at high-speed Lisa Bruhn products. Teradyne now serves customers in
inputs/outputs (I/Os) in next-generation (T) 760-930-8950 every segment of the market, ranging from
Amkor Technology will introduce a microelectronic release to production interface and reliability test hardware, consumer and mobility devices. (W) www.mvts.com analog IC devices to complex system-on-
next-generation, high-density package- (RTP), microscopy and calibration ESD, burn-in, environmental testing, chip (SOC) devices. Teradyne’s UltraFLEX™
on-package (PoP) technology at IMAPS services. EAG offers over 30 analytical mechanical testing and failure analysis. For additional information, contact: Known as Silicon Valley’s packaging foundry, is used for testing complex SOC devices in
Device Packaging Conference (DPC) techniques and services supported Mark Gallenberger Promex has announced newly expanded the digital, RF and mixed-signal sector; the
March 9-12 in Scottsdale, Arizona. This by a fleet of 150 major instruments For additional information, contact: (T) 781-467-5417 capabilities for its quad flat no-lead (QFN) FLEX™ is used for testing analog application-
technology enables the top-side package across Asia, Europe and the U.S. KT Soon (E) mark_gallenberger@ltx-credence.com over-molded IC assembly. All QFNs specific IC (ASIC) devices in the power, RF
stacking interface to scale with industry With the recent acquisition of Nano (T) 510-687-2482 (W) www.ltx-credence.com are Reduction of Hazardous Substances and automotive sector; and the J750 family
ball grid array (BGA) pitch reductions Integrated Solutions Inc., EAG has (E) ksoon@iselabs.com (RoHS)-compliant and assembled in JEDEC is used for testing low-cost consumer devices,
by creating interconnect through the taken an important step in creating (W) www.iselabs.com MASER Engineering offers semiconductor standard or custom formats to accommodate including microcontrollers, liquid crystal
mold cap of the bottom package. a complete turnkey service offering. companies board-level reliability (BLR) system-in-package (SiP), stacked die, display (LCD) and image sensors. Nextest’s
Amkor has named this new interconnect EAG’s services include automated test Magma Design Automation, tests for evaluation of solder interconnects microelectromechanical systems (MEMS) Magnum is used for testing Flash memory and
technology Through-Mold Via (TMV™), equipment (ATE) test services; test a provider of chip design in their advanced, very thin BGA and chip or thin-molded versions. Passives for SiP low-speed dynamic random access memory
with the following promotions planned development and engineering; certified software, licensed its automatic size packages (CSP). Recent investments are placed using in-house automated surface (DRAM) probe. Teradyne’s recently added
at DPC: a presentation at IMAPS test training; tester time; reliability test pattern generation (ATPG) in mechanical reliability test approaches
mount technology (SMT) equipment. The ETS line tests analog IC devices, including
Global Business Council conference and environmental dualification; technology to LogicVision, are now paying off. Joint Electron Device
company has also announced it will provide power, linear, sensors and discretes.
(March 9) regarding the supply chain electrostatic discharge (ESD) and latch- a leading provider of Engineering Council (JEDEC) drop tests
copper wire bonding and additional fine-
collaborations instrumental in the up characterization; printed circuit semiconductor built-in-self- with qualified, approved equipment by major
pitch gold wire bonding capacity. Promex is a For additional information, contact:
development of TMV™ and through- board (PCB) layout and hardware test (BIST) and diagnostic mobile phone manufacturers and a new in-
recognized leader in materials-centric custom Jessica Faulkner
silicon via technologies; a exhibit booth design; failure analysis; focused ion beam solutions. The agreement house developed PCB bending test tool result
IC packaging and plastic, ceramic and over- (T) 978-370-1437
(March 10-11) where samples and experts (FIB) circuit modifications; electron enables LogicVision to in a fast reliability assessment of advanced
molded plastic IC assembly. The company (E) jessica.faulkner@teradyne.com
will be available to explain this new microscopy; materials characterization; accelerate the expansion of its packages. Linear ramp temperature cycling
PoP technology; and a technical paper surface analysis; and equipment product portfolio and provide provides same day quick turns, development (W) www.teradyne.com/std
for BLR tests is also available. Reliable solder
(March 12) concerning the development calibration and repair services. customers with comprehensive prototyping, fast track product introductions
interconnects in handheld electronics are of
and qualification of TMV™ technology. design-for-test (DFT) and beta manufacturing through pre-Asia Verigy, a premier semiconductor test
great importance for product quality and
With new high-density memory For additional information, contact: capabilities that improve customer satisfaction. MASER Engineering’s volume production. company, announced that Winbond
architectures emerging in handheld Aram Sarkissian test quality and reduce test and analysis facilities can provide stress Electronics, a leading Flash memory supplier,
multimedia applications including low- (T) 408-524-0146 turnaround time and analysis of products and report detailed For additional information, contact: purchased multiple Verigy V5400 Flash
power Double Data Rate 2 (DDR2), (E) aram@eaglabs.com costs of nanometer ICs. A defect analysis of the material properties. (T) 408-496-0222 memory testers for its Taichung, Taiwan
the industry has been looking for a next- (W) www.eaglabs.com separate agreement was also (E) pughc@promex-ind.com facility. Winbond will use the systems for
generation PoP technology. Amkor’s signed that allows Magma For additional information, contact: (W) www.promex-ind.com wafer sort testing of its SpiFlash™ serial
TMV™ technology has received strong ISE Labs, an ASE company, offers to distribute LogicVision Kees Revenberg Flash memories which feature the Serial
support during the development phase customers unique access to world-class products to its strategic customers. (T) 31-53-480-26-81 Scanimetrics and NXP completed a successful Peripheral Interface (SPI) and are used in
from both IC suppliers and handset original packaging and assembly manufacturing LogicVision will develop, market and (E) kees.revenberg@maser.nl product qualification. Scanimetrics integrated PCs, cell phones and other mobile devices.
equipment manufacturers (OEMs). This expertise for prototype, initial and support ATPG and ATPG compression (W) www.maser.nl WiTAP™ into an NXP SiP product. Because Winbond selected the V5400 over other
builds on the success (awards and market low-volume production. By using the solutions based on advanced technologies even automation is unable to reproduce Flash memory testers on the market because
leadership) Amkor has enjoyed through the same facility for full-scale, high-volume developed by Magma. The companies will Founded in 1994, MVTS Technologies is chips perfectly every time, Scanimetrics it offers better cost-of-test, and the large
first generation of PoP technologies over production, customers can streamline ensure tight interoperability between the a premier provider of refurbished ATE to has developed the WiTAP™ product to installed base of V5400 testers in Taiwan
the past four years. their product-to-market process and advanced DFT capabilities and Magma’s the semiconductor industry. One of MVTS help reduce costs by isolating defective will allow them to more easily serve their
bypass the qualification process for IC implementation software. Technologies’ goals is to extend ATE longevity components during assembly. This product customers. Winbond already uses the Verigy
For additional information, contact: production parts. by providing access to “out-of-production” demonstration qualifies Scanimetrics’ V5000e engineering workstation, also part
Lee Smith ISA Labs provides the most For additional information, contact: equipment, spare parts, upgrades and technology and shows its innovation as of the V5000 series, which allows them to
(T) 480-821-2408 ext. 5381 comprehensive set of back-end products (T) 408-453-0146 services. MVTS also has an unprecedented compelling. This will facilitate Scanimetrics’ transfer existing test programs to the V5400
(E) lsmit@amkor.com and services, encompassing engineering, (E) info@logicvision.com model of partnering with OEMs such as promotion and sale of its product to larger systems for high-volume production,
(W) www.amkor.com qualification and full-scale, high-volume (W) www.logicvision.com LTX, Credence, Teradyne and Verigy. In markets. Scanimetrics is actively marketing generating further efficiencies.
production. The company’s full offerings 2008, MVTS opened test applications WiTAP™ applications in set-top boxes,
Evans Analytical Group (EAG) include package design and assembly, LTX-Credence, a global provider of centers (TACs) in three locations, providing Bluetooth devices, Global Positioning For additional information, contact:
is a leading provider of surface test engineering support, production test focused, cost-optimized ATE solutions, services including test program development, System (GPS), medical sensors, military (T) 408-864-2900
analysis, materials characterization, services, test program development, test added two new FX digital options for its full product characterization and initial devices, multi-processors and satellites. (W) www.verigy.com ▪
12 13
leads to the selection of a super-heterodyne scheme with a high with a 32-bit icyflex2 DSP/microcontroller, and is integrated in Tower
intermediate frequency. This approach enables the reduction of Semiconductor’s 0.18-micron CMOS image sensor (CIS) process.
power consumption in the critical high-frequency blocks such as It enables a single-chip vision system to perform image acquisition,
Design Challenges for Low-Power, Mixed- the low-noise amplifier (LNA), the first down-conversion mixer analysis and decision making; however, a number of design challenges
need to be overcome at the pixel and processor levels.
and the voltage-controlled oscillator (VCO). In addition, even the
Signal CMOS SOCs high-frequency blocks are designed to operate in a weak inversion
regime (also known as a sub-threshold regime and generally used
▪ Sensor Level: A high intra-scene dynamic range and adequate
data representation is achieved at the expense of a more
for low-frequency analog blocks) whenever possible, clearly complex pixel design in comparison to standard image sensors.
demonstrating that RF performance is achievable in 0.18-micron Each pixel incorporates a comparator and 10-bit memory to
CMOS even with very low-current biasing conditions. measure the time taken to integrate the local photo current over
Dr. Vincent Peiris, Section Head, RF and Analog IC Design, Microelectronics, CSEM ▪ SOC Level: In addition to the radio, all other parts of the circuit a fixed voltage range and store a digital code proportional to the
Pierre-François Rüedi, Project Manager, Sensory Information Processing, Microelectronics, CSEM need to yield ultra low-power characteristics. In particular, the logarithm of the duration. Packing photo-current integration
Dr. Dragan Manic, Section Head, Industrialization and Production, Microelectronics, CSEM SOC is operated by a digital system whose static and dynamic nodes together with digital signals toggling during photo-
Simon Gray, Head of Business Acquisition, Microelectronics, CSEM power consumption must be in line with the global 25μA current integration in a single pixel requires thorough analysis
average target. A major issue is the non-negligible leakage and minimization of parasitic couplings between nodes. The
current for large digital blocks in deep-submicron CMOS, result is a 132dB intra-scene dynamic range logarithmically
which is proportional to the area. The digital section occupies a encoded on a 10-bit word with 149 steps per decade while
he design of a system-on-chip (SOC) in deep-submicron Figure 1. An Ultra Low-Power, Low-Voltage (1V) RF SOC
achieving a fixed pattern noise of 0.51 least significant bits
large part of the IC, which is mainly attributed to the 22kB of
T CMOS is a challenging task for an IC designer because a variety
of analog, digital, mixed-signal and radio frequency (RF) blocks
must be embedded on a single die and function smoothly together. The
on-chip SRAM. To achieve below 3μA of static current (when
the SOC is in sleep mode), a dedicated SRAM cell is designed
and enables an order of magnitude savings on the leakage
(LSB). This architecture lowers processing power requirements.
First, the dynamic range is achieved without any adaptation to
illumination changes. Second, the constant transfer function
design challenge gets even more complex when it comes to achieving current thanks to an innovative bulk-biasing scheme. The over the whole dynamic range means that the image processing
ultra low-power capability for applications such as wireless sensor processor’s dynamic current consumption, which is directly is independent of the illumination level. Third, the logarithmic
networks (WSNs), which translates into low-current consumption related to the clock frequency, is also an issue that is addressed encoding enables the computation of a contrast representation
from supplies sometimes as low as 1V. For other applications such as with the design of a scalable-frequency CoolRISC processor. (i.e., the relative illumination change between neighboring
machine vision, the challenge consists in packing a maximum amount The latter can be clocked at 6.4MHz for operations needing pixels) by simple subtractions. As contrast is independent of
of functionality within the SOC to benefit from miniaturization speed and as low as 32kHz for low power. With this approach, the illumination level, it enables the stable representation of the
while achieving improved speed at low-power levels. power consumption in the digital part of the SOC scales with visual field even in uncontrolled illumination conditions.
This article addresses some of the design challenges for such SOCs
through three selected cases. First, an ultra low-power, 0.18-micron RF
50μA per MHz, which is significantly lower than for solutions ▪ Processing Level: The icyflex processor3 is optimized for low-
using an external off-the-shelf microprocessor. voltage (1V), low-power applications; therefore, to satisfy the
SOC targeting WSNs is presented. It includes a 2.5mA dual-band RF
transceiver, a 50μA/MHz reduced instruction set computer (RISC) An ultra low-power RF SOC in 0.18-micron is shown on the left and segmented to show the RF ▪ CMOS Foundry Level: Designing a SOC in a standard requirements associated with image processing, it is necessary
section, the digital section including SRAM (DIG), the analog sensor interface (ANA) and the power CMOS process is mandatory to reduce wafer costs because no to act at several levels. First, the digital supply voltage is raised
microprocessor, a sensor acquisition chain and a power management management unit (POW). On the right, a view of the WSN nodes built using the RF SOC.
to 1.8V, and the processor is optimized to achieve a 50MHz
additional process options are used. On the other hand, there
unit – all operating from a 1V supply. Second, a 0.18-micron SOC clock frequency. Second, contrast computation is performed on
The SOC in Figure 1 is integrated in a standard digital is the challenge of designing high-performance RF and analog
for low-power machine vision is highlighted, integrating an ultra- the fly, on eight pixels in parallel, and during the transfer of
0.18-micron CMOS process from TSMC. Its RF section features an blocks using baseline MOS and metallization features. For this
high dynamic range quarter video graphics array (QVGA) pixel array data from the pixel array to the processor’s memory. Third, the
ultra low-power, dual-band 433MHz/868MHz transceiver for short- RF SOC, a dedicated library of RF devices is developed and
with a 50MHz 32-bit digital signal processor (DSP) and yielding a icyflex processor’s data processing unit is complemented by a
range connectivity in industrial-scientific-medical/short-range-device modeled, and uses only available metal layers for the inductors
power consumption of 80mW. Third, an insight into the potential (no thick metal option), fringe capacitors and MOS device graphical processing unit (GPU) tailored for vision algorithms
of microelectromechanical systems (MEMS) SOCs will be provided, (ISM/SRD) bands. The transceiver operates with 25kb/s frequency
for the varicaps (no mixed-signal or RF options). In addition, able to perform simple arithmetic operations on 8- or 16-bit data
focusing on next-generation, miniature and low-power 2.4GHz radio shift keying (FSK) or 2kb/s on-off-keyed (OOK) modulations. The
clever analog design techniques taking into account the poor grouped in a 64-bit word. Fourth, vision applications’ memory
SOCs combining RF MEMS with CMOS. SOC also embeds a sensor interface with a signal conditioner; a 10-
characteristics of the baseline CMOS process’ MOS devices are requirements are difficult to satisfy with on-chip memory
bit, 10kHz analog-to-digital converter (ADC); and a 16-bit, sigma-
used to compensate for process tolerances. With this approach, alone. Therefore, in addition to an on-chip 128KB SRAM used
An Ultra Low-Power 1V RF SOC delta, low-frequency ADC. The digital control unit is based on a
the RF SOC could be successfully integrated in other foundries as program and data memory, a 100MHz SDRAM interface is
Many RF SOCs have been developed in the last decade, most low-power, 8-bit CoolRISC microcontroller with 22kB low-leakage
without RF and analog performance degradation, and the implemented. To maximize flexibility of use and connectivity,
supporting mobile phones and connectivity solutions such as SRAM. A power management block unit is also included to generate
intellectual property (IP) is portable without change. different communication interfaces are also implemented.
Bluetooth or Wi-Fi. These SOCs draw fairly important currents the necessary internal and external power supplies from the 1V to
(ranging from a few tens to a few hundreds of mA) from fairly high 1.5V battery voltage. A Low-Power Vision SOC
Figure 2. A Low-Power Vision SOC

2V to 3V supplies in such a way that the battery must be recharged The main challenge for this RF SOC is to achieve low-power
Visual scene analysis involves processing large amounts of data.
every few hours or days. consumption for multi-year autonomy. For this purpose, the low-
Furthermore, combining real-time capabilities with robust
In applications such as WSNs, ultra low-power consumption is power requirements must be analyzed for all blocks of the SOC and
performance, even in environments with changing illumination
mandatory to sustain applications that can run several years without at all levels.
conditions, is a challenging task. Key to fulfilling these challenges is
changing or recharging their batteries. Because many nodes are ▪ Radio Level: The RF SOC achieves 2.5mA in receive mode achieving a high intra-scene dynamic range of the optical front-end
deployed in a WSN, low cost is also a key issue, which implies using under 1V internal supply (hence with a very low 2.5mW and adequate data representation independent from the illumination
cheap alkaline batteries and designing an RF SOC that can be operated power budget in active mode). With 1 percent duty cycling, level. The SOC approach offers the opportunity to closely develop the
from supplies as low as 1V – the end-of-life voltage for such batteries. which is affordable for a WSN, it is possible to reach around sensor, the processing means, tools and software to globally optimize
An example of an ultra low-power, low-voltage (1V) RF SOC 25μA average current consumption, yielding roughly five years the system, maximize robustness and processing speed, and minimize
used in a WSN1 and in a home automation application is illustrated of autonomy from a single AA alkaline battery. To achieve this cost and power consumption. A low-power vision SOC in a 0.18-micron process is shown on the left. On the right, a miniaturized
in Figure 1. level, a thorough analysis of RF architectures is conducted and The SOC illustrated in Figure 2 incorporates a 320x240 pixel array low-power machine vision camera embedding the vision SOC.

14 15 See Challenges page 43


Bristlecone is recognized for its Dolphin Integration focuses on the design range digital cameras used in professional For additional information, contact: entire enterprise to drive real bottom-line
semiconductor and high-tech industry of virtual components of IP to enable low- photography or digital cinematography, and Ashraf K. Takla improvements.
heritage in providing business technology power SOCs for large-volume applications. in the medical, biotech, scientific, satellite (T) 408-942-9300
services. The company’s services include The latest innovation in its libraries, and defense industries. (E) akt@mixel.com For additional information, contact:
SAP R/3 enterprise resource planning Haumea, enriches advanced process nodes (W) www.mixel.com (T) 800-633-0738
(ERP), supply chain management (SCM), with embedded memories, offering the best For additional information, contact: (W) www.oracle.com/industries/semiconductor
mix of consumption and density (BCD). Mel Stinebaugh Outsourcing to global markets has created a
supplier relationship management (SRM)
Haumea combines cost reduction and ease (E) info@forzasilicon.com proliferation of counterfeit and gray market Sidense provides secure, low-cost, dense and
and business intelligence. Leveraging dozens
of P&R with a unique poly/triple-metal (W) www.forzasilicon.com activity. To combat this problem, New reliable non-volatile one-time programmable
of semiconductor customer successes, Momentum’s latest major release of brand (OTP) memory IP for use in standard logic
(1P3M) occupancy.
Bristlecone has recently released its latest High-resolution embedded codecs for protection software, based on the latest CMOS processes with no additional masks
innovation through delivering a business Intelop, a leading high-end IP developer and
2009 will feature Helium with its 93dB customization and electronic engineering Microsoft .NET 3.5 technologies, makes it or process steps. Sidense’s patented one-
technology platform that its semiconductor digital-to-analog converter (DAC) below faster and easier to identify counterfeits and transistor 1T-Fuse™ architecture provides
design services provider, released its second-
clients will benefit from for years to come. 10mW – dedicated to portable audio unauthorized distributors. the industry’s smallest footprint and lowest
generation Transmission Control Protocol
Now, establishing a core systems platform applications. Aiming at offering the market Semiconductor companies need power logic NVM solution.
(TCP) offload engine SOC solution integrated
does not have to be a significant hurdle in the longest playback capability, Helium is comprehensive visibility into the global, Sidense’s foundry-friendly OTP memory
with an Address Resolution Protocol (ARP)
the growth and management of a company. optimized for low power. open market to combat counterfeits. IP is available at 180nm, 130nm, 90nm
hardware module, a Gigabit Ethernet Media To stop the erosion of their brand and and 65nm, and is scalable to 40nm and
Architected as an all-in-one solution,
For additional information, contact: Access Controller (GEMAC) and an Advanced revenues, rapid 24/7 real-time access to this below. The IP is available at TSMC, UMC,
semiconductor clients can now scale up Microcontroller Bus Architecture (AMBA)
and down its systems backbone with Elsa Bernard-Moulin information is required. New Momentum’s Chartered, SMIC, Fujitsu, IBM, Vanguard
(E) ragtime@dolphin-integration.com 2.0 bus interface running at 2Gbps sustained technology empowers manufacturers to and Tower. Customers use Sidense’s
market conditions, switching a traditionally rates. It is the only module that integrates so
(W) www.dolphin-integration.com monitor and analyze counterfeits and gray OTP for analog trimming, code storage,
cumbersome capital investment into an agile many functions in hardware, and is a new market infringements across a wider variety encryption keys such as High-Bandwidth
technology service expense. paradigm in networking hardware acceleration.
Helene Colin of global, open market sources. Digital Content Protection (HDCP), radio
(E) jazz@dolphin-integration.com The solution implements control plane and frequency identification (RFID) and chip
For additional information, contact: (W) www.dolphin-integration.com data plane processing of TCP/IP in hardware For additional information, contact: ID, medical, automotive, and configurable
Santhosh Kumar that is at least 20 times faster than a TCP/ Chris Jensen processors and logic.
(E) semiconductor@bcone.com eMemory provides non-volatile memory IP software stack. In addition, it optionally (T) 714-803-1432
(W) www.bcone.com (NVM) IP and technology development provides the ability to integrate other interfaces (E) cjensen@newmo.com For additional information, contact:
services based on over 220 patents. Only (e.g., Peripheral Component Interconnect (W) www.newmo.com Jim Lipman
Cosmic Circuits is a leading provider of using existing core and input/output (I/O) Express (PCIe)x4). Intelop designed, verified (T) 925-606-1370
differentiated analog/mixed-signal silicon devices for IP design, Neobit technology and implemented this SOC in Altera’s high- Oracle provides industry-leading business (E) jim@sidense.com
intellectual property (IP) for system-on- is widely adapted from 0.70μm to 65nm speed field-programmable gate array (FPGA). solutions for the semiconductor industry (W) www.sidense.com
chip (SOC) integration. Quality is ensured generations. It can be applied in logic, low based on best-of-breed applications,
through full silicon qualifications, and an power, mixed mode, radio frequency (RF), For additional information, contact: middleware and open, standards-based Virage Logic extended its comprehensive
high voltage, Bipolar-CMOS-DMOS Kevin Moore technology. Oracle’s supply chain solution double data rate (DDR) interface IP
evolved design methodology for flexible
(BCD), SiGe and other derivatives. There (T) 408-496-0333 helps semiconductor companies achieve portfolio with two new products. For high-
customization is in place. The company’s
are now more than one million 8-inch (E) kevnm@intelop.com accurate consensus forecasts, optimized performance market applications, Intelli™
WiMo analog front-end platform for wireless inventory leveling and postponement DDR3 System Aware IP™ has been system-
production wafers shipped with Neobit and (W) www.intelop.com
multiple input, multiple output (MIMO) strategies, faster planning cycles and superior validated at speeds in excess of 1.6 gigabits/
NeoFlash IP. The accumulated new tapeout
Wi-Fi/Worldwide Interoperability for (NTO) is larger than 680, and for verified on-time delivery. second on a 65nm process. Intelli DDR3 is
Microwave Access (WiMAX) is now silicon- Norman Meritt
IP, it is more than 400. These numbers reveal The company’s semiconductor solution an end-to-end solution including a dynamic
proven in multiple flavors of 65nm/90nm (T) 408-496-0333
great production records and manufacturing can improve the predictability and timeliness random access memory (DRAM) memory
(E) info@intelop.com
nodes from top-ranking foundries. Its maturity to provide added value for various of demand signals. It delivers a single holistic controller, I/O and the industry’s first
(W) www.intelop.com
power-on-SOC family, power regulators in product applications. supply chain plan that provides both long- commercially available all-digital physical
130nm/90nm, has been expanded to 65nm range aggregate planning across foundries, layer + dynamic link library (PHY+DLL).
Mixel, the leader in mobile mixed-signal IP, assembly and test, as well as short-term For mobile and portable markets, Intelli
(silicon-tested switching/linear regulators). For additional information, contact:
and Northwest Logic, a leading provider of detailed scheduling. Oracle enables lead-time LPDDR2 low-power memory interface
In addition, new Mobile Industry Processor (T) 886-3-563-1616
(E) neobit@ememory.com.tw high-performance digital IP cores, released reduction while reducing inventory through IP meets the latest Joint Electron Device
Interface (MIPI) D-PHY mixed-signal core- a complete solution for the MIPI Camera
on-silicon is now offered for both transmit (W) www.ememory.com.tw the optimum use of die banking or other Engineering Council (JEDEC) LPDDR2
Serial Interface-2 (CSI-2). This solution inventory postponement strategies, resulting specification, and delivers optimal
and receive. Also, high-performance audio consists of the Mixel MIPI D-PHY,
During the 2009 IS&T/SPIE Electronic in higher levels of customer responsiveness. performance at the power and area required
platforms including class-D amplifiers optimized for low-power operation and
Imaging Science and Technology Oracle delivers complete lot-based genealogy for a broad range of high-performance
(90nm silicon) have recently been small silicon footprint, and the Northwest to track wafer and die through multiple portable devices, enabling video, graphics
Symposium in January, Forza Silicon held
introduced. Logic MIPI CSI-2 controller core, delivered suppliers across fabrication, sorting, assembly and other consumer applications.
a joint presentation with Japan Broadcasting
Company NHK on video capturing as silicon IP. The complete solution fully and test, enabling transparency across the
For additional information, contact: equipment using FORZ33. FORZ33 allows conforms to the latest MIPI specification. extended semiconductor supply chain. The For additional information, contact:
Krishnan Ramabadran frame rates up to 60fps at full 33.2 mega- Working together, the companies provide company enables new product introduction Tarah Hartzler
(T) 91-80-40526200 pixel resolution and features 12-bit, on-chip customers with a complete, low-risk, and release planning along with operational (T) 503-546-1014
and financial performance management (E) tarah@mcbru.com

(E) krishnan@cosmiccircuits.com ADCs. FORZ33 technology is targeted at low-power, low gate-count, full-featured,
(W) www.cosmiccircuits.com high-resolution, high-speed, high-dynamic differentiated MIPI solution. analytics, providing visibility across the (W) www.viragelogic.com
features that are unnecessary. For example, routers in high-end tools Bluetooth transceiver was developed in 0.35-micron CMOS. More
contain algorithms to calculate for the effects of wire length on the recently, when a Danish start-up was developing the next generation
speed of circuits. However, these calculations are unnecessary for of signal-conditioning ASICs to fit inside microelectromechanical
0.35-micron and older processes where wire length is less critical than systems (MEMS) microphones for portable devices such as mobile
gate speed in determining the speed of operation. phones and digital cameras, they did not choose 90-nanometer
Furthermore, many of the older processes use fewer metal layers or 65-nanometer as one might expect for such high-volume, cost-
Away from the Bleeding Edge Life is Good than the leading-edge processes in use today. Four levels of metal or sensitive applications. As the ASIC needed to offer high sensitivity,
fewer are commonplace in 0.35-micron and older processes. As these signal-to-noise performance and a digital output via an on-chip
older processes offer support for relatively high-voltage operation, analog-to-digital (A/D) converter, the advanced analog design was
unlike the 0.25-micron and 0.18-micron processes, they are common implemented entirely in 0.35-micron CMOS. The low wafer cost
Paul Double, Founder and Managing Director, EDA Solutions choices for mixed-signal designs where analog accuracy is important. coupled with excellent yield ensured the device offered sufficiently
Costs and risks in mask and wafer production can be further low pricing for the high-volume application.
reduced by using MPW services from companies such as MOSIS. Low-cost layout tools, such as Tanner EDA’s L-Edit, which was
o look at the many articles on rising IC design costs, one would As each new process node takes off, SICAS’ statistics show that Where small quantities of chips are needed for evaluation, or for small used extensively in both the above designs, support autorouting for

T think only a smattering of heavily funded start-ups and rich


systems companies could ever afford to do application-specific
IC (ASIC) design. Figures such as a $1 million plus to buy one mask
some older capacity is shut down. But chipmakers have chosen
to maintain substantial capacity even for older 0.80-micron and
1.0-micron processes. And these lines have significant utilization. In
production runs, several designs are incorporated into a single mask
set, as shown in Figure 2, with individual customers only paying for
the actual die area their devices occupy. Customers typically order 40
devices for evaluation before going to the expense of a dedicated mask
up to three layers for digital designs and provide full support for
analog designs, allowing engineers to move into mixed-signal chip
design on mature processes cost effectively and easily.
On the analog side of the design, low-cost tools bring many of
set and $10 million to complete an IC design clearly position ASIC the last available report, even lines running processes with geometries
design as only a rich person’s game. However, these figures are only larger than 0.70-micron demonstrated utilization around 80 percent. set, but up to 1,000 devices of any one design can be produced in a the benefits that high-end tools offer without unnecessary features.
for leading-edge processes that are cost-justified by the ability to This is profitable for fab owners and not at a level where it is too single MPW run. Costs are only a fraction of those needed for single- Low-cost tools are often easier to learn because they do not place
integrate tens of millions of transistors onto one die. But for analog scarce to be cost-effective for users. device dedicated masks sets and wafers, and customers only pay for advanced features in the way. However, this does not mean they do
and mixed-signal ICs, the picture can be very different, as is explained Nearly half of the 8-inch wafer equivalents shipped today is on the proportion of the wafer that their devices occupy. not have the ability to support the engineer. In any tool flow, it is
in the remainder of this article. processes that were introduced more than five years ago. Out of the 24 important to have built-in support for custom automation. This can
Figure 2. A MPW Reticle
Despite the hype about rising costs, for many projects, ASIC million wafers shipped in the third quarter of 2008, more than 9.45 bring engineering time and cost savings on designs that repeatedly
design and production has not become more expensive over the last million were on 0.13-micron or older processes. Indeed, wafer production reuse common features and circuit types. Mixed-signal designers will
10 years, but has actually gotten cheaper – to the point that many capacity on some of the cheapest processes, such as 0.50-micron and often design similar circuits for different ICs or different cores for
people who previously believed field-programmable gate arrays 0.70-micron, outstrips the popular 0.18-micron process capacity. A the same IC such as phase-locked loops (PLLs) that drive different
(FPGAs) or structured ASICs were their only options are finding that 12-quarter summary of SICAS’ data showing the relative capacities for digital bocks. Laying them out polygon by polygon each time is a
the dedicated ASIC approach is more cost-effective, especially where various ASIC technologies is illustrated in Figure 1. tedious process. Luckily, low-cost tools still provide scripting and
the system requires analog. The key is to choose the appropriate programming interfaces that are equally as effective as those found in
Figure 1. Wafer Capacity by Process Geometry
design tools and process, taking advantage of its maturity to deliver high-end, mixed-signal tools.
cost savings. MOS Capacity by Dimensions >=0.7um For example, many IC designers have used the macro programming
Mask costs seem to go up all the time, but that is only true 2400.0 <0.7um >=0.4um interfaces in L-Edit to implement libraries of functions that automate
across process nodes, and most of the increase is associated with the 2000.0 <0.4um >=0.3um
the job of generating multi-fingered transistors, such as those shown in
WSpWx1000

need for enhanced mask techniques associated with sub-wavelength 1600.0 <0.3um >=0.2um
Figure 4, and other complex shapes that would take a long time to draw
lithography for sub-0.13-micron processes. by hand. T-cells, being object-oriented, make it possible to build complex
1200.0 <0.2um >=0.16um
In fact, mask costs do fall over time. Mask makers obtain more hierarchies of circuit elements that can be parameterized and generated
800.0 <0.16um >=0.12um
experience with processes as time goes on, resulting in cheaper masks quickly before being tuned by the experienced hand of the designer.
for a given process. Most of the savings are made in the first few years, 400.0 <0.12um
Source: MOSIS Figure 4. A MOSFET Layout Auto Generated by a Parameterizable Cell
but it is commonplace for mask-making costs to continue to fall in 0.0 <0.12um >=0.08um
4Q 1Q 2Q 3Q 4Q 1Q 2Q 3Q 4Q 1Q 2Q 3Q
subsequent years. 05 06 06 06 06 07 07 07 07 08 08 08 <0.08um Most major foundries, including IBM and X-FAB, support MPW
Although billions of dollars go into expanding fab capacity at the Source: SICAS services. A variation on this theme offered by X-FAB is for several
leading edge every year, many chipmakers still have acres of silicon mask levels to be drawn on the same carrier. Figure 3 shows four
going through fab lines that are five, 10 or even 20 years old. These As well as production costs, design costs for those working on older layers on each mask, cutting mask costs by 75 percent.
fabs cost comparatively little to run and have the benefit of being fully processes have improved, particularly for those working on mixed-
depreciated. This means that the fab owner is no longer paying for signal flows. Most of the risk in analog IC design lies in uncertainty. Figure 3. The Multi-Layer Mask (MLM) Approach from X-FAB
fab equipment. Most fab owners depreciate or write-off the purchase When processes are first rolled out, they offer a moving target to the
cost of their equipment over a five-year period. In effect, once this analog engineer. Key process parameters may shift dramatically from
five-year period is over, the equipment has been paid for. All the fab batch to batch as the engineers tweak settings to improve overall
owner needs to take account of are the running costs such as staffing yield. This makes it difficult to model analog circuits. However, once
and materials. the process has bedded in, the foundry can make available accurate
Many companies take advantage of these older processes to make libraries that reflect the behavior that engineers will see in the real
a wide variety of devices. The industry statistics body Semiconductor silicon, reducing design risk. These improvements are realized in
International Capacity Statistics (SICAS) provides information on foundry-specific process design kits (PDKs). These are offered by
the available capacity for each process node and its utilization since foundries, multi-project wafer (MPW) service providers and tool
1994. The capacity is measured in terms of 8-inch wafer equivalents. vendors alike, and the more they are used, the better they become. When it comes to stitching together the various blocks of a chip
This makes it easier to compare the capacity available on older mixed- The use of older processes brings tool costs down too. There is no design during top-level chip assembly, it is important to have an
signal processes that may use 4-inch or 6-inch wafers instead of the need to buy expensive leading-edge design tools intended for advanced It’s important to remember that neither older processes nor MPW effective full-custom layout tool. But it does not have to be a dedicated
mainstream 8-inch and, increasingly important, 12-inch wafers. 45-nanometer system-on-chip (SOC) design, as they contain many services inhibit leading-edge analog design. The first single-chip high-end tool. There are cost-effective, full-custom layout tools
18 19 See Bleeding Edge page 44
$5.9 billion – Revenue generated by India’s chip market in $503 million raised in Q4 2007. – IVC Research Center
2008. India’s chip market is expected to reach $7.59 billion
in 2010. – ISA-Frost & Sullivan Report Update $780 million – Amount of funding that Israeli VCs invested
in Israeli high-tech companies in 2008, which accounted
30% to 35% – Projected growth of India’s air conditioning for 38% of the total amount invested in Israeli high-tech
(AC) market in the next few years. – RNCOS companies. In Q4 2008, Israeli VCs invested $151 million in
Israeli high-tech companies. – IVC Research Center
$2.53 billion – India’s total available market (TAM) for
2008. India’s TAM is anticipated to reach $3.24 billion 5 – Number of semiconductor fabs that Israel presently
in 2010 with a CAGR of 13.1%. – ISA-Frost & Sullivan maintains. Intel has three fabs and Tower Semiconductor
Report Update maintains two. – Invest in Israel

EUROPE, THE MIDDLE EAST AND AFRICA NORTH AMERICA


(EMEA)
$262.5 million – Amount of semiconductor funding
$247.7 million – EDA products and services revenue captured by 36 North American companies in Q4 2008.
generated by Western Europe in Q3 2008, a 12.9% YoY – PricewaterhouseCoopers (PwC), Thomas Reuters, National
ASIA decrease. – EDAC Venture Capital Association (NVCA)
640 million – Number of mobile users in China at the end 0.95 in September 2008. – Semiconductor Equipment >$5 billion – Amount of funding granted to the Russian State 1.6% – Forecasted contraction of the U.S. economy in 2009;
of 2008. – Electronics.ca Publications Association of Japan (SEAJ) Corporation of Nanotechnologies (RosNano) to fuel projects however, the U.S. economy is expected to grow 1.6% in
related to nanotechnology development in Russia. – SEMI 2010. – International Monetary Fund (IMF)
$1.5 billion – Fab materials spending by Chinese companies $254.8 million – Revenue generated by Japan in Q3 2008
in 2010, reporting the highest forecasted growth amongst the for electronic design automation (EDA) products and 27% – Percentage of Russian information technology 220 – Number of Silicon Valley companies that raised a total
worldwide markets. – Semiconductor Equipment and Materials services, a 15.3% year-over-year (YoY) decrease. – EDA (IT) companies that cut wages and other compensation in of $1,966.7 million in Q4 2008. – PwC, Thomas Reuters,
International (SEMI) Consortium (EDAC) November 2008. At the beginning of 2009, 47% of Russian NVCA
IT companies are expecting make cuts. – Russian Association
5.8% – Estimated percentage decline of China’s chip $275 million – Value of worldwide orders for semiconductor of Personnel Search Consultants (APSC) 6 – Number of U.S. venture capital-backed initial public
consumption in 2009. The Chinese chip market is expected manufacturing equipment made in Japan in January 2009, offerings (IPOs) in 2008, raising $470.2 million. This is a
to reach $72 billion in 2009, down from $76.5 billion in an 80.1% YoY decrease. – SEAJ 30% to 40% – Predicted decline of Russia’s car market in sharp decrease from 86 IPOs raising $10,326.3 million in
2008. – iSuppli 2009 due to the worsening economic climate. – Autostat 2007. – PwC, Thomas Reuters, NVCA
$5.3 billion – Predicted value of South Korea’s semiconductor
298 million – Number of Internet users in China, which is equipment market in 2008, contracting 28% YoY from $677 million – Amount of funding invested by the Finnish $668.7 million – Value of orders reported by semiconductor
nearly equal to the population of the United States. – China $7.35 billion. – SEMI Funding Agency for Technology and Innovation (Tekes) in equipment manufacturers in North America in December
Internet Network Information Center Finland’s research and development (R&D) and innovation 2008. – SEMI
$6.12 billion – Estimated revenue generated by South projects in 2008. – Tekes
239.1 million units – China handset market in 2009, up Korea’s semiconductor materials market in 2008. This market 19.7% – Percentage decline of American exports in
7.7% from 222.1 million units in 2008. – iSuppli is expected to reach $6.5 billion in South Korea by 2010. 20% – Percentage of commerce that will occur online in Q4 2008, while American imports dropped 15.7%.
– SEMI Britain by 2012. – British Government – U.S. Government
$30 billion – Amount of funding invested by the central Chinese
government by 2020 to generate market growth. – SEMI 52.1% – Korea’s share of the Asia-Pacific thin-film transistor >$1.4 billion – Amount of venture capital investment in UK $555.5 million – Value of EDA products and services
liquid crystal display (TFT LCD) industry in December and Irish high-tech start-ups in 2008, the highest level for purchased by North America in Q3 2008, an 11% YoY
>50% – Predicted share of the global semiconductor market these regions since 2001. – Ascendant decrease. – EDAC
2008. Taiwan, Japan and China followed with 34.6%, 9.1%
accounted for by the Asia-Pacific region by the end of 2012.
and 4.2%, respectively. – DisplaySearch $383 million – Amount of clean technology funding in 5.9 million – Number of employees in the U.S. high-tech
– RNCOS
$29.4 billion – Projected value of Taiwan’s IC production Germany in 2008, a drastic increase of 217% from 2007. industry as of July 2008, the highest level since 2002.
$8.2 billion – Revenue generated by the Asia-Pacific chip Israel saw $247 million invested in clean technology, – American Electronics Association (AeA)
industry in 2009, a 26.9% YoY decrease. – Industrial
market in November 2008, down 23.4% from November an increase of 224%. Germany and Isreal’s significant
Technology Intelligence Service (ITIS) 3.8% – The annual gross domestic product (GDP)
2007 when it met $10.7 billion in sales. Japan’s chip market funding growth is attributed to very large solar deals.
generated $3.63 billion in November 2008, a decrease from – Cleantech Group rate for the U.S. in Q4 2008. – U.S. Government
INDIA
$10.7 billion in November 2007. – World Semiconductor
10% to 12% – Forecasted growth of India’s consumer $2.08 billion – Amount of funding raised by 483 Israeli 4% – Forecasted growth of advanced ceramics
Trade Statistics Organization (WSTS)
electronics industry in the next few years. – RNCOS high-tech companies in 2008, an 18% increase from $1.76 demand in the U.S. in 2012, generating
more than $12 billion in revenue.

$25 billion – Estimated value of Japan’s distribution total billion raised in 2007. – Israeli Venture Capital (IVC) Research
available market (DTAM). – Europartners Consultants 13.4% – Compound annual growth rate (CAGR) Center – Electronics.ca Publications
of India’s semiconductor market from 2008 to 2010.
0.81 – Book-to-bill ratio posted for Japanese-based – India Semiconductor Association (ISA)-Frost & Sullivan 109 – Number of Israeli high-tech companies that raised
semiconductor equipment in October 2008, down from Report Update a total of $394 million in Q4 2008, a 22% decrease from
20 21
complete integration of a “phone on a chip,” using either SiGe essential in defining foundry roadmaps. Superset technology offerings
BiCMOS or advanced RF CMOS nodes (e.g., 65-nanometer). First, reduce development and support overhead for foundries and allow them
the inability of standard CMOS to support high operating power or to pass the reduced costs to customers.
switch isolation needs has prevented a true CMOS front-end module
Developing Diverse Technology Solutions (FEM), while the high-speed and density needs of the digital baseband
prevent implementation in the relatively slower CMOS available in
Table 2. A Representative Superset Offering for RF and Power
Management ICs

SiGe BiCMOS offerings. Second, the best-of-breed requirements of


that Support Multiple Application Areas each subsystem necessitate implementation of the system via two
RF
High-Speed SiGe Optical
Power
Medium-Voltage Driver, Battery Power
or more chips. Depending on the application, the transceivers are NPN Communication, (12V) MOS Management, Line
either being implemented in SiGe BiCMOS or 65-nanometer RF Radar Drivers
CMOS offerings. The cost/performance trade-off for the digital Medium-Voltage Mobile High-Voltage (< LCD Driver, PC Power
signal processor requires a technology shift from 65-nanometer to SiGe NPN Communication, 40V) MOS Control, Power Over
Samir Chaudhry, Manager, Device Modeling, Jazz Semiconductor, a Tower Group Company Precision Analog Ethernet, Class-D
Ramesh Ramchandani, Director, Marketing, Jazz Semiconductor, a Tower Group Company 45-nanometer CMOS. The FEM ICs are predominantly GaAs or Audio, Automotive,
Shye Shapira, Director, RD Power Management Platforms, Tower Semiconductor SiGe Bipolar implementations. Motor Drives
Ofer Tamir, Director, CAD and Design Enablement, Tower Semiconductor Figure 2. Block Schematic of a 3-4G Mobile Communication High-Voltage SiGe FEM (Power Very High- LCD Driver,
System NPN Amplifier), Voltage (60V) Automotive
High-Voltage Analog MOS
nalog-intensive, mixed-signal (AIMS) ICs are defined as chips span multiple columns. For example, cellular transceiver ICs require TRx: SiGe SiGe/65nm CMOS SiGe/CMOS Thin-Gate CMOS High-Speed/Density Thin-Gate CMOS High-Speed/Density

A with a large analog content and a small digital content, and are
designed for applications ranging from precision analog to high-
high speed, low noise, high linearity, good matching, and high-
quality and density passives. This requires a careful evaluation of Switch LNA
Mixer
AGC AA
ADC
Thick-Gate CMOS
CMOS
Low-Speed Digital
CMOS, I/O
Thick-Gate
CMOS
CMOS
Low-Speed Digital
CMOS, I/O

45nm CMOS
Filter
performance radio frequency (RF) transceivers in communication technology features, requiring foundry liaison groups to dig beyond
Triple-Well Isolation Mobile Systems-on- Triple-Well Power Systems-on-a-

Digital Signal Processor


systems. In this article, the process technology needs for AIMS ICs, the marketing material provided by the foundry. This can be Transceiver VCO Analog & MS
a-Chip Isolation Chip
from both the designer and the foundry perspective, are presented. accomplished by evaluating benchmarking circuits, such as low-noise
÷N Reference Clock Deep-Trench Optical Systems-on-
Over the last decade, the technology needs of AIMS ICs have amplifiers (LNAs) and phase-locked loops (PLLs), using the process fref
Tree
Isolation a-Chip
diverged from those of digital ICs. As illustrated in Figure 1, the design kits (PDKs) provided by the foundry. Analog SP
Thick-Film SOI FEM (Switch) “No Mask Consumer Power
AIMS IC technology migration towards advanced nodes (sub-130- For high-risk designs, evaluation circuits should be fabricated and Driver DAC
Adder“ Management

65nm
nanometer) has been slow. Instead, the need for higher performance tested on dedicated or shared silicon runs (“pizza” or multi-project Power
Non-Volatile
Regulators
wafer shuttles). Once the performance needs have been defined, the Control Memory
analog components, such as SiGe bipolars, high-voltage metal- PA
PA & PM Logic

oxide semiconductor field-effect transistors (MOSFETs) and high- cost trade-off optimization needs begin to be addressed. A modular 3,4,5, … Metal 3,4,5, … Metal
foundry offering with capabilities to add/delete modules on/off FEM: GaAs SiGe Layers Layers
performance passives, coupled with the need for lower development
a superset offering will allow the user to truly maximize the cost/ Adapted from1 Thick Top Metal Optical, Mobile RF ICs Thick Top Metal Driver
costs, has necessitated the use of specialty process technologies at Inductor for Current
mature nodes. performance trade-off. For short-lifespan products, prototyping Handling
costs can significantly impact the final cost of an IC. In these cases,
Figure 1. Scaling Trends for AIMS vs. Digital ICs the availability of relatively cheap, shared “pizza” shuttles is critical.
AIMS IC Foundry Perspective 1/2/4 fF MiM Cap. Optical/Mobile 2 fF MiM Caps. Power
Communication,
Given that the path to a production mask set may need two or more From an AIMS IC foundry perspective, a dedicated understanding of Radar, FEM
Analog
iterations, AIMS foundry offerings based off mature nodes will evolving customer needs is an important factor when developing new
Features High-Performance Precision Analog Si NPN High-Voltage Analog
significantly reduce the mask and production expense. technologies. It is apparent from Table 1 that developing technologies PNP
specific to each AIMS application regime is neither practical (e.g., the Low-Value Poly
High High Table 1. Device-Level Performance Needs for AIMS ICs last three rows show that there are practically infinite combinations of Resistor
Precision Analog
Speed Voltage needs) nor a necessity. Instead, a limited number of superset offerings High-Value Poly Optical, Mobile
F.O.M High-
(SiGe) (DMOS) High-Value Poly High-Voltage Drivers,
Speed Gain
Noise Linearity Matching
High
On-Res.
Isolation Quality/ targeting specific device-level performance criteria are sufficient in Resistor Communication,
(Ft) (Fmax) Voltage (TW/SOI) Density Resistor Automotive
enabling products across a wide application spectrum. A representative Radar
Application Passives

Cellular TRx 3 3 3 3 3
superset offering for RF and power management ICs is shown in High-Performance Optical, Mobile
Table 2. Each row represents a modular component that, ideally, can Varactor Communication,
Cellular FEM 3 3 3 Radar
High High be added or removed when “dialing in” a custom process.
Optical Comm.
3 3 3 3 “Free” MOS/Junc. “Free” MOS/
Density Performance Digital Switching In the RF domain, multiple flavors of SiGe bipolars allow integration
Varactor, Parasitic Junc. Varactor,
(Passives) (SOI, MEMS) Foundry Optical Comm.
of diverse functionality on the same chip while allowing custom IC PNP, Lateral Metal Parasitic PNP,
3 3 3 3 3 Communication ICs
Amplifier developers to select a single NPN to reduce cost, should the added Caps, Salicided Poly Lateral Metal
Digital CMOS Power Mgmt. 3 3 3 3 functionality be redundant. The option to eliminate thin-gate CMOS Resistors Caps, Salicided
Poly Resistors
Precision can be exploited in applications where a lower performance MOSFET
>500 350 250 180 130 90 65 45 Analog
3 3 3 3 3 3
can be shared for digital and input/output (I/O) functions. High- The table above illustrates how the same device can be shared across the application space.
Geometry (nm) Other 1 3 3 3 performance/density passives and the ability to choose the number
Other 2 3 3 3 of layers in a metal stack allows design teams to maximize the cost/
AIMS IC Supplier Technology Needs Design Enablement
… performance trade-off. In the power domain, high-voltage MOS devices
From an AIMS IC supplier perspective, end-application needs dictate essentially replace SiGe NPNs to create a parallel superset technology. An often overlooked consideration by design teams while evaluating
the technology selection process. Typically, the foundry group within The level of subsystem integration on a single chip is a critical Other key differentiators in the power offering are non-volatile memory AIMS technology platforms relates to design automation. Design
a design organization evaluates available foundry options based on decision for most design teams. A mobile communication for consumer applications and moderate performance Si bipolar junction enablement tools, including silicon-verified device models and
performance and cost. Key figures of merit for the various classes of system offers a good case study in illustrating the integration vs. transistors (BJTs) for integration of analog functionality. Device reuse flexible design environments, allow IC design teams to test, modify
AIMS ICs are shown in Table 1. For all applications, the requirements specialization trade-off. Two separate factors have prevented the across the diverse application space is also evident from Table 2 and is and improve the functionality and yield of new products long before
22 23 See Solutions page 41
With many years of experience under its belt, Silicon & Software Systems (S3) is a company MHS has established itself as an industry leader within the analog/mixed-signal foundry market
exhibiting wide knowledge of the analog/mixed-signal market. In my interview with James through the acquisition of foundries in Swindon and Nantes. The company’s broad expertise in various
O’Riordan, chief technology officer and vice president of corporate development at S3, we discussed markets has allowed the company to deliver excellent service to its customers. In my interview with
how relationships and extensive experience contribute to successfully delivering analog/mixed- Olivier Brière, marketing director of MHS Electronics, we discussed what has enabled the company to
signal products, who is responsible for improving the supply chain and solving technical challenges, excel in the analog/mixed-signal market, how the company plans to sustain growth and profitability
how to support start-ups during the downturn, the importance of innovation within the electronic in today’s unstable economic climate, how foundries can help fabless companies meet higher quality
design automation (EDA) industry, and creativity as a value add. standards, and the factors that will re-ignite Europe’s semiconductor industry.
- Jodi Shelton, Executive Director, GSA - Jodi Shelton, Executive Director, GSA

JAMES O’RIORDAN DR. OLIVIER BRIÈRE


Chief Technology Officer and VP, Corporate Development, Silicon & Software Systems (S3) Marketing Director, Silicon Foundry Services, MHS Electronics

Q: S3’s primary end market is Q: As consumer demand for devices process and increasing the planning Q: Founded only three years ago, its business through the acquisition integrated device manufacturing
consumer, which brings great success, continues to grow, companies must through the entire process, including MHS Electronics has quickly of foundries from Atmel in France (IDM)-based foundries. During
but also tight market windows. start new product developments the consideration of packaging very established itself as a key player and Zarlink in the UK. How did these extremely difficult economic
Therefore, it is important to deliver quickly, which means mixed-signal early on. However, it seems neither the within the analog/mixed-signal this acquisition strategy position and times, our strategy is to remain
first-time-right intellectual property IP will continue to be increasingly foundries nor the IP or EDA industries foundry market. How has the differentiate MHS Electronics in the focused on delivering superior
(IP). To reduce risk, mixed-signal IP sourced externally. So obviously a need are willing to bear this responsibility. company’s passionate commitment to worldwide foundry services market? technology so customers can use
must be proven in silicon. However, for more high-quality analog/mixed- In your opinion, where do most of these its values and mission contributed our processes to remain competitive
while silicon-proven IP is good, signal third-party IP exists. What issues exist and whose responsibility to its growth and achievements A: Our facilities in both the UK and win business in their respective
integration still remains an issue. standards or processes does S3 currently is it to see these issues resolved? in analog/mixed-signal? and France are similar in that markets. However, the lack of
How can IP vendors ensure stable have in place to guarantee they deliver they are specialist foundries, with visibility in today’s markets has
IP integration (e.g., early access to high-quality IP to their customers? A: At older geometries, the issues A: While it is true that MHS is each delivering high-performance forced us to closely examine our
stable process data from foundries)? described pose less of a problem, as only three years old, our foundries analog technologies. The Nantes cost base to ensure we can survive
A: We have worked with various the processes, models and tools are in Swindon, UK and Nantes, France facility specializes in CMOS and prosper in the future. We
A: To receive accurate information foundries that have programs in place mature. Most of these issues arise were founded in the 1950s and the process technologies, while the have recently started to take the
from your foundry partners, it is very for qualifying third-party IP, which when dealing with new geometries. 1970s, respectively. With such an Swindon facility specializes in necessary steps to reduce costs
important to create close relationships aid the industry in improving quality. The industry should encourage outstanding heritage within major bipolar process technologies. The across the business, which include
with them. However, when it Before these foundries promote any non-technical customers to take semiconductor groups, and proven available process technologies cuts at both manufacturing sites.
comes to integrating mixed-signal IP, they want to see it qualified, which advantage these new geometries. expertise in complex analog/mixed- were broadly based on supporting
IP, especially high-performance IP, includes consideration of it being in One response to this has been the signal products, we have been able high-performance applications Q: The analog/mixed-signal market
such as the IP developed at S3, an IP production. In addition, GSA has rise of fabless application-specific IC to capitalize on our customers’ for the military, space, aerospace, poses challenges for foundries,
vendor that has extensive experience developed the comprehensive Hard (ASIC) companies that can identify challenging product development medical and RF telecommunications including the task of developing a
in integrating such IP into large IP Quality Risk Assessment Tool, technical issues and risks around and industrialization programs. markets. We have broadened our variety of cost-effective, complex
systems-on-chip (SOCs), which are which enables companies to collect using less mature geometries and market applications to support process technologies. What internal
often dominated by noisy digital important information about an help customers make decisions on Q: To continue this growth, does power management and industrial and external resources must a vendor
circuitry, brings a clear advantage to IP vendor, its design methodology the level of risk they are willing MHS plan to expand its foundry controls. Presently, MHS has a broad of analog/mixed-signal services
its customers. As an IP vendor, you and the IP under evaluation to to take, and the fabless ASIC services in the near future, or will portfolio of patents and technology/ possess to experience profitability?
must actively support the customer enable risk assessment. From an IP organizations manage accordingly. you focus on your core offerings? manufacturing expertise that allows
development perspective, we have our customers to design best-in-class A: It is much more difficult to
by advising them on how to avoid Clearly, each party has its own
very well-defined project management A: Our strategy is to continue solutions for their end markets. enter an analog/mixed-signal
issues through proper guard rings responsibilities (e.g., the foundry
and engineering processes, which expanding our specialized market than a pure digital one.
and routing. At S3, we do this is responsible for accurate process foundry services through process
come from many years of analog/ Q: Industry experts forecasted 2008 Best-in-class analog/mixed-signal
by providing detailed integration models, while the SOC team is technology enhancements in
mixed-signal and other IC design to be the year for analog. With so process technologies result from
guidelines, offering support during responsible for power budgets). The our current core technologies
projects. These projects have often many second- and third-tier foundries decades of experience and expertise
integration and encouraging our IP vendor is responsible for ensuring and through acquisitions. Our
been multi-site, and to successfully focusing on the analog/mixed-signal in semiconductor device physics,
customers to involve us in reviewing that the specifications it promotes technology roadmap extends our
execute such complex projects, we space, there is obvious competition complex process integration, and
their final Graphic Design System in its datasheets are accurate and processes in operating voltage and
have developed rigorous processes as as well as plenty of capacity. These product design and application. In
II (GDSII) so we can identify any reflect what the performance will be environmental robustness (e.g.,
identified by our longstanding quality factors, coupled with the economic addition to the necessary internal
potential issues that might impact in silicon. The key issue here is the higher operating temperatures).
accreditations such as ISO 9001. instability in the industry, suggest resource expertise, establishing
the performance of our IP as it need for silicon-proven mixed-signal Concerning acquisitions, we are potential market consolidation. How close relationships with our
is embedded in their design. Q: The fundamental problem GSA circuits, such as high-performance looking at facilities that will provide will MHS position itself in light customers, design house partners
A major advantage for S3 has noted in the analog/mixed-signal analog-to-digital converters (ADCs), us with better geometries for our of this competitive consolidation, and electronic design automation
has been the 20 plus years of IC space is that customers are looking digital-to-analog converters (DACs) radio frequency (RF)/mixed-signal and how has MHS addressed this (EDA) vendors is vital for success.
design service experience that to a key point of responsibility to and phase-locked loops (PLLs), at technologies and with 8-inch volatile global marketplace? Furthermore, creating strong
we have accumulated. Most of develop and strengthen linkages in least at the geometry in question. capacity. We will also continue to research and development (R&D)
this experience has come from the supply chain. Customers are However, when the customer transfer customer-specific process A: We are seeing consolidation in programs with academic partners
SOC projects where we have had looking for someone to address issues demands customization, the link to technologies for second sourcing the market and expect to see more is very beneficial in paving the
the responsibility of integrating such as a lack of accurate models, this silicon-proven model breaks. and obsolescence management. consolidation this year, not only road for further innovation.
various third-party IP and ensuring managing the power budget, over The SOC architect must realize between pure-play foundries, but
performance issues are avoided. customization, choosing the right that to constrain costs and risks, Q: MHS Electronics established between pure-play foundries and Q: In Q4 2008, MHS Electronics’
24 See S3 page 45 25 See MHS Electronics page 44
Via-Configurable Technology4 optimum VCA is a straightforward process of removing all unused
VCAs, such as digital-structured ASICs, have their origin in digital resources. This brings cost down to levels close to full custom, but
Semi-Custom, VIA-Configurable Analog and gate arrays. Gate arrays were first used in production in the late 1970s. maintains via configurability. Finally, if production volumes start
to ramp-up further, it is possible to make a full-custom device by
According to Wikipedia (i.e., in someone’s opinion), “Gate arrays were
extracting and using only the needed cells from the VCA. Figure 3
Mixed-Signal ASICs the predecessor of the more advanced structured ASICs; unlike gate
arrays, structured ASICs tend to include predefined or configurable shows the common price versus volume curve indicating the best
VCA for each stage in the life of an ASIC.
memories and/or analog blocks.” Regardless of whether they are called
structured ASICs or gate arrays, their advantage comes from requiring Figure 3. Unit Cost vs. Production Volume for VCA-Based ASIC
fewer masks than a full-custom chip for customization. A VCA is a Development
Jim Kemerling, Chief Technical Officer, Triad Semiconductor Inc. structured ASIC requiring only a single via layer for customization.
EARLY STAGE OF PROJECT
Most available semiconductor technologies have between four and FOR PROTOTYPING AND
SMALL-VOLUME PRODUCTION
eight metal layers. A via layer in the midst of these metal layers is ideal
nalog IC design has long been considered “full-custom” compatible simulator, (4) do manual layout of the circuit down to the for configuration, allowing access to metal layers above and below

UNIT COST
only. In this article, via-configurable analog/mixed-signal transistor level, (5) check the layout for design rule violations, (6) for routing without blocking signal tracks. Consequently, all routing
technology is introduced as a means for resolving the critical check the layout versus schematic, (7) rerun simulations with some tracks are predefined (not created by an automated router), forming OPTIMUM VCA FOR
issues confronting analog/mixed-signal IC designers—cycle time parasitic inserted on critical nodes (time permitting), and (8) tapeout. a via-configurable routing fabric. This is essential for semi-custom TRANSITION TO
HIGHER VOLUME
and tooling cost. The method for developing and configuring via- After the design comes back from the fab, the designer finds out how analog. EDA companies have not been able to effectively replace an
FULL-CUSTOM ONLY
configurable arrays (VCAs) using a single via layer is described. well the SPICE models correlate with reality. Frequently, this results analog layout expert. In a VCA, the routing fabric is created manually. FOR VERY HIGH VOLUME
Finally, the VCA design process and how VCA technology provides a in the need for a second pass, maybe a third pass but hopefully not a A place-and-route tool only can place vias in the locations dictated
path to full-custom solutions with much lower risk and lower overall forth pass. This can be expensive, particularly when using deep sub- by the routing fabric.
PRODUCTION VOLUME
cost is explained. micron processes. Figure 2 is a simplified illustration showing the process of configuring
In 1965, Gordon E. Moore published a paper called “Cramming A better alternative would be semi-custom analog ICs. Field- a VCA fabric. Figure 2a shows the fabric with no vias. Notice the fabric One of the benefits of using the VCA migration path is there is
More Components onto Integrated Circuits.” In this paper, Mr. programmable analog arrays (FPAAs) have shown some promise, but is made up of quadrants, with each quadrant having routing tracks that always a via-configurable version available to go back to at anytime
Moore documented his observation that the number of transistors on have not taken off for a variety of reasons. Of the FPAA approaches, are perpendicular to the routing tracks on the same metal layer in the in the future. If changes are required or a customer requests a special
a single chip doubles about every two years. Five years later, Carver the floating gate technique does offer some hope, but is not gaining adjacent quadrant. This minimizes the use of available routing tracks. version, it only takes a new via layer. And all this can usually be
Mead gave him credit by referring to Moore’s observation as “Moore’s mainstream acceptance. Even if it does, its primary purpose will be in Figure 2b shows the fabric with vias placed. Figure 2c shows which done in less time and at a lower cost than if a full-custom device was
Law.”1 prototyping—analogous to the ubiquitous field-programmable gate tracks are used and a symbolic representation of some components in designed using the traditional method. With wafers staged at the fab,
Today, semiconductor companies seem to be driven to comply with array (FPGA) for digital circuits.3 By definition, field-programmable the base array connected to the fabric. The unused tracks in the fabric cycle times can be reduced to a few weeks.
this trend—a self-fulfilling prophecy. Of course, once IC geometries devices cannot be identical to mask-programmable or full-custom can be used for shielding. Notice analog circuit blocks are connected to
reach atomic levels, the Moore’s Law era will be over. There is a lot of devices. In other words, field programmability comes with significant the fabric through the lower metal layer. Ultimately, the only layer used Concluding Remarks
speculation when this will occur, but somewhere between the next 15 overhead, making volume production less practical. to configure the entire VCA is a single configurable via layer (CVL) VCA technology presents a new way to develop mixed-signal and
and 50 years seems to be the general consensus. In addition to field-programmable approaches, there have been between the two metal layers of the fabric. analog ASICs with significantly lower risk, lower cost and less
The less well-known “Moore’s Second Law” states that as geometries attempts at mask-programmable mixed-signal and analog arrays, development time than traditional approaches. VCAs are not a
Figure 2. The Process of Configuring a VCA Fabric
shrink exponentially, manufacturing costs increase exponentially. It where the device is configured for a particular application in the final replacement for the full-custom approach or FPGAs/FPAAs, but
should more accurately be called “Moore’s First Corollary” since it metal layers. To date, the layout has been a manual exercise which has rather a supplement to them. In a typical product lifecycle, field-
is a natural consequence of “Moore’s Law.” Some go so far as to say proven to be very time-consuming and error-prone. programmable devices are ideal to prove the concept; a VCA can be
economics will halt Moore’s Law before physical limitations.2 A new analog array concept has been developed, where a place-and- utilized to develop a product that is suitable for production; once
As a result of Moore’s Law, application-specific ICs (ASICs) route tool can be used while maintaining performance comparable to volume ramps up, a device can transition into an optimal VCA; and
have become much more complex and costly. Consequently, fewer full-custom ICs. This concept is based on a digital-structured array when it makes it into the next cell phone or iPod, it can move to a
are being developed each year. Maybe there should be a “Moore’s approach, where a single via layer is used to configure an entire device. full-custom ASIC. This approach is a practical way to develop ASICs

(a) (b) (c)
Second Corollary” which would go something like “as the number of These new devices are referred to as VCAs. VCAs will not take the (a) VCA routing fabric with no vias, (b) fabric with vias inserted and (c) the connected routing tracks. regardless of mask costs.
transistors doubles, manufacturing costs also double, resulting in half place of FPAAs or full-custom ASICs, but are a reasonable alternative
as many ASIC starts.” for many small- to moderate-volume applications (Figure 1). The VCA concept can be used across an entire chip or in About the Author
Moore’s Law does not apply to analog IC design. Many analog certain sections. For instance, it may be most effective to do a full- Jim Kemerling is the chief technical officer of Triad Semiconductor. At Triad,
Figure 1. Analog Technologies Available he is responsible for VCA technology development and implementation.
chips are still designed in processes with a minimum feature size custom layout on sections of the chip that are well understood and
His background includes over 25 years of experience with mixed-signal
greater than 0.18-micron. Even 0.18-micron designs rarely use not likely to change, but there may be other sections that need to
IC design and system-level development. Jim holds two patents and has
channel lengths less than 0.50-micron. Accordingly, no matter how change to support different customer requirements. Any section of published numerous papers. He received his Bachelor of Science in electrical
small the geometries go, analog has tended to stay about the same size a chip that is likely to change over time is an ideal candidate for via
TRANSISTOR COUNT

engineering from South Dakota State University and his master’s in electronic
or shrink at a much slower rate than digital. Mixed-signal devices, FULL-
FU configurability. engineering from the University of Nevada. You can reach Jim Kemerling at
CUSTOM
CUST
T
where some analog circuitry is required on the same substrate as the jkemerling@triadsemi.com or 336-774-2150.
digital circuitry, present very difficult problems for an analog IC ANALOG VCA The New Paradigm for Analog and Mixed-Signal
designer. A simple analog-to-digital converter consisting of less than ASICs Resources
1
Gordon E. Moore, “Cramming more components onto integrated circuits,” Electronics, April
1,000 transistors can consume as much area as 100,000 logic gates. In the initial phase of an ASIC project, a VCA can be used, which 1965.
In the same way most semiconductor foundries push the envelope FPAA
has more than enough resources to accommodate the ASIC’s 2
Sumner Lemon and T. Krazit, “With chips, Moore’s Law is not the problem,” Info World, April
to cram more transistors into a single chip, the electronic design requirements. This allows multiple versions to be implemented and 2005.
automation (EDA) industry has focused most of its efforts on tools placed in the market quickly. Once one of these initial versions starts 3
Tyson S. Hall, “Field-Programmable Analog Arrays: A Floating-Gate Approach.” PhD.
for the digital engineer. Analog designers basically do IC development VOLUME shipping in higher volumes, the development of an optimum VCA Dissertation, Georgia Institute of Technology, July 2004.
the way they’ve always done it: (1) draw a schematic, (2) turn the Analog technologies available and where they fit best when considering production volume and
can be justified. In other words, the investment in optimizing a VCA 4
J. Kemerling, “Via Configurable ASICs for Analog and Mixed Signal Applications,” SoC
schematic into a SPICE-compatible netlist, (3) simulate with a SPICE- transistor count. will not be made until the market justifies it. The development of an Central, June 2006.

26 27
image sensor cells and display pixels must fall within critical design determined and written during sensor module calibration. Data loss
ranges, or else the systems using these types of chips can’t be used. In or corruption of this calibration and conditioning data during normal
many cases, this can be a very expensive proposition, for example, for vehicle operation can lead to disastrous results in systems such as
a large video display. One-time programmable (OTP) trimming is those used for braking or steering. Both Flash and EEPROMs exhibit
Foundry-Friendly Memory IP for Analog used to increase the yield of image sensor, display controller and RF
chips, thus increasing overall product profit margins.
reliability problems at high temperatures that can compromise the
reliability of sensor modules that use these storage mechanisms, and
vendors of these modules have to add additional circuitry to guarantee
Trimming and Sensor Calibration Sensor Interfaces – Automotive Example
Automotive sensors are found in a broad range of demanding
valid proper calibration data storage throughout the operating
life of the module. An alternative solution is to use an embedded
applications such as gas tank vapor and tire pressures; temperatures of OTP memory that does not suffer the high-temperature reliability
various subsystems both outside and under the hood; and positioning problems of Flash or EEPROM and provides a denser solution than
of electromechanical devices for brake, steering and other systems. an eFuse array.
Jim Lipman, Director, Marketing, Sidense Many of these applications include a very tight set of requirements.
Many of these sensors employ a bridge architecture that produces A Foundry-Flexible Embedded OTP Memory
a very small amplitude differential signal. These bridges exhibit Bit Cell
random part-to-part variations, offsets and non-linearities, and these Analog IP core trimming and sensor conditioning techniques are well
variations become more pronounced as chip process nodes shrink due, understood and have been successfully applied for several years using
in part, to increasing process parameter variability with decreasing OTP memory to store trim coefficients. The difficulty is in identifying
node feature sizes. System designers need to apply signal conditioning an OTP technology that simplifies process portability and scalability,
ntegrating third-party silicon memory intellectual property Third-party IP developers must support multiple foundries so techniques to amplify and compensate for these variations so the is field-programmable, does not require process changes for standard

I (IP) is not simple. While IP integrators are looking for turnkey


IP solutions, problems caused by foundry differences at the
same process node, difficulties associated with process scalability,
they can supply their products to customers who want to use several
foundries. The simpler the process of redesigning and qualifying IP at
multiple foundries, the faster these IP vendors can meet the needs of
output is an accurate linear signal for the system that interfaces with
the sensor. Complicating the signal conditioning operation is the fact
that automobiles present a severe operating environment for their
CMOS implementation, and, for automotive and certain industrial
applications, is reliable at high temperatures. Floating gate memory
technologies (e.g., Flash), EEPROMs, ROMs and eFuses, all have
and variability of both fabrication processes and analog circuits and IP integrators who will be using multiple foundry sources. electronic components. For example, sensor modules must tolerate shortcomings in one or more of the areas of cost, reliability, field
sensors make “foundry-friendly” memory IP design for mixed-signal large power supply disturbances and electrostatic discharge (ESD) programmability and retention at high temperature.
chips difficult. OTP for Analog and Mixed-Signal Applications spikes of several thousand volts, along with temperature ranges that A good example of designing for process portability and scalability
This article discusses the requirements for analog trimming and Shrinking process nodes and the accompanying increase in on- can span -55°C to +150°C for under-the-hood components. This is the antifuse-based OTP split-channel, bit-cell architecture shown
sensor conditioning mechanisms, and describes the architecture and chip functionality has resulted in a continuing rise of analog and often requires sensor calibration in situ (i.e., when the sensor is in Figure 2. The bit cell is based on variable oxide thicknesses – thick
technology of a reliable, embedded non-volatile memory (NVM) that mixed-signal circuitry placed on a chip. Furthermore, chip vendors connected to the complete sensor module, which necessitates field (input/output (I/O)) and thin (gate) – under a single transistor gate.
minimizes dependence on foundry-specific process steps. The field- are addressing the need for enhanced integration between what trimming of the control electronics).
Figure 2. A One-Transistor, Split-Channel Bit Cell
are designed as digital chips and the analog “outside world” (e.g., As an example, Figure 1 shows the schematic for a programmable
programmable memory and support circuitry can be implemented
controllers that interface with analog sensors in automotive, industrial analog sensor signal conditioner from Texas Instruments (TI).
in standard logic CMOS processes, is inherently scalable to leading-
and other applications). The analog signal path amplifies the sensor signal and provides
edge process nodes, and is very tolerant of process variability – a Access Antifuse
As chip operating frequency increases, it becomes harder to get digital calibration for offset and gain. Calibration parameters are
key consideration below 90-nanometers. When implemented in
wide operating ranges for critical analog IP cores such as voltage- stored onboard in seven banks of OTP memory. Another TI signal
silicon, memory macros based on this technology provide an efficient
controlled oscillators (VCOs) and frequency dividers. The fluctuations conditioning chip, the PGA309, adds temperature compensation Poly
mechanism for in situ digital calibration of analog sensors, such as and stores calibration look-up values in external electrically erasable
of circuit parameters caused by random and systematic variations in
those encountered in automotive and industrial applications, and for programmable read-only memory (EEPROM).
key manufacturing steps become more significant at 90-nanometers
trimming analog circuitry to increase silicon yield.
and below, and the process variation of circuit performance is one of Figure 1. A Schematic for a Programmable Analog Sensor Signal N+
Accommodating Multiple Foundries and Process the main concerns in high-performance analog design at advanced Conditioner STI
IO Oxide Core Oxide
process nodes.
Nodes VEXC Vs VREF DOUT /VCLAMP
The expanded design space of an analog vs. a digital circuit means 4 10 1 Oxide Edge Area
Today, designing a chip usually does not mean targeting the design for that, in general, embedded analog blocks demonstrate broader design PGA308 Digital Interface 2
(One-Wire)
1W
a single-source foundry at one process node. The design team should parameter variability than do digital cores. To meet stringent design
D OUT

VREF Select
The one-transistor, split-channel bit cell uses both I/O (thick) and core (thin) oxides under a single
keep in mind that their (or “the”) chip may also be implemented specifications, analog cores may require on-chip digital trimming +
OTP
(7 Banks) RAM transistor gate. The bit cell is programmed by an irreversible rupture of the thin oxide achieved by
Coarse Offset Fine Offset Overscale

in other foundries at some point to take advantage of cost savings, 7-Bit + Sign 16-Bit 3-Bit applying a programming voltage to the gate.
operations. The trimming requirement becomes more important DAC DAC DAC
availability and, possibly, process features as well. Identifying and as process nodes shrink due to the increased variability of analog IP VREF VREF
Output Gain
Ref(1) 8
V FB The bit cell is programmed on the wafer, in a package or in the field
designing to accommodate a second foundry makes good business performance parameters at smaller processes. This manifests itself as
7
V SJ
VIN1 5
Output
Gain by applying a high enough voltage on the transistor gate (the word
sense for negotiating prices and as a back-up if something interrupts increasing yield loss when chips with analog IP migrate to smaller Input
– Select –
Output
Amplifier
Scale 9
V OUT
line) to irreversibly breakdown the thin oxide. The programming
Fault Auto-Zero 16-Bit + Limit
the chip flow from the primary foundry. process nodes since a larger percentage of analog blocks on a chip will Bridge
VIN2 6 Mux Monitor
+
PGA DAC
Fine Gain
Ref(1)
voltage, ranging from 8.5V to around 5.5V for 130-nanometer
Sensor
13-Bit
Silicon foundries share many common features in the way they not meet design specifications due to variability in process parameters Front-End DAC
to 65-nanometer processes, respectively, can be applied using an
Gain Select Underscale
process silicon at a given process node. However, each foundry has and layout. 3
internal charge pump or from an external source. A programmed bit
GND NOTE: (1) Ref = VREF or Vs selectable.
its own “secret sauce” – design rule tweaks and process variations Typical applications for analog and radio frequency (RF) circuits cell cannot be un-programmed under temperature or voltage stress.
that optimize chip performance at a node – and these tweaks become TI’s PGA308 programmable analog sensor signal conditioner uses seven banks of OTP memory to
include wireless communications, video and graphic displays, image digitally calibrate amplifier offset and gain and to condition the bridge sensor’s output signal. Note that there are no lightly doped drain (LDD) or halo
more prevalent with shrinking processes. Such foundry-specific sensors and power management chips. It is important to be able to implants (used for leakage reduction) at the edges of the thin oxide.
design-rule sets and process differences complicate the job of a silicon adjust analog blocks on these types of chips to enhance yield and Typical sensor conditioning systems use Flash, EEPROM or The implementation of “drain engineering” features such as these
IP company that develops IP products for different chips that target improve profitability of the products in which these chips are used. electrical fuses (eFuses) to store coefficients to correct for sensor involves processing operations that are very foundry-specific. The
different foundries. The variations caused by process and layout variations in RF circuits, offset, range, temperature and non-linearity. These coefficients are breakdown region when a cell is programmed is confined to the channel
28 29 See Memory IP page 47
Kirshner: You can’t expect big valuations if you just bring a
technology to the table. Those that are acquiring companies
today are focusing on the business chain. They’re looking for
deals and market proof. This is key.

IVCJ: What else are acquiring companies looking for?

Kirshner: Many are looking for a horizontal play, like in the


Flash market, where the market is in the billions of dollars.

Narrowing of the Exits for They’re not interested in a specific application chip.

IVCJ: Venture capitalists (VCs) are known to examine the


Semiconductor Firms? exit path even as they are making their initial investment in a
start-up. How quickly do VCs want exits in the semiconductor
Ori Kirshner, managing partner at Giza Venture Capital, discusses the latest exit trends affecting industry? How long are they prepared to wait?
Israel’s semiconductor companies. This article first appeared in the Israel Venture Capital & Kirshner: In general, Israeli companies are sold too early. In some
Private Equity Journal (IVCJ) published by IVC Research Center, Israel’s leading business research cases, entrepreneurs are seeking quick exits, or in other cases, there
might be pressure from some investors or partners to sell. The
company specializing in high tech, venture capital and private equity. IVC publications, which are
VCs are the ones that want to build the company. While an exit
distributed worldwide, provide insight on technologies developed by Israeli-related companies of $100 million to $150 million can be highly attractive to a
and on venture capital investments made in such companies. For more information, please visit company’s founders, it’s not good enough for the VCs. Our goal
www.ivc-online.com. is $300 million and up in order to make six or seven times on our
investment. So we have patience. At least five years are needed to
build a company. And it’s most important to build sustainable
companies that are well-differentiated. Build it right and you’ll
have exit options.
The goal is to reach the full potential of the company. On
day one we expect that each company will be a major success. Of
IVCJ: It appears that there are fewer Israeli difficulties on the business side. It probably course, we may find that the potential tops out at $30 million.
semiconductor company exits than a few years was. Its technology is still considered excellent. We’re realistic and know that not each investment will result in
ago, and the exits that have been achieved are Perhaps the company could have broadened its a home run. But we must keep our sights high.
smaller in size than previously. Why is this? focus and revitalized revenues given a couple of
IVCJ: Do you expect to see a decline in the number of exits?
more years. But Saifun, as a process company, is
Kirshner: There are not many exits right now
atypical for Israeli semiconductor firms. Process Kirshner: The market is changing. More players are coming into
in the general market, and the situation for
companies are even riskier than fabless firms. the game, particularly from Asia. This is not raising valuations,
semiconductor firms is no different. Passave
The main Israeli companies are those building but one can expect more merger and acquisition (M&A)
and Oplus, for example, have seen significant
chips in the fabless mode. This allows them to transactions than in the past.
exits in the past few years, but overall, there has
been a drop in their number and size. The initial be closer to the market and maybe even climb Another factor is that cutbacks in research and development
public offering (IPO) market has slowed to a in the value chain. (R&D) spending are taking place throughout much of the
crawl, and while there are acquirers out there, industry. When companies don’t invest in R&D, they look to
IVCJ: How much capital is needed for Israeli The Global Semiconductor Alliance (GSA) provides a
most are unwilling to purchase technology acquire technology. It’s costly to build everything in-house.
fabless companies to reach the commercial Companies want first to milk dry their current product line platform for meaningful global collaboration, identifies
alone. They are willing to buy companies with
stage? without being bothered by product cannibalism, and when that
a customer base and market share. So, basically, and articulates market opportunities, encourages and
the industry is in a waiting mode. happens, to go for acquired technology.
Kirshner: The cost to get going is between $25 supports entrepreneurship, and provides members
and $40 million. So if a company can build a Under current market conditions, mid-sized companies may
IVCJ: Many had high hopes that Saifun with comprehensive and unique market intelligence.
few products based on the same platform from have problems making acquisitions. Still, major firms, such as
Semiconductors would be one of the major Members include companies throughout the supply
within this area, then investors can see very TI, Marvell and Infineon, remain very much in the acquisition
Israeli success stories. Yet, many investors
acceptable returns and reasonable multiples. game. chain representing 25 countries worldwide. Contact
were disappointed in its IPO valuation and
aftermarket performance and the acquisition Still, it is optimal to build market leaders, and Also, acquirers can come from a totally different direction GSA to discover the many benefits that confirm the
price paid by Spansion. How do you view this means building a system-on-chip (SOC) such as system vendors. Last April, Apple bought P.A. Semi
value of membership. www.gsaglobal.org
this? to address a sufficiently large market with very for its unique 64-bit powerful and power-efficient technology.
strong, sustainable differentiation. It’s an example that the right combination of performance and
Kirshner: I regard Saifun as an exceptional power continues to entice strategic players. Still, the goal should
case. Saifun is seen by many analysts as IVCJ: Can companies sell technology and be building companies with good technology and strong market
having been sold too late after it experienced expect to get a major exit? position, and those companies definitely can go for IPOs.

30 31
transceiver and the RF front end in a single SOC. Though this (b)
approach aims to optimize the integration path, CMOS PAs and 300

analog filters integrated onto the dense CMOS technology platform


have shortcomings such as high current consumption (which relates 250

Meeting the Challenges of Small Radio to efficiency and current drain), low output power, higher loss and
0.35 SiGe BiCMOS
0.13 RF CMOS

Premium (%)
200
rapidly degrading performance at higher frequencies. 0.09 RF CMOS
GaAs HBT

Frequency ICs Cost savings with this approach can also be limited. For instance,
there is a very high mask cost for a pure digital chip, and the SOC
150

development budget is jeopardized when analog is added to the CMOS


100
design because of the complexities of spurious signals, parasitics and
interference in the analog section, which will likely need to be respun 50
Jose Harrison, Director, Product Marketing, Computing and Consumer, SiGe Semiconductor Inc. (Figure 2a). Also, in a pure CMOS design, the PA is realized using a 2007 2008 2009 2010

Peter L. Gammel, Chief Technical Officer and Vice President, Engineering, SiGe Semiconductor Inc. very dense array of CMOS transistors that are more prone to defect Year

density, which threatens yield (as compared to the predictable process (a) Costs associated with migrating to smaller CMOS nodes. (b) Comparison of the costs for various
front-end technologies.
for the baseband circuitry).
he latest communication devices incorporate multiple protocols amount of current “leaking” through an appliance when it is turned
In terms of size, it may seem intuitive that integrating the RF
T within a single appliance, often with incompatible frequency
bands and modulation schemes. Handsets, for instance, might
need to support cellular, Wi-Fi and Bluetooth, while computers may
on but not in use.
In consumer markets, such as mobile handsets and portable computers,
cost is a critical concern and remains high on the list of challenges for
front end into the CMOS baseband would dramatically improve
the system footprint. In reality, with a decrease in circuitry size, it
is necessary to have a fixed periphery of transistors to deliver high
Optimized RF Front Ends
A variation of the SOC approach is to take a system approach to
the entire front end using technology that is optimized for front-
need to handle Wi-Fi, cellular, Bluetooth and WiMAX signals. The radio designers as they tackle the intricacies of the RF signal chain or
output power at a fixed voltage. The progression to finer CMOS end applications. This type of device could be called an RF SOC. As
complexity of the multiple radio frequency (RF) signal chains in these “front end.” All these design constraints – size, battery life and cost –
nodes necessarily requires shrinking voltage rails. However, using compared to the multi-chip RF front-end module (FEM) that is in
advanced designs is placing high demands on available technology must be balanced with performance needs and customer expectations.
low-voltage rails also means reduced voltage swing (which relates to use today, the RF SOC would be the next evolutionary step, and it
and requiring skillful engineering choices to adequately address issues
What’s in an RF Front End? signal level). So in effect, a smaller RF signal is more susceptible to could offer advantages in assembly, test, size and cost. The promise
of size, battery life and cost without compromising performance.
noise. Therefore, to retain the same power performance, the size of the offered by an RF SOC has it rapidly emerging as the technology of
In terms of semiconductor choices, designers of components for Across the industry, a great deal of design effort continues to be applied
PA must increase to compensate. For example, SOCs with integrated choice to address high-density, multi-mode front ends delivering high
advanced communications devices can choose to pursue a single to the RF front end, which conditions, amplifies and/or filters the
outgoing and incoming signals that contain the information stream. RF circuitry have been demonstrated with limited performance using levels of output power.
system-on-chip (SOC) design, which includes both the RF front end
This part of the communications device is the most critical part of 65-nanometer CMOS, but one can expect the performance of the RF Selecting the best technology to create an RF SOC is crucial in
(analog circuitry) as well as the baseband transceiver (digital circuitry),
the receiver, and it is generally considered to include all the circuitry front end to deteriorate significantly if and when that design ports striking the correct balance of integration, cost and performance
or they can work using a two-chip solution that incorporates the
between the antenna and the baseband.1 Figure 1 shows a block to 45-nanometer. The bottom line is that the size of the PA does not (Figure 2b). SiGe BiCMOS technology is a strong contender. First,
best of silicon CMOS circuitry for the digital realm and another
diagram of a typical transmit/receive front end for a Wi-Fi system, shrink, and in fact might need to grow. silicon technology is less expensive than GaAs technology, so using
material, such as SiGe or GaAs, for the integrated RF circuitry. In Despite these challenges, many designers have increased their efforts
and the necessary circuitry to take the signals from the antenna and SiGe offers initial cost benefits. In addition, BiCMOS technology
this approach, both SiGe and GaAs offer advantages for different to integrate some, or all, of the RF front-end functions into the baseband
process them for input into an analog-to-digital converter (ADC). The allows for matching of the best transistor technology for various
applications. A significant amount of research and development has transceiver for an entire SOC. Integrating the PA in CMOS has proven
signals are then passed to the digital circuitry or baseband transceiver applications. For instance, heterojunction bipolar transistors (HBTs)
been conducted to advance SiGe processing and design techniques in to be a good fit for some low- and medium-output power applications
portion of the radio. RF front ends can include driver filters (which can be used for the gain stages. Here, germanium doping of the
recent years, which has greatly improved its advantages for use in the such as Bluetooth or single-stream 802.11b/g wireless LAN. These
filter out the spurious signals emanating from the transceiver); power bipolar transistor results in performance that is comparable to GaAs
latest communication devices that need to deliver high output power devices tend to have a need for lower output power and tolerate lower devices for high-frequency applications. Specifically, with SiGe,
and support multiple protocols and frequencies. amplifiers (PAs); switches (for half-duplex solutions); duplexers (for
full-duplex solutions); output filters (which filter out the spurious power-added efficiency (higher power consumption) and degraded output power levels up to +26dBm have been reliably demonstrated,
emissions from the PA); and low-noise amplifiers (LNAs). performance at higher frequencies, all of which can be acceptable for making the PA well suited for use in applications requiring high
Design Challenges
some applications, but would be huge trade-offs for mobile wireless output power such as WiMAX.2
Perhaps unsurprisingly, the major design challenges facing radio Figure 1. Wi-Fi RF Front End devices. As a result, integrating the RF front end with the baseband For control logic, BiCMOS processing supports the use of CMOS,
designers include size, battery life and cost. The need for compact size Bsel V_LNA transceiver is not an optimal fit for direct-to-battery (handset) or high- and in the future, CMOS can also be used for the system’s transmit/
TX RX
is being driven primarily by smartphone technology, which was first LNA Decode output power, multi-stream technology, which will likely retain the RF receive (T/R) switches. Today, CMOS control logic can be used to
pioneered by Palm and then expanded by Apple, RIM and others. front-end circuitry separate from the baseband transceiver. dynamically adjust the PA to improve battery life. For example, a
Rg 2.4GHz
These multi-functional wireless devices demand diverse connectivity LNA RX serial bus or three-wire interface (TWI) can allow for discrete bias
all within a small handheld device. However, the need for compact Diplexer Figure 2. Cost Breakdowns for Semiconductor Processes
Ra 5GHz
PA (a)
control of each gain stage in the PA. This approach permits the front
T/R
size is not unique to handsets. Laptops and the emerging netbook Switch
ANT
end to automatically increase amplification for higher performance
portable computers are allowing less and less space for connectivity, Tg Trap Filter 2.4GHz Filter and data rates. It can also dial it back to save battery life when data
PA 70 Software
yet they demand links for Wi-Fi, cellular, Bluetooth and WiMAX. rates are lower, or it can bypass gain stages altogether when lower
Detector TX Prototype
60
The industry has seen a rapid shrinking of card size in laptops, Diplexer output power performance is required in near-field conditions (such

Design Cost ($M)


Validation

driving from the PCMCIA card to the mini card and the half mini Ta Trap Filter 5GHz Coupler Filter
50 Physical as when the appliance is close to the basestation/access point). This
PA
card in record time. These trends suggest that the size challenges for 40
Verification
approach has been used in cellular technologies for years, but it has
PDag Architecture
connectivity will not abate in next-generation laptops or handsets. 30 not been rolled out in Wi-Fi or WiMAX applications. Now that there
ENa ENg
Following the trend of increased connectivity, computing is are so many battery-operated devices using these access technologies,
20
An RF front end, such as this one for Wi-Fi, contains all the analog circuitry between the antenna and
migrating from the desktop to the laptop and the handset, increasing the baseband transceiver. the ability to optimize performance in real time is likely to become
10
concerns over power consumption due to its effects on battery life or more important.
so called “talk time,” which refers to the amount of time a portable 0
0.35um 0.25um
Discrete bias control can offer another advantage, allowing a single
0.18um 0.13um 90nm 65nm
appliance can function on a single charge. Another metric for battery Finding the Optimal Integration Path (2M) (5M) (20M) (40M) (60M) (120M) PA to function in a multi-use application, such as a multi-function
life is “idle time,” or the amount of time an appliance can be turned To address cost and size challenges, many are looking for the optimal Feature Dimension (Transistor Count) 2GHz Wi-Fi/WiMAX/Bluetooth handset, where the re-use of a
on but not in active use. Idle time is strongly influenced by the integration path for radios. One method is to combine the baseband Source: Synopsys single PA can reduce the overall size of the front end. In this example,
32 33 See RF ICs page 46
AMIMON, headquartered in Herzelia, Israel with of the Wireless Home Digital Interface opportunities that GSA provides assists us in Crocus Technology is an early-stage developer The company is planning to target its Ventech, and has operations in Grenoble,
offices in Santa Clara, California; Tokyo, Japan; (WHDI) consortium formed by leading CE managing our supply chain and allows us to better of magnetic random access memory (MRAM) France and Sunnyvale, California with nearly

technology for use in high-density standalone
and Seoul, South Korea, is a fabless semiconductor manufacturers to define a new industry standard serve our customers.” technology for dense, non-volatile, high-speed, memory and embedded system-on-chip (SOC) 30 employees.
company pioneering wireless uncompressed high- for whole-home wireless uncompressed HDTV scalable RAM memories. Founded in 2004, applications.
definition television (HDTV) connectivity. With connectivity. – Aviram Matosevich, Vice President, Engineering, Crocus is currently completing the prototype Its MRAM technology is covered by a “GSA is an organization that reaches every
over one hundred thousand chipsets sold in 2008, WHDI enables consumers to connect any AMIMON Ltd. phase of its process and chip development. The comprehensive patent portfolio in both TAS branch of the semiconductor food chain. This is an
AMIMON has emerged as the market leader source in the home to any display using a robust, company’s MRAM technology was conceived and spin torque. The company licenses its important organization for us to participate in so
in this new and exciting space, making wireless high-quality wireless link, based on a unique Yoav Nissan-Cohen, Chairman & CEO in the Grenoble-based Spintec laboratory, we can reach out to our potential customer base as
technology for standalone and embedded
HDTV a reality in the home. video-modem concept that operates in the 5GHz Meir Feder, CTO & Co-Founder a world-leading research and development well as our manufacturing base.”
chip applications in a wide variety of
AMIMON’s chipsets are embedded in new unlicensed band. WHDI supports wireless Noam Geri, VP, Marketing & Business (R&D) center in Spintronics affiliated with two telecommunication, networking, storage,
wireless HDTVs and video accessories by Sony, Development & Co-Founder famous French labs, CEA and CNRS. Crocus’
delivery of uncompressed 1080p HD video, with computing and handheld applications. – Jean Pierre Braun, CEO, Crocus Technology
Mitsubishi, Sharp and other major consumer Zvi Reznic, VP, R&D & Co-Founder
latency of less than one millisecond and a range of industry-leading R&D allows the company to

electronics (CE) manufacturers. These systems Galia Ben-Dor, VP, Human Resources Crocus is backed by venture capital (VC)
100 feet through multiple walls. boast key innovations in both thermally-assisted firms AGF, CDC Enterprises, NanoDimension, Jean-Pierre Braun, CEO
are now available to consumers in Europe, & Information Systems
MRAM and thermally-assisted switching (TAS) Sofinnova Partners, Sofinnova Ventures and Jean-Pierre Nozieres, CTO & Founder
Japan and the U.S. Aviram Matosevich, VP, Engineering
AMIMON’s technology has also enabled “GSA has been a valuable resource to AMIMON Issana Raudnitz, VP, Finance technology, as well as in advanced proprietary Neal Berger, Director, Product Development
exciting new products in the medical and Ltd by providing access to useful design, test and Shimon Greenberg, VP, Sales & spin-torque architecture. TAS resolves difficult Jason Reid, VP, Process Development
professional markets. Stryker Endoscopy recently qualification information, giving us an updated Business Development issues with manufacturing and scaling MRAM Jean-Pascal Bost, CFO
launched the world’s first HD surgical display benchmark to the semiconductor industry. The chips encountered in first-generation MRAM
based on AMIMON’s chipset in the medical information we get from GSA and from its 2 Maskit St., Building D, 2nd developments, and can be used with traditional 710 Lakeway Dr.
endoscopy market. IDX, a leading provider fellow members through various networking Floor, P.O Box 12618 MRAM structures as well as advanced spin- Suite 100
of accessories in the broadcast equipment and Herzlia 46733 torque bit cells. Sunnyvale, California 94085
professional video market, is shipping wireless Israel Crocus possesses unique capabilities for full USA
links based on AMIMON’s technology that (T) 972-9-962-9222 MRAM process development and integration, (T) 408-732-0000
attach to professional broadcast cameras. (F) 972-9-956-5467 and sustains both magnetic and conventional (F) 408-738-8250
AMIMON is a founding member (W) www.amimon.com wafer processing equipment and engineering. (W) www.crocus-technology.com

Canova Tech has developed the Harvesting block, and can therefore be integrated in a “Our priority to be on the edge of the semiconductor Until now, existing analog and digital an innovative digital signal processing-based peers and other influencers across the globe. GSA’s
Sculptor, composed by a high-voltage IC and single chip with the customer’s proprietary market led us to GSA. I can say that there is no technologies have failed to adequately power controller which delivers unprecedented insight into industry trends is extremely valuable.
its reference design. The Harvesting Sculptor system. This combination creates a complete other place where we feel at ease. Whether you simplify the power supply design process and flexibility, performance, ease-of-use and Powervation is the leader in digital power IC
enables their customers to build, characterize environment-powered device. are a manager in an experienced company, an enable the ease-of-use and efficiencies that potential energy savings across a broad range of solutions, and as a fabless company, we rely on
and validate a complete power management executive of a successful start-up or just a player customers demand. This dynamic, coupled power supply designs.
Canova Tech has proven its success in the with the increasing shortage of skilled and strong organizations, such as GSA, to support and
system that extracts, regulates and stores power in the semiconductor field, GSA membership will The Powervation technology team is driven
market, focusing on a comprehensive set of high- experienced power designers, threatens to halt by a simple mission: to transform the way promote this business model.”
available from the environment. voltage, analog-intensive IP. With the Harvesting help you fulfill your professional challenges.”
the continuous performance improvements energy is delivered and managed. From its – Benoit Herve, Vice President, Marketing,
The Harvesting Sculptor can rectify and Sculptor, Canova Tech can finally offer a unique expected in new generation processors. Without European headquarters in Ireland and its U.S. Powervation
regulate up to 50V peak-to-peak without using – Alessandro Ingrassia, General Manager, Canova innovative, new technologies that reduce the hub in Palo Alto, California, the company is
one-stop shop in power management IC design.
a standard diode bridge. In this way, the energy Tech Srl. complexity of designing power converters, already delivering on its promise. Sampling at Antoin Russell, CEO
Canova Tech’s priorities are to provide customers
generated by a piezo-mechanical cantilever tomorrow’s processors may not be able to reach multiple customer sites is already underway, Alan Dunne, General Manager
with the highest current performance design level


or an electromagnetic wireless power source Alessandro Ingrassia, General Manager their performance potential. Powervation’s with designers reporting significant advantages Karl Rinne, CTO
and enable them to reach excellence in terms of

is converted into a stable direct current (DC) Andrea Colognese, Business unique digital control technology removes the over conventional digital power solutions. Frank Cassidy, VP, Finance
supply. The innovative Harvesting Sculptor investment appraisal. Development Manager complexities from this design process. Benoit Herve, VP, Marketing
consists of a flexible power management Nicola Scantamburlo, Product Founded in January 2006, the company “GSA is an organization that provides unmatched Jeff Purchon, VP, Global Sales
algorithm that enhances power transfer Development Manager offers a new class of Auto-control™ digital exposure for emerging innovators like our company,
power IC solutions that optimize power supply Anthony Kelly, VP, Digital Control
efficiency. The reference design is also equipped and facilitates strong connections with customers,
performance and efficiencies for manufacturers Patrick McNamee, VP, Operations
with a set of measurement resources that Via Savelli 86
of electronic systems used in computing, storage Eamon O’Malley, VP, Digital Design
enable the complete assessment of the energetic I-35129 Padova
characteristics of the environment. Italy and netcom applications. Sean Foley, VP, Engineering
At the heart of the solution is Auto-control,
The Harvesting Sculptor is designed (T) 39 049 7811065
a digital algorithm that enables a direct current/ Block 1, International Science Centre
today to meet the requests of ultra low-power (F) 39 049 8591343 direct current (DC/DC) control circuit to sense
systems supplied by caps, supercaps or 5mAh (W) www.canovatech.com National Technology Park
circuit conditions, such as load, capacitance and
rechargeable coins. Specific arrangements for inductance, and automatically adapt the power Castletroy, Limerick
high-power applications will soon be available. control function to compensate accurately. Ireland
The device can be made available in the form The first product utilizing Auto-control is (T) 353-61-506608
of a semiconductor intellectual property (IP) Powervation’s Plug-and-Power™ controller — (W) www.powervation.com

34 35
designs, mixed-signal radio frequency (RF), and high-voltage (HV) Table 1. Consumer, Industrial and Automotive Environmental
and power technologies covering those areas fall under the umbrella Requirements
of “analog” or “analog/mixed-signal,” and analog technologies can be Parameter Consumer Industrial Automotive
categorized as follows:
Why Logic Foundries Will Fail in Moving to ▪ Analog Designs (big A /little D): A digital signal is defined
Ambient temperature
Operation time
0°C – +40°C
1 – 3 years
-10°C – +40°C
5 – 10 years
-40°C – +150°C
Up to 15 years
at discrete values of time and amplitude (voltage). In contrast,
Analog an analog signal is defined across a continuous range of time
and amplitudes. The real word is analog, not digital. Its signals,
Humidity
Tolerated field failure rates
Low
< 3%
environment
<< 1%
0% – 100%
Target: 0 ppm
whether a current, voltage, pressure or inertial signal, need to be Supply Up to 2 years Up to 5 years Up to 30 years
sensed and transferred to the digital domain for further digital
Source: Robert Bosch GmbH, X-FAB
signal processing. Analog designs have a large amount of pure
Jens Kosch, Chief Technology Officer, X-FAB Silicon Foundries Consumer, industrial and automotive ICs must meet different environmental requirements.
analog circuits and a rather small amount of digital content. Automotive requirements are the most demanding.
Volker Herbig, Marketing Manager, X-FAB Silicon Foundries Typical applications are sensor front-ends, analog/digital
converters (ADCs) and comparators.
Design Effort vs. Process Complexity Tradeoffs
he analog foundry business is not a fad. Many foundries follow Moore’s Law, but it’s not known if they or other foundries will ▪ Mixed-Signal/RF Design: Mixed-signal/RF design typically is
From a process standpoint, analog technology must cover a larger
T are seriously trying to move into this space. However,
transformation requires a change from being contract
manufacturers that provide capacity and compete on the cost side,
be able to follow it in the future.
The accelerating cost associated with following Moore’s Law and
building 300mm mega-fabs capable of running up to 100,000 wafers
used for applications in the RF range. It requires the integration
of digital circuits and fast, highly linear analog signal processing
used for receiver and transceiver circuits at frequencies higher
range of issues than digital. The digital world is primarily concerned
with process technology, with design support and IP taken care of by
third parties. In contrast, analog foundries focus on multiple process
to becoming true providers of feature-rich process technologies per month leaves a number of smaller digital foundry players at a than 500Mhz. WLAN, Bluetooth and ZigBee radio applications
are typical. technologies, process characterization and design support issues, as
with modular front- and back-ends and comprehensive process competitive disadvantage. They are stuck with 8-inch fabs and process
well as solutions for the use of analog IP.
characterization. Also, analog foundries must offer a complete capabilities from 0.35- to 0.13-micron. The digital applications they ▪ HV Technologies: Digital circuits are limited to their core and Analog/mixed-signal process technology encompasses digital,
analog design ecosystem including libraries, analog intellectual previously served are moving to 90-nanometer and smaller geometries I/O voltages that, depending on the process node, fall between
property (IP) and lots of design support – complicated by the analog, HV, RF and NVM elements – in many instances, all on the
manufactured in highly efficient 300mm fabs, well beyond the range 1.1V and 5V for the core and 3.3V and 5V for the I/O. In many
absence of standards. Such capabilities would enable customers same piece of silicon.
these smaller fabs can handle. Therefore, these foundries face the cases, higher voltages than that are required for applications
to reuse their analog IP across different applications and various challenge of trying to sustain profitability. such as power management, power conversion and lighting. Figure 2. Analog/Mixed-Signal Process Technology
technology platforms. Moving into the analog space is no easy task, The way out of this dilemma is to address applications within Voltages can go as high as 700V for power net applications and
and such a transformation, especially near term, is barrier-ridden. their technology reach such as CMOS image sensors, smart discretes, drive currents up 1A. Voltages between 5V and 40V are most Analog/Mixed-Signal Process Technology

Figure 1. Analog Design Ecosystem


CMOS microelectromechanical systems (MEMS) or analog frequently used.
applications.
Analog Design Ecosystem Analog is the largest market at approximately US$40 billion in ▪ Power Technologies: Power technologies handle HV and
2008. It’s no surprise that many smaller foundries are trying to find high current at the same time, typically at process nodes of Digital Analog HV RF NVM

new business in the analog fab space. 0.60-micrometers and above. Fewer digital gates are integrated
Specification
Schematic Pre-Layout
Layout Verification
Post-Layout
Although analog IC vendors follow Moore’s Law, they follow it in circuits that handle power, whose currents exceed those on Analog/mixed-signal process technology requires integration of digital, analog, HV, RF and NVM
Entry Simulation Simulation elements.
at a much slower pace, and do not jump to different process nodes the HV side. Depending on the process architecture, currents
Schematic Entry Device Models Statistical Models Verification and Special Checks
every 18 to 24 months. In fact, major analog nodes of 1.0- , 0.80-, up to 20A peak are possible. The boundaries between HV and Depending on the application and end market, analog processes are
Large variety of active and BSIM3V3 or EKV and Process corner models Safe operating area check
passive primitive devices
Variety of digital I/O and
Gummel Poon models for
all active & passive devices
Statistical corner models for MOS transistors
Parasitic extraction 0.60- and now 0.35-micron are in stark contrast to the digital nodes power are somewhat fluid. Motor drivers, linear regulators and application-specific to serve high-volume applications cost effectively.
providing modelling of Circuit design sizing
standard cell libraries
optimized for power, speed -Quasi saturation effect for HV Modelling of global (process)
DRC
LVS currently at 45-nanometer, already nearly an order of magnitude lighting are typical power applications. However, the lengthy and cost-intensive development of a new, dedicated
and area or combination and local (matching) spice
thereof -Noise
parameter distribution to ESD checker
Analog IP
(1/f, noise figure, thermal)
-RF behavior
enable design centering to
achieve robust designs Degradation model smaller. Many analog applications require the combination of analog process often cannot be cost-justified because volumes are too low.
NVM IP blocks
Electromigration simulation
Analog IC vendors currently are moving to 0.18-micron, with circuits, mixed-signal RF, HV and power, or a subset. Unlike the The best strategy for reconciling these demands is a modular
0.13-micron analog technology in development. However, many digital world where only a few capabilities suffice, addressing analog technology approach. The increased flexibility of the modular
Analog design
Analog design analog integrated device manufacturers (IDMs) have internal requirements calls for a wide range of different technologies. platform allows product designers to select only those front- and
Analog design capabilities yield optimization
reliability optimization
Circuit design robustness capabilities down to 0.35-micron only. To move to quarter micron Embedded non-volatile memory (NVM) capabilities are needed back-end modules they really need, guaranteeing the best possible
Analog foundries must offer a complete analog design ecosystem including a large variety of active and and below to remain competitive, they face a major decision: build for trimming, data or program storage. Process and design IP tradeoff between design effort and performance. Developing lean
passive primitive devices, digital standard cell and input/output (I/O) libraries, analog IP, models and their own 0.18-micron capabilities (i.e., build a new fab) or choose a architectures for embedded NVM are different for mixed-signal process architectures that address all these sometimes conflicting
comprehensive design support.
foundry partner with these capabilities. applications than digital applications. For digital applications, requirements is difficult, especially when integrating low on-state
Why is there so much activity in the analog foundry space right So the semiconductor industry finds itself at an unusual nexus. the embedded NVM blocks dominate the chip area that requires resistance (RDSon) HV transistors with dense, low mask count
now? It’s commonly perceived that the digital business is driven by Second-tier digital foundries are stuck with idle capacity for process a very dense memory cell. Even if this comes with more than five NVM is the main challenge.
Moore’s Law, which states that the number of gates doubles every 18 to nodes at 0.35-, 0.18- and 0.13-micron, and are looking for ways to additional layers, it is still cost-effective. For analog-dominated,
24 months. The implication is that whoever follows Moore’s Law must fill it. Meanwhile, the analog world now is moving into those process mixed-signal designs, it is important to have a low mask count NVM Greater Challenges with Process Characterization
move to smaller process nodes. Currently, 22-nanometer nodes are in nodes, leaving many analog IDMs that lack this capability facing solution available. The most complex functional integration would and Design Support
development. However, the associated costs are rising significantly, “build or buy” decisions. The situation is heightened by the pressure be “logic+analog+HV+NVM,” which would add too many process The digital world essentially relies on two basic devices, NMOS and
making it more and more difficult to justify such investments when of the industry-wide move toward fabless or fab-lite strategies, and layers and would not be very cost-efficient. Therefore, a lean process PMOS transistors. From the design perspective, devising digital
only a handful of applications (e.g., microprocessors, baseband chips the extremely difficult task of choosing the right analog foundry architecture for the full-functional integration of logic, analog, HV ICs is rather straightforward. The process is largely removed from
for cell phones, DRAMs and Flash memory) create a positive return partner. and NVM is required. both technology considerations and the actual physics of the devices
on investment (ROI). Often, it’s no longer feasible to move to smaller Analog/mixed-signal devices often are required to work in a harsh, because electronic systems are modeled using hardware description
process node development. The number of players that can afford to Wide Arc of Technology and Applications unfriendly environment, where they must cope with significant language (HDL). In addition, place-and-route tasks and verification
do business in the digital foundry space is shrinking rapidly. Such The term “analog” is loosely defined, and therefore people have temperature differences, HV, switching noise or interference from is highly automated. Digital IC design typically is focused on logic
players as TSMC, UMC and Chartered have the financial strength to different understandings of what “analog foundry” means. Analog neighboring elements. correctness, maximizing circuit density, and placing circuits so clock
36 37 See Foundries page 46
Automating Analog IP Process Migration: The Cliff Hirsch, Publisher, Semiconductor Times

Next Frontier An inside look at innovative semiconductor start-ups

Given all the negative news, dismal sales, daily restructuring reports, has more than 35 employees, with a majority having doctorates.
demise of one start-up after another and more unpleasantness, you Quantenna argues that today’s wireless chipsets offer spotty
K. T. Moore, Senior Director, Business Development, Custom Design Business Unit, Magma Design Automation might think I would have a hard time finding bright spots in the performance, limited coverage, poor reliability and unpredictable
fabless semiconductor arena. I certainly thought that would be the bandwidth. While many 802.11 chipsets are suitable for data
case. After all, there have been more than a few instances where I have transmission, they are not robust enough to support reliable
failed to find even one exciting start-up in a quarter, even in good multimedia services.
n the past, most of the semiconductor industry believed that the costs. Unfortunately, many semiconductor companies cannot invest times. So I was pleasantly surprised to face the problem of choosing To address this problem, Quantenna has developed 802.11n

I world had gone digital and deemphasized analog. As recently


as last month, high-definition television – otherwise known
as the digital television broadcasting system – replaced outmoded,
the time to retarget their analog IP and prolong their use of older
process technologies. Analog designs and process technologies don’t
easily transfer – think unpredictability and irregularity – limiting the
amongst a handful of promising start-ups and emerging technologies.
I started with a list of 30 to 40 companies for the quarter and
whittled down to four great companies. Each company was picked
chipsets with 4x4 multiple input, multiple output (MIMO) and
transmit beamforming that are designed to deliver guaranteed
bandwidth for any home, anywhere. The company argues that it
traditional analog television systems with higher, better quality size of the analog IP content that can be ported or optimized to a individually, based on my analysis of its unique merits. But when delivers 50 percent better performance than other 802.11n solutions.
resolution. new foundry or technology. Maintaining accuracy, power, area or I stepped back, a common thread emerged – all four companies Quantenna’s chipsets overcome interference and dead zones, enabling
And yet, analog is a hot topic these days. Late last year, for performance per the design specification has been difficult as well. focused on various aspects of the video value chain, albeit from very consumers and carriers to reliably deploy video services to any point
example, a keynote speaker at Electronica mentioned the advent of Another problem is that analog IP is too hard to reuse. It comes different angles. in the home over a plug-and-play wireless network.
analog techniques to reduce the power of digital and help companies with all the integration issues associated with digital IP, in addition to This finding was startling, given that I started with a bottom-up, not The company holds key patents in MIMO, baseband, mesh
“go green.” top-down analysis. If you had asked me about video, I would probably networking and integration, and interference mitigation. Quantenna’s
a few unique analog challenges. Analog IP arrives as hard IP – GDSII
Today, most companies want to add analog functions to their have said something like, “yes, great market…for big boys with big chipsets offer link speeds of up to 1Gbps and data throughput of up
layout blocks fixed in size and tied to a particular foundry and process
digital designs. And therefore digital design is driving strong demand pockets.” This bottom-up analysis validates the age-old adage that tightly to 600Mbps.
– making it difficult to modify and integrate into the design.
for advances in analog electronics. focused start-ups can penetrate brutally competitive markets dominated The wireless LAN semiconductor market is expected to reach 1.2
In addition to technical challenges, the pressure to reduce billion units by 2012, generating $7 billion, according to ABI Research.
This demand is driven, in large measure, by the need to by large incumbents with technologically superior solutions.
turnaround time is significant. Producers of consumer electronic So what technologies are the four companies working on? The first Competitors include the usual 802.11 suspects, such as Atheros and
integrate more functional blocks on a single IC or system-on-chip products (i.e., semiconductor companies) must introduce new
(SOC). To meet the functional and cost requirements within tight has introduced a universal broadband receiver, enabling region-free Broadcom, as well as emerging “carrier-grade” Wi-Fi chipset providers
products while capturing and maintaining market share in a analog or digital broadcast TV, radio and Global Positioning System such as Celeno. Quantenna argues that its chipsets are the only solutions
delivery schedules, designers must reuse significant portions of their
competitive playing field. The ability to stave off competition depends (GPS) reception. The benefits are obvious. The second has developed that can deliver guaranteed, predictable bandwidth across any size home
designs and migrate them to smaller process technologies. Designer
on offering more product differentiation while at the same time a faster-than-real-time transcoding chip. Anyone who has left their or office network. In addition to better performance, the devices offer
productivity must also increase.
improving margins and maintaining, if not increasing, the return on PC on overnight trying to transfer a video from their TiVo to their lower cost and smaller footprint than competing solutions. The company
Today, digital design reuse is common and used with great,
investment. iPod understands the benefits. Video quality and storage capacity will believes it has at least a one-year leap on the competition.
measurable success. Intellectual property (IP) reuse methodologies
With more efficient analog IP design, reuse and migration always be diametrically opposed, and myriad video standards have I think that’s compelling. For Quantenna’s target whole-home IP
are readily available and well honed for digital blocks because of the
methodologies, semiconductor companies could accelerate adoption become the new Tower of Babel. The third company has developed video distribution market, it’s a market enabler. If Quantenna executes
well-structured and cell-based design characteristics of the digital


of newer process technologies, and create and use more components technology that obsoletes the liquid crystal display (LCD) by replacing as planned, its solution is outstanding, even if video distribution isn’t
world.
from analog IP libraries. Such an approach would make it easier the grossly inefficient process of light modulation via polarization with a requirement.
Although design reuse methodologies across the board could be
to differentiate their products while reducing manufacturing costs. a microelectromechanical systems (MEMS) shutter. The benefits,
improved, analog IP reuse tools and methodologies are practically Behrooz Rezvani, Ph.D., Founder, Chairman & CEO
Improved analog IP reuse is important for foundries as well. Not only including 75 percent lower power, superior image quality and lower
non-existent. An effective IP reuse methodology does not exist in cost, are astounding. Andrea Goldsmith, Ph.D., Co-Founder & CTO
the analog domain because analog design is far less standardized and would they benefit from greater use of advanced processes, but they
would be able to build and license larger libraries of analog IP. My favorite company for the quarter focuses on a tangential Lionel Bonnot, VP, Sales
far more irregular. Even when designers work with a well-defined aspect of the video value chain – transmission. The demise of several Marc Mertsching, VP, Engineering
standard, modifications may be needed. Development is difficult There is tremendous room for improvement in analog IP creation,
ultra-wideband (UWB) companies and the anemic uptake of wireless
because analog and mixed-signal designs are susceptible to multiple migration, and reuse tools and methodologies. 2009 will see significant
video have captured much attention. Why? Because it is a fascinating 3450 W. Warren Ave
advances in this area. It is the next design frontier and one that will

design and process sensitivities. The varied tasks for analog chips problem. After all, who wouldn’t want wireless video? But at what Fremont, California 94538
present huge design challenges, and capturing an analog designer’s soon be conquered. USA
cost? And does it work?
intent through automation has proven to be elusive as well. But I think this focus on ultra high-bandwidth point-to-point (T) 510-743-2260
While there have been several attempts to develop an analog-based About the Author
links is meshuga. A more pressing and achievable problem is whole- (F) 510-743-2261
K.T. Moore, a senior product director in Magma’s custom design business unit, is
reuse methodology, design teams ultimately rely on techniques that home Internet Protocol (IP) video distribution, whether it’s focused (W) www.quantenna.com
responsible for business development and marketing of Magma’s analog migration
require many hours of brute-force simulation and plenty of manual on addressing service provider concerns or consumer requirements.
and simulation products. K.T. has more than 20 years experience in semiconductor
effort. This lack of automation forces them to manually redesign the design and electronic design automation (EDA). He has held various engineering Quantenna Communications is focused on addressing this market.
analog IP portion of the SOC each time it is migrated from one positions with IBM and Texas Instruments. He started his EDA career with The company was founded in January 2006 to develop “chipsets for
process technology to another. Valid Logic Systems Inc. and has held various sales and marketing positions with intelligent wireless networking that deliver ultra-reliable, high-speed Cliff Hirsch (cliff@pinestream.com) is the publisher of Semiconductor
Requirements for an effective reuse methodology include Cadence Design Systems, EPIC Design Technology and Synopsys. Moore received wireless bandwidth to any home, any time, anywhere.” Quantenna has Times, an industry newsletter focusing on semiconductor start-ups
accelerating process migration because smaller process nodes allow a B.S.E.E. and an applied physics degree from Case Western Reserve University in received $27 million in two rounds of funding from Sequoia Capital, and their latest technology. For information on this publication, visit
for integration of more components and offer reduced fabrication Cleveland, Ohio. You can reach K.T. Moore at kt@magma-da.com. Venrock Associates, Sigma Partners and Grazia Equity. The company www.pinestream.com.
38 39
Testing continued from page 3 Awards Dinner continued from page 40

of test parallelism. For instance, LCC and QFN are great in terms a system and a testing solution, as it affords seamless communication Closing and Special Thanks Technologies, Samsung, SAP, SMSC, Teradyne, TSMC and our
of providing more options for electronic design solutions, but are and data flow between the ATE controller and modules. media sponsor PennWell.
The festivities came to a close with the traditional champagne toast
much tougher for multi-DUT applications. Robotic handlers in To reiterate, multi-DUT solutions are only effective if they can
lead by Jodi Shelton. Save the Date
high-volume applications involving LCC and QFN have difficulty execute efficiently with a high degree of parallelism. To accomplish
GSA would like to thank the title sponsor UMC as well as GSA’s 2009 Awards Dinner Celebration has been scheduled for
meeting volume requirements. Also, while smaller packages take up this, the ATE system architecture must be streamlined for optimal
less space on the loadboard, they also create a much bigger challenge ARM, Advantest, Broadcom, Cadence Design Systems, Chartered December 10, 2009 at the Santa Clara Convention Center. Mark
data flow and communication to the instrument modules. In turn,
Semiconductor Manufacturing, IBM, JP Morgan, MagnaChip your calendar for this premier event and visit www.gsaglobal.org/

in terms of signal routing. As signals converge, electrical isolation high-density modules are needed with multiple source-and-capture
problems emerge. resources to assure parallelism. The module resources must be Semiconductor, Marvell, NVIDIA, Oracle, Qualcomm CDMA events for more information.
Pick-and-place handlers are also facing difficulties, especially with distributed to accommodate optimal multi-DUT performance board
regard to x-y axis pitch lengths and pin-one rotation. The open area layouts. Lastly, the performance board and system need to interface
on the guide plate is the fundamental limitation. Today, 1x4 and 2x2 with a handler without incurring any electrical or mechanical
quad-DUT configurations are used, but in the near future, 8x2 and performance penalties that would negate the multi-DUT solution’s
16x1 configurations will be needed. What is really needed is handlers parallel efficiency. Solutions continued from page 23
that can space out parts more to help solve loadboard issues. Only by this kind of thinking can the electronics industry meet the

Reality-Testing, Multi-DUT RF Solutions


industry’s inevitable demands for higher quality and productivity.
▪ the first prototype is manufactured. This is done in the environment
in which the end product will be used, and includes advanced
enabling low-cost ICs in consumer markets. Foundry technologies
that offer sufficient performance trade-offs (e.g., on-resistance or speed
As previously discussed, in the past, packaging and testing challenges About the Author modeling of parasitics that can significantly degrade performance. vs. breakdown) allow IC designers to optimize and enable multiple
were considered from the vantage point of independent solution, Anthony Lum joined Advantest in January 2006 as a SOC product engineer. Design enablement tools for AIMS ICs can be classified into three subsystems on the same chip. Low-cost, yet solid performance
each addressing a unique problem set without reference to the other. He has over 20 years of RF/microwave industry test experience acquired at categories: offerings will often prevail over high-cost, high-performance
Texas Instruments and HP/Agilent. After acquiring his B.S.E.E. at Arizona
The industry can no longer afford to be myopic with regard to test
State University in 1986, he joined TI where he developed microwave wafer
▪ Front-End Modeling: The need for accurate front-end models offerings. Highly integrated modular offerings are important in
challenges. The practical limits described here are real. Business as is essential for AIMS ICs, where the performance trade-offs allowing foundries to develop, qualify and offer superset technologies
and module test systems for military applications. He later moved into the
usual won’t work. The limits imposed by RF instrument density, extend to multiple dimensions. This is in contrast to digital to support a wide spectrum of IC applications, and in allowing IC
semiconductor sector in 1990 and gained valuable experience in RFIC design/test
loadboard layout, processing and packaging, RF testing, package ICs, where optimization is typically limited to speed and design teams to customize the technology feature set at the lowest
and helped launch the RF/wireless business at TI. He joined HP/Agilent in 1996
dimensions and the mechanical capabilities of handlers must be as an applications engineer (AE) to help deploy and grow the installation of the power consumption. In a mobile communication system, for cost. To reduce time-to-market and prototyping costs, best-in-class
recognized.
The new solution to efficient packaging and testing of RF products
HP84K RFIC tester to 250 units worldwide. He took an AE district manager
position in 2000, where he successfully lead and developed a group of 93,000 AEs
instance, the active devices’ gain must be optimized vis-à-vis
linearity, noise and power consumption. Advanced front-end
design automation tools are essential. ▪
lies in a multi-DUT “test cell” approach. This will enable companies in the dynamic and challenging SOC market. He has authored and co-authored models, such as PSP2 (surface potential-based MOS model) About the Authors
to address the complicated interrelationship of all elements in terms of over 15 industry papers. Anthony Lum can be reached at a.lum@advantest.com. for MOSFETs or HICUM3 (high-current model) for NPNs, Dr. Samir Chaudhry leads Jazz Semiconductor’s modeling activities. His research
allow for accurate modeling of active devices. For high-power interests include RF CMOS and statistical modeling. Prior to joining Jazz, he
applications, modeling thermal effects is critical. Advanced was a Distinguished Member of Technical Staff with Bell Labs, where he worked
Awards Dinner continued from page 7 characterization capability using pulsed systems allows accurate on technology computer aided design (CAD) and device modeling for scaled
software, IP and development boards for the consumer, automotive, individuals, such as its namesake Dr. Morris Chang, for their self-heating models to be developed. Likewise, accurate high- silicon technologies. Based in Newport Beach, California, Samir can be reached
industrial, scientific, medical, aerospace and defense industries. exceptional contributions to drive the development, innovation, frequency models for inductors and varactors are vital to ensure at samir.chaudhry@jazzsemi.com.
growth and long-term opportunities for the semiconductor industry. first-time success for RF ICs.
GSA was honored to present this year’s award to Dr. Eli Harari, ▪ Physical Design Enablement: Beginning with scalable models Ramesh Ramchandani leads the marketing effort at Jazz Semiconductor.
founder, chairman and CEO of SanDisk. and p-cells and extending to accurate extraction of layout Previously, he was president and COO of InPlay, a consumer products company.
Dr. Harari has been the CEO of SanDisk since 1988 when he parasitics, physical design enablement capability allows a He also has served in various management positions, including VP/GM of ON
founded the Sunnyvale-based company with his colleagues Sanjay designer to optimize a device’s performance across the geometry Semiconductor, EVP of ZiLOG and director of marketing for Celeritek. Based in
Mehrotra and Jack Yuan. In 1994, SanDisk invented and produced space. Modeling isolation modules, such as triple-well, deep- Newport Beach, California, Ramesh can be reached at ramesh.ramchandani@
the first marketed Flash memory card, the CompactFlash®. Dr. Harari, jazzsemi.com.
trench or SOI layers, aids in the optimization of device size for
a pioneer of non-volatile memory technology, has been a driving force noise performance. Without these capabilities, designers often
in the electronics industry for over 30 years and holds more than 100 Dr. Shye Shapira manages power management research development (RD) at
end up over designing, which leads to larger die sizes.
U.S. and foreign patents. Before founding SanDisk, he co-founded Tower Jazz. Prior to working at Tower, he was at Agere, Lucent-Bell Labs and
Waferscale Integration, oversaw the development and production ▪ Statistical Modeling: Process variation is inherent in any fab. was a research associate at the University of Cambridge. Based in Israel, Dr. Shye
Digital design has long relied on fast, typical and slow corners Shapira can be reached at shyesh@towersemi.com.
President and CEO of Xilinx Moshe Gavrielov accepted the Most Respected Public Semiconductor of Intel’s first-generation stepper and dry etch technology, and held
Company award for the $500 million to $10 billion category. to evaluate the impact on a circuit’s yield. These corner models
technical management positions at Hughes Aircraft and Honeywell.
typically target digital-centric figures of merit, such as speed and Ofer Tamir has led the design enablement department at Tower Semiconductor
Next, the nominees included in the >=$10 billion category power consumption, and have limited use for AIMS designs for the last six years. He has more than 20 years experience in electronic design
were presented by Qualcomm CDMA Technologies’ executive vice where the targeted figure of merit is not known to the modeling automation (EDA) and design flows developing and supporting EDA tools. Prior
president and president Steve Mollenkopf and ARM’s CEO Warren engineer. A statistical model, which mimics the random to Tower, he led the CAD group at DSPG and was with National Semiconductor.
East. The nominees in this category included Intel Corporation and variation of independent process variables in a fab, is the most Ofer is based in Israel and can be reached at oferta@towersemi.com.
Texas Instruments. Intel Corporation, the world leader in silicon accurate method of simulating process variation. Statistical
innovation, was named the winner. Tim Lloyd, senior director at model extraction techniques, such as backward propagation of Resources
1
H. Bennett, et al, IEEE TED, July 2005.
Intel Corporation, accepted the award. Intel Corporation develops variance (BPV), allow models to align with fab statistics4.
2
technologies, products and initiatives to continually advance how G. Gildenblat, et al, “PSP: An Advanced Surface-Potential-Based MOSFET Model for Circuit

people work and live. Conclusion Simulation,” IEEE Transaction on Electron Devices, Vol. 53, No. 9, September 2006, pp. 1979-
1993.
Low cost, performance, integration and modularity are critical
Dr. Morris Chang Exemplary Leadership Award requirements for AIMS foundry technology offerings. Managing
3
HICUM Manual, http://www.iee.et.tu-dresden.de/iee/eb/hic_new/hic_doc.html.
Irwin Federman, a general partner at U.S. Venture Partners, was in attendance to present the Dr.
The Dr. Morris Chang Exemplary Leadership award recognizes Morris Chang Exemplary Leadership Award to Dr. Eli Harari. costs, during both the prototyping and production phase, is vital in 4
C.C. McAndrew, “Statistical Circuit Modeling,” SISPAD 1998.
See Awards Dinner page 41
40 41
Verification continued from page 11 Challenges continued from page 15

fastest and safest to use the transistor-level circuit thereafter without features, PCA tools enable verification of critical emergent properties From RF SOCs to RF MEMS SOCs Conclusion
shortcuts that sacrifice accuracy. Streamlining AMS/RF verification even on highly complex and sensitive circuits. One example is The SOCs described in the previous sections embed a wide set of This article described a selection of innovative, low-power SOCs,
requires tools that provide true SPICE accuracy with much higher closed-loop, transistor-level noise analysis (including device thermal functions (i.e., RF, microcontroller, SRAM, DSP, analog sensor ranging from pure CMOS SOCs to heterogeneous SOCs combining
performance, much higher capacity and the full functionality required and flicker device noise) for integer-N and fractional-N PLLs.
interface, vision sensor, power management unit, etc.) on a single CMOS and MEMS. The design of such miniature and highly
to address critical nanometer CMOS verification challenges such as Applications such as these are literally impossible without PCA tools.
CMOS chip, enabling interesting miniaturization levels for the integrated circuits and systems offers a variety of design challenges and
post-layout and device noise analyses. Another example is ADC verification, including the effects of device
A new generation of PCA tools provides a foundation for targeted applications. innovation fields. In the context of low-power consumption, the SOC
noise, with a simplified transient noise analysis rather than a complex
streamlined verification, including delivering true SPICE accuracy For wireless applications where extreme miniaturization is required examples presented highlight the importance of multi-disciplinary
block-level approach that yields only approximate results. Example
approaches when designing and combining analog, digital, vision,

5x to 30x faster and with 5x to 30x higher capacity than traditional applications include: in addition to low-power consumption, such as for implanted medical
circuit and RF simulation tools — all with full functionality. As devices or hearing instruments, innovative approaches need to be RF and even MEMS within a single miniaturized SOC.
shown in Figure 2, these tools combine the accuracy of traditional ▪ PLL closed-loop, transistor-level noise analysis that includes investigated beyond CMOS SOC integration. The combination of
SPICE/RF simulators with the performance and capacity that device noise. About the Authors
RF MEMS technologies, such as RF bulk acoustic wave (BAW)
Vincent Peiris received his M.S. and Ph.D. degrees in electrical engineering
behavioral modeling and digital fastSPICE can only deliver along ▪ ADC signal-to-noise-and-distortion ratio that includes device noise. resonators and filters, with CMOS technologies provides excellent from the Swiss Federal Institute of Technology (EPFL), Switzerland
with unacceptable accuracy.
▪ Transistor-level memory characterization that includes perspectives for realizing MEMS-based RF SOC solutions4 fitting in 1989 and 1994, respectively. In 1995, he was a visiting scientist at
Figure 2. PCA Tool Accuracy, Performance and Capacity parasitics. within a few mm3 instead of a few cm3. the Microsystems Technology Laboratory of MIT, USA. From 1996 to
1999, he was with LeCroy Inc, Switzerland. In 1999, he joined the Swiss
Full-Circuit Verification Results Figure 3. A Next-Generation, Ultra-Miniature Radio Platform
Center for Electronics and Microtechnology Inc. (CSEM), Neuchâtel,
Capacity becomes essential for full-circuit verification. PCA tools Switzerland, where he has been active in the field of RF CMOS IC design.
robustly generate DC operating points and perform transient analysis Since 2002, he has led the RF and analog IC design group of CSEM.
on multi-million element circuits. Unlike behavioral or digital
fastSPICE approaches, all waveforms have true SPICE accuracy, so Pierre-François Rüedi received his M.S. degree in microtechnology from
design teams get realistic full-circuit performance waveforms and EPFL, Lausanne, Switzerland in 1990. From 1990 to 1992, he worked
for Seiko Instruments, Matsudo, Japan, where he was involved in the design
circuit metrics every run. PCA tools support package models and
and characterization of SRAM memories. He has been with CSEM since
integrated co-simulation with digital logic and behavioral Verilog-A,
1992 and is presently a project manager in its microelectronics division,
enabling full-circuit verification that was previously impossible. working in the domain of analog and mixed-mode design of optical sensors.
Example applications include:
▪ DC operating point simulations for circuits with over five Dragan Manic has been with CSEM since 2007 and is presently the head of
million elements. industrialization and production within its microelectronics division. Prior
On the left, a 2.4GHz RF IC assembled with a BAW RF MEMS filter chip is shown. On the right, a to joining CSEM, Dragan served as product engineering, technology and
Using PCA tools, designers can focus on adding value during ▪ Full-transceiver, transistor-level inter-block interface validation. silicon resonator MEMS co-assembled with a CMOS timing IC. reliability specialist at Semtech and Xemics. Dragan received his M.S. degree
design rather than on making complex verification tradeoffs. ▪ High-speed interface performance simulation with parasitics Figure 3 shows a next-generation, ultra-miniature radio platform
in electrical engineering from the University of Nis, Serbia in 1994 and his
Simulations that always provide true SPICE accuracy require no and packaging. Ph.D. degree in microtechnology from EPFL, Lausanne, Switzerland in 2000.
tradeoffs, no special tool setup or tuning, and no detailed checks where a BAW MEMS chip is co-assembled with a 2.4GHz RF
of the verification results to try to determine if they are “accurate Summary CMOS IC. Whereas traditional integrated radio solutions rely on Simon Gray is responsible for business development in CSEM’s
enough.” With 5x to 30x higher performance, PCA tools slash Design teams developing nanometer-scale AMS/RF circuits bulky external components (e.g., RF SAW filter, RF matching devices microelectronics division. Simon has more than 20 years experience
runtimes from hours to minutes, days to hours, and weeks to days. and low-frequency crystals), the MEMS and CMOS SOC strategy in the semiconductor industry, including engineering and marketing
have been forced to create complex, time-consuming verification
This enables rapid turnaround times, less designer down time and less shrinks these functions by using the miniature BAW RF MEMS positions at Philips, BP, Xemics and Semtech. He has a B.S. degree
methodologies due to fundamental limitations in traditional SPICE
designer context switching. The result is a proven 30 to 40 percent from Nottingham University and an MBA from The Open University.
and RF simulation tools. Such approaches have become extremely and the silicon resonator MEMS counterparts to achieve an order of
decrease in overall design cycle time versus methodologies based on magnitude reduction in the volume of the radio solution as a result
burdensome, introducing substantial risk and keeping designers from For additional information on the presented subject, you can
last-generation tools.
what they do best — adding value through architectural tradeoffs, of co-assembling the MEMS and the CMOS SOC by flip-chip or contact Simon Gray at simon.gray@csem.ch or +41327205080.
Block-Level Verification Results superior circuit design and hand-crafted implementation. wafer-scale assembly.
At the block level, PCA tools enable higher productivity through A new generation of PCA tools makes no comprises. PCA tools Compared with the purely CMOS RF SOC previously discussed, Acknowledgments
faster design iterations, especially for more challenging blocks. deliver true SPICE accuracy with full functionality 5x to 30x faster the heterogeneous co-assembly of the RF CMOS functions together The authors wish to acknowledge the contributions of CSEM’s design teams
Increased performance makes it possible to rigorously characterize and with 5x to 30x higher capacity than traditional circuit and with the MEMS devices presents additional design challenges. within its microelectronics division for the three SOC examples presented in this
blocks through extensive post-layout, device noise, PVT and process RF simulation tools. These capabilities enable a systematic 30 to article. For the RF SOC1, the authors would like to acknowledge the support from
parameter analyses. In addition, PCA tools have capabilities, such 40 percent reduction in the overall AMS/RF design cycle, and the ▪ At the system level, the interaction between MEMS and CMOS Hager Research and Semtech, as well as their contributions to the design of the
calls for novel architectures and signal processing algorithms to SOC and its industrialization.
as robust RF analysis, that never sacrifice accuracy for performance, ability to perform verification tasks that were otherwise impractical
enabling RF designers to optimize the silicon implementation. PCA or impossible. A growing number of companies, from the largest accommodate the imperfections and limitations of the MEMS
devices. Resources
tools enable: semiconductor suppliers to leading-edge start-ups, have already used 1
V. Peiris, et. al., “WiseNET, An Ultra Low-Power RF Transceiver SoC and Communication
▪ >5x faster iterations for complex blocks. PCA tools to verify thousands of circuits in record time, using less ▪ At the assembly level, dedicated wafer-level packaging or

Protocol Solution for Wireless Sensor Networks,” Advances in Analog Circuit Design (AACD)
effort and with unprecedented confidence. system-in-package (SiP) technology platforms need to be 2006 – High-Speed A-D Converters, Automotive Electronics and Ultralow Power Wireless, 345–
▪ Rigorous block characterization with parasitics, device noise 376, Edition Springer, Berlin, Germany (2006).
and variations. developed to co-assemble the MEMS devices with the CMOS
2
About the Author P.-F. Rüedi, et. al., “An SoC combining a 132dB QVGA pixel array and a 32b DSP/MCU
SOC. The subsequent connectivity-related constraints also
▪ Robust RF analyses with true SPICE accuracy on blocks with Paul Estrada is chief operating officer at Berkeley Design Automation in Santa
need to be accounted for when designing the MEMS and the
processor for vision applications,” ISSCC Dig. Tech. Papers, pp. 15-16, Feb. 2009.

>100,000 elements. Clara, California. His prior experience includes executive positions at Cadence, 3
C. Arm, et. al., “Low-Power 32-Bit Dual-MAC 120 μW/MHz 1.0 V icyflex DSP/MCU Core,”
0-In Design Automation and Synopsys. He has engineering degrees with honors
circuits, especially when a variety of MEMS are included (e.g., ESSCIRC Dig. Tech. Papers, pp. 190-193, Edinburgh, Sept. 2008.
Complex Block Verification Results from Stanford University and University of Illinois, and holds three patents. You BAW MEMS; silicon-based, low-frequency resonators; and RF 4
David Ruffieux, et. al., “2.4 GHz MEMS-Based Transceiver”, ISSCC 2008, San-Francisco,
Combining true SPICE accuracy, much higher performance and new can reach Paul Estrada at pi@berkeley-da.com. switches). paper 29.1, pp. 522-523.

42 43
Bleeding Edge continued from page 19 S3 continued from page 24

available that are up to the job, and many mixed-signal IC designers masks. There is no need to feel that FPGAs or structured ASICs and greater effort must be put forth to use off-the-shelf IP solutions. convergence of processes. An element of this has already happened
have used them effectively. Again, the widespread support for Graphic board-level analog circuits that cannot be squeezed onto these digital- with the convergence in the fab sector, where groups of major
Data System (GDS) II means that a company does not have to resort only parts are the company’s only options. Mature processes allow a Q: On the other hand, despite the challenges that exist in this semiconductor companies and fabs have aligned their processes.
company to save costs and improve performance by putting the key fledgling infrastructure, there are a number of emerging growth On the other hand, there is pressure to differentiate and add
to proprietary unified databases used by high-end tools to perform chip
differentiating elements of a product design on one IC. Custom ICs companies focused on analog design services and EDA tools that value. To have a viable, vibrant industry, there must be balance.

assembly. Good practices in partitioning and port naming are far more
many in the industry appear to be unaware of. With start-ups
effective than complex, dedicated chip assembly tools. are no longer the preserve of those companies with deep pockets.
traditionally being a valuable source of innovation, how can Q: The design loop for analog/mixed-signal is often six to eight
A low-cost tool has one further saving on its side. Ongoing the industry strengthen their support for these companies and
About the Author times, and often, the schematic must be re-architected to go
support costs form a major feature of high-end tools. High-end tools bring them to the forefront during the economic downturn?
Paul Double is EDA Solutions’ founder and CEO. After gaining a B.S. (Hons) into an optimization process. What advice can you provide to
are often designed for large, often geographically separated, design in physics and electronics at the University of Warwick, UK, Paul started his A: In today’s tough economic climate, it is vital for companies to manage or even decrease these loop backs?
teams. To deal with the complexities of such an environment often career in IC design with Phillips Semiconductors (now NXP), eventually moving
demands the support of a dedicated computer aided design (CAD) organizations to outsource what they can and focus their
into product management. Paul then spent eight years in design consultancy and A: At S3, we own an optimization tool that is used for front-
internal resources on core competencies. Start-up companies
department or the involvement of expensive maintenance contracts. electronic design automation (EDA) software sales management, first with Rood end design, allowing us to very quickly get to the schematic stage.
providing analog design services and EDA tools can help chip
If a company is working with a smaller mixed-signal design team, Technology, then later with Acapella. It was at Acapella that Paul first gained In one design loop, this optimization engine ensures that the
companies, both fabless companies and integrated device
it must ask itself whether it needs to incur the cost of this type of experience with Tanner’s tools and came to fully appreciate the benefits of MPW architecture chosen and combined with the technology process
services. In 2001, Paul founded EDA Solutions to further the interests of both
manufacturers (IDMs), make the best use of their assets.
CAD support, especially when more cost-effective tools are designed A critical challenge facing small start-ups is limited access to in question will lead to a silicon implementation that meets the
Tanner and MOSIS throughout Europe. In this time, Tanner’s sales in Europe
to work straight out of the box. funding, which is threatening their future. To ensure start-ups stated specifications. In addition to the tool, a company must
have more than tripled, with Tanner now a serious rival to the leading analog
For mature processes, IC design is becoming more accessible thanks have efficient funds, there has been a flow of funding from large have experienced engineers who know the architectures and have
design tool providers. EDA Solutions is a strong supporter of GSA activities in
to the readily available production capacity and lower non-recurrent Europe, with Paul on the steering committee of this year’s Semiconductor Executive original equipment manufacturers (OEMs) and semiconductor deep sub-micron (DSM) experience at leading-edge geometries.
engineering costs made possible by low-cost tools and lower priced Forum in Munich. You can reach Paul Double at +44 (0)1489 564253. companies to the supply chain. Most of these large companies are Also, a company must enact a rigorous review process that is
funding non-recurring engineering (NRE) efforts, paying for the based on years of analog/mixed-signal design. To compete, a
development of IP they need. These large companies understand company must have significant experience in analog/mixed-
that this funding helps build a sustainable supply chain and gives signal design. If they do not have the necessary experience, they
them access to innovation. Without aid from these large companies, are better off simply licensing-in the analog/mixed-signal design
MHS Electronics continued from page 25
start-ups must source funds externally, which may not be presently in the form of IP from an analog/mixed-signal IP vendor.
Swindon site successfully passed its audit for the ISO/TS 16949: The MS/RF PDK Checklist Working Group is further improving available, and if they are, are only available at a high-risk premium.
2002 standard certification – its third period of registration since the Checklist by adding a section that contains an overview of the Q: Analog/mixed-signal design needs more EDA support; however,
February 2003. Although foundries can apply for and meet the portability of the PDK (i.e., database, Pcell language, callback Q: As mentioned previously, over-customization has long been a the EDA industry is suffering. While the semiconductor industry
rigorous requirements for TS certification, fabless companies have yet language and layout transfer format). Have you adopted the Checklist? technical challenge in the analog/mixed-signal design infrastructure. largely depends on the EDA industry, there is little investment from
to demystify the value-added approach for meeting the certification’s (If yes) How does this checklist help MHS and its customers? (If no) There continues to be a lack of standard electronic, voltage, power, semiconductor companies into EDA. From the perspective of an IP
requirements. What are the factors contributing to the inability of What needs to be improved to make this checklist robust enough quality and verification specifications, as well as system partitioning
company, how crucial is it that the EDA industry continues to innovate?
fabless companies to achieve TS certification? How can foundries for MHS to adopt the checklist and provide to its customers? models. Are there areas where standards could be developed to
help their fabless companies demonstrate their commitment to aid the market development for analog/mixed-signal devices that A: We absolutely need innovation from the EDA sector, particularly
rigorous quality standards with the certification bodies? A: We have reviewed and adopted GSA’s Mixed-Signal/RF would address some of these areas? If so, where do you see the in the area of analog/mixed-signal design. Compared to digital
PDK Checklist and believe it is a common platform which can initiative forming to take responsibility for these standards? design, analog/mixed-signal design tools have not brought the same
A: Both the Nantes and Swindon manufacturing sites have help initiate conversation with new customers. We continue to
A: S3 primarily delivers high-performance ADCs, DACs, PLLs and productivity gains. However, with analog/mixed-signal circuits now
successfully fulfilled the requirements of the most severe standards work with our customers and EDA suppliers to ensure we have
analog front-ends (AFEs). There are a number of key parameters (e.g., occupying such a significant portion of a typical SOC, there will
(Automotive ISO/TS 16949, Avionics EN9000 and Environment the most up-to-date PDK support. Currently, we are looking at
signal-to-noise ratio (SNR), signal-to-noise and distortion (SINAD) be increased efforts in this area. While S3 has its own optimization
ISO 14001). One barrier to entry for fabless companies is the the provision of intellectual property (IP) in our CMOS PDKs,
and spurious-free dynamic range (SFDR)) that are generally used to tool, it is not our core business, and our preference would be to
requirement to manufacture a product that is physically installed in simplifying the design kit data and evaluating how customers
a motor vehicle. Thus, fabless organizations cannot obtain a stand- specify this IP. There can be elements of specmanship that make it find suitable tools from EDA vendors. With the various recent tool
use our design kits. We generally receive very positive feedback difficult for the purchaser to compare apples with apples. GSA can developments, such as M-Design from Mephisto Design Automation
alone certification, and would need to become an integral part of regarding our design kits, models and design manuals.
the supply chain by forming a strong partnership with a foundry. reduce this difficulty by setting guidelines to assure users of mixed- (MDA) and the custom productivity enhancement and quality
signal IP that what they are licensing is indeed what they need. standardization tool from IC Mask Design, we do see progress being
An effective way for foundries to help their fabless partners Q: Industry claims indicate serious challenges for the European
semiconductor industry. Competition in Europe’s chip industry Effective customer education is needed. IP customers are made. One common benefit of these innovative, new tools is that
achieve TS certification is for both parties to share the burdens
is lagging, signaling that greater innovation must occur. As a often applying considerable pressure to have variants of what they provide productivity enhancements through semi-automation.
associated with the requirements by contracting service and foundry
company that values technological innovation, what steps do you an IP vendor is offering. This is often driven by technical
agreements, and to mutually demonstrate a commitment to
requirements, and the cost and risk associated with these Q: It is widely known that business success is largely driven
rigorous quality standards. To implement this innovative approach, feel industry leaders must take to restore Europe’s competitive
requirements is not fully appreciated. During the current by creativity and differentiation. From your standpoint, what
flexibility from the registration body and a great deal of trust and advantage on a worldwide scale and foster innovation in Europe?
economic downturn, customers will be more interested in the factors need to be considered in establishing creativity to enhance
efficient communication between the fabless company and the cost- and risk-reduction benefits of buying off-the-shelf IP rather
foundry is required. To demystify the value-added approach of A: From our perspective, we believe that it is not the ability, but IC performance and yield, specifically in the analog realm?
the will of leaders in the industry and government that will help than customization. And those customers who don’t make this
the certification, one must understand that the ISO/TS standard shift run the risk of becoming a casualty of the downturn.
regain our status as a leading global provider of semiconductor A: Four important points need to be addressed here. First, a
is focused on the customer/supplier relationship, aiming to
and electronic technologies. By supporting semiconductor company must acknowledge that creativity adds value. Secondly,
enhance customer satisfaction through continuous improvement, Q: In July 2008, GSA released its Analog/Mixed-Signal/Radio
associations and improving the coordination of R&D programs it needs to decide what creativity it desires to keep for itself.
a zero-defect culture and mastery of the manufacturing process. Frequency (AMS/RF) Process Checklist to specifically address the topic
to foster innovation, Europe’s competitive advantage could be Thirdly, after reaching this decision, a company should feel
of selecting an appropriate process and process options for a specific confident that they can attract, retain and reap the rewards from
Q: To reduce the costs and risks of new IC designs, MHS Electronics restored. We agree with Semiconductor Equipment and Materials design application. While the Checklist is beneficial to semiconductor
provides foundry process design kits (PDKs) to its customers. To International’s (SEMI) recent whitepaper “Recommendations to the this creativity. Finally, a company must recognize that there will
companies in choosing the right process, going even further, do you
further this effort, in March 2004 GSA teamed up with industry European Union and National Governments to Increase Europe’s be times when they will be unable to confine the world’s best
believe the industry will be able to streamline a few common processes
leaders to develop the Mixed-Signal/RF PDK Checklist, which helps Microelectronic Industry Competitiveness” that strong support despite the analog/mixed-signal nature of unique process design? creativity in-house. However, it is better to have access to the

▪ ▪
fabless companies secure a clear understanding of the source data, to dedicated fabs, such as MHS, is necessary to create “more than world’s best, than have a sub-standard team in-house. This is not
completeness and quality of a PDK before using it to design ICs. Moore” innovative devices for new and emerging applications. A: Economic pressure will force the industry towards a so much outsourcing, but rather licensing-in the best talent.
44 45
RF ICs continued from page 33 Foundries continued from page 46

software can be used to establish the appropriate PA settings at the The use of SiGe BiCMOS technology offers the optimal balance should comprise digital and I/O libraries, and analog building blocks characterization data, analog IP and design support. And they must
beginning of each pulse, whether it is a Wi-Fi, WiMAX, Bluetooth of cost, performance and size for multi-function portable or handheld such as bandgap, bias cells and NVM macrocells. support more customers with multiple small-volume designs. Multiple
or cellular one. radios. By separating the RF front end from the baseband/transceiver, re-spins lengthen development cycles to typically two years or more.
With a SiGe BiCMOS process, it is also possible to integrate the designer is free to continue migrating to smaller nodes. The Different Business Models The analog design ecosystem provided by the foundry is intended
analog passive devices, including metal-insulator-metal (MIM) evolution of the RF SOC greatly simplifies the wireless radio’s active Beyond differences in the technical side, there also are differences to help avoid redesigns and accelerate time-to-market. Product ramp
capacitors, interconnects, matching circuitry and filtering elements. component bill of materials, which invites the development of low- in the digital and analog business models. The digital world has schedules of more than two years make business development a
It is important to note that the SiGe matching circuitry is actually
on the die and is not a post-processing technology. Integrating the
cost system-in-package (SiP) solutions for complex radio needs.
▪ fewer designs, but high volume. Fewer process variants are seen,
and the designs are easily transferable between fabs. First-time-right
lengthy process, requiring patience and financial strength.
With longer development and product lifecycles of up to 15 years,
complete front end (Figure 3) into the RF SOC reduces the generation is standard, enabled by comprehensive EDA support. Abundant analog foundries must be financially stable and have a sustainable
About the Authors
of spurious signals such as harmonics. As a result, designers can transferable digital IP can help shorten the design process. Product business model. These technical and business requirements, as well as
Jose Harrison has 20 years experience driving new product and business
eliminate the need for a grounded shield. This is a significant cost development in the semiconductor industry. Previously, Harrison served as business lifetime and availability are consumer driven, and are relatively short the amount of time and depth of expertise needed to transform from
and size savings. line manager for Code Division Multiple Access (CDMA), transceiver and wireless compared to the analog world. a digital foundry model to an analog foundry model – both of which
the digital world lacks – make such a move an invitation to failure in

power amplifier products with IBM Microelectronics, where he managed the design Analog foundry business leads to more tape-ins, and each one often
The Future of RF Integration and production of SiGe power amplifiers for CDMA, Personal Communications requires its own process variant. In contrast to digital designs, first-time- today’s already challenging business environment.
A major requirement for the success of a RF SOC is to allow multiple Service (PCS), Global System for Mobile Communications (GSM) and Digital right typically is not achievable. In addition, transfer from fab to fab About the Authors
PAs on a single die without having the RF chains interfere with each Cellular System (DCS) applications. Harrison has also held senior sales, product is quite difficult and requires substantial effort because the designs are Dr. Jens Kosch, CTO and director of design support and technology development,
other. This requires good isolation, which has been challenging for and business development positions at Raytheon, Fairchild and American fab-specific. Again, that’s because analog designs must cope with much drives all technology directions for X-FAB Silicon Foundries, which focuses on
silicon to achieve. However, it is possible with SiGe, where using Microsystems. Harrison holds a Bachelor of Science degree in engineering physics more complex specifications and the physics of the devices, making fab manufacturing silicon wafers for analog-digital ICs. Prior to X-FAB, Dr. Kosch
recently developed novel isolation techniques results in reduced from Santa Clara University and a Master of Business Administration from the served as director of the design center at Thesys Gesellschaft für Mikroelektronik mbH.
transfer extremely difficult. Extended product lifetime requirements –
harmonics. For instance, ~35dB of isolation has been demonstrated University of New Hampshire. You can reach Jose Harrison at jeh@sige.com. He holds both a Master of Science and Ph.D. in electrical engineering from Ilmenau
in some cases up to 15 years – are typical for analog circuits.
between two front-end chains operating concurrently at +20dBm Technical University, Germany, with a concentration in electronic components.
Peter Gammel has worked with single-electron devices, superconducting devices,
(Figure 3). In the future, the industry can expect to see a RF SOC Moving from Digital to Analog: Invitation to Fail
and microelectromechanical systems (MEMS) and RF acoustic wave devices for Volker Herbig is the technical marketing manager responsible for strategic business
combined in a multi-chip module (MCM) with a baseband transceiver Compared to digital foundries, analog foundries must expand
more than 20 years. He is well acquainted with the processes of intellectual property model development at X-FAB Silicon Foundries. Previously, he held engineering,
SOC, making MCMs simpler and more cost effective to implement. their operations well beyond developing processes and providing marketing and management positions at Siemens, Inkjet Technologies and Carl Zeiss.
investment, new product and funding development. Peter previously served as
Figure 3. A Block Diagram of an RF SOC VP of engineering at a venture-backed start-up, assembling and managing a manufacturing capacity. They need to deliver extensive process He holds a master’s degree in physics from Humboldt University, Berlin, Germany.
EN0 VCC0
15-person team to develop RF acoustic wave products. He was chief technology
Memory IP continued from page 29
officer at both AdvanceNanotech Inc. and Agere Systems (analog products business
Bias & Control
unit), and was a research director at Bell Laboratories. Peter has more than 200 below the thin oxide and exhibits very consistent characteristics from Table 1. A Comparison between Traditional Memories
TX IN 0 50Ω Filter 50Ω TX OUT 0
referred technical publications and more than 25 patents issued and in process. bit cell to bit cell within a memory array. This simplifies the task of
Std. Process High-Temp. High Field
DET 0 DET He holds a Ph.D. in physics from Cornell University and Bachelor of Science porting OTP memory arrays based on the split-channel architecture CMOS Scalable Reliability Density Programmable
Low Cost
RX OUT RX IN
DET 1 DET degrees in physics and mathematics from Massachusetts Institute of Technology from foundry to foundry, and also makes split channel-based OTP
eFuse Yes Yes No No No No
TX IN 1 50Ω Filter 50Ω TX OUT 1 (MIT). You can reach Peter Gammel at plg@sige.com. arrays more easily scalable to shrinking process nodes.
ROM Yes Yes Yes Yes No No*
Split channel-based OTP macros can also be used in a differential
Bias & Control
Resources read mode, which increases both the voltage and temperature Emb. Flash No No No Yes Yes No
1
Bowick, Chris. RF Circuit Design. Elsevier, Inc. 2008. p 185.
EN1 VCC1
operating ranges of macros (Figure 3). This is very useful for Split-
Using novel isolation techniques and integrated matching and filters, this SiGe RF SOC achieved
2
Brewer, John; Peter Gammel; and Darcy Poulin. “Wireless Everywhere? Not Quite Yet.” Mobile Channel Yes Yes Yes Yes Yes Yes
high-temperature operating environments such as those found in
~35dB isolation between two front-end chains operating at +20dBm. Development and Design, September 2008. Antifuse
automotive applications.
This table shows a comparison between traditional memories used to calibrate analog circuits and
Foundries continued from page 37
Figure 3. A Differential Read Mode condition sensor signals, and a memory array based on the split-channel architecture. If a ROM
redesign is needed, it will result in substantial mask and engineering costs.
and timing signals are routed efficiently. As a highly automated yielding, robust designs. Single-Ended Mode Differential Mode
process – at least for technologies above 90-nanometer – it leads to Extensive verification routines are crucial in the design flow to Designing and implementing foundry-friendly IP just makes sense.
variable, fab-independent netlists and easily generated layouts that guarantee the analog/mixed-signal design functions well, can be Such IP allows an IP vendor to provide their products to a broader range
Sense Sense
usually are right the first time. manufactured and is reliable. These verification routines must include Amp Amp
of IP integrators and better serve their customers. IP integrators have
In contrast to digital design – in which only a few device parameters safe operating area (SOA) checks for HV MOS transistors, pre- and enhanced flexibility to have their chips processed at multiple foundries

BLB
BL

BL
such as threshold voltage, leakage and saturation currents need to be post-layout parasitic extraction, design rule checks (DRC), layout versus and to take advantage of the reduced cost and higher integration

WL

WL

WL
considered – analog/mixed-signal design must cope with far more schematic (LVS) routines and electrostatic discharge (ESD) checks. capabilities associated with moving down the process node curve.
complex specifications. The physics of the devices are a primary In addition, the foundry must support a wide range of electronic
Ref About the Author
concern. Parameters such as gain, matching, noise, voltage and design automation (EDA) platforms, enabling designers to choose Bit Cell Bit Cell Bit Cell
temperature coefficients, power dissipation, resistance and the analog/ best-in-class tools for optimizing their design flows. Setting up such a Jim Lipman is currently director of marketing at memory IP provider Sidense. Prior
A differential read mode (right figure) is available for split channel-based OTP arrays. Using two to Sidense, Jim worked at Cain Communications as vice president of client services,
digital interface are especially crucial if there are different internal comprehensive design support and process characterization ecosystem physical bit cells for each addressable memory location eliminates the need for a read reference voltage
and increases the read margin, thus increasing both voltage and temperature operating ranges of the TechOnLine as content director, and at EDN Magazine as application-specific IC
supply voltages. Additionally, parasitic devices and effects, such as is a major precondition for a successful analog foundry. memory array. (ASIC) and electronic design automation (EDA) editor. He also was employed by
crosstalk and substrate noise, and interface issues with the environment Although reusable IP is available on the digital side, reusing IP in
VLSI Technology, where he held various training, marketing and public relations
(e.g., electromagnetic compatibility) are major design challenges. Each the analog world that deals more with the physics of the design is far Table 1 shows a comparison between eFuse, ROM, embedded positions, and did chip designs at both Hewlett-Packard and TI earlier in his career.
device in the analog world must be carefully characterized and modeled more difficult. It is necessary though for analog designers to be able to Flash and split channel-based memory for several desirable design Jim received his B.S.E.E. and M.S.E.E. degrees from Carnegie-Mellon University
across a very large parameter space to ensure a reliable circuit design. A reuse their IP to shorten design time, given the smaller volumes and characteristics. The table shows that a storage technology based on a in Pittsburgh, Pennsylvania and his Ph.D. in electrical engineering from Southern
wide range of statistical models is needed, such as worst-case models, design complexity. One established solution for dealing with this type split-channel, bit-cell architecture provides many of the benefits that Methodist University in Dallas, Texas. He also has a MBA from Golden Gate
statistical corner models and Monte Carlo mismatch models, to enable of problem is having the foundry provide a wide range of analog IP analog and mixed-signal designers need to enhance the operation and University in San Francisco, California. Jim is a senior member of the IEEE. You
circuit design sizing and design-centering techniques that achieve high- optimized for its processes. Analog IP provided by an analog foundry yield of their IP cores. can reach Jim Lipman at 925-606-1370 or jim@sidense.com.
See Foundries page 47
46 47
Flagship Media Sponsor: Association Sponsor: Owned and Produced by:

You might also like