Download as pdf or txt
Download as pdf or txt
You are on page 1of 430

High-Speed Flexible Mounter

KE-2070/2080/2080R

OPERATION MANUALⅡ
(For Programmers and Administrators)

Thank you for purchasing a product of our company.

In order to ensure safe use of the KE-2070/2080/2080R, be sure to


read this Manual before using the machine.
CAUTION
After reading this Manual, keep it at a fixed location so that it will be
available at any time.

40054797
Important

(1) No part of this document may be copied or reproduced without the prior permission of
JUKI Corporation. (Including software and program)
(2) The contents of this document are subject to change without notice.
(3) This manual is prepared with extreme care. However, if you have any question or find
any error or omission in writing, contact our dealer or JUKI Corporation.
(4) JUKI Corporation shall disclaim all the responsibility for any trouble resulting from the
user's abnormal operation regardless of Item (3).
(5) Windows is a registered trademark of Microsoft Corporation USA.
The company names and product names mentioned in this document are generally
registered trademarks or trademarks of the respective companies.
CAUTION For the safe operation of machinery

All personnel engaged in the operation of the chip placer and its accessories (here after referred to as
"machines") including the operators, service and maintenance personnel are required to read through this safety
warning to make familiar with its handling to prevent accidents and injuries.

This "Warning: For the safe operation of machinery" instructions contain aspects that are not included in the
instruction sheet attached to the product.
The following symbols are used throughout this instructions and on the product for the better understanding of
various warning labels. Please make yourself familiar with the contents and act accordingly.

1) Danger levels

Parts of machinery the negligence or mishandling of which committed during the


operation, maintenance or other services on such parts will lead to severe accidents
involving serious personal injuries or even death.
DANGER
Parts of machinery the negligence or mishandling of which committed, or are left
unattended, during the operation, maintenance or other services on such parts may
cause potential danger to the personnel which may lead to injuries and/or even death.
WARNING
Parts of machinery the negligence or mishandling of which committed, or are left
unattended, during the operation, maintenance or other services on such parts may
cause the medium-to-light level of hazards to the personnel involved.
CAUTION

2) Warning, prohibition and directive marks


Warning, prohibition and directives are marked with the following symbols:

Warning marks

Your hands or clothes Beware of moving parts. Beware of movable parts.


may get caught by the Contacts with them may Contacts with them may
machines. cause injuries. cause injuries.

High voltage!! Beware of hot parts. If any load is imposed or you


lay your hand on the
Beware of electric Contacts with them may
machine, it may be
shocks. cause a burn. damaged.

Directive symbols

It symbolizes a contact to a grounding wire. It indicates the direction of turn.

Matters of Caution Regarding Safety

DANGER

To prevent accidents due to electric shock, turn off power supply if it is necessary to open the electrical
equipment box. For added precaution, open the lid of the box only after a lapse of more than five minutes.

To turn off power supply means to turn off a power supply switch and then to
draw out a power plug from a receptacle or to separate the power cable from
the supply side. Hereinafter the same.

Situations in Which It Is Necessary to Turn Off Power Supply


1. In the case of an abnormality or an accident, or in the event of power failure,
immediately turn off power supply.
2. In order to prevent accidents due to unintended start-up of the machine, carry out inspection, repair, or
cleaning of the machine only after turning off power supply.
3. When drawing out the power supply plug, do it by holding the plug itself, not the cord.

i
CAUTION

Basic Matters of Precaution


1. Be sure to read all documents included in this Manual and the attached booklet before using this
machine. Also, keep this Manual carefully so as to be able to read it whenever necessary.
2. Contents of this Manual include items that are not included in specifications of the machine purchased.
3. The machine should be operated only by the operator who has learned how to run it.
4. Regarding the repair or maintenance (excluding daily inspection and other matters specified in the
Manual), ask our company or its agent to do it.
5. General maintenance, inspection, and repair (matters specified in this Manual) shall be conducted only
by specialized maintenance engineers who have finished the maintenance course specified by our
company.
With regard to repair (matters specified in this Manual), use genuine parts of our company.

Safety Equipment, Alarm Label


1. In order to prevent accidents due to nonpresence of safety equipment, start to
operate this machine only after confirming that the equipment is suitably installed at the specified
position.
2. If the safety equipment is dismantled, be sure to attach it to its original position, and confirm that it
operates normally.
3. Make sure that the warning label stuck to the machine will be always clearly visible.
If it has been peeled off or stained, order new labels from our company and exchange old labels with
new ones.
4. If the safety equipment is damaged, remove it. Never operate the machine. If you operate the
machine without the safety equipment, it may lead to severe accidents involving serious personal
injuries or even death.

<Where to stick warning labels>

Safety cover

Moving parts
warning label

"Take care not to be


caught in the machine
warning label

Moving parts
warning label

High voltage
warning labels

Front Rear

Application
1. Never use this machine for purposes other than its original application.
Our company will not be responsible in the case of its use for other application.
2. Do not remodel this machine. Our company will not be responsible for any accident arising as a
result of its remodeling.

Operational Training
1. To prevent accidents due to unaccustomed handling, operation of this machine must be limited to
operators who have participated in the operation training specified by our company and have
appropriate knowledge and operating skills.

ii
CAUTION

Matters of Caution at Each of Its Use Stages


Transport
1. When lifting the machine or moving it, take sufficient safety measures so that no dropping accidents will
occur.
2. Keep the following environment when transportation or storage.
Environment requirements Temperature : -15℃ to 70℃
Humidity : 20% to 95%RH (No condensation)
Unpacking
1. Read all instructions shown on the transport-use container.
2. Never cut tapes with a cutter.
3. Retain delivery-use materials.
Installation
1. To prevent the occurrence of any accident due to unintended moving of the machine in operation,
make casters float using a height adjuster.
2. Install this machine at a flat position.
3. To prevent the occurrence of electric shock, power leakage, or fires, use attached products regarding
cables, and link them to the specified positions.
4. To prevent electric shock, power leakage, or fires, make sure that irrational force will be applied to the
cables while the machine is in operation.
5. Securely fix the power supply plug and the connector of an I/F cable. When drawing out the power
supply plug or the I/F cable, do it by holding the connector unit.
Before Operation
1. To prevent accidents to human bodies, make sure before supplying power that there is no damage,
coming off of parts, or loosening of connectors and cables.
2. To prevent bodily accidents, never put in your hand into the driving unit.
3. Do not place any substance such as a tool under the drive cylinder. Otherwise, such a substance will
interfere with the drive cylinder when the feeder bank moves down, and it may damage the substance
itself, the machine and the connector(s), and then a fire may be caused due to a short circuit.
Greasing
1. Use the grease designated by JUKI only.
2. To prevent the occurrence of an inflammation or rash, immediately wash the related portions if grease
adheres to your eyes or other parts of your body.
3. If grease is mistakenly swallowed, immediately consult a physician to prevent
diarrhea or vomiting.
Maintenance
1. To prevent accidents due to unaccustomedness, repair and adjustment shall be conducted by
maintenance engineers who are versed in the machine. In replacing parts, use genuine parts of our
company. We will not assume any responsibility for an accident due to the use of non-genuine parts.
2. To prevent accidents or electric shock due to unaccustomedness, entrust electrical repair or
maintenance work (including wiring work) to persons versed in electricity, or ask engineers of our
company or its sales company to do it.
3. To prevent accidents due to unintended start-up of the machine, conduct repair or adjustment only
after removing air supply pipes and discharging residual air.
4. To prevent bodily accidents, confirm after such work as repair, adjustment, or parts replacement that
no screws or nuts are loosened.
Use Environment
1. Use the machine in the environment free from effects of noise sources (magnetic waves), such as
high-frequency welders, in order to prevent accidents due to erroneous actions.
2. In order to prevent accidents due to erroneous actions, use the machine in the environment where the
source voltage is within the power supply ±10%.
3. In order to prevent accidents due to erroneous actions, do not use the machine in the environment
where the supplied air pressure is 0.5±0.05MPa.
4. To ensure safety, use the machine under the following environment:
Environment requirements during operation Temperature : 10℃ to 35℃
Humidity : 30% to 80%RH (No condensation)
Altitude : 1,000m or less
5. To prevent accidents due to breakdown of electric/electronic parts, turn on power supply sufficiently
after the fear of dew condensation is eliminated, because such condensation may occur when the
machine is rapidly moved from a cold place to a warm location.
6. To prevent accidents due to breakdown of electric/electronic parts, stop the use of the machine during
lightning, and draw out the power supply plug.

iii
Matters of Caution for Safe Use of KE-2070/2080/2080R

1. To prevent accidents due to electric shock, do not open the electrical equipment box while
power supply is on.
2. To prevent electric shock, do not operate the machine, with the grounding line unlinked.
DANGER
1. To prevent damage to human bodies, do not operate the machine, with the safety cover
or equipment removed.
2. To prevent damage to human bodies, make sure that hair, clothes, etc., will not be caught
by the conveyor chain.
Also, keep off gloves.
CAUTION 3. To prevent damage to human bodies, turn off power supply during maintenance
(greasing, adjustment, and daily inspection).
4. To prevent damage to human bodies, use an earth leakage breaker for the power line.

1. Windows XP (including the Ethernet communication function) is adopted as the Operating


System of this machine.
If you install on this machine any software not designed for this machine, we cannot
guarantee that the machine functions properly. If you move or rename a file stored in the
hard disk drive (HDD) or compress or copy a file or a folder, we cannot guarantee that
CAUTION either.
• Should the machine not operate properly due to any operation above, replace your
SSD or HDD with a new one, and so your data may be lost.
The Enhanced Write Filter (EWF) component is used and the drive C is used as ROM to
protect the Operating System (OS).
1. Do not store any file onto the drive C.
When you try to store a file onto the drive C used as ROM, the file storing operation is
emulated with the main memory. Therefore, when you save a file onto the drive C, the
main memory is used and it weighs down the system. When you repeat saving data on
the drive C, the amount of the memory becomes insufficient and the system displays an
error, so you may have to restart this machine.
2. When you have to change any information of the OS, update the drive C. See Section
1.6 “Updating the Drive C” of this Instruction Manual for how to update the drive C.
Operations that require modification of the OS information are as follows:
CAUTION
− Version-up of KE-2070/80/80R system software and Flexline DB
− Calibration of the touch panel
− Addition of a printer
− Setting of the network (such as settings of the IP address and a work group)
− Addition of a user
− Changing of the Explorer settings
− Changing of the UPS utility (UPSilon 2000) settings
• When you change the OS information, you have to restart this machine after updating
the drive C.
1. A UPS is incorporated into this machine to protect a production program and any other
data at power failure.
To prevent a battery built into the UPS from degrading, do not leave this machine without
turning it on for six months or longer.
CAUTION • Only when the main circuit breaker and main switch are set to ON, this machine is
assumed to be turned on.

<Safety cover>
1. When opening/closing the safety cover, pay attention to the following items.
• When opening the cover, push up the cover until it is locked and release it after
confirming the locked status.
If the cover is released in a non-locked status, it may fall.
CAUTION • When closing the cover, release the locked status and then close the cover.
During a release operation, take care not to get hurt due to falling of the cover.)
• Do not apply an excessive load to the cover, handle, etc.

1. Do not step onto the machine during setup or similar operation because it may damage
the cover or other parts.
CAUTION

iv
Operation manual ⅡContents

For the safe operation of machinery

Table of contents

Chapter 1 Overview of the Machine............................................................. 1-1


1.1 Introduction ........................................................................................................... 1-1
1.2 Highlights .............................................................................................................. 1-2
1.3 System configuration............................................................................................. 1-3
1.3.1 KE-2070 system configuration .................................................................. 1-3
1.3.2 KE-2070C system configuration................................................................ 1-4
1.3.3 KE-2080 system configuration .................................................................. 1-5
1.3.4 KE-2080R system configuration................................................................ 1-6
1.4 Configuration of each device of the machine ...................................................... 1-7
1.4.1 Configuration of the machine .................................................................... 1-7
1.4.1.1 Configuration of the head unit............................................................. 1-9
1.4.1.2 Configuration of the ATC unit (Automatic tool changer) .................... 1-10
1.4.1.3 Nozzle............................................................................................... 1-11
1.4.1.4 Configuration of the OCC ................................................................. 1-12
1.4.1.5 Configuration of the VCS .................................................................. 1-13
1.4.1.6 Handling an HMS ............................................................................. 1-14
1.4.2 Mechanical specifications........................................................................ 1-15
1.4.3 Applicable components and packaging styles ......................................... 1-17
1.4.4 Printed circuit board specifications.......................................................... 1-19
1.4.5 Centering system .................................................................................... 1-24
1.4.6 X, Y, Z , and Θ axes descriptions ............................................................ 1-25
1.5 Menus and Commands ....................................................................................... 1-26
1.6 Idle mode ............................................................................................................ 1-30
1.7 File Operations.................................................................................................... 1-31
1.7.1 New ......................................................................................................... 1-31
1.7.2 Opening a file (to load a file) ................................................................... 1-31
1.7.3 Saving a file............................................................................................. 1-32
1.7.4 Saving a file with the desired name......................................................... 1-32
1.7.5 File Management [Explorer] .................................................................... 1-32
1.7.6 Default Folder.......................................................................................... 1-33
1.7.7 Printer Setup ........................................................................................... 1-33
1.7.8 Print......................................................................................................... 1-33
1.7.9 Control Data Management, Save Machine Data and Save Image Data.. 1-33
1.7.10 Removing the hardware in safety.......................................................... 1-33
1.7.11 Updating the Drive C ............................................................................. 1-34

Chapter 2 Creating a Production Program.................................................. 2-1


2.1 Flowchart .............................................................................................................. 2-1
2.2 Options.................................................................................................................. 2-2
2.2.1 User Level ................................................................................................. 2-2
2.2.1.1 Changing the user level ...................................................................... 2-2
2.2.1.2 User Group Environmental Settings ................................................... 2-3
2.2.2 Date and Time Setting............................................................................... 2-3
2.3 Preparation of a Production Program.................................................................... 2-4
2.3.1 Starting up the Program Editor .................................................................. 2-4
2.3.2 Procedure for creating a production program............................................ 2-4
Operation manual ⅡContents

2.3.3 PWB data .................................................................................................. 2-5


2.3.3.1 Basic setting ....................................................................................... 2-5
2.3.3.2 Dimension setup ................................................................................. 2-9
2.3.4 Placement data ...................................................................................... 2-28
2.3.4.1 Viewing the placement data screen .................................................. 2-28
2.3.4.2 Entry items........................................................................................ 2-29
2.3.5 Component data...................................................................................... 2-35
2.3.5.1 Viewing the component data screen................................................. 2-35
2.3.5.2 Creating of component data ............................................................. 2-37
2.3.6 Pick data ................................................................................................. 2-70
2.3.6.1 “Pick” data screen display................................................................. 2-70
2.3.6.2 Setting items ..................................................................................... 2-73
2.3.7 Vision data .............................................................................................. 2-77
2.3.7.1 “Vision” data screen display.............................................................. 2-77
2.3.7.2 Setting items..................................................................................... 2-78
2.3.7.3 Element ............................................................................................ 2-94
2.3.7.4 Vision Control ................................................................................... 2-94
2.3.7.5 Light Control data ............................................................................. 2-96
2.3.8 General-Purpose Vision Components ..................................................... 2-97
2.3.8.1 Overview of a general-purpose vision component ............................ 2-97
2.3.8.2 Simple Input: Quick Entry of Vision Data on a General-Purpose Vision
Component ..................................................................................... 2-98
2.3.8.3 Specifications of a general-purpose vision component ................... 2-105
2.3.8.4 Procedure for Creating Data
on a General-Purpose Vision Component ...................................... 2-106
2.3.8.5 Data Entry Items ............................................................................. 2-107
2.3.8.6 Component Viewer ......................................................................... 2-114
2.3.9 Checking the status of data completion................................................. 2-122
2.3.10 Line coherence check .......................................................................... 2-123
2.4 Optimization ...................................................................................................... 2-124
2.4.1 Conditions setting for optimization ........................................................ 2-124
2.4.1.1 Component supply count ................................................................ 2-124
2.4.1.2 Setting the optimization conditions ................................................. 2-126
2.4.2 Executing the Optimization function ..................................................... 2-134
2.4.2.1 Executing the Optimization function................................................ 2-134
2.4.2.2 Saving a file .................................................................................... 2-134
2.4.2.3 Checking the divided component placement data........................... 2-135
2.4.2.4 Nozzle layout .................................................................................. 2-136
2.4.2.5 Feeder layout.................................................................................. 2-137
2.5 Other Functions................................................................................................. 2-140
2.5.1 Editing ................................................................................................... 2-140
2.5.1.1 “Edit” menu................................................................................ 2-140
2.5.2 Teaching............................................................................................... 2-148
2.5.2.1 How to use the Handheld Operating Device (HOD)........................ 2-148
2.5.2.2 Teaching coordinates ...................................................................... 2-150
2.5.2.3 Teaching a mark ............................................................................. 2-153
2.5.3 Environment setting .............................................................................. 2-161
2.5.4 Machine operation................................................................................. 2-164
2.5.4.1 Transport......................................................................................... 2-165
2.5.4.2 Measurement.................................................................................. 2-167
2.5.4.3 Inspection ....................................................................................... 2-171
Operation manual ⅡContents

2.5.4.4 Confirmation ................................................................................... 2-176


2.5.4.5 Management................................................................................... 2-184
2.5.4.6 Teaching a component.................................................................... 2-185
2.5.5 Print...................................................................................................... 2-187
2.5.6 Help...................................................................................................... 2-188
2.5.7 Exit ....................................................................................................... 2-188

Chapter 3 Production...................................................................................... 3-1


3.1 Overview ............................................................................................................... 3-1
3.1.1 Production mode ....................................................................................... 3-1
3.1.2 Production flowchart.................................................................................. 3-2
3.2 Production Screen................................................................................................. 3-3
3.2.1 Starting up the Production screen ............................................................. 3-3
3.2.2 Production conditions screen .................................................................... 3-3
3.2.2.1 Common setting items ........................................................................ 3-4
3.2.2.2 Individual setting items........................................................................ 3-5
3.2.3 Start of production ..................................................................................... 3-8
3.2.3.1 “Production status” screen .................................................................. 3-9
3.2.3.2 Production I/O Status ........................................................................ 3-11
3.2.3.3 Pause................................................................................................ 3-12
3.2.3.4 Suspending PWB production ............................................................ 3-13
3.2.3.5 End of production.............................................................................. 3-13
3.3 Production Management Information .................................................................. 3-14
3.3.1 Production management information ...................................................... 3-14
3.3.2 Production information ............................................................................ 3-20
3.3.3 Conveyor status ...................................................................................... 3-22
3.3.3.1 Production idle screen ...................................................................... 3-22
3.3.3.2 Production screen ............................................................................. 3-23
3.4 Tool ..................................................................................................................... 3-24
3.4.1 Setting the number of components ......................................................... 3-24
3.4.2 Operation option...................................................................................... 3-25
3.4.3 Modifying data......................................................................................... 3-26
3.4.4 Automatic PWB width adjustment ........................................................... 3-27
3.4.5 Retry list .................................................................................................. 3-27
3.4.5.1 Displaying the “Retry list” at end of production ................................. 3-27
3.4.5.2 Retry list displayed during PWB production ...................................... 3-28
3.4.5.3 Pick position correction ..................................................................... 3-31
3.4.6 Checking ................................................................................................. 3-31

Chapter 4 Other Functions............................................................................. 4-1


4.1 Database............................................................................................................... 4-1
4.1.1 Flexline Database .................................................................................... 4-1
4.1.1.1 How to Use a Flexline Database......................................................... 4-1
4.1.1.2 Starting Up the Database.................................................................... 4-4
4.1.1.3 Creating/Editing Component Data (Including Vision Data).................. 4-9
4.1.1.4 Package Type ................................................................................... 4-14
4.1.1.5 Search .............................................................................................. 4-15
4.1.1.6 Tools ................................................................................................. 4-16
4.1.1.7 Creating Vision Data ......................................................................... 4-25
4.1.1.8 Print .................................................................................................. 4-25
4.1.1.9 Quitting the Database ....................................................................... 4-26
4.1.2 IS Component Database ......................................................................... 4-26
4.1.2.1 How to Use the IS Component Database ......................................... 4-26
Operation manual ⅡContents

4.1.2.2 Export component data to the IS component database .................... 4-29


4.1.2.3 Search .............................................................................................. 4-30
4.2 Operation Option................................................................................................. 4-33
4.2.1 Overview ................................................................................................. 4-33
4.2.2 Detailed Setting Items ............................................................................. 4-33
4.2.2.1 Setting the Teaching option............................................................... 4-33
4.2.2.2 Setting the Production (Display) option............................................. 4-34
4.2.2.3 Setting the Production (Function) options ......................................... 4-36
4.2.2.4 Setting the Production (Function2) option......................................... 4-38
4.2.2.5 Setting the Production (Pause) option .............................................. 4-40
4.2.2.6 Setting the Production (Check) option .............................................. 4-42
4.2.2.7 Setting the Function enable option ................................................... 4-43
4.2.2.8 Setting the Production (Function 3) option........................................ 4-45
4.2.2.9 Setting the option on the “Production (Pause 2)” tab ........................ 4-46
4.3 Machine Management Information...................................................................... 4-47
4.4 Machine Setup .................................................................................................... 4-48
4.4.1 Overview ................................................................................................. 4-48
4.4.2 Starting Up or Exiting the Machine Setup Utility ...................................... 4-50
4.4.2.1 Starting up the Machine setup utility ................................................. 4-50
4.4.2.2 Exiting the Machine setup utility........................................................ 4-51
4.4.3 File .......................................................................................................... 4-52
4.4.3.1 Registered nzl. no table .................................................................... 4-52
4.4.3.2 Read Nzl. data (read nozzle data) .................................................... 4-52
4.4.4 Setting Group .......................................................................................... 4-53
4.4.4.1 ATC nozzle setup.............................................................................. 4-53
4.4.4.2 Vacuum value without nozzle ........................................................... 4-58
4.4.4.3 Reference pin position ...................................................................... 4-59
4.4.4.4 Shape clamp position ....................................................................... 4-60
4.4.4.5 MTC shuttle pick position.................................................................. 4-61
4.4.4.6 MTS position offset ........................................................................... 4-63
4.4.4.7 Component reject position ................................................................ 4-65
4.4.4.8 IC Collection Belt position................................................................. 4-66
4.4.4.9 Head Wait Position ........................................................................... 4-68
4.4.4.10 Device enable................................................................................. 4-69
4.4.4.11 Online connection ........................................................................... 4-77
4.4.4.12 PWB conveyor ................................................................................ 4-78
4.4.4.13 Signal light ...................................................................................... 4-78
4.4.4.14 Bad mark sensor threshold............................................................. 4-80
4.4.4.15 Coplanarity ..................................................................................... 4-83
4.4.4.16 VCS Cleanliness Check.................................................................. 4-84
4.4.4.17 Check CAL Block Cleanliness ........................................................ 4-85
4.4.4.18 Laser Unit Interference Check ........................................................ 4-86
4.4.4.19 Setting two-dimensional code position on a PWB .......................... 4-90
4.4.4.20 Setting PWB Barcode Recognition for Placement Monitor ............. 4-93
4.4.4.21 Network settings of the Placement Monitor .................................... 4-93
4.4.5 Mechanical Setup.................................................................................... 4-94
4.5 Manual Control.................................................................................................... 4-95
4.5.1 Overview ................................................................................................. 4-95
4.5.2 Starting Up or Quitting the Manual Control Utility .................................... 4-96
4.5.2.1 Starting up the Manual control utility ................................................. 4-96
4.5.2.2 Quitting the Manual control utility...................................................... 4-96
4.5.3 Head control menu.................................................................................. 4-97
4.5.4 Laser image display ................................................................................ 4-97
Operation manual ⅡContents

4.6 Self Calibration.................................................................................................... 4-99


4.6.1 Overview ................................................................................................. 4-99
4.6.2 Set-up Group......................................................................................... 4-100
4.6.2.1 Laser/Sensor Height ....................................................................... 4-100
4.6.2.2 Rotation Center of the Nozzle......................................................... 4-101
4.6.2.3 Head offset ..................................................................................... 4-102
4.6.2.4 VCS Offset...................................................................................... 4-104
4.6.2.5 Vacuum calibration.......................................................................... 4-105
4.6.2.6 FCS (optional)................................................................................. 4-105
4.7 Others ............................................................................................................... 4-106
4.7.1 Log Tool................................................................................................. 4-106
4.7.1.1 Log Tool .......................................................................................... 4-106
4.7.2 Automatic Log Recording Function ....................................................... 4-107
4.7.2.1 Automatic log obtaining function to be executed if an error occurs . 4-107
4.7.2.2 Automatically obtaining a log file on production performed
immediately before the system pauses.......................................... 4-108
4.7.3 What to do at power failure ................................................................... 4-109
4.7.3.1 Uninterruptible Power Supplies (UPS) ............................................ 4-109
4.7.3.2 Corrective action at power failure ................................................... 4-109
4.7.3.3 Recovery operation after power failure (Turning on the machine) ...4-111

Chapter 5 Daily maintenance ......................................................................... 5-1


5.1 List of Daily Routine Checks ................................................................................. 5-1
5.2 Checking Each Part .............................................................................................. 5-3
5.2.1 Air pressure............................................................................................... 5-3
5.2.2 Option filter................................................................................................ 5-4
5.2.3 Piping and joint.......................................................................................... 5-5
5.2.4 Each unit air cylinder ................................................................................. 5-5
5.2.5 Air filter (common to the LNC head and the IC head) ............................... 5-8
5.2.6 Transport belt ............................................................................................ 5-9
5.2.7 Transport pulley....................................................................................... 5-10
5.2.8 Gas spring............................................................................................... 5-10
5.2.9 Stopper chip ............................................................................................ 5-11
5.2.10 Cables and connectors.......................................................................... 5-12
5.2.11 Vacuum filter ( optional ) ........................................................................ 5-13
5.3 Cleaning.............................................................................................................. 5-18
5.3.1 XY axis direct drive unit........................................................................... 5-18
5.3.2 Transport sensors ................................................................................... 5-19
5.3.3 CAL block................................................................................................ 5-19
5.3.4 Laser align sensor (LNC60/FMLA).......................................................... 5-20
5.3.5 EPV61 (Placement monitor option).................................................. 5-22
5.3.6 VCS unit .................................................................................................. 5-23
5.3.7 Nozzle ..................................................................................................... 5-24
5.3.8 Nozzle outer ............................................................................................ 5-26
5.3.9 ATC Unit.................................................................................................. 5-27
5.3.10 Feeder bank .......................................................................................... 5-27
5.3.11 Overall feeder exchange trolley (optional) ............................................. 5-28
5.3.12 CVS (Component Verification System) (Option).................................... 5-28
5.3.13 OCC (Polarizing filter) ........................................................................... 5-29
5.3.14 Coplanarity sensor ................................................................................ 5-29
5.3.15 Load control unit (optional).................................................................... 5-30
5.3.16 Fan motor filter ...................................................................................... 5-31
5.4 Lubrication .......................................................................................................... 5-32
5.4.1 XY-shaft direct drive unit ......................................................................... 5-32
Operation manual ⅡContents

5.4.2 Transport screw shaft (Shaft) .................................................................. 5-33


5.4.3 Transport guide shaft .............................................................................. 5-33
5.4.4 PWB stopper part .................................................................................... 5-33
5.4.5 Ball screws and spline shaft (Head part) ................................................. 5-34
5.4.6 Support table........................................................................................... 5-35
5.4.7 Overall feeder exchange trolley (optional)............................................... 5-35
5.5 Replacing the UPS Battery with a New One ....................................................... 5-36
5.5.1 Removing the UPS.................................................................................. 5-36
5.5.2 Replacing Batteries ................................................................................. 5-37
5.5.3 How to discard a used battery................................................................. 5-38
5.6 Consumable Parts List ........................................................................................ 5-39
5.7 Parts Recommended to Be Replaced on a Regular Basis.................................. 5-41

Chapter 6 Operating of Troubleshooting ...................................................... 6-1


6.1 Component Placement Errors ............................................................................... 6-1
6.1.1 Component placement errors occur over the entire board
(this error occurs on each board repeatedly). .......................................... 6-1
6.1.2 Component placement positions are shifted in various directions
over the entire board
(Coordinates are shifted in the different way depending on a board). .... 6-2
6.1.3 A component placement error occurs at only part of a board. ................... 6-3
6.1.4 Only a certain type of component is placed at the wrong position............. 6-4
6.1.5 Component placement angle error............................................................ 6-5
6.2 Component Pick-Up Errors ................................................................................... 6-6
6.3 Laser Recognition (Component Recognition) Errors............................................. 6-7
6.4 Nozzle Attachment/Detachment Error................................................................... 6-7
6.5 Mark (BOC Mark/IC Mark) Recognition Errors ...................................................... 6-8
6.6 Vision Recognition Errors...................................................................................... 6-9
6.7 Other Errors ........................................................................................................ 6-10
Operation manual Ⅱ

Chapter 1 Overview of the Machine

1.1. Introduction
Our new Mounters, KE-2070 and KE-2080 are the successors of KE-2050R/KE-2060R
Mounters, and inherit the flexibility performance under the concepts that have been
built up historically, and they are new series models of one-by-one system whose
economy, reliability, serviceability and safety are further improved.
As the KE-2080R was added to the series, this has enhanced the applicability to
large-shape components further.

KE-2070/80/80R can also use the component supply unit (tape, stick, or bulk) and
production program used in the existing KE series. This permits a reduction of
installation cost and a smooth startup of the system.

The operability is improved thanks to Windows XP, which is used as the Operating
System (basic software) of the software.

Additionally, the Intelligent Shopfloor Solutions (IS), which are the manufacturing
support system to be used with the JUKI Mounters and Dispensers, allow you to
manage the productions not only per line but also per floor. The IS manages and
optimizes the information and jobs for a whole manufacturing floor comprehensively to
provide you improved productivity, better manufacturing quality, and cost reduction.
* KE-2070/KE-2080/80R can run with the ”Host line computer (HLC) ” and the ”Setup
control system (SCS): KE-2070/2080 only” that prevents a wrong component from
being placed.
*For the details of the IS, please refer to “IS Instruction Manual”.

Features of each model


• KE-2070 Mounter is suited mainly for high-speed placement of small chip
components, thus, it is able to perform high-speed placement of a thin chip-shaped
components and small type QFP, CSP and BGA.
Also, the placement monitor (option) is available with KE-2070 Mounter; the
placement monitor is to perform the simple inspection of the picking and placing
status by monitoring the components with the camera mounted on the head.
• KE-2080 Mounter not only has the capabilities of KE-2070 but also can place ICs
such as large QFPs and BGAs on a board.
• The KE-2080R uses the FMLA (laser unit) for the IC head (exclusively for vision
recognition) of the KE-2080 so that it may be highly applicable large-shape
components.
• For each model can set an MNVC that mounts a small type QFP, BGA or CSP by
recognizing them with the Vision Centering System (VCS) as an option.
• The placement monitor (option) is available with Mounter; the placement monitor is
to perform the simple inspection of the picking and placing status by monitoring the
components with the camera mounted on the head.

1−1
Operation manual Ⅱ

1.2 Highlights

− High-speed placement is available thanks to the new concept laser line sensor
(LNC60) that can recognize six nozzles simultaneously.
• Configuration of one LNC60 head and 6 nozzles(KE-2070/2070C)
• Configuration of one LNC60 nozzle and 6 nozzles + one IC head and 7
nozzles (KE-2070/2080R)
− This model is applicable to placement of 0402 components by using the laser line
sensor (LNC60).
− An independent AC servo motor is used for each of up/down operation (Z axis)
and rotating operation (theta axis) of each nozzle shaft to attain high-speed and
high-accuracy placement.
− Auto teaching (paper tapes of 0402 to 3216 components) is performed about the
component pick position. This can shorten the preparation time and reduce
placement errors.
− The PWB support section (support table) is driven by motor. This prevents
vibrations at PWB unclamp operation with the result that the component shift can
be prevented after placement and the clamp/unclamp time can be reduced.
– The bad mark of defective circuit mark can be detected by standard OCC.
– Using abundant graphic displays and a touch panel (option) facilitates data entry
and machine operations.
– A function (FCS: Flexible Calibration System) for the mounter to recognize a
placement position offset and correct this offset is provided to keep the placement
accuracy at delivery. (Option)
– Installing a height measuring system (HMS) and a feeder batch setting function
(option) can minimize the machine stop time at setting to attain a high-production
ratio.
– To prevent a placement error due to incorrect component setting, an incorrect
component placement preventive system (SCS) is prepared. (Option)
– A feeder position indicator (FPI) is installed. This can inform the operator of "No
component notice" or "Residual component quantity alarm" by LED, which may
occur during production, and induce the replacement feeder. (Option)
– Load check function and calibration function to be performed with a load
calibration/station. (Option)
– Use of a vacuum pump greatly improves the stability of air supply available when
the machine picks up a component. (Option)

1−2
Operation manual Ⅱ

1.3 System configuration


1.3.1 KE-2070 system configuration

KE-2070
Power unit Emergency stop button
Cabinet Signal tower with the buzzer Uninterruptible power system (UPS)
Mini signal light *1 Leakage breaker *1
Super Foot *1
Caster *1
CPU board Liquid crystal display
I/O control CPU Mouse
Motor control unit Keyboard
X-Y positioning unit HOD

Placing head Laser recognition head (LNC60) HDD, SSD

Function designed for a Laser recognition head (LNC61) Placement Monitor *1, 100 BASE / 10 BASE T
component of which Ethernet board
Offset Correction Camera (OCC) Lighting unit of solder recognition
height is 6 mm (SC) USB port
Height Measurement System (HMS) Touch panel *1
Function designed for a
component of which Bad Mark Reader (BMR) *1 Rear side operation unit *1
height is 12 mm (NC) *1 CD drive (USB)
Function for 0402 components FD drive(Internal/USB)

Vision Centering System (VCS) VCS Camera *1 *2 Standard camera (vision field: 54 mm)
MNVC *1, *2 High-resolution camera (vision field: 27 mm) *2
PWB conveyor unit Placement station Outline reference
Automatic Tool Changer Automatic PWB transportation Pin reference *4
(ATC) Width adjustment device (AWC)
Extension of the conveyor *1
L-Wide *1
Longer-sized PWB in X axis*1

Feeder bank Batch feeder change function *1 Spare feeder trolley


Feeder float detecting function Connector bracket *1 Intelli trolley *5
Air pressure unit piping system Main line filter *1 Equipment or a function surrounded by a
Vacuum pump *1 dashed line is optional.
SOT direction check function *1
*1 An option indicated with an asterisk “*” is to be installed
Components Verification System (CVS) *1
on the main unit at the factory.
Coplanarity function *1, *3 *2 The MNVC and the component recognition camera
(54mm) are supplied as a set.
Non-stop operation function *1 (The 27 mm high-resolution camera is an option.)
Load calibration stage *1 Load control nozzle *3 The MNVC function is required.
*4 Excluding the L-Wide / E size PWB specification
Offset Placement After Solder Screen-Printing *1 *5 The use of the Intelli SCS functions requires the RFID
Flexible Calibration System (FCS) system (factory option).

Feeder position indicator function (FPI) *1


Component supply unit Tape feeder *5, Bulk feeder, Stick feeder, Stack stick feeder
Automatic tape cutter Dual tray server (TR-1SNR)
IC collection belt Matrix tray changer (TR-6SNR/TR-6DNR) *5
Tape reel attaching platform Matrix tray server (TR-5SNR/TR-5DNR) *5
Trash box Tray holder
Intelligent Shopfloor Solutions (IS) Intelli SCS Intelli Stocker*5
Setup Control System (SCS)
External Programming Unit (EPU)
Host Line Computer (HLC) Board viewer

1−3
Operation manual Ⅱ

1.3.2 KE-2070C system configuration

KE-2070C
Power unit Emergency stop button
Cabinet Signal tower with the buzzer Uninterruptible power system (UPS)
Mini signal light *1 Leakage breaker *1
Super Foot *1
Caster *1
CPU board Liquid crystal display
I/O control CPU Mouse
Motor control unit Keyboard
X-Y positioning unit HOD

Placing head Laser recognition head (LNC60) HDD, SSD

Function designed for a Laser recognition head (LNC61) Placement Monitor *1 100 BASE / 10 BASE T
component of which Ethernet board
height is 6 mm (SC)
Offset Correction Camera (OCC) Lighting unit of solder recognition*1
USB port
Height Measurement System (HMS) Touch panel *1
Function designed for a
component of which Bad Mark Reader (BMR) *1
height is 12 mm (NC) *1 CD drive (USB)
Function for 0402 components FD drive(Internal/USB)

PWB conveyor unit Placement station Outline reference


Automatic Tool Changer Automatic PWB transportation Pin reference
(ATC) Width adjustment device (AWC)
Extension of the conveyor *1
L-Wide *1
Longer-sized PWB in X axis*1

Feeder bank Connector bracket *1


Feeder float detecting function
Air pressure unit piping system Main line filter *1 Equipment or a function surrounded by a
Vacuum pump *1 dashed line is optional.
SOT direction check function *1
*1 An option indicated with an asterisk “*” is to be installed
Components Verification System (CVS) *1
on the main unit at the factory.

Load calibration stage *1 Load control nozzle


Offset Placement After Solder Screen-Printing *1
Flexible Calibration System (FCS)
Feeder position indicator function (FPI) *1
Component supply unit Tape feeder , Bulk feeder, Stick feeder, Stack stick feeder
Automatic tape cutter Tray holder
IC collection belt
Tape reel attaching platform
Trash box

Setup Control System (SCS)


External Programming Unit (EPU)

1−4
Operation manual Ⅱ

1.3.3 KE-2080 system configuration

KE-2080
Power unit Emergency stop button
Cabinet Signal tower with the buzzer Uninterruptible power system (UPS)
Mini signal light *1 Leakage breaker *1
Super Foot *1
Caster *1
CPU board Liquid crystal display
I/O control CPU Mouse
Motor control unit Keyboard
X-Y positioning unit HOD

Placing head Laser recognition head (LNC60) HDD, SSD


Function designed for a Laser recognition head (LNC62)/Placement Monitor *1 100 BASE / 10 BASE T
component of which Ethernet board
height is 12 mm (NC) Height Measurement System (HMS)
USB port
Function designed for a Offset Correction Camera (OCC)L
component of which Lighting unit of solder recognition Touch panel *1
Offset Correction Camera (OCC)R
height is 20 mm (HC) *1 Rear side operation unit *1
Bad Mark Reader (BMR) *1
Function designed for a CD drive (USB)
component of which FD drive(Internal/USB)
height is 25 mm (EC) *1*2
Function for 0402 components
Vision Centering System (VCS) VCS Camera Standard camera (vision field: 54 mm)
MNVC *1, *3 High-resolution camera (vision field: 27 mm) *1
PWB conveyor unit Placement station Outline reference
Automatic Tool Changer Automatic PWB transportation Pin reference *4
(ATC) Width adjustment device (AWC)
Extension of the conveyor *1
L-Wide *1
Longer-sized PWB in X axis*1

Feeder bank Batch feeder change function *1 Spare feeder trolley


Feeder float detecting function Connector bracket *1 Intelli trolley *5
Air pressure unit piping system Main line filter *1 Equipment or a function surrounded by a
dashed line is optional.
SOT direction check function *1 Vacuum pump *1
*1 An option indicated with an asterisk “*” is to be installed
Components Verification System (CVS) *1 on the main unit at the factory.
Coplanarity function *1, *3 *2 Only the machine for E size PBWs is applicable to
a component height of 25 mm.
Non-stop operation function *1 *3 High-resolution camera recognition components with a
Load calibration stage *1 Load control nozzle short side of less than 3 mm can be manufactured by
LNC60 head without using the MNVC option.
Offset Placement After Solder Screen-Printing *1 *4 Excluding the L-Wide / E size PWB specification
Flexible Calibration System (FCS) *5 The use of the Intelli SCS functions requires the RFID
system (factory option).
Feeder position indicator function (FPI) *1
Component supply unit Tape feeder *5, Bulk feeder, Stick feeder, Stack stick feeder
Automatic tape cutter Dual tray server (TR-1SNR)
IC collection belt Matrix tray changer (TR-6SNR/TR-6DNR) *5
Tape reel attaching platform Matrix tray server (TR-5SNR/TR-5DNR) *5
Trash box Tray holder
Intelligent Shopfloor Solutions (IS) Intelli SCS Intelli Stocker*5
Setup Control System (SCS)
External Programming Unit (EPU)
Host Line Computer (HLC) Board viewer

1−5
Operation manual Ⅱ

1.3.4 KE-2080R system configuration

KE-2080R
Power unit Emergency stop button
Cabinet Signal tower with the buzzer Uninterruptible power system (UPS)
Mini signal light *1 Leakage breaker *1
Super Foot *1
Caster *1
CPU board Liquid crystal display
I/O control CPU Mouse
Motor control unit Keyboard
X-Y positioning unit HOD

Placing head Laser recognition head (LNC60) HDD, SSD


Function designed for a Laser recognition head (LNC62)/Placement Monitor *1 100 BASE / 10 BASE T
component of which Ethernet board
height is 12 mm (NC) Height Measurement System (HMS)
USB port
Function designed for a Offset Correction Camera (OCC)L
component of which
Lighting unit of solder recognition Touch panel *1
Offset Correction Camera (OCC)R
height is 20 mm (HC) *1 Rear side operation unit *1
Bad Mark Reader (BMR) *1
Function designed for a CD drive (USB)
component of which FD drive(Internal/USB)
height is 25 mm (EC) *1*2

Function for 0402 components


Vision Centering System (VCS) VCS Camera Standard camera (vision field: 54 mm)
MNVC *1, *3 High-resolution camera (vision field: 27 mm) *1
PWB conveyor unit Placement station Outline reference
Automatic Tool Changer Automatic PWB transportation Pin reference *4
(ATC) Width adjustment device (AWC)
Extension of the conveyor *1
L-Wide *1
Longer-sized PWB in X axis*1
Feeder bank Batch feeder change function *1 Spare feeder trolley
Feeder float detecting function Connector bracket *1 Intelli trolley *5

Air pressure unit piping system Main line filter *1


Equipment or a function surrounded by a
SOT direction check function *1 Vacuum pump *1 dashed line is optional.
Components Verification System (CVS) *1 *1 An option indicated with an asterisk “*” is to be installed
on the main unit at the factory.
Coplanarity function *1, *3 *2 Only the machine for E size PBWs is applicable to
Non-stop operation function *1 a component height of 25 mm.
*3 High-resolution camera recognition components with a
Load calibration stage *1 Load control nozzle short side of less than 3 mm can be manufactured by
Offset Placement After Solder Screen-Printing *1 LNC60 head without using the MNVC option.
*4 Excluding the L-Wide / E size PWB specification
Flexible Calibration System (FCS) *5 The use of the Intelli SCS functions requires the RFID
Feeder position indicator function (FPI) *1 system (factory option).

Component supply unit Tape feeder *5, Bulk feeder, Stick feeder, Stack stick feeder
Automatic tape cutter Dual tray server (TR-1SNR)
IC collection belt Matrix tray changer (TR-6SNR/TR-6DNR) *5
Tape reel attaching platform Matrix tray server (TR-5SNR/TR-5DNR) *5
Trash box Tray holder
Intelligent Shopfloor Solutions (IS) Intelli SCS Intelli Stocker*5
External Programming Unit (EPU)
Host Line Computer (HLC) Board viewer

1−6
Operation manual Ⅱ

1.4 Configuration of each device of the machine

1.4.1 Configuration of the machine





⑩ ⑧

【Front View】 【Right Side View】

① Vision monitor ⑤ Mouse ⑨ Air Meter/FDD unit (optional)


② LCD display ⑥ Signal tower ⑩ Dynamic brake release switch
③ HOD unit ⑦ Main switch ⑪ Vacuum pump (optional)
④ Keyboard ⑧ Filter regulator

⑤ ②
③ ④





① ⑧


① ATC unit ⑥ VCS unit (Option for a KE-2070) ⑫ Coplanarity unit (optional)
② LNC60 head unit ⑦ X-Y unit ⑬ CVS unit (optional)
③ IC head unit (KE-2080) ⑧ PWB transport unit ⑭ Load control unit (optional)
IC head unit [FMLA](KE-2080R) ⑨ CAL block unit (Load cell)
④ OCC unit (R) (for a KE-2080/80R) ⑩ Feeder bank unit
⑤ OCC unit (L) ⑪ HMS unit

1−7
Operation manual Ⅱ

<* Note: Precautions for opening/closing the safety cover>


♦ When opening the cover, push up the
cover until it is locked and release it
after confirming the locked status.
(When the cover is opened up to the
maximum limit, it is locked.)
* If the cover is released in a Make sure that the
handle is surely inserted
non-locked status, it may fall. up to the inner part.
Handle

♦ When closing the cover, release the


locked status and then close the cover.
Raise the cover and release the locked status by moving the
handle in the upward direction in a completely opened
<Opened status of the safety cover
status.
(side view)>
(During a release operation, take care not to get hurt due
to falling of the cover.)

* Do not apply an excessive load to the cover,


handle, etc.

Raise the handle to release the locked


status and then close the safety cover.

1−8
Operation manual Ⅱ

1.4.1.1 Configuration of the head unit

The head unit consists of the laser sensor used to detect placement and angle
offsets of the component, and the Z slide shaft which can be moved up and down,
or be turned. The machine is equipped with the head unit as shown below.

• The model and its head are shown below.


Model name KE-2070/2070C KE-2080 KE-2080R
LNC60 head O O O
IC head − O −
IC head (FMLA) − − O

R head unit (FMLA head)


(KE-2080R)


R head unit
L head unit
(IC head)
(LNC60 head) ①④
(KE-2080)
(KE-2070/2070C/2080/2080R)

① Nozzle outer ④ Z slide shaft ⑦ Head up cylinder


② LNC60 sensor ⑤ θ-axis motor ⑧ Filter box
③ Z-axis motor ⑥ Ball screw ⑨ FMLA sensor

1−9
Operation manual Ⅱ

1.4.1.2 Configuration of the ATC unit (Automatic tool changer)

The slide plate ② is opened and closed by the air cylinder ④ to store or
attach/detach the nozzle ⑧.
The open/close status of the slide plate ② is detected by ATC OPEN sensor ⑥
and ATC CLOSE sensor ⑦.

④ ⑤ ⑥⑦ ⑧

ATC No. 1 to 36

① ATC base ⑥ ATC OPEN sensor


② Slide plate ⑦ ATC CLOSE sensor
③ ATC base plate ⑧ Nozzle
④ Air cylinder ⑨ 5-port switching electromagnetic valve
⑤ Speed controller ⑩ ATC numbers

1 − 10
Operation manual Ⅱ

1.4.1.3 Nozzle
(1) Shape of nozzle
Select the nozzle from No.501 through No.509, according to the shape and size
of the components to be mounted.
Table 1.4.1.3.1 Nozzles for laser recognition
NO.

500 501 502 503 504 505 506 507 508C 509
Appearance
Diameter
Outer

1.0x 0.7x 0.2x


φ0.7mm φ1.0mm φ1.5mm φ3.5mm φ5.0mm φ8.5mm φ9.5mm
0.5mm 0.4mm 0.4mm
Diameter

2x
Inner

φ0.25 mm φ0.4mm φ0.6mm φ1.0mm φ1.7mm φ3.2mm φ5.0mm φ8.0mm φ0.1 mm


φ0.4mm

(2) Nozzle selection


The nozzle can be automatically recognized if you follow the explanation of
"ATC Nozzle Selection." If you manually select the nozzle, select the nozzle
with extreme care to prevent poor pickup and placement of a compornent.
The nozzle numbers for major types of components to placed be are shown in
Table 1.4.1.3.2 However, to keep accuracy of pickup and placement, select
the appropriate nozzle No. by referring to the minimum size of the suction area
of each component.

Table 1.4.1.3.2 Nozzles and their pickable minimum component width


Nozzle No. Minimum component Major types of components
width (D)
500 0.45 to 1.45 1005, 1608, SOT (Molded part: 1.6 x 0.8), 2012<Note>
501 to 0.45 0603
502 0.45 to 0.75 1005
503 0.75 to 1.45 1608、SOT (Molded part: 1.6 x 0.8), 2012,
SOT (Molded part: 2.0 x 1.25)
504 1.1 to 2.5 2012, 3216, SOT(Molded part: 2.0 x 1.25), SOT23,
505 2.5 to 4 Aluminum electrolytic capacitor (small),
tantalum capacitor, trimmer
506 4 to 7 Aluminum electrolytic capacitor (medium),
SOP (narrow type), SOJ, connector
507 7 to 10 Aluminum electrolytic capacitor (large),
SOP (wide type), TSOP, QFP, PLCC, SOJ, connector
508C 10 or more QFP, PLCC
509 0.2 0402
Note: Theta offset may be caused by pick surface shape of 2012R (depending on
manufacturer, resistance value, etc.) For high-density mounting (adjacent
clearance of 0.3 mm or less of components 2012, use nozzle 504.

1 − 11
Operation manual Ⅱ

1.4.1.4 Configuration of the OCC

The camera detects a BOC mark and corrects the detected mark automatically.
The machine is equipped with a coaxial light and polarizing filter as the standard
devices.

① CCD camera
② OCC lens

③ OCC light unit
④ Polarizing filter
⑤ Illumination LED board


4

角度照明 垂直照明
Vertical light
Inclined light

【Parts identification of the OCC unit】

1 − 12
Operation manual Ⅱ

1.4.1.5 Configuration of the VCS

When the combination and brightness of each LED lighting unit are adjusted,
various lighting patterns are generated and so a lighting pattern suitable for the
object of recognition (lead, call, or component outline) is generated.
The illuminated object of recognition is photographed by the VCS camera provided
in the lower part and then image processing is performed.

Reflective/coaxial lighting: Lead components such as QFP and SOP


Side lighting: Ball components such as BGA and CSP
Penetrative lighting: Outline recognition components

③ ②


【VCS unit parts identification】

① LED board (for the upper transmittance light)


② LED board (for lower transmittance light)
③ LED board (for the side light)
④ LED board (for the coaxial light)
⑤ VCS camera (standard: 54 mm)
⑥ VCS high-resolution camera (optional: 27 mm)
⑦ Cylinder (to be attached when an optional VCS camera is used)

1 − 13
Operation manual Ⅱ

1.4.1.6 Handling an HMS

The HMS (Height Measurement System) is an optional device used to detect the
height of a component such as a feeder pick position.
This system consists of two parts: the height sensor (sensor section and amplifier
section) attached on the head and the HMS board that controls this sensor.

The amplifier of the height sensor and the controls and switches located on
the HMS board are all already set at the factory. Do not change their
settings.

FAR lamp NEAR lamp

Range lamp Lighting condition


NEAR/FAR Both lamps light: Measured center distance ± 1 mm
NEAR Lighted: Nearer side within the measurement range
FAR Lighted: Farther side within the measurement range
NEAR/FAR Both lamps are flashing: Outside the measurement range

− The HMS conforms to Class 3B Laser Safety Standard of JIS C6802.


It can be used safely when following the instructions described in this manual.

As the laser used for the height sensor, visible beams are emitted.
CAUTION Do not look at the beams directly or touch them in any case.

1 − 14
Operation manual Ⅱ

1.4.2 Mechanical specifications

1) Placement accuracy(Cpk≧1)
Square chip: ± 50 μm
* Refer to Section 1.2.2 “Mechanical specifications” of the attached “Instruction
Manual CD” for details of the component placement accuracy.
2) Least input placement angle increment
Programmable placement angle setting unit: 0.05°
3) Automatic tool changer (ATC)
The ATC can accommodate up to 36 nozzles.
KE-2070/2070C: 36 nozzles
KE-2080/2080R: 34 pieces + (2 large types)
4) Air requirements
Air pressure : 0.5 ± 0.05 MPa
Air consumption: KE-2070/2070C: 345 L/min(When the vacuum pump is used: 50 L/min.)
KE-2080/2080R: 403 L/min (When the vacuum pump is used: 50 L/min.)
Dry air : Atmospheric dew point -17°C or lower
* The following organic solvents and chemicals will deteriorate the
polycarbonate resin of the air combination case unit. Do not use them.
Type Chemical name
Acid: Hydrochloric acid, phosphoric acid sulfate, chromic acid
Alkali: Caustic soda, potassium nitrate, slaked lime, ammonia water, soda
carbonate
Inorganic salt: Soda sulfide, potassium nitrate, soda nitrate
Chlorine solvent: Carbon tetrachloride, chloroform, ethylene chloride, methylene chloride
Aromatic type: Benzene, cyclohexane, thinner
Ketone type: Acetone, methyl ethyl ketone, cyclohexane
Alcohol type: Ethyl alcohol, IPA, methyl alcohol
Oil type: Gasoline, kerosene, water-soluble cutting oil (alkaline)
Ester type: Dimethyl phthalate, diethyl phthalate
Ether type: Methyl ether, ethyl ether
Amine: Methyl amine
Others: Screw lock liquid, salt water, leak taster

5) Noise level
80dB (A ) or less
6) Country of manufacturing
Manufactured in Japan
7) Environmental conditions
Temperature 10℃ to 35℃
Accuracy guaranty
requirements
Environment

20℃ to 25℃
During operation temperature
Humidity 30 to 80%RH (No condensation)
Altitude 1000m or less
Transportation or Temperature -15℃ to 70℃
storage Humidity 20 to 95%RH (No condensation)

1 − 15
Operation manual Ⅱ

8) Power requirements
Voltage(three-phase) : 200 V, 220 V, 240 V (for Japan)
200 V, 220 V, 240 V, 380 V, 400 V, 415 V AC
(for the machines to be exported)
Allowable voltage range: ± 10 % (for the rated voltage)
Apparent power : 3 kVA
Frequency : 50/60 Hz
Size of the primary-side power cable : 5.5 mm2 or more
Size of the protective grounding lead wire : 5.5 mm2 or more

9) UPS
This machine is equipped with the uninterruptible power supply (UPS) to
prevent data from being damaged or lost due to power failure.
Batteries are used as the back-up power supply of the UPS, so the UPS is
designed to stop the system before these batteries run down. Therefore, even
during power failure, the system can be terminated safely so that any data
cannot be damaged or lost even when a power failure occurs.

10) Installation conditions (for a EN machine)


Overvoltage category : Category Ⅲ according to IEC60664-1
Pollution degree : Degree 3 according to IEC60664-1

1 − 16
Operation manual Ⅱ

1.4.3 Applicable components and packaging styles

(Unit=mm)
KE-2070/2070C MNVC (Option) KE-2080/2080R
Minimum: Minimum: 0.4 × 0.2
LNC60 <See Note 1.>
0.4 × 0.2 Maximum: length 33.5
(The number of components to be
Maximum: length Square component: □ 33.5
picked up varies on the size of ⎯
33.5
components: Refer to the
Laser Square
“Instruction Manual” CD.)
recognition component:□ 33.5
Minimum: 1.0 × 0.5
FMLA(KE-2080R) ⎯ ⎯ Maximum: length 33.5
Square component: □ 33.5

Reflection □ 3.0 to
Standard ⎯ □ 33.5
camera (See Note 3.) □ 3.0 − □ 50.0
(field of vision = □ 3.0 to (See Note 1.)
54.0 mm) Pass-through ⎯ □ 6.0
Vision (See Note 3.)
recognition Reflection
Length × Option: 1.0 × 0.5 to 1.0 x 0.5 to□ 24
Width high accurate ⎯ □ 20 (See Notes 1, 3.)
camera (See Note 2.)
(field of vision =
□ 3 to □ 6 □3 to □ 24
27.0 mm) Pass-through ⎯
(See Note 3.) (See Notes 1, 3.)
Maximum 50.0 x 150.0
(at 1 x 3 division);
Standard Reflection ⎯ Not available
□ 74.0
camera (field of (at 2 x 2 division)
vision = 54.0
mm) Maximum 50.0 x 120.0
Divided-image
Pass-through ⎯ Not available (at 1 x 3 division)
recognition
(See Note 1.)
Option: Maximum 24.0 x 72.0
high accurate (at 1 x 3 division);
camera (field of Reflection ⎯ Not available □ 48.0
vision = 27.0 (at 2 x 2 division)
mm)
NC:0.08(for a 0402 component)
to 12.0
- SC: 6 mm SC:0.08(for a 0402 component) to 6.0 HC:0.08(for a 0402 component)
- NC: 12 mm LNC60
NC:0.08(for a 0402 component) to 12.0 to 20.0
- HC: 20 mm EC:0.08(for a 0402 component)
- EC: 25 mm to 25.0
NC:0.3(for a 0402 component)
to 12.0
HC:0.3(for a 0402 component)
FMLA - -
to 20.0
EC:0.3(for a 0402 component)
to 25.0
Component
NC:0.4(for a 0402 component)
height
to 12.0
SC:0.08 to 6.0 HC:0.4(for a 0402 component)
VCS batch recognition -
NC:0.08 to12.0 to 20.0
EC:0.4(for a 0402 component)
to 25.0
NC:0.4(for a 0402 component)
to 12.0
HC:0.4(for a 0402 component)
VCS Split recognition - Not available. to 20.0
EC:0.4(for a 0402 component)
to 25.0
(See Note 4.)

Laser LNC60 0.65 or more 0.65 or more 0.65 or more


recognition FMLA - - 0.65 or more

Lead pitch Standard VCS


- 0.38 to 2.54 0.38 to 2.54
VCS (Field of view: 54 mm)
recognition Optional high-resolution VCS
- 0.2 to 2.54 0.2 to 2.54
(Field of view: 27 mm)

1 − 17
Operation manual Ⅱ

Laser LNC60 1.0 to 1.27 1.0 to 1.27 1.0 to 1.27


recognition FMLA - - 1.0 to 1.27

Ball pitch Standard VCS


- 1.0 to 3.0 1.0 to 3.0
VCS (Field of view: 54 mm)
recognition Optional high-resolution VCS
- 0.25 to 2.0 0.25 to 2.0
(Field of view: 27 mm)

Standard VCS
- φ0.4 to φ1.0 φ0.4 to φ1.0
Ball VCS (Field of view: 54 mm)
diameter recognition Optional high-resolution VCS
- φ0.1 to φ0.63 φ0.1 to φ0.63
(Field of view: 27 mm)

Note 1 The maximum size of components that can be recognized with 6 nozzles of the LNC60 at
the same time is □ 10.0 mm.
When you are to place components whose size is more than □ 10.0 mm with the LNC60
head, only three heads are used to pick them up.
Note 2 When you use the 0402 component support function to place a 0402 component on a
board, the mounter does not pick up two or more 0402 components simultaneously.
However, it can pick up a 0402 component(s) and other type component(s) simultaneously
if the other head is located within the simultaneous pick-up area viewed from the placement
head for a 0402 component.
Note 3 The minimum dimensions of the mold should be □ 1.7 mm or more. The described
maximum dimensions of a component are applicable if a pick-up error of the XY
dimensions is ± 1 mm or less and the angle error is ± 3° or less.
Note 4 Although the height of the smallest component does not affect recognition of the
component with the VCS, it shall be able to be recognized with the Component Drop
Sensor (CDS).
Note 5 Regarding components with dimensions of 1.0 x 0.5 to □2.99 mm, vision recognition is
performed by LNC60 head.
Note 6 For vision recognition of resistor chips, trimmers, SOT and LED with dimensions of 1.0 x 0.5 to
□2.99 mm, these components should be recognized as general-purpose vision components.

1 − 18
Operation manual Ⅱ

1.4.4 Printed circuit board specifications


1. Board requirements
Large-sized
Medium-sized L-Wide-sized board
board Extra-sized board
Model name board specifications
specifications specifications
specifications (See Note 2.)
(See Note 2.)
Board size Min. (X) 50mm x (Y) 30mm (See Note 1.)
Max. (X) 410mm x (X) 510mm x (X) 510mm x
(X) 330mm x (Y) 360mm (Y) 360mm (Y) 460mm
(Y) 250mm
(See Note 3.) (See Note 3.) (See Note 3.)
Board Min. 0.4mm
thickness
Max. 4.0mm
Maximum allowable mass 2000g
Board warp limit 0.2 mm or less per 50 mm, 1 mm or less both for upward and downward directions
(Conforms to JIS B 8461.)
Board material Paper phenol or glass epoxy(See Note 4.)
(X): PWB transport direction (Y): Direction at right angles to the PWB transport direction
Note 1: When you attach the optional automatic PWB width adjustment unit onto the
machine, the minimum board size becomes (X) 50 mm × (Y) 50 mm.
Note 2: Only Large-size is available for KE-2070C.
Note 3: For a longer-sized PWB in X axis machine, L-PWB size is extended up to 800 mm
by split clamping PWB transport.
Note 4: A board whose reflectance is low may not be able to be detected with the
sensor regardless of its material and/or color.
2. Board limitations
(1) Marginal area
PWB Top View
Movement of PWB Marginal area
3 mm

M-PWB specification: 30 − 250 mm


(50 − 250 mm at automatically adjusting the PWB width)
L, L-wide-PWB specification:30 − 360 mm
(50 − 360 mm at automatically adjusting the PWB width)
E-PWB specification: 30 − 460 mm
(50 − 460 mm at automatically adjusting the PWB width)

+0.1, +0.1
Standard =φ4 0 mm, option =φ2.5 −φ4 0
mm

5 ± 0.1mm
5 - 7mm for particular ordering (factory-set)

6 mm
3 mm

Conveying rail (fixed) Standard 5 ± 0.1mm (Note)

M-PWB specification = 50 − 330 mm


L-PWB specification = 50 − 410 mm
L-wide, E-PWB specification = 50 − 510 mm

【Marginal area on the top side of a board】

*1: This is a size at shipments from the factory


Not required for the shape clamp reference (standard).
*2: PWB size is extended up to 800 mm for a longer- sized PWB in X axis.

1 − 19
Operation manual Ⅱ

(2) Area in which support pins cannot be set (Bottom view of a board)

PWB Bottom View Support pin


Movement of PWB
Marginal areas
Stopper position
4mm

164mm (M-PWB specification)


244mm (L-PWB specification)

7mm
22mm

20mm

0 to 185mm (L, L-wide PWB, Front specification)


0 to 145mm (L, L-wide PWB, Rear specification)
0 to 90mm (M-PWB , Front specification)
0 to 50mm (M-PWB , Rear specification)
63.5mm

34mm

39.5mm
25mm
91mm

22mm
21mm
17.5mm
Board transportation rails fixed side
17.5mm

Marginal area on the bottom side of a board


(M, L PWB specification PWB bottom surface drawing)

Support pin
PWB Bottom View Movement of PWB
Marginal areas

265mm(The left and right flow


length is 223mm.)

Stopper position

Board transportation
rails fixed side

Marginal area on the bottom side of a board


(E PWB specification PWB bottom surface drawing)

1 − 20
Operation manual Ⅱ

PWB Support pin


Bottom View Movement of PWB
基板下面 Marginal areas

4mm
265mm (223 mm when a board is transported
in both directions, left and right)

7mm
Stopper position
22mm

0 to 185mm (L-Wide-PWB , Front specification)


0 to 145mm (L-Wide-PWB , Rear specification)
20mm
61mm
31.5m

0 to 210mm (E-PWB ),
13mm
91mm

21mm

22mm
17.5mm Board transportation 17.5mm
rails fixed side

Marginal area on the bottom side of a board


(E/L-wide PWB specification )

CAUTION
When the PWB is transported from the right to the left, the marginal area is set
on the opposite side.

(3) Allowable height of a component to be placed and allowable height of the rear
of a board Component
h1: See Table 1.3 for the dimensions.
PWB

Marginal area on
the rear side of Max.
the board 40mm

3 3

【Allowable height of the rear of a board】

Table 1.4.4.1 Component height specifications

Model type Component height specification Component height (mm)


KE-2070 SC specification 6
KE-2070C NC specification 12
NC specification 12
KE-2080 HC specification 20
KE-2080R
EC specification 25

1 − 21
Operation manual Ⅱ

3. Board Recognition Marks


Create the board recognition marks under the following conditions We recommend
the filled circle for the mark. The clearance area around the mark
(Refer to 4. Clearance ).
Square Inside-blank Diamond Inside-blank
square diamond

B
B
B

B A and C sizes: 0.5 to 3.0 mm ± 10 % or lower

C
B
C
B size: 0.2 mm or longer
A A

Regular Inside-blank Up-side-down Inside-blank


triangle Regular triangle triangle up-side-down
B triangle B

B
C A C A

Circle Inside-blank Checker Checker Cross


circle pattern pattern
B

B B

C A C A A

【Shapes of board recognition marks】

Notes:
1. When recognition, the mark shall be placed in the angle shown above.
However, if you specify "Use of each circuit mark" for a non-matrix PWB, the mark
can be recognized only when all marks of the reference circuit are positioned in
the angle described and the circuit is positioned at 90, 180, 270 or 360 degrees.
2. The fiducials of the same shape and same size is preferable within a board.
3. When processing, copper foil or solder plating can be recognized
4. Maximum number of marks which can be registered
Board mark: 1 set (2 marks or 3 marks)
Area mark: 50 sets (2 marks or 3 marks)
5. Items that can be registered
Mark number
Balance detection window
Normal/reverse rotation identification when detected
Mark shape
Outer dimensions
Effective value of projection
Matching
6. If there is no recognition mark on a board, register a user designated template to
allow the machine to recognize marks
7. PWB reference marks in two or three positions (four or six positions in total),
which can be detected every time when the PWB is fed, are necessary for split
clamping PWB transport that is an option to a longer-sized PWB in X axis.

1 − 22
Operation manual Ⅱ

4. Clearance
In the clearance area around each recognition mark, there shall not be any
other component such as a conductor pattern, solder resist and marking. The
dimensions of this clearance area should be a square whose size is larger than
the outer area of a recognition mark by 0.5 mm or more.

Clearance area
Recognition mark

0.5 mm or more mark

0.5 mm or more mark

0.5 mm or more mark

【Clearance area of a recognition mark】

1 − 23
Operation manual Ⅱ

1.4.5 Centering system

Instead of using conventional mechanical centering system, this machine uses


touchless centering system where LED align sensor is used to read the position and
angle of components. This can be achieved by detecting the shade of the
components created by the laser rays applied horizontally to the components.

Lazar align sensor


レーザアラインセンサ

【LNC60】 【FMLA (KE-2080R)】

By moving Z-axis up and down, a component is picked with vacuum, and the LED is
applied to the component. A shade is made where the LED is obstructed by the
component. By turning the component along q-axis, the shade changes.
− According to the change of the shade, offsets of the position and angle of the
picked component are calculated. These offsets are corrected when mounting.

<Precautions on protection of the laser unit glass surface>


If the glass surface covering the component detecting part of the laser unit is damaged,
a laser recognition fault may be caused. Use the laser unit taking care about the
following items.
1. Do not perform laser recognition for larger components than the maximum
component size for laser recognition.
2. If the pick position is shifted even when the component size does not exceed
the maximum component size, contact may occur between the component and the
glass surface.
Specification (maximum component size for laser recognition)
LNC60 Square component: □33.5 mm Length: 33.5 mm
FMLA Square component: □33.5 mm Diagonal line length: 33.5mm
CAUTION

Glass

If you perform control, adjustment, and execution according to a


CAUTION procedure that is not described in this document, hazardous radiation
and exposure may be caused.

1 − 24
Operation manual Ⅱ

1.4.6 X, Y, Z , and Θ axes descriptions

The following four axes (X, Y, Z, and θ) are numerically controlled in this machine.
(1) X- and Y-axis
The X-axis represents the left and right directions of the machine, while the Y-axis
represents the front and rear directions: a position is given as X = xxx.xx mm
and
Y = xxx.xx mm in increments of 0.01 mm. Two coordinate systems are
available: one given by the production program and another given by teaching
operation. Both coordinate systems are automatically changed, so you do not
have to switch the coordinate system by yourself.
(2) Z-axis
The Z-axis represents the height, given as Z = xx.xx mm, in 0.01-mm increments.
The upward direction is positive (+), with the top side of a board clamped (any jig
is not used) being 0.
(3) θ-axis
The q-axis represents the rotation angle of the head, given as "A = xx.xx" (in 0.05
increments.) The value is positive for counterclockwise rotation and negative for
clockwise rotation.

LNC60 head IC head

Y axis

X axis Z+

Y+ θ+

X+
OCC-R♦

OCC-L

L1 nozzle axis L3 nozzle axis L5 nozzle axis R1nozzle axis ♦

L4 nozzle axis L6 nozzle axis


L2 nozzle axis

Note: Parts indicated with a “♦” mark are attached on a KE-2080/2080R only.

The magnescales are attached on the bottom side of the X-axis and
the top sides of the Y-axis frames.
Caution Avoid a magnet or magnetized material (a magnetized screw driver,
support pin, etc) touching the magnescales, or the system may fail to
operate correctly.

1 − 25
Operation manual Ⅱ

1.5 Menus and Commands

(1) Desktop screen

File [F] Editor [E] Production [P] Setup [S] Maintenance [M] Options [O] Help [H]

Warming Up KE-2000 Help


Idle conveyor About Programs
Program Editor
Database Editor Self-Calibration
Pwb Production
Machine Management Info. Change User Group
Trial Run
Dry Run Mech. Ctrl. Parameter Setting User Group Settings
MS-Parameter Setting Option Setting
Maintenance Log Command Button Setting *1
Language selection *2
Environment Setting *3
Area Setting
New [N] Ctrl + N Time and Date setting *4
Open [O] Ctrl + O
Save [S] Ctrl + S Machine Setup
Save as [A] Manual Operation
File Management [Explorer]
Default Folder *1 The [Command Button Setting] command is not
Printer Setup displayed on the screen when you select the user
Production Program List
Print
Retry List level lower than “Maintenance Engineer.”
Control Data Management Production Management Data *2 The [Language Selection] command cannot be
Save Machine Data… Machine Management info.
used with the English version of the machine
Save Image Data… Operational Setting Data
software or English version of Operating System.
Safely Remove Hardware…
*3 The [Environment Setting] command is
Exit [X] exclusively designed for software development,
and so is not displayed normally.
*4 The command “Time and Date setting” does not
appear on the screen if the user level is
“Programmer” or lower.

1 − 26
Operation manual Ⅱ

(2) Program Editor

File [F] Edit [E] Data[C] Optimization [O] View [V] Machine operation [M] Data base[D] Help [H]

PWB [B] Feeder layout Export [B]


Placement [P] Tool bar Import [C]…
Component [C] Place Tracking
Re-Search [R]
Pick [I] Information about Production [I]…
Vision [V]
Data comp [S] Command [C] F1
Data coherence check [L]… Version [A]…

Optimization [G]
Cut [T] Ctrl + X Divided Placement Data [P]…
Copy [C] Ctrl + C Nozzle layout [N]
Paste [P] Ctrl + V Component supply count [S]… Transport [T] >> PWB load [L] ] …
Insert line [I] Measurement [M] >> PWB eject [E] ] …
Duplicate [U] Auto conveyor [A]
Inspection [I] >>
Jump [J] Ctrl + G
Confirmation [C] >>
Search [S] Ctrl + F
Management [A] >> Current Component [C] …
Search last item [R] F7
Teaching [G] >> All Component [A] …
Search the next item [N]
Shift +F7
Replacement [L] Vision recognize [V]…

Cel copy [O] Ctrl +E Coplanarity check [C]


Check component Data Speed [S]
Cel Paste [P] Ctrl +R
Change component name [H]
All Component Mark [M] >> BOC [B]
Update layers (Y) >>
Current Component Feeder bank [F]
Coordinate [C] >>
Matrix Copy [M]
Vision Copy [V]
Mark Database Front [F]
Production program copy [Z]
Rear [R]

New [N] Ctrl + N


Place tracking [P]…
Open [O] Ctrl + O
Pick tracking [I]…
Save [S] Ctrl + S
Height tracking [H]…
Save as [A]
Print [P] >> Program Data [P]
Environment setting [C] Nozzle Setup [N]
Exit [E] Production Information [M]
Optimization [O] >> Option [O]
Supply quantity [S]

1 − 27
Operation manual Ⅱ

(3) Production

File [F] View [E] Production function [C] window [W] Tool [T] Help [H]

Toolbar [T] Check Program… KE-2000 Help


Status Bar [S] Placement tracking
Quick Setup

Open [O]… Ctrl + O Component supply


Save [S] Ctrl + S Operation option…
Save as [A]… Change data
Unplaced list
AWC…
Cont. prod. file delete [D] Retry list >> Supplier
information
Save Machine Data Check >>

Exit [X]
Verify Current
check
Production I/O Status… Verify All check…
Production mode
Production status… SOT Current
Production status… >> check
Management Info. >> SOT All check
Total
Production Info. Laser Check…
Front
Conveyor status VCS Cleanliness
Rear
Check
Holder
MTC
MTS
Pick ratio
Clear

(4) Machine setup

File [F] View [V] Setting Group [G] Help [H]

Registered nzl. no table [L] Tool bar [T] ATC nozzle setup
Read Nzl. data [R] Status bar [S] Vacuum value without nozzle
Exit [X] List of the settings [V] Reference pin position
Diagram display [C] Shape clamp position
MTC shuttle pick position
MTS position offset

Component reject position


IC Collection Belt position
Head Wait Position
Device enable
Online connection
PWB conveyor
Signal light [S]
Bad mark sensor threshold
Coplanarity
VCS Cleanliness Check
Check CAL Block Cleanliness
Mark Recognition Speed
SCS setup
Laser Unit Interference Check

1 − 28
Operation manual Ⅱ

(5) Manual control

File [F] View [V] Control [C] Help [H]

Exit [X] Status bar [S]


List of the settings [V]
Diagram display [C] Head control [H]…
Head device control [D]…
Laser/sensor control [L]…
Head [H] >>
Conveyor [C] >> Independent control of conveyor [I]…
Vision [V] >> Automatic control of conveyor [A]…
Feeder [F] >> Auto width conveyor control [W]…
Others [O] >> PWB barcode control…

VCS Control [V]…

MTC control [C]…


MTS control [S]…
Feeder knock pin control [F]…
DTS control [D]…

ATC control [A]…


Signal light control [S]…
CVS control [V]…
Calibration block control [C]…
Other sensors [O]…
Driver Status [D]…

1 − 29
Operation manual Ⅱ

1.6 Idle mode


Use this command when you transport a PWB through this machine as a PWB
transportation buffer without producing any PWB.
When you select the [Idle Conveyor] command on the [Maintenance] menu invoked
from the menu bar of the main menu, the following “PWB pass-through” dialog box that
indicates start of Idle mode appears on the screen. At this point, you do not have to
perform the origin return operation. However, adjust the conveyor PWB width to a
PWB to be transported.

Even though the machine cannot return to the home position due to any failure, you
may operate it in Idle mode. In such a case, move the head unit from the PWB
transport path to the far side (about over the calibration block) to prevent a sensor
from sensing incorrectly and the machine from stopping.

When you click the <OK> button, the following dialog box appears on the screen.
When a PWB reaches the sensor of the PWB transport path, the PWB transport belt
starts rotating.

When you click the <STOP> button, the system stops Idle mode.

1 − 30
Operation manual Ⅱ

1.7 File Operations


You have to open a production program file to
produce PWBs.
This section describes how to handle a file.

1.7.1 New
To create a new file, select the [File]/[New] commands from the menu bar.
♦ The system initializes production program data.
If there is any production program not saved yet, the system displays the inquiry
dialog box as shown below.

1.7.2 Opening a file (to load a file)


This function loads a production program file already created into the system.
When you click the [File] command on the menu bar, then the [Open] command, the
following dialog box appears on the screen.
Select the desired file, and click the <Open> button. The selected file is loaded into
the system.

When an auto PWB width adjusting function (option) is provided, the following
message is displayed, so that the transport width can be adjusted according to the
contents of the displayed file (program).

1 − 31
Operation manual Ⅱ

1.7.3 Saving a file


This function saves production program and Management Information by overwriting it.
click the [File] command on the menu bar, and then the [Save] command. The program is
saved.

CAUTION When you save a production program, the original contents are erased.

1.7.4 Saving a file with the desired name


Select the [Save as] command to save the edited production program in another folder or
with a new name you specify.

① Click [File]/[Save as].


② Specify the desired folder and file name on the “Save As” screen, and click the
<Save> button.

1.7.5 File Management [Explorer]


This command starts up Windows NT Explorer.
After starting up Windows NT Explorer, you can delete, move or rename a folder/file

e Windows NT system files are deleted due to wrong operation of


CAUTION Explorer, the machine will not start up. Normally, do not perform any
operation other than creating, moving or deleting of a production
program file or the folder under which a production program is saved.

ot create any folder on the drive C.


CAUTION Since the drive C is set as ROM, a folder is erased at restart of the
machine even though you create it.

ot save any file on the drive C.


When you try to save a file on the drive C that is created as ROM,
the save operation is emulated with the main memory. Therefore, if
you save a file on the drive C, the amount of the used memory
CAUTION increases, and then it weighs down the system. If you repeat
saving files on the drive C, the amount of the memory becomes
insufficient, and the system displays the error. You may have to
restart the machine.

1 − 32
Operation manual Ⅱ

1.7.6 Default Folder


Specify the folder (directory) that is displayed at first when a production program file is
loaded or saved. Be sure to specify a folder frequently used.

Click the <Browse> button


to specify a folder.

Do not set any folder on the drive C. If you set a folder on the
CAUTION drive C, the system displays the error.

1.7.7 Printer Setup


Select this command to print out the program information from the main unit onto
paper directly.
Follow the operation rules of Windows to make a setting.

After setting the printer, update the drive C. (Refer to "1.7.11 C drive updating
operations.")

1.7.8 Print
This command allows you to print a production program, production management
information and/or machine operation information.
This command allows you to output the information above as a text file instead of
outputting it to a printer also.
To output the information to a printer, execute the [Printer Setup] command in advance.

1.7.9 Control Data Management, Save Machine Data and Save Image Data
Refer to Sections 13.3, 1.5.9 to 1.5.10 of the attached “Instruction Manual CD.”

1.7.10 Removing the hardware in safety

This is the function for removing a device connected to the USB connector in safety.
When you want to remove a device connected to the USB connector
although the machine is turned on, be sure to stop the function of the
CAUTION device on the “Safely Remove Hardware” dialog box before removing
it. (Do not connect any device to the USB connector during PWB
production.)

When you connect a device to the USB connector, the “Find new hardware” dialog
box may appear on the screen.
Although the system asks you to restart the machine depending on the device you
connected, you have to restart it only after updating the drive C
(Refer to "1.7.11 C drive updating operations.").

1 − 33
Operation manual Ⅱ

1.7.11 Updating the Drive C

The machine uses the Enhanced Write Filter (EWF) to create the drive C, which
Windows uses as ROM, in order to protect the OS.
Therefore, to change the information of the OS, update the dive C by following the
instructions below.
Be sure to perform this operation after you add the function requiring a driver such as
a printer or after you set up the network.
If you happen to turn off the machine even though you have not performed this
operation, the information you set is erased.

(1) Start up the command prompt.


(Start up the command prompt from Explorer, by executing “C:¥Documents and
Settngs¥KE2000¥Start menu¥Program¥Accessories¥Command Prompt.”)

(2) Enter “ewfmgr C: -commit” on the console window (DOS screen).


The screen like the one shown below appears.
(Note that a space is put before and after C:.)

(3) Shut down Windows, and then start up the machine again.
Information emulated with the main memory during the shutdown process is
written onto the drive C.

1 − 34
Operation manual Ⅱ

Chapter 2 Creating a Production Program

2.1 Flowchart
This chapter describes the operation of No.8, and only modification of No.9 basically.
No Flowchart Comment
1 Inspection of system
Perform routine inspection including an ATC
check.

2 Power ON Check the main air pressure (0.5 Mpa).

3 Check to see if there is no foreign substance


Origin return
inside the machine before production.

4 Be sure to warm up the system after holidays or


Warm-up in cold climates (it takes approximately 10
minutes).

5 PWB setting

6 Change the settings on the “Machine setup”


Change the machine Yes menu if you clean the nozzle or change the
setup conditions? reference pin position, and you have to change
the initial setup after you perform the daily
Change the desired settings
No on the Machine setup menu. inspection or set a PWB.
(See descriptions on Machine Setup in Chapter
4-4.)

7 Yes (See descriptions on Database in Chapter 4-1.)


Create the component
database?
Create component data on
No the “Database” menu.

8 <Creating/editing a production program>


• PWB data
• Placement data
• Component data
• Pick data
• Vision data

9 If any problem occurs during PWB placement: for


Problem example, the PWB position is shifted from the
PWB placement Modification regulated position or the centering function fails,
confirmation
correct the production program on the “Program
Editor” menu. You can correct a part of
No problem component data on the “Production” menu also.

10 Production

11 End of production

12 Power OFF

13 Daily inspection
Perform the daily inspection periodically.
(See Chapter 5 “Daily maintenance.”)

2−1
Operation manual Ⅱ

2.2 Options
On the “Options” menu, the functions that allow you to make various settings according
to the purpose and condition of the machine are provided. This Section describes the
commands, [Change User Group] and [User Group Settings]., [Date and Time Setting]
and [Language Selection] (*1).
See 4.2 Operation Option.
*1 The [Language Selection] command is not available with the English version
Operating System or English version machine software.

2.2.1 User Level


The machine limits what an operator can operate according to his/her skill level by
setting “User levels” to prevent any malfunction from being caused if the operator
operates the machine in a wrong manner.
To change a user level, enter the password.

2.2.1.1 Changing the user level

Select the [Options]/[Change User Group…] commands.

The “Register User Group” dialog appears on the screen.

• Operator
This user level allows an operator to perform production setup and other related
operation. This level allows a user to produce a PWB, but does not allow
him/her to edit a program.
• Programmer
This user level allows a user to create a production program.
• Manager
This user level is higher than the “programmer” level, and is provided for a
manager of the machine.
This user level allows a user to select the “Machine setup,” “Manual control” and
“Self calibration” menus.
• Maintenance Engineer
This user level allows a user to upgrade the main unit.
We will give a user the required password when he/she upgrades the main unit.

2−2
Operation manual Ⅱ

• Service Engineer
This user level allows a user to perform maintenance operation of the machine.
Basically, this level is for a trained service engineer.
• Check box “Set as default after reboot”:
This check box sets the user level that is selected at first when the machine is
rebooted. If you check this check box, the user level set at the “Default Group”
field described under Section 2.2.2 “User Group Environmental Settings” is
selected. Otherwise, the user level you selected the last time is selected when
the machine is rebooted.
2.2.1.2 User Group Environmental Settings

This command allows you to change each password you have to enter to change
the user level, or set the default user level (see the description of the check box “Set
as default after reboot” under Section 2.2.1.1 “Changing the user level”).
The following dialog box is an example for the user level “Manager.”

• You cannot refer to or set the password for the user level higher than the user
level currently selected, and cannot change the current user level to the higher
user level either.
• You cannot change the default user to the user level higher than the currently
selected user level.
• If you change the password for the user level “Manager,” do not forget it.

2.2.2 Date and Time Setting

A user whose user level is


“Manager” or higher can execute
this function.

Select the [Date and Time Setting]


command on the “Options” menu.
Next, adjust the date and the time.

2−3
Operation manual Ⅱ

2.3 Preparation of a Production Program


To produce a PWB, prepare the production program data.
To prepare or edit the production program data, start up the Program Editor.
2.3.1 Starting up the Program Editor

Start up the Program Editor on the following desktop screen.

2.3.2 Procedure for creating a production program

A production program consists of the following five items as shown in Table 2.3.2.1
Create a program in the order of PWB → Placement → Component → Pick → Vision data
You can enter only alphanumeric characters from a keyboard.
If you enter any character other than alphanumeric characters, an error may occur
during PWB production.
Table 2.3.2.1 Configuration of a production program.
Type of data Description
PWB This covers overall PWB data such as PWB outer dimensions and the coordinates of Board
Offset Correction (BOC) mark position.
Placement This covers coordinates of the placement position, component names to be placed and
others.
Component This covers component dimensions and packaging style and other data required for
centering a component.
Pick This covers the data on positions of components supplied by a tape feeder, stick feeder etc.
Vision * This covers data required for the system to recognize a component such as a QFP and
BGA with the VCS.

* You have to create Vision data only for a component that is to be centered with the VCS.

You cannot open the next data screen until you completely create the data you are
supposed to create first: for example, you cannot open the “Placement” data screen
if you have not created “PWB data” completely.

2−4
Operation manual Ⅱ

2.3.3 PWB data

PWB data consists of three items: “Basic setting,” “Dimension setup” and “Circuit
layout.”
• Basic setting: Enter the basic PWB configuration here.
• Dimension setup: Enter the detailed dimensions of a PWB. The displayed
items vary depending on the settings of the “Basic setting”
items.
• Circuit layout: Enter the position and angle of a circuit. You can enter
these items only if you select “Matrix circuit” as the “PWB
configuration” on the “Basic setting” screen.

2.3.3.1 Basic setting

The Basic setting screen consists of seven items.


Enter or select data according to the PWB to be produced.

Switch the screen: “Basic setting,” “Dimension setup” or “Circuit layout.”


(Select the “tab” corresponding to the desired screen.)

Switch the data screen among PWB data, Placement data,


Component data, Pick data and Vision data.

【Screen displayed immediately after start-up】

2−5
Operation manual Ⅱ

① PWB ID
Enter a “comment” that elaborates on the PWB name.
As a PWB ID, you can enter up to 32 alphanumeric characters and symbols.
The PWB ID entered here is displayed on the screen while you are creating a
production program or while the system is producing a PWB. Therefore, enter
the PWB ID easy to recognize here.
You can omit the PWB ID.

② Positioning method
♦ Hole Reference: For PWBs that have a hole to accept the positioning pin,
this method positions (centers) the PWB by inserting the
reference pin into this hole.

♦ Shape Reference: This method positions a PWB by fixing its edges


mechanically: it does not use any positioning hole of a
PWB.

③ PWB configuration
♦ Single PWB: This means a sheet of PWB that has only a single circuit as
shown in the figure below.

♦ Matrix circuit: This means a sheet of PWB that has two or more circuits as
shown in the figure below, where all circuits have the same
orientation and all pitches between circuits in the X-direction
and Y-direction are the same.

PWB

Circuit

2−6
Operation manual Ⅱ

♦ Non-matrix circuit: Two or more circuits are placed on a sheet of PWB in the
same manner as a matrix PWB, but the orientation and
pitches in the X- and Y-direction are not required to be the
same (The figure below indicates that the angle of each
circuit is not the same.).
The angle is 180° between two circuits.

④ BOC type
"BOC" stands for Board Offset Correction, and a BOC mark is used for
correcting a component placement position to achieve more accurate placement.
(The mark is also called a "fiducial mark" in general.)
♦ Do not use: Select this option when a BOC mark is not used.
♦ PWB marks are used: Select this option when the BOC mark is used to
correct coordinates of a component placement
position.
♦ Circuit marks are used: Select this option for a matrix PWB (multi-plane
PWB) to cause the system to recognize a BOC
mark per circuit and correct coordinates of the
component placement position. If a PWB has
many circuits, it takes long time to recognize BOC
marks. However, the component placement
accuracy tends to be higher than that when you
select “PWB marks are used.” You cannot
select this option for a single-plane PWB (that
has only one circuit).
⑤ Bad mark type
A bad mark may be given to a multi-plane PWB so that a component will not be
placed on a defective circuit (circuit that caused an error during the previous
process). If the OCC or a bad mark sensor (optional) detects a bad mark of
each circuit before PWB production, the system will skip a component on which
the sensor detects a bad mark to produce a PWB.
♦ Do not use: Select this option if bad marks are not used.
♦ Mark is light: Select this option if the reflectance of the PWB is lower than
that of bad mark, for example, if a white bad mark is on a
green PWB.
♦ Mark is dark: Select this option if the reflectance of the PWB is higher
than that of bad mark for example, if a black bad mark is on
a ceramic PWB.

2−7
Operation manual Ⅱ

⑥ Scale type
Either of two methods may be selected for recognition of a BOC
mark. Selection should be made according to the condition of
the BOC mark.
♦ Gray Scale: A mark will be recognized using all information obtained by
the BOC camera. This method is available even in a high
noise environment because much information is used.
Normally select this option.

♦ Binary Scale: If an error occurs during the gray scale recognition, select
binary scale recognition. If the edge of the mark is not shot
clearly, the accuracy will be lower than that of the gray scale
recognition method.

⑦ Traceability
♦ Do not use: Select this setting when you do not use the traceability
function.

♦ 1D Barcode Reader is used: Select this setting when you use a 1-D bar
code to perform PWB production.
♦ 2D Code Reader is used: Select this setting when you use a 2-D code
to perform PWB production.
* For Information about the [Detail Settings], refer to the “Intelli SCS Instruction
Manual” stored in the “IS Instruction Manual” CD.
For the SCS, refer to the “SCS Instruction Manual”.

2−8
Operation manual Ⅱ

2.3.3.2 Dimension setup

A production program uses coordinates to represent a component or mark position


on a PWB. This origin of the “coordinate system on a PWB” is called the “PWB
origin.” This origin of the “coordinate system on a PWB” is called the “PWB origin.”
You can set the PWB origin on a PWB or the desired position outside a PWB.
If you use CAD data to create Placement data, use the origin of CAD data.
However, a mounter device that places a component on a board positions it
according to the positioning hole reference position or edge reference position.
You have to specify the “PWB origin” on a PWB relative to this positioning
mechanism with the “positioning hole position” or “PWB layout offset” value.
2.3.3.2.1 Reference position difference generated depending on the PWB fixing
method
The system handles the reference position in the different manners depending on
the settings of the positioning-hole reference position/edge reference position, PWB
transport direction and PWB transport reference side.
1) Reference
The reference pin position is defined according to the PWB transport reference side and
direction as shown in the figures below (in case of the positioning-hole reference
position).A PWB layout endpoint is defined according to the PWB transport reference
side and direction as shown in the figures below (in case of the edge reference
position).
PWB
(1) Front reference Transport Stopper
direction
Transport direction: left to Positioning pin
right PWB layout endpoint
PWB origin

PWB Transport
Stopper direction
(2) Front reference
Positioning pin
Transport direction: PWB layout endpoint
PWB origin
right to left

PWB layout endpoint


PWB
Transport Positioning pin
direction
Stopper
(3) Rear reference
PWB origin
Transport direction: left to
right

PWB layout endpoint


PWB
Positioning pin Transport
(4) Rear reference Stopper
direction

Transport direction: right PWB origin


to left

2−9
Operation manual Ⅱ

2.3.3.2.2 Single PWB (Single-plane PWB)

1) PWB dimensions
Enter the dimensions of a PWB here.
If the machine is supplied with a dummy PWB, enter the dimensions of this
PWB also.
The same direction as the PWB transport direction will be X and the vertical
direction to the PWB transport direction will be Y.

Dimension Y

Dimension X

2 − 10
Operation manual Ⅱ

2) Reference hole position


Enter the position of the reference pin relative to the PWB origin.
(You do not have to enter this field when you select “Shape Reference” in
the “Positioning method” field on the “Basic setting” screen.)

Enter the distance to the reference position from the PWB origin defined by
the CAD or other tool if the specified origin (the CAD origin, or an origin that
is unique to a user) must be used as the PWB origin, for example, in a case
in which CAD data is used.

PWB If the PWB transport side is the


front and the PWB transport
Reference position direction is from left to right, the
positioning hole shown in the
left figure becomes the
PWB origin reference position. If there is a
Ya PWB origin at the left bottom
Xa corner of the PWB, enter values
Xa and Ya (both values should
be positive) to the “X” and “Y”
coordinate fields of “Reference
hole position.”

* If the PWB origin is used as the reference position, enter (0,0) in the "X "
and "Y" coordinates fields of "Reference hole position."

2 − 11
Operation manual Ⅱ

3) PWB layout offset


Enter the PWB layout endpoint viewed from the PWB origin here.

Enter the distance from the PWB origin defined by the CDA or other tool to the
reference position (PWB layout endpoint) if the specified origin (the CAD origin
or an origin that is unique to a user) must be used as the PWB origin, for
example, in a case in which CAD data is used.

PWB If the PWB transport side is the


front, the PWB transport direction
PWB layout endpoint is from left to right, and the PWB
origin is located at the left bottom
corner of the PWB, enter (Xb, 0) to
PWB origin the “X” and “Y” coordinates fields
of “Reference hole position.” A
Yb = 0
value “Xb” should be positive.
Xb

The center of the reference hole is normally located ±5mm away from the PWB
corner in both X and Y directions. Therefore, if the "position of positioning hole" is
used as the PWB origin, then the difference between the PWB origin and "PWB
layout endpoint" is normally the value of ±5mm both in the X and Y directions.

For front reference and


PWB transport direction of PWB
L → R:

Position of the positioning hole


(= PWB origin)

5mm

5mm
PWB layout endpoint

2 − 12
Operation manual Ⅱ

Example: The following figures illustrate that the left bottom corner is defined as the
PWB origin (unit: mm).
① For front reference and PWB transport direction of L → R:

PWB dimensions X=165 Y=125

125 Reference hole position X=160 Y=5


PWB origin
PWB layout offset X=165 Y=0

5
5

165 PWB layout endpoint

② For front reference and PWB transport direction of R → L:

PWB dimensions X=165 Y=125


125 Reference hole position X=5 Y=5
PWB layout offset X=0 Y=0

PWB origin 5

165
PWB layout endpoint

③ For rear reference and PWB transport direction of L → R:

5 PWB layout endpoint

5
PWB dimensions X=165 Y=125
Reference hole position X=160 Y=120
125
PWB origin
PWB layout offset X=165 Y=125

165

④ For rear reference and PWB transport direction of R → L:

PWB layout endpoint 5

5
PWB dimensions X=165
Y=125
125
Reference hole position X=5
Y=120

165
PWB origin

2 − 13
Operation manual Ⅱ

4) BOC mark position


Enter the distance from the PWB origin to the center position of each BOC mark
and conduct teaching of mark shapes.
Two or three BOC marks are required.
For details of the teaching operation, see 2.5.2 Teaching.

Enter the X and Y coordinates. Select this item to teach the mark shape with the HOD.

♦ If two points are used:


The difference between the designed dimension and the real dimension (or
measured dimension) and the error in the rotating direction can be corrected.
Leave the field for the third point blank. Further, if two or more marks exist
on the PWB, then select two points on the diagonal line that covers the entire
area for placement.

♦ If three points are used:


In addition to the case of two points, the right angle between X-axis and
Y-axis can be corrected.

If a designed value (CAD data) of mark coordinate exists, NEVER


teach the X and Y coordinates. If these values are taught, all
CAUTION component placement coordinates will be deviated from the designed
values.

To avoid a risk of injury, do not put your hands inside the machine nor
CAUTION move your face or head close the machine while the system is
performing a teaching operation

2 − 14
Operation manual Ⅱ

* When a longer-sized PWB in X axis is used:


For a large-size PWB that exceeds normal size in the X of PWB external size, set
the BOC mark that is within the placement range at the first clamp (hereinafter
referred to as "The first BOC mark) and set the BOC mark that is within the
placement range at the second clamp (hereinafter referred to as "The second BOC
mark).

e.g.) For a single plane PWB, left to right transport, and PWB size 800 mm, set the
first BOC mrk and the second BOC mark following an input sample.

Board transport direction

② Component ① Component
placement area with placement area with
the second clamping the first clamping
operation operation

Board reference position

① ②

If a designed value (CAD data) of mark coordinate exists, NEVER


teach the X and Y coordinates. If these values are taught, all
CAUTION component placement coordinates will be deviated from the designed
values.

To avoid a risk of injury, do not put your hands inside the machine nor
CAUTION move your face or head close the machine while the system is
performing a teaching operation

5) Bad mark position


You do not have to enter this item for a single-plane PWB (setting is disabled).

2 − 15
Operation manual Ⅱ

6) PWB height
Enter the height of the PWB top side viewed from the PWB transport reference
level (i.e., the reference height, which is the "0" position of the Z-axis).
Therefore, normally enter "0.00" (initial value).
In the example below where a jig is used, enter a value “++t.”
* The nozzle height attained when the system places a component (that is, when the nozzle
descends) is determined with regarding the PWB height as the reference. Therefore, if you
specify a wrong value here, the placement operation may not be performed successfully: a
component is dropped off or it is pushed from the placement side too strongly.

• Normal case • When a jig is used


PWB transport Height of PWB
PWB transport reference level = Height of PWB top side reference level top side

+ Jig (carrier board)

+t

<Figure of the machine viewed from the left> If you do not enter “t” in this case, the machine
pushes a component from the placement side
too much (by the dimension “t”), and the
component may be damaged easily.
7) PWB thickness
Enter the thickness of a PWB. The value you entered here is used to
determine how much the system should move up the support table when it is
centering a PWB.

PWB thickness

* If a you enter a wrong value here, the support pin may depress the PWB too much to damage the
PWB, or otherwise the support pin does not reach the PWB causing a placement error.

8) Back height
Enter the height of the tallest component among the components placed on the
back side of a PWB (you have to enter a value that causes components on the
back side not to interfere with the support pin if components are placed on both
sides of a PWB).
This value determines the waiting position of the support table during production.
If you enter a small value, the traveling distance of the support table becomes
shorter, reducing the production time a little (Up to approximately 0.25 seconds
difference is generated when you enter 40 mm here with comparing to the case
in which you enter 5 mm here.)

Height of the
Tallest component back side

* If a value is entered that is smaller than the component height on the back, the support pin may
hit the component during PWB transportation. Be sure to enter a value that is greater than the
height of a component on the back

2 − 16
Operation manual Ⅱ

9) Clamp offset (For a longer sized PWB in X axis machine)


You do not have to enter this item for a single-plane PWB (setting is disabled).
The menu item “Clamp offset” allows you to set the sensor (HMS) detection
position (Y-coordinate) of a board when the board is fed twice.
By default, half the value of the Y-dimension is set.

Slit

Default position
Notch (Sensor detection line)

410mm

* Note on setting the menu item “Clamp offset”


You have to change the setting of the menu item “Clamp offset” if the
default position holds true for any of the followings:

There are "Notch" or "Slit" of a PWB in the position over normal size (410 mm for
L-PWB, 510 mm for L-Wide-PWB or E-PWB spefications) from the front edge part of
the PWB.
① there is a “notch” or a “slit,”
② there is a component that is tall (3 mm or taller) or there is a lead, or
③ there is a mirrored plane, or are irregularities. (For example, when a jig board
is used)

When you change the setting of this menu item, set the position so that is can avoid
the positions described above and so that it cannot be located around the board
transport rail.
(It is recommended to set the clamp offset in an area on which any component is not
placed.)
After setting this menu item, select the [Machine operation] command from the
menu bar, the [Transport] command and then the [PWB load] command on the
displayed menu respectively to feed the board twice normally and make sure that it
can be clamped (with HMS).

2 − 17
Operation manual Ⅱ

2.3.3.2.3 Multi-plane PWB


A single PWB on which two or more circuits (called PWB also) of the same pattern
are placed is called a “multi-plane PWB.” In this case, create a set of data for one
circuit (reference circuit) only as placement data and enter information on the circuit
layout (pitch between circuits, number of circuits, etc.) as PWB data.

Two types of multi-plane PWBs are available: matrix circuit board and non-matrix
circuit board

Third circuit
Fourth circuit

Pitch Y Reference circuit


Second circuit

Origin of the
reference circuit Pitch X

When you create just Placement data on the “reference circuit,” and enter the
information “number of circuits” and “pitch between circuits,” the placement data on
the entire PWB is completely created.

2 − 18
Operation manual Ⅱ

1) Multi-plane matrix PWB


This is a PWB on which all circuits have the same orientation and the distance
(pitch) between circuits is equal.
Select the reference circuit (select a circuit at the left bottom, in general) among
circuits on a PWB. Then, set the PWB origin and the circuit origin for placing
the reference circuit and enter the information of the number of circuits and the
pitch between circuits. By these data entries, the system places the specified
number of circuits on a board with based on the placement data of the reference
circuit that is created on the “Placement” data screen with shifting the position of
each circuit by the specified pitch.

PWB dimension X

PWB dimension Y

Circuit dimension Y
Reference
circuit

Circuit dimension X

PWB origin of the reference circuit (normally, the PWB origin is equal to the circuit origin.)

2 − 19
Operation manual Ⅱ

① PWB dimensions
Enter the dimensions of the PWB including all circuits.

② Reference hole position


In the same manner as a single-plane PWB, enter the position of the
reference pin viewed from the PWB origin.

③ PWB layout offset


In the same manner as a single-plane PWB, enter the position of the PWB
layout endpoint viewed from the PWB origin.

④ Circuit dimension
Enter the dimensions of a circuit (the dimensions that include all placement
coordinates).

Example)

Circuit Reference
dimensions, Y circuit

Circuit
Circuit layout offset dimensions, X

⑤ Circuit layout offset


Enter the distance from the circuit origin of the reference circuit to the
left bottom corner (this is an always fixed point regardless of the PWB
transport direction) of the reference circuit.

⑥ First circuit position


Specify the reference circuit. Enter the position of the origin of the reference
circuit viewed from the PWB origin.

* For a multi-plane matrix PWB, you can specify the PWB origin and the
circuit origin (this can be the PWB origin from which components are
placed) respectively. To do so, specify the PWB origin with the “Reference
hole position” and the “PWB layout offset,” and specify the circuit origin
with the “First circuit position.”
⑦ Circuit divide No
Enter the numbers of circuits in the X direction (or the PWB transport
direction) and in the Y direction (or the vertical to the PWB transport
direction).

The system can create up to 1200 circuits on a multi-plane matrix PWB.

2 − 20
Operation manual Ⅱ

⑧ Circuit pitch
Enter the distance between the circuits (the distance between the origins of
two circuits, and you have to enter a sign, + or – (minus).) in the X direction
(or the PWB transport direction) and in the Y direction (or the vertical to the
PWB transport direction).
⑨ BOC mark position
Enter the distance from the PWB origin or the circuit origin to the center of
the BOC mark.

This is the distance from the PWB origin if "PWB marks are used" has been
selected, or the distance from the circuit origin if "Circuit marks are used"
has been selected as the "BOC type" on the "Basic setting " screen.

⑩ Bad mark position


Enter the distance from the circuit origin (circuit reference position) to the
center of a bad mark on the reference circuit.

<Usage of a bad mark and operation


flow>
i) Enter the bad mark coordinates
into the PWB data.
ii) Before feeding a PWB, affix a
bad mark on the spot specified
with the bad mark coordinates
b of a defective circuit.
iii) When the OCC or the bad mark
a sensor reads a bad mark on
circuits before starting
Circuit origin Bad mark coordinates
production, the system skips
the circuit on which the bad
sensor detects a bad mark
without placing it on a board.

In the above case, enter X = a and Y = b.

The requirements for a bad mark are: the mark must be distinct in the
color from a PWB, and its diameter must be 2.5 mm or greater. If a bad
mark is used, the production time will be longer by the mark recognition
time.

⑪ PWB height, PWB thickness, and Back height


Enter these fields in the same manner as those for a single-plane PWB.

2 − 21
Operation manual Ⅱ

Example) Data input example of multi-circuit matrix


The following examples indicate a PWB whose reference circuit is at the left
bottom corner, and whose circuit origin is at the left bottom corner of a circuit.
① For front reference and PWB transport direction of L → R: (when “Shape
reference” is selected as the “Positioning method” on the “Basic setting” screen)
PWB dimensions X=200 Y=120
Reference hole position X=0 Y=0
PWB layout offset X=5 Y=−5
120 50 Reference circuit Circuit dimension X=50 Y=30
50 Ckt. Layout offset X=0 Y=0
30
First circuit position X=−170 Y=15
20 60 PWB origin Circuit divide No X=3 Y=2
Circuit pitch X=60 Y=50
25 175
Circuit origin
PWB layout endpoint

② For front reference and PWB transport direction of R → L: (when “Shape


reference” is selected as the “Positioning method” on the “Basic setting” screen)

PWB dimensions X=200 Y=120


Reference hole position X=0 Y=0
PWB layout offset X=−5 Y=−5
120 50 Reference circuit
Circuit dimension X=50 Y=30
50 Ckt. Layout offset X=0 Y=0
30 Circuit origin
First circuit position X=20 Y=15
20 60 Circuit divide No X=3 Y=2
Circuit pitch X=60 Y=50
25 175
PWB layout
endpoint PWB origin

③ For rear reference and PWB transport direction of L → R: (when “Shape


reference” is selected as the “Positioning method” on the “Basic setting” screen)
PWB layout endpoint

PWB origin PWB dimensions X=200 Y=120


Reference hole position X=0 Y=0
PWB layout offset X=5 Y=5
120
Reference circuit Circuit dimension X=50 Y=30
50
50 Ckt. Layout offset X=0 Y=0
30 First circuit position X=−170 Y=−95
Circuit divide No X=3 Y=2
20 60
Circuit pitch X=60 Y=50
25 175
Circuit origin
④ For rear reference and PWB transport direction of R → L: (when “Shape
reference” is selected as the “Positioning method” on the “Basic setting” screen)

PWB PWB origin


layout PWB dimensions X=200 Y=120
endpoint Reference hole position X=0 Y=0
PWB layout offset X=−5 Y=5
120 Reference circuit Circuit dimension X=50 Y=30
50
50 Ckt. Layout offset X=0 Y=0
30 First circuit position X=20 Y=−95
Circuit divide No X=3 Y=2
20 60
Circuit pitch X=60 Y=50
25 175
Circuit origin
2 − 22
Operation manual Ⅱ

2) Multi-plane non-matrix PWB


(set as “Non-matrix circuit” on the “Basic setting” screen)
The angle of each circuit is different from each other and the distance (pitch)
between circuits is not equal on this type of PWB (see the example on the next
page).
The system places each circuit on a PWB one by one according to the specified
X and Y angles with regarding the PWB origin as the reference position.
Therefore, the system can handle the different pitch or the different circuit angle
from other ones. If you specify the same circuit pitch and angle, you can
create PWB data for a multi-plane matrix PWB also.

2 − 23
Operation manual Ⅱ

① PWB dimensions:
Enter the dimensions of the PWB including all circuits.

② Reference hole position:


In the same manner as a single-plane PWB, enter the position of the
reference pin viewed from the PWB origin.

③ PWB layout offset:


In the same manner as a single-plane PWB, enter the position of the PWB
layout endpoint viewed from the PWB origin.

④ Circuit dimensions:
Enter the dimensions of a circuit (the dimensions that include all placement
coordinates).

Example)

Reference
Circuit dimension Y circuit

Circuit
Circuit layout offset dimension X

⑤ Circuit layout offset:


Enter the distance from the circuit origin of the reference circuit to the left
bottom corner (this is an always fixed point regardless of the PWB transport
direction) of the reference circuit.
⑥ BOC mark position:
Enter the distance from the PWB origin or the circuit origin to the center of a
BOC mark.

When “PWB marks are used” is selected as “BOC type” on the “Basic setting”
screen, this is the distance from the PWB origin to a BOC mark. When “Circuit
marks are used” is selected, this is the distance from the circuit origin to a BOC
mark.

2 − 24
Operation manual Ⅱ

⑦ Bad mark position:


Enter the distance from the circuit origin (circuit reference position) to the bad
mark center of the reference circuit.
<Usage of a bad mark and the operation flow>

i) Enter coordinates of a bad mark


into PWB data (that is, to the “Bad
mark position” fields.)
ii) Before feeding a PWB, affix a bad
mark at the spot specified with the
bad mark coordinates on a
defective circuit.
b iii) When the OCC or the bad mark
sensor reads a bad mark on
a
circuits before starting production,
the system skips the circuit on
Circuit origin Coordinates of a bad mark
which the bad sensor detects a
bad mark without placing it on a
board.
In the example above, enter X=a, Y=b.

The requirements for a bad mark: the mark must be distinct in the color from a
PWB, and its diameter must be 2.5 mm or greater. If a bad mark is used, the
production time will be longer by the mark recognition time.

⑧ PWB height, PWB thickness, and Back height:


Enter these fields in the same manner as those for a single-plane PWB.
⑨ Circuit layout:
The “Circuit layout” screen appears when you click the “Circuit layout” tab at
the left bottom corner of the “Dimension setup” screen.
Enter the distance from the PWB origin to each circuit origin and the angle of
each circuit.

Enter the distance from the PWB origin to the origin of each circuit into the
“X” and “Y” fields.

Enter the angle of each circuit with regarding the angle between the circuit
origin specified on the “Dimension setup” screen of the PWB data and the
coordinates of the component placement position shown on the Placement
data screen as 0 degrees. The anticlockwise direction is positive.

2 − 25
Operation manual Ⅱ

Example) Data input example of multi-circuit non-matrix


The following example indicates the “circuit layout” whose PWB origin
coordinates are the same as those of the circuit origin.
The circuit at the left bottom corner is regarded as the reference circuit while the
left bottom corner of a circuit is regarded as the PWB origin (= circuit origin):
each distance except the pitch between circuits is the same as that described in
“Example 1: Data entry example for a multi-plane matrix PWB”.

Values for each item are as shown in the


figure below.
The values for the "Circuit layout" screen
Reference circuit will be as shown in the figure on the right.
Circuit origin

Enter the distance from the PWB origin


to the origin of each circuit to the “X” and
PWB origin
“Y” fields of the “Circuit layout” screen.

Select this tab and the "Circuit


layout" screen will appear.

The system allows you to


create up to 200 circuits on a
multi-plane non-matrix PWB.

2 − 26
Operation manual Ⅱ

Area check

When you change the data displayed on the screen (that is, from the “PWB data” to
“Placement data” or from “Placement data” to “Component data”), the system
performs an area check, that is, checks to see if the entered BOC mark or
component placement coordinates are within a board (or a circuit) and if all circuits
are located within the board.
If the system detects an error, the warning message like the message shown in the
figure below appears on the screen.

• When you click the <OK> button, the system resumes switching the screen to
the selected one.
• When you click the <Cancel> button, the system stops switching the screen

* If the warning message like the message shown above appears on the screen,
review each value entered on the PWB data or Placement data.
(Check the settings of “Reference hole position,” “PWB layout offset,” “First
circuit position,” “Circuit layout offset” and each coordinate entered on the “Circuit
layout” screen especially.)

2 − 27
Operation manual Ⅱ

2.3.4 Placement data

Enter information on the coordinates of positions on which the system is to place


components.
For a multi-plane PWB, enter the information on the “reference circuit.”

Number of placement points


Up to 3,000 placement points can be defined per program.
For multi-matrix PWBs, up to 10,000 points can be defined, which is the
number of circuits multiplied by the number of placement points.

2.3.4.1 Viewing the placement data screen

When you click the “Placement” tab displayed on the screen after creating PWB
data completely, the “Placement” data screen for creating Placement data (the
following figure is an example indicating that Placement data was already created)
appears on the screen.

2 − 28
Operation manual Ⅱ

2.3.4.2 Entry items

Enter data to the entry items “Component,” “X,” “Y,” “Angle” and “Component name.”
The corresponding initial values are automatically entered to other items (that is,
“Head,” “Mark,” “Skip,” “Trial” and “Layer”). Change the displayed value when
necessary.
Note that each coordinate indicates the distance from the “PWB origin” determined
on the “PWB” data screen (“Circuit origin” of the reference circuit for a multi-plane
PWB).

1) Component
Enter the placement location as a reference notation.
Therefore, this does not have any influence over placement.
Up to eight alphanumeric characters can be entered as “Component.”
In addition, this item can be omitted by clicking another item (such as an X
coordinate) without entering any data. In this case, a "#" mark will be entered
here automatically.

2) X and Y
Enter the placement position (X, Y). The system provides you two ways for
entering data here: entering a numeric value from a keyboard directly and
teaching a coordinate by the HOD.
Be sure to perform a BOC alignment operation before teaching it.
* As a coordinate, enter the distance from the “PWB origin” (or circuit origin for
a multi-plane PWB) determined on the “PWB” data screen to the component
placement position (center of a coordinate).

3) Angle
Enter the placement angle as viewed from the posture (angle 0º) being a
component reference posture. (Refer to 2.3.5.2.2, 5).)
The reference posture 0º may vary depending on CAD data.
To create data without using CAD data, refer to the package recommended by
JUKI in 2.3.5.2.2, 5).

4) Component name
Enter the name of a component (up to 20 characters). A capital letter is
processed as the same data as the small letter of that character

5) Head
Specify a head used to place a component on a board.
You can select a head used to produce PWBs in order of input data from the
displayed list box.
The initial value is set to “AUTO” and the best-suited head is automatically
selected when you execute the “Optimization” command after creating a
program.
To select a head, press the Edit button ([F2] key on a keyboard), or the mouse
right button and select the desired one from the list.

2 − 29
Operation manual Ⅱ

6) Mark (Mark ID)


Specify whether to correct a component placement position with an area fiducial
mark before the system places a component.
Since the system can correct a component placement position with these area
fiducial marks affixed near this position, use these marks for a component that
requires high accuracy of placement.
Area fiducial marks correct two or more placement positions with a set of mark
data (one set consists of two marks or three marks).
Area fiducial marks

PWB

IC marks

① Selecting a mark ID
When you click the mouse right button in the “Mark” input field, the following
list box appears on the screen.
No. The system sets “No” to the “Mark” field.

Edit The system allows you to edit the area fiducial mark data.
Ref The system opens the “Area Fiducial” screen that allows you
to select an area fiducial mark (you cannot edit any data on
this screen).

② Creating Mark data

When you select “Edit” on the list box shown above, or when you select the
“Area Fiducial” tab, the “Area Fiducial” editing screen opens.

2 − 30
Operation manual Ⅱ

After entering the X and Y coordinates of a mark, execute the [Vision Copy]
command or use the HOD to perform teaching operation in order to obtain mark
data.

Items displayed on the “Area Fiducial” edit screen


• Mark ID: Enter the Mark ID. Up to eight alphanumeric characters can be
entered here.
When you omit it, the system automatically assigns a mark ID.
• Linked p: The number of placement data records that refer to a mark group
when you open the “Area Fiducial” screen is displayed here.
You cannot edit any data.
• Mark 1(2, 3) X: Enter the X coordinate of a mark.

• Mark 1 (2, 3) Y: Enter the Y coordinate of a mark.

• Mark 1(2, 3)TI: Perform teaching of the mark shape or execute the [Vision
Copy] command

Since an area fiducial mark is affixed nearer a component placement position than a
BOC mark, it improves the accuracy of component placement. However, it takes a long
time to recognize a mark, so production is delayed.

* If there is any CAD data (designed value), NEVER teach the X and
Y coordinates. Should you do this, a component placement
position will be deviated.

* The placement coordinates of the component that uses area fiducial


marks have no relation with the BOC marks. The BOC mark in
CAUTION this case works as the reference coordinates for searching area
fiducial marks. Therefore, if a component is not placed on the
specified position, modify the corresponding area fiducial mark(s) or
coordinates of the component placement position (X, Y) directly.

7) Skip
When you select “Yes” for this field, the system skips component placement on
the corresponding position: that is, the system does not place any component
on the position specified on this line. This function is mainly used for checking.
As the initial value, "No" is set.
To change the setting, press the F2 key, or the mouse right button, and select
"Yes" or "No" from the displayed list.

2 − 31
Operation manual Ⅱ

8) Trial
Trial mode allows the system to use an OCC camera to check the coordinates
of the positions on which components are placed after placing the specified
components or all components on the reference circuit or all circuits. You can
check the “component pick-up coordinates” before executing the “Trial”
operation also.
As the initial value, "No" is set.
To change the setting, press the F2 key, or the mouse right button, and select
"Yes" or "No" from the displayed list.

9) Layer
This function allows you to specify the order of component placement. The
lower numbers will be given the higher priority (i.e., to be placed earlier). As
the initial value, "4" is set.
When optimization is executed, the order of placement will be automatically
defined regardless of the data input order. Then, the system refers to the
layers to determine the optimized component placement order on the same
layer.
To change the setting, press the F2 key, or the mouse right button, and select a
layer from the displayed list.

Example) If the system places QFP components and a chip component as


shown in the right figure, it has to place a chip component first.
In this example, when you specify the layer 4 for a chip component,
and the layer 5 for a QFP, the system places a chip component
whose layer number is smaller first, and then a QFP.

QFP
Chip component

<A QFP should be placed on a chip component.>

<Placement adjacent to a tall component> <Laminated placement of IC components>

2 − 32
Operation manual Ⅱ

10) Area BM (Area bad mark)


Specify whether to skip a component placement operation according to an area
bad mark when the system is to place a component.
An area bad mark allows you to control two or more placement data records
(component placement points) with a set of marks.

Area bad marks

Board

① Changing the selection


When you click the mouse right button in the “Area Mark” input field, the
following list box appears on the screen.
No: An area bad mark is not to be used.

Edit: Opens the area mad mark input screen to allow you to
edit the area bad mark data.

Browse: Open the area bad mark input screen to allow you to
select an area bad mark (you cannot edit any data).

② Creating Mark data

When you select “Edit” on the list box shown above, or when you select the
“Area Bad Mark” tab, the “Area Bad Mark” editing screen opens.

2 − 33
Operation manual Ⅱ

The area bad marks already registered appear in the area bad mark list.
Select an area bad mark to be used among them.
If you want to register a new mark, enter the X coordinate, the Y coordinate and the
reference position of the mark.

“Displayed menu items”


・ Mark ID: Enter a mark ID. You can enter up to eight half-characters.
・ Linked pla: Displays the number of placement data records that refer to the area
bad marks when you open the “Area Bad Mark” screen.
You cannot edit this item.
・ X: Enter the X dimension from the position regulated in the “Reference”
field to the center of the area mad mark.
・ Y: Enter the Y dimension from the position regulated in the “Reference”
field to the center of the area mad mark.
・ Reference: Select the reference position of the coordinate system, the origin of
the circuit (circuit reference position) or that of the board (board
reference position).

The color of a bad mark should be able to be distinguished from that of a board, and its
diameter should be 2.5 mm or more. Note that when you use a bad mark, the cycle time
becomes longer by the mark recognition time.

11) Placement Monitor (Option)


This setting works only on the machine that has the placement monitor as an option.
For details, refer to the “Placement Monitor Instruction Manual”.

2 − 34
Operation manual Ⅱ

2.3.5 Component data

On the "Component" data screen, enter the detailed information on the "Component
name" that was entered on the "Placement" data screen.
Therefore, data will be created for the number of component names entered on the
"Placement" data screen.

2.3.5.1 Viewing the component data screen

Two types of component data input screens are provided: “List” screen and “Form”
screen.
On the “List” screen, the system displays a list of the summary information of two or
more components. You cannot enter any data on this screen, but you can check
how you have completed component data.

2 − 35
Operation manual Ⅱ

When you select a “Component name” by double-clicking it on the “List” screen, the
“Form” screen for the selected component data appears so that you can create/edit
its component data.
The “Form” screen consists of the basic setting screen, “Packaging style” tab,
“Centering” tab, “Add info” (Additional information) tab, “Expansion” tab and
“Inspection” tab, and displays data on only one component.

Tabs for switching the screen: “Form” screen and “List” screen
When you select the “Packaging style,” these items appear on the screen.
Data on the item you selected here appears on the
displayed area below.

* Keyboard operation
To move the focus among the displayed items, press the TAB key. To move the
focus among pages, press the [PageUp] or [PageDown] key.

2 − 36
Operation manual Ⅱ

2.3.5.2 Creating of component data

The component data creation screen ("Form" screen) consists of five windows in
total ("Packaging style", "Centering", "Add info.", "Expansion", "Inspection") including
the initial screen. However, items that need your setting are only on the initial
screen (including the information of "Packaging style").
For other items, the initial values are already registered. Enter data for necessary
items only.

Most of recognition errors and other various initial errors after program preparation
can be solved by reviewing the component data. If this is the case, make
adjustment by changing the component height as well as those values set as the
"initial values" described above.

2.5.3.2.1 Initial screen


1) Comment
Enter a comment here for those components that are hard to be recognized only
by their component name.
You can omit the “Comment.”
2) Component type
Select a component type from the pull-down list.
Select a component type from he display list.

If you happen to select a wrong component


type, a recognition error or other error occurs
when the corresponding component is
centered. Be sure to select a correct
component type.

3) Packaging style
From the displayed "Packaging style" list, select a component supply device.
To change it, select a packaging style from the pull-down list.

2 − 37
Operation manual Ⅱ

4) Outer dimensions
Enter outer dimensions of component matched to each component type.
Enter them by referring to the component illustration shown in the lower left part
of the form screen. Note that there are 2 cases, namely, one where the lead is
included and the other where the lead is not included, depending on the
component type.

♦ Chip ♦ SOT ♦ SOP


Outer dimensions, Width Outer dimensions, Width Outer dimensions, Width

Outer
dimensions,
Length Outer dimensions, Length

Outer
Component height
dimensions,
Length
Component height +

If you enter the dimensions oppositely, that is, enter


the width (horizontal) to the “Length” field or vice Component
versa, the system may not be able to center the height
component. If you enter the wrong value to the
“Height” field, a laser/VCS recognition error may occur Lead pitch
easily due to unstable laser/VCS measurement
position.

5) Centering method (Centering with a VCS is available with a KE-2070MNVC


or KE-2080 only)
Specify the method for determining the center of a component.
Select a centering method appropriate for a component (taking into account the
specification, accuracy, and tact).
Note that the available centering method is limited depending on the
component type you selected.

6) Pick depth
Enter the length from the nozzle pick surface to the top surface of the
component. Usually, the default value can be used for operation.

Example) When the nozzle pick surface is lower than the top surface of the
component as seen in a connector component, enter the length from the
end of the nozzle to the top surface of the component.
In this case, the "component height" is the length from the end of the
nozzle to the bottom surface of the component.

Nozzle

Pick depth
Connector
Component height

2 − 38
Operation manual Ⅱ

2.3.5.2.2 Packaging style


1) How to enter data if you select “Tape” as the “Packaging style”

① Tape Width
Select the tape width.

② Pitch between components


Select the tape feeding pitch. Tape width

For a 12-mm to 72-mm tape, set the pitch according Pitch


to the pitch set at the tape feeder.

Example: When you set an 8-mm pitch for a 12-mm


tape feeder (FF12FS), adjust the feeding
stopper of the tape feeder to “8” and set
“4*2” in the “Pitch between components”
field on the “Component” data screen.

Refer to the “Tape Feeder Operation Manual” for how to set a tape feeder.

③ Supply angle
Enter the direction of the component package on the tape feeder in
comparison with the reference posture 0º (placement angle 0º).
For the component posture recommended by JUKI, refer to 5) Component
supply angle in 2.3.5.2.2.

2 − 39
Operation manual Ⅱ

2) How to enter data if you select “Stick” as the “Packaging style”

① Type
Select a stick feeder type.
Type N: appropriate for a component whose width is from 7 mm to 13.4 mm.
Type W: appropriate for a component whose width is from 15 mm to 31.2 mm.
For a stick changer or belt feeder, select the desired one from the “Changer
type” or “Belt Feeder type” list box respectively.

② Feed waiting time


Set the ratio of the actual waiting time to the waiting time (that is, waiting time
set per feeder type) the system has to wait until it system can pick up the
next component after picking up the current component on a percentage
basis.
The initial value is 100 %.

③ Supply angle
Enter the direction of the component package on the stick feeder in
comparison with the reference posture 0º (placement angle 0º).
For the component posture recommended by JUKI, refer to 5) Component
supply angle in 2.3.5.2.2.

2 − 40
Operation manual Ⅱ

3) How to enter data if you select “Tray” as the “Packaging style”

① Pilot Position
Enter the distance from the tray outside to the center position (X, Y) of the
first tray component.
② Pitch between components
Enter the pitch between components (Pitch X, Pitch Y).
③ No. of columns/rows
Enter the number of components (Xn, Yn) in the lateral direction and the
longitudinal direction.
Pitch X
Tray

Yn Component

First
component Pitch Y

First component
Xn
position Y

First component
position X

PWB Transport path

Front of the machine

Tray Component: Pitch between components


and number of columns /rows

2 − 41
Operation manual Ⅱ

The initial values of the component pick-up position coordinates are determined
according to the settings of the “Pilot Position,” “Pitch between components” and
“No. of columns/rows.” Enter the correct values to these fields respectively.

④ Tray thickness

Enter the height "T" from the bottom side of a tray to the top side including
the component body.

Case 1 Case 2

T T

Component Tray

If the thickness of a tray of an MTC/MTS exceeds 9 mm, any tray base cannot be
set one level higher. Therefore, set a tray on every other level.
The maximum tray thickness of an MTC is 15 mm, while that of an MTC is 23 mm.

⑤ Tray feeder
Select a tray feeder among “Holder,” “DTS” and “MTC/MTS.”

⑥ Supply angle
Enter the direction of the component package on the tray in comparison with
the reference posture 0º (placement angle 0º).
For the component posture recommended by JUKI, refer to 5) Component
supply angle in 2.3.5.2.2.

2 − 42
Operation manual Ⅱ

4) How to enter data if you select “Bulk” as the “Packaging style”

① Type
Select a bulk feeder type.

② Feed waiting time


Set the ratio of the actual waiting time to the waiting time (that is, waiting time
set per feeder type) the system has to wait until it can pick up the next
component after picking up the current component on a percentage basis.
The initial value is 100 %.

③ Supply angle
Enter the direction of the component package on the bulk feeder in
comparison with the reference posture 0º (placement angle 0º).
For the component posture recommended by JUKI, refer to 5) Component
supply angle in 2.3.5.2.2.

2 − 43
Operation manual Ⅱ

5) Supply angle
This setting is provided to smooth out the difference between the component
supply angle regulated with this mounter machine and the angle at which a
component is actually supplied.

“For your understanding”


① Component placement angle
The component angle (or orientation) is defined with based on the “posture of
a component placed on a board” with this machine.
When 0 is set as the component placement angle (that is, when you enter 0
degrees to the “Angle” field on the “Placement” data screen), components
are to be placed on a board as shown in the figures below (these figures
define each component type).
Note: If the component placement angle defined in the CAD data is different
from that defined with this machine due to the specifications of a PWB to
be produced, change the component placement angle defined in the
CAD data.

QFP QFP(PLCC) SOP TSOP-1 TSOP-2 Connector

【When the component placement angle is 0°】

② Component supply angle


To place a component on a board as shown above if “the supply angle is 0
degrees, and the placement angle is 0 degrees,” the component supply
orientation should be set as shown in the figure below.
(When the component type is SOP)

Rear side
Tray holder, DTS

PWB

Tape feeder Placement


Stick feeder angle 0°

MTC/MTS

Mounter

Front side
2 − 44
Operation manual Ⅱ

− Even though a component is supplied from either the front side or rear side of a
feeder, the system automatically controls the relation between the component
supply angle and the placement angle according to where a component is
supplied so that you do not have to change the settings on the “Component”
data screen.

Note that the system handles a component so that it is supplied from the front
side of an MTC/MTS.

indicates the side from which a component is supplied.

③ Relation between the component orientation and the component supply


angle
The orientation of a component to be used for production is not necessarily 0
degrees, the angle regulated with this machine. Set the “Supply angle”
according to the actual orientation of a component.

Orientation of a component to be
used

Setting of the “Supply angle” field 0° 90° 180° 270°

The table above shows an example of an SOP component. For another


component type, see “JUKI’s definition of the component supply angles” on
the next page to enter the “Supply angle” field.

* Enter the length and width of a component with regarding the 0-degree
orientation regulated with this machine as the reference angle.

Example:

0-degree orientation regulated per component type Width Length

3
6 3
6

2 − 45
Operation manual Ⅱ

④ JUKI's definition of the component supply angle

Table 2.3.2 shows the definition of the component supply angle 0° and other
angles.
For components without polarity, you do not have to distinguish 0° from 180°
or 90° from 270°.

Table 2.3.2 JUKI's definition of the Component Supply Angle


0° 90° 180° 270°
Square chip

Melf

Aluminum electrolytic
capacitor

GaAsFET

SOT

SOP
HSOP

SOJ

QFP

QFN

QFJ(PLCC)

BQFP(PQFP)

2 − 46
Operation manual Ⅱ

Type of 0° 90° 180° 270°


components
TSOP-1

TSOP-2

BGA
FBGA

Network resistor

Trimmer

One-direction lead
connector
Two-direction lead
connector
Z-lead connector

J-lead socket
Gull-wing socket
Socket with bumper

2 − 47
Operation manual Ⅱ

2.3.5.2.3 Centering
Specify the “Nozzle No.” and “Vacuum level” on this tab.

① Nozzle No.
Select the number of the nozzle that can pick up a component stably from the
pull-down list.
(See 1.4.1.3 Nozzle).
You can enter the nozzle number to this field directly also.

② Vacuum level
Enter the pressure data for judging whether a component was picked up
successfully or not by the vacuum pressure.
When you select the “Nozzle No.” this value is automatically set.
If the vacuum pressure is different from the automatically set value due to the
shape of the side of a component picked up or for another reason, you can
change the value displayed here. To set this value manually, enter the
vacuum pressure that is used to pick up a component with the nozzle that is
specified with the “Nozzle No.”
Since the finishing of the component surface may be different depending on
its manufacturer, we recommend that you measure the component on the
“Machine operation” menu.

2 − 48
Operation manual Ⅱ

2.3.5.2.4 Add info (Additional information)

If you change any item on the Basic setting screen after changing any
CAUTION item on the “Add info” tab, some items on the “Add info” tab may be
reset to the default values.

1) Retry:
Set the number of times the system will pick up a component again if a
component pick-up error occurs during production.
If "1" is set here, two successive picking errors will cause a "component run-out
error."

2) Placing stroke:
Specify the distance for pushing a component from the top surface of a PWB
during component placement.
If you set “0” here, a component may not reach the surface of a PWB or the
component may slip over the cream solder during component placement
depending on the flatness of a PWB.
In such a case, enter the larger value so that a component can reach a PWB
(that is, by entering a positive value).
The initial value is “0.5 mm” (0.2 mm for a 0603 or 0402 chip component).

2 − 49
Operation manual Ⅱ

3) Picking stroke:
Specify the distance for pushing a component during component pick-up. If
you set “0” here, the nozzle may not reach a component and may not pick up
the component, or a chip rise error may occur due to the variation of component
heights. In such a case, enter the larger value (that is, by entering a positive
value) so that the nozzle can reach a component.
The initial value is “0.2 mm” (0 mm for a 0603 or 0402 component).
4) Picking offset Z:
Specify the distance from the center of a component to the component pick-up
position in the “Picking offset XY” field. When you create Pick data, it is added
to or subtracted from the initial value of “XY,” which is automatically calculated.
When the machine cannot pick up a component normally because there is a
projection or dent in the center of the component, set this menu item.
Specify the distance for pushing a component from the component pick-up
height when the machine picks up a component in the “Picking offset Z” field.
The value you entered here is effective for only components supplied from
embossed tape, and is added to or subtracted from the initial value specified in
the “Z” field of the “Pick” data.
This value is added to or subtracted from the initial value of "Z" that is
automatically calculated at pick data creation.

If the position obtained by shifting the coordinates of the component center by the
offset is regarded as the component pick-up position, this component pick-up
position may not be within the outer dimensions of the component due to rotation or
other movement of the component. Therefore, the system handles the outer
dimensions according to this effect.

Even though you change the value specified in the “Picking offset XYZ” field after
coordinates of a component pick-up position is specified, the system will not
recalculate the coordinates of the component pick-up position. To do so, change
the setting of the “Side” field to “AUTO” on the “Pick” data screen for a component
associated with the component data you changed, and specify the pick-up position
again. The system will calculate the coordinates of the component pick-up position
again, and change the value in the “Z” field also.

5) Compo reject to:


This field specifies whether to discard a component or return it onto a tray if a
recognition error or lead float check error occurs while the system is centering a
component.
Table 2.3.3 List of component discarding positions
Where to discard a component Description
Trash box The system discards a component that caused an error into the trash
box.
Note that if you select “Trash box” for a component whose shorter
side length exceeds 33.5 mm, the system will discard the component
in the same manner as you select “Protect.”
You can select this option when you use an MTC/MTS and “Tray” is
selected as the packaging style in Component data. However, you
Return to tray
cannot select this option for a component that is to be grasped
mechanically with an MTC.
The system discards a component onto the IC recovery belt
IC recovery belt (optional). You can select this for a component that is to be
centered with the VCS only.

2 − 50
Operation manual Ⅱ

The head moves to the front side and stops after an error occurs so
that any lead cannot be bent when the system discards a component
Protect
having leads. An operator has to remove the component from the
head with his/her hands.

6) Trial:
In the same manner as the setting on the “Placement” data screen, on the
“Production” (Trial mode) screen the system places only components for which
the “Trial” field is set to “Yes.”
When you select “Yes” in the “Trial” field on this “Component” data screen, the
system sets all placement positions of a component by one operation.
If you want to specify whether to perform a trial operation on each component
placement position, use the “Placement” data screen.

7) Release check:
This setting checks whether a component that is centered with laser is stuck to
the nozzle after being placed on a PWB.

It takes some time for the system to check that a component is released (since the
system checks it while it is put in the pause state). Normally select the “No” radio
button.

8) Auto correct pick:


This setting causes the system to automatically correct the component pick-up
position error as the result of laser recognition. Note that this check is only for
components that are centered with laser and supplied from tape. The
corrected position is entered to the coordinates field of the component pick-up
position on the “Pick” data screen.

When you select the “Yes” radio button here, the coordinates of a component
pick-up position change during PWB production. Therefore, the system may not
pick up a component during PWB production.

9) MTC auto teaching:


When the packaging style is “MTC,” “MTC auto teaching” appears on the screen.
When you select “Yes” for the “Auto teaching” menu item, the system
automatically measures the center position of a component at each point, and
indicates the center of the component with a spotlight. This function is
executed at start of production or when the system pulls out a tray for the first
time after the number of components is changed.
When the packaging style is not “MTC,” “Auto teaching” appears on the screen.
For paper tapes other than 0402 to 3216, auto teaching is not executed by
setting [Yes].
When you select “Yes,” the system automatically teaches the center of a
component when it tracks the pick-up position.
10) Component skip:
When you select “Yes” for this “Component skip” field, the system skips the
corresponding component during production and does not place it on a board.
2 − 51
Operation manual Ⅱ

The placement data record that uses a component whose “Component skip”
field is set to “Yes” is not used for production, and is not listed on the “Not
placed” list.

When you load the component information form the database, this “Component skip”
field is set to “No.”

11) MTS mark recog.:


This field specifies whether to recognize a mark located at a pick-up reference
position when an MTS is used. When you select “Yes” here, the system
recognizes a mark located at the component pick-up reference position when it
pulls out a tray on which the specified component is located, and corrects the
coordinates from which a component is picked up or to which a component is
returned.
When you select “Yes,” the component pick-up accuracy improves, but it takes
time for the system to recognize a mark.

12) Component layer:


The “Component layer” field specifies the priority of each component on the
same placement layer.
This selection is effective only if the system produces a PWB in the optimized
order.
Note that this selection does not cause the system to be put in the pause state
due to a component run-out error unlike the selection of the “Layer” field on the
“Placement” data screen.
Select the appropriate layer among layers from 1 (highest priority) to 7 (lowest
priority) by clicking it from the displayed list with the right button.

2 − 52
Operation manual Ⅱ

13) Gripper nozzle data:


This is the item only for a gripper nozzle (optional).
① Grip position: Enter a negative value (“-a”) into the “Y” field as
the offset from the center of a component to the
side against which a component is pushed (“a”
of Figure 1). Do not enter any value other than
“0” into the “X” field.

② Horizontal clearance: Enter a negative value (“-b”) as the clearance


between the side against which the arm on the
gripper nozzle fixed side is pushed and a
component (“b” of Figure 1). Note that the
movement direction varies depending on the
nozzle type and/or nozzle direction.
Usually, set the default value that is
automatically input.

③ Nozzle direction at picking: Specify the nozzle direction when the nozzle
picks up a component that is supplied at 0
degrees. Specify one of the directions: 0, 90,
180 and 270 degrees
.
④ Height adjustment: Enter the component pick-up height offset value
(gap between c and the top side of a component
Normally, set “- 0.5 mm” to keep a component
horizontal.

c
Fixed arm Swing arm

Component

b
a

Figure 1

<Setting items when a gripper nozzle is used>


In addition to the items described above, you have to set the following items for
a gripper nozzle in the different way from those for other nozzles.

i) When you use a new gripper nozzle, select the [File]/[Read Nzl. data]
commands on the “Machine setup” menu to load the information on the
gripper nozzle from a floppy disk first.
ii) Set the nozzle onto the ATC.
Attach the gripper nozzle onto the ATC so that the fixed arm of the gripper
nozzle can be located on the rear and the swing arm can be located on the
front with viewing the ATC unit from the front.

2 − 53
Operation manual Ⅱ

iii) Specify the component data.


a. Set the nozzle number.
The numbers for gripper
nozzles are from 800 to 899.

b. Set the laser position. (This setting is required


for both LNC60 and FMLA.)
Specify the distance from the tip of the fixed
arm to the laser position.
Guideline for setting the “Laser position”: -
(Component height – 3.5 mm*)/2
Make fine adjustments with based on the lead
position.
* Distance from the “c” shown in Figure 1 to the
fixed arm = 3.5 mm
Example: When the component height is 5 mm
(5-3.5)/2 = - 0.75 mm

iv) Set the pick data.


Perform the teaching operation for “X” and “Y” in the normal way. A value in
the “Z” field is automatically calculated based on the information on the
nozzle and component height registered on the “Machine setup” menu. You
do not have to teach this coordinate.

14) Placement Monitor (Option)

This setting works only on the machine that has the placement monitor as an option.
For details, refer to the “Placement Monitor Instruction Manual”.

2 − 54
Operation manual Ⅱ

2.3.5.2.5 Expansion

If you change the settings on the Basic setting screen also after
CAUTION changing any item on the “Expansion” tab, some items on the
“Expansion” tab will be reset to their default values.

1) “XY,” “Pick Z down/up,” and “Place Z down/up”


Specify the speed for moving the XY- and Z-axis respectively.
When you specify “Med.” or “Low,” the axis operation becomes stable, but it
takes longer time to move the axis.

2) Theta
Specify the theta axis speed attained while the nozzle is holding a component.

① Measure (“Laser” is selected as the “Centering method”)


Specify the accelerating speed of the theta axis attained when the system
recognizes a component with laser.

② Other (“Laser” is selected as the “Centering method”)


Specify the accelerating speed of the theta axis attained when the system
does not recognize a component with laser (for example, when the system
rotates a component to the placement angle after centering it with laser).

2 − 55
Operation manual Ⅱ

3) Place Offset
When the system centers a component with laser, it holds the center of the
component based on the outline of the component observed with laser.
In CAD data or similar type of data, the center of the component-mounted
pattern (called “pad”) is regarded as the coordinates of the component
placement position. This difference may cause leads of a component to be
shifted from the pad of a PWB. Therefore, when you enter this difference in
this “Place Offset,” the system can place a component at the correct position.
When a component is centered with the VCS, specify the placement offset in
the “Place Offset” field on the “Vision Control” menu of the “Vision” data screen.

Example 1: One-direction lead connector


* Placement angle is 0°.
Center position of a component
centered with laser Placement coordinate position

Pad on a PWB
Top view of a component

If the placement offset is not entered, components will be placed as shown


below.

Pad on a PWB

In the condition shown in the figure above (placement angle of 0º and


placement offset of 0), the system measures the distance from the coordinates
of the component placement position as the start point to the relative position of
the component placement position coordinates, and enters this distance into the
“Place Offset” field.

Placement offset −Y (X is 0)

To place two or more components of the same name, enter the placement offset
in this manner, and the placement position will be automatically changed and
the component will be placed on the correct position even if each placement
angle is other than 0°.

2 − 56
Operation manual Ⅱ

Example 2: Taking the following component as an example, enter the offset


value. The unit of numerical value is "mm (millimeter)."

( (no color) ⇒ Lead section, (colored) ⇒ Mold section, (bold line) ⇒ Pad)

Coordinates of the component placement Laser height


iti

Lateral view of a component


Pad on a PWB Top view of a component
(from the front)

Since the coordinates of the component placement position are different from
the center position of the component centered with laser, the system will not
place a component at the correct position. Therefore, enter this difference
into the “Place Offset” field as the offset value.

If any offset is not entered, components will be placed as shown below.

Center position of a component centered with laser

5.5

5 10

2
5
2

In this case, enter an offset value so that the tip of the lead will come on the
center of the pad.
If "X=−5.5, Y=−10" is entered in the "Place Offset" field, components will be
placed as shown below.

2 − 57
Operation manual Ⅱ

Note 1: Enter the distance from the center position of a component centered with laser to
the coordinates of the component placement position in the “Place Offset” field.
For the sign of the value, see the figure below (the arrow mark means the
distance to the coordinates of the component placement position).
+Y

−X +X

Center position of a component


−Y centered with laser

Example: To place a component as shown below, enter "X=0, Y=+3" into the "Place
Offset" field.
Coordinates points of the component placement position

Center position of a component


centered with laser

Note 2: Enter the offset value with regarding the placement angle as "0".
Example: If the placement angle of a component is "90," enter the "Place Offset"
field by assuming the placement angle to be "0." In the case shown
below (placement angle of "90"), enter "X=0, Y=2."

2 Center position of a component


Coordinates of the component
placement position centered with laser

Note 3: To enter an offset value, we have two methods: One is to enter the offset value
into the "Place Offset" field on the "Component" screen as described in this
document, and the other is to add or subtract the offset value to or from "X" and
"Y" fields on the "Placement" screen.
For entry of placement data, however, an offset value must be entered one by
one for each placement position. Therefore, in the case of placing components
of the same type on a number of positions, or if you do not want to change the
placement data, then enter the offset value in the "Place Offset" field on the
"Component" screen.
Note 4: If you change a value in the “Laser position” field of some components on the
“Expansion” tab of the “Component” data screen, the center position of the
component centered with laser may be changed. Therefore, you may be able
to adjust the component placement position by changing the value in the “Laser
position” field without entering any value in the “Place Offset” field. However, in
this case, you have to set the “Laser position” field so that the system can center
a component stably.

2 − 58
Operation manual Ⅱ

4) Laser position
Set the measurement height attained when the system centers a component
with laser. Enter the distance from the nozzle tip to the measurement position
to which the laser beam is irradiated.
The initial value is automatically determined by the component height and the
component type. However, some components may require alteration of the
initial value. If such portions that do not intercept laser rays completely as the
end of lead and the top surface/bottom surface of component are at the laser
height, a laser recognition error may occur. Select a height that assures stable
recognition (for example, if the position measured with laser is
cylindrical-shaped or transparent-colored).

0 Nozzle tip
Laser height
-Z
♦ Default Laser height

Default laser heights are set for some component types and heights. The
list below shows the relation between component heights and default laser
heights:

Component type Measurement position Laser height (mm)

Component height t
Square chip Measurement --
position with laser 2

Component height
Aluminum - (t –β)
electrolytic capacitor Measurement β= 0.35
position with laser

Molding
Component height

SOT
Measurement γ= 0.25
position with laser

Molding
Component height
SOP
-0.7×t
HSOP Measurement
position with laser

2 − 59
Operation manual Ⅱ

5) Comp Shape
You can specify the shape of a component to be recognized with laser. The
main applicable components are described in the table below.

Comp Shape Operation Applicable components


Corner Square The system detects four vertexes from the Chip, Melf, SOT, QFN, trimmer,
measurement data and calculates/corrects a unidirectional connector, bi-directional
positioning error and/or an angle error to place a connector, Z-lead connector and
component on a board. other components
Select this shape for a component whose shape is
similar with a rectangle/square without any notch.
2 1
2 1

3 4 3 4

Corner cut The system detects five to eight vertexes from the Aluminum electrolytic capacitor,
measurement data and calculates/corrects a GaAsFET, SOP, HSOP, SOJ, QFP,
positioning error and/or an angle error to place a FQFP (BQFP), TSOP, TSOP2, BGA,
component on a board. network resistor, J-lead socket,
Select this shape for a component that has at least unidirectional connector, gull-wing
one notch or a component whose lead is located at PLCC socket and socket with a
a position to be measured with laser such as a QFP. bumper
3 2
3 2 4 1
4 1

5 8
5 6 6 7

PLCC The system detects eight vertexes form the PLCC


measurement data, and uses four of them to
calculate/correct a positioning error and/or an angle
error and place a component on a board. This
setting is exclusively for a PLCC.
3 2
4 1

5 6 7 8
Cylinder The system calculates/corrects a positioning error Select this setting for a component
at the pick-up angle set in the measurement data to that has no vertex such as a
place a component on a board. cylindrical component. In this case,
the angle is ignored (the polarity is
ignored), and only the center of a
component is obtained.
Flexible The system extracts a total of 8 measurement data Flexible is used for components that
near positions of smallest component width in the result in laser recognition error 93
X/Y direction and calculates/corrects angle offset (shape recognition error) for the
and then mounts components. reason of "No missing edge" and
"Missing edge" of polygonal
components, or "PLCC"
Because the number of data to be
used is smaller than other component
shapes, the accuracy is lower but
more types of components can be
measured.

2 − 60
Operation manual Ⅱ

Flexible 2 The system detects an axis to which a component is Since the center coordinates of a
symmetrical based on the measurement result, and then component that has two or more
obtains the coordinates of the component center and the symmetrical axes can be
angular misalignment to place the component on the board obtained correctly, this shape is
after correcting its position. As the component size, the very effective (Example:
widest dimensions among the measured ones are judged as components indicated with a
“X” and “Y” at the actual component angle 0°according to circle mark “○” shown on the left
the angular misplacement measured based on the side).
calculation above. Since the center coordinate “Y”
of a component that has just one
symmetrical axis cannot be
obtained correctly, a component
placement error may occur
(Example: components
indicated with a triangle mark
“△” shown on the left side).
However, since this misalignment
may reoccur, input of the
placement offset may allow use
of this shape.
When a component is not
symmetrical at all, this shape is
not valid (Example:
components indicated with a
cross mark “×” shown on the left
side)
.

Flexible 3 The system uses the data around the minimum width of a Irregularly-shaped component
component to calculate the width “X” and “Y,” and
Although many
misplacement. It obtains the angle based on the slope of
irregularly-shaped components
X-direction one side of a component.
can be recognized, angular
misalignment becomes unstable
when the top and the bottom of a
component are rounded.

No Definition The system rotates a component that is ready to be picked Components that cannot be
up by the placement angle, and then places it on a board. centered with laser stably
(extremely thin components
whose thickness does not
conform to the specifications):
the system places such a
component without centering it.
Therefore, the placement
position is affected by the pick-up
position.

The initial setting of the “Comp Shape” is determined according to the


component type. Normally, if you change this initial value, an error may
CAUTION occur more frequently. Never change the initial value except for the
special component.

2 − 61
Operation manual Ⅱ

<Flow of laser align centering>


* For LNC60

Part attracting

Pick the component by driving


Z-axis, and adjust the
component at laser align height.

Rotation in θ direction starts.


While the θ-axis is accelerating, the system
does not start measuring a component.

When the speed of the rotation in θ direction


reaches the certain value, the system starts
Edge laser align measurement.

③ The sensor obtains the edge position of the


area shadowed by a component.
Laser align measurement

The system saves a beam corresponding to


the edge position as a “tangent line” into the
sensor.

The system obtains the tangent line data on


each angle by rotating the θ axis 360
degrees.

When the θ axis finishes rotating by 360


degrees, the sensor generates and
analyzes the outer shape of a component
based on the tangent line data obtained at
each angle to return the measurement
result to the mounter.

- Component size
(X direction: wX Y direction: wY)

- Distance between the center of the
nozzle rotation and the center of a
component
(X direction: dX Y direction: dY)
- Angle (θ) error: dRz

The system corrects the following errors


and places a component on a board.
⑥ Positioning error (dX, dY)
Angle error (dRz)

Placement

2 − 62
Operation manual Ⅱ

6) Detail Settings (entry can be performed for the KE-2080R only.)

When you check off "Detail Settings", the following items can be set.
• Laser Select: You can select one of "Auto", "LNC60", and "FMLA."
• FMLA Laser height: You can specify the laser height of the FMLA.
• Laser algorithm: You can specify the algorithm for laser recognition.
The main uses are as shown below.
Table 2.3.4 Algorithm
Algorithm Operation Use
Find the side (first minimum shadow A) with the minimum shadow
width and rotate the component from the detected side of the
1 minimum width to the +90º position. Then, detect the minimum Chip component
width (second minimum shadow B) and perform correction about the
position offset and angle offset. After that, perform placement.
Find the side (first minimum shadow A) with the minimum shadow
width and rotate the component from the detected side of the
minimum width in the + direction while performing laser alignment. Component with lead such as
2
Detect the minimum width (second minimum shadow B) and perform SOP
placement about the position offset and angle offset. After that,
perform placement.
Detect the shadow (first minimum shadow A) keeping the pick Used for cylinder parts without
posture. Rotate the component from the detected side to the +90º any angle. In this case, the
3 position. Detect the minimum width (second minimum shadow) and angle is ignored (the polarity is
perform correction about the position offset. After that, perform ignored) and only the center of
placement. the component is obtained.
Used for components for which
laser centering is unstable (very
thin components exceeding the
Rotate the component by placement angle from the pitch posture. specification). Placement is
4
After that, perform placement. performed without executing
centering. Accordingly, the
placement position is affected
by the pick position.

Regarding the algorithm, the initial value is determined by component type.


CAUTION Usually, the rate of error occurrence is increased by change. Do not
make a change in any case other than a special case.

• Pre-rotate: Set the degree of rotation of the picked laser recognition


component before centering (pre-rotate angle).
When entering the initial outer dimensions, the default value is set.
If the outer dimensions are changed, the default value is not set.
The initial value is set to 30º.
After making a change, the stability of centering is changed. (In most cases,
centering becomes unstable.)

Do not make a change in any case unless instructions are given by JUKI
CAUTION because it will have an effect on the accuracy of placement.

2 − 63
Operation manual Ⅱ

<Flow of laser align centering>


* For FMLA (KE-2080R only)

Part attracting

A
Pick the component by driving
Z-axis, and adjust the
component at laser align height.

Preload
(-) rotation
(preload)
Next, rotation is performed in the
(-) direction. (Preload)


B

The theta axis is rotated in the (+)


direction and the measurement of
the laser line is started.
(+)rotation

(Center of
dY
C

③ nozzle)
During the measurement, find out the
status of ③ or ④ in which the shadow
Laser align measurement

width is minimized. Since the center of


(Center of the nozzle is already known, the
component) Y-direction deviation dX and the
X-direction deviation dY can be known
from the difference from the center of the
(+)rotation component.
From the encoder output of the theta
motor in ③ or ④, the angle offset dθ
can be known.
dX


D

(+)rotation


E

Correction

The system corrects the following


errors and places a component on a
board.
Correction Positioning error (dX, dY)
Angle error (dRz)

Placement

2 − 64
Operation manual Ⅱ

7) MTC/MTS/DTS
• MTC speed: Specify the shuttle move speed. If you specify the slower speed, the MTC
can supply the main unit with component more stably, but it takes longer
time.

• Pickup: Specify the size of a pad located on the MTC picking side: Small or Large.
* When you select “Auto,” the system selects both pads and picks up components to
perform PWB production only if their size is from □ 10 mm to □ 14 mm (□ 10 mm to □ 16
mm for a seesaw nozzle).
• Shuttle: Specify the size of a pad located on the MTC shuttle side: Large, Small or
Mech.
* For a ball component such as a BGA, the pad of the MTC shuttle cannot pick up a
component (by using vacuum), so the system uses a mechanical pad (that clamps a
component from its outside).
* When you specify “Return to Tray” in the “Component reject to” field on the “Add info”
tab, the setting “Mech” is disabled.
♦ Initial value of the MTC pad
Setting item Default value
Pickup – If the shorter side of a component is
shorter than 16 mm: Small
16 mm or longer: Large
Shuttle – If “BGA” is specified as the component type: Mech
If the component type other than BGA is specified, and the
shorter side of a component is
shorter than 16 mm: Small
16 mm or longer: Large
• MTS speed: Specify the speed for pulling out a tray of the MTS.
• DTS speed: Specify the speed for pulling out a tray of the DTS.
8) Recog. Offset (This offset value can be entered only in the KE-2080/2080R.)
The system moves the pick-up center position of a component
(normally the center of a component) to the center position of
the VCS to center the component with the VCS. However,
since the system cannot pick up the center of a component
such as an MCM (Multi Chip Module) and the component
position may be located outside the VCS field view range, it
may not be able to center the component with the VCS. In
this case, enter offset values (a, b) as shown below to ensure normal recognition.

2 − 65
Operation manual Ⅱ

Pick-up center position = VCS center position


VCS field view

a

(Top View)
b

9) CDS Height (you can enter a value in this field only if you select “Vision”
as the “Centering method” with a KE-2080)
The optical fiber sensor called “CDS (Component Detection Sensor)” is used to
detect whether a component exists with the IC head (because a component may
drop off until it is placed on a board immediately after it is centered with a VCS).
Enter the distance from the tip of the nozzle to the measurement position to which
CDS light is irradiated as the height at which the CDS detects whether a
component exists or not.
The default value (initial value) of this field is determined according to the height
of a component, but you may have to change this default value depending on the
shape of a component.
Set the height (of the mold section) that allows the system to recognize a
component stably.

+Z +Z

ノズル
Nozzle Nozzle
ノズル

部品
Component 部品
Component
0 0
○ ○

× ×

-Z -Z
Sensor beam
センサ光 Sensor
センサ光beam

♦ Default value
The default value is set in the “CDS Height” according to the component height.
The default value is “- (component height × 1/3)” regardless of the component
type.
t 部品高さ
- ー Component height
3 t
Sensor
センサ測定位置
measurement
position

2 − 66
Operation manual Ⅱ

2.3.5.2.6 Inspection
Regarding "Tombstone", "Coplanarity", "SOT angle", "Pick position detection",
"Verify", and "Dimension check", settings are performed.
The fields “Coplanarity check”, “Component verification,” and “SOT Angle” are
optional.

1) Tombstone
Specify whether to check that a component stands on its side.
Usually, for chip components of 3216 or later, we recommend performing
inspection. Accordingly, when you select "Square chip" as the component type,
"Yes" is automatically set.
“Tolerance”: The system automatically enters a value calculated from the
component height you entered to this field. If the measured
height exceeds the value set here when the system centers a
component with laser, a “Tombstone” error occurs.
2) Coplanarity check (optional)
When a component is centered with the VCS, specify whether to check that a
lead (ball) floats, and enter the criteria (threshold) for detecting an error. When
you check the “Yes” radio button, the system checks a component immediately
after it is centered with the VCS during production.
Check the contents of the supplied CD for details.

Do not change the settings of the fields other than the fields “Check,”
CAUTION “Tolerance,” “E. Brightness” and “Scanning Offset” unless JUKI
instructs you. If you specify a wrong value in such a field, an error
may occur frequently.

2 − 67
Operation manual Ⅱ

3) Component verification (optional)


Specify whether to perform a verify check of the resistor, capacitor and/or
polarity, and enter the criteria for error detection. If you specify the items of the
“Component verification” after setting the feeders on the banks, the system
performs a verify check for the first component at the start of production and for
the first component refilled after a component run-out error occurs. This check is
useful mainly for checking whether a correct component is set for production.
Specify a value on a percentage basis to the “reference value” in the “Resistor”
and “Capacitor” fields.
Specify the values in order: reference value, unit, and upper limit and lower
limit.
Select the desired one from the pull-down list to make a setting in the “Polarity”
and “unit” fields. Reference value Unit Upper limit Lower limit

Main unit side

Specify the direction of the positive


polarity of a component with viewed
from the component supply
direction (packaging style).

Tape feeder
4) Dimension check
Specify whether to check a dimension of a component, and enter the standard
size and the size used for judgment if the system checks.
If you check the “Yes” radio button in the “Check” field, the system checks the
width and length of a component when centered, and an error occurs if these
dimensions exceeds the upper/lower limits and the system judges that the
component is irregularly-shaped.
Use this check function mainly to check that a component of the specified size is
not set.
The system performs this check when it centers a component during production.
The components to be judged are a laser centering component and an outline
recognition component (vision centering).

2 − 68
Operation manual Ⅱ

5) SOT Angle (optional)


Specify whether to check the direction of a 3-direction lead SOT. When you
check the “Yes” radio button here, the system checks the direction of an SOT
component before production and the first SOT component after a component
run-out error occurs. Perform this check mainly to check that a correct
component is not set.

6) Pick Position Detection


This function detects whether the center of a component is shifted by a value
more than that set in the “Tolerance” field when viewed from the center of the
nozzle.
Select the “Yes” radio button for the desired component, and enter the value in
the “Tolerance” field as the judgment value.
The range of a value you can enter in this field is from 0 to the length of a
component (or width of a component if the component supply angle is 90º or
270º).
When you select the “Yes” radio button, the default value to be set in the
“Tolerance” field is calculated with the expression shown below:

Length (or width) of a component ÷ 2 × (set value/100)

The “set value” is set in the “Environment setting” screen of the Program Editor.
The default is 50 (%).

When you select “Yes,”


the default value is set
in the “Tolerance” field.

2 − 69
Operation manual Ⅱ

2.3.6 Pick data

This “Pick” data screen allows you to specify where a component is supplied and
where it is picked up. Feeder units that can be fixed on a feeder bank are: tape
feeder, stick feeder, bulk feeder, tray holder and DTS. An MTS and MTS are
available to supply components also.
A feeder bank has 79 holes into which a feeder is mounted, and the number of a hole
into which a pin located on the tip of a feeder is inserted indicates the feeder
assignment number.

* Numbers are marked on a feeder changeover trolley in two rows, upper and
lower. When you attach a feeder changeover trolley on the front side, the
numbers of the upper row become the feeder assignment numbers. When you
attach it on the rear side, the numbers of the lower row becomes the feeder
assignment numbers.
* The component pick-up position is automatically assigned with the Optimization
function, but you have to manually assign the pick-up position in the following
cases
• when the feeder layout is fixed, or
• when you change the feeder layout after optimization.

Note: If you load a production program file created with another model, the system
may recalculate the component pick-up coordinates set as the Pick data
mainly because the reference coordinates vary depending on the model.
Therefore, when you load a production program created with another model,
be sure to check the pick-up coordinates.

2.3.6.1 “Pick” data screen display

When you display the “Pick” data screen, the “List” screen opens at first.

2 − 70
Operation manual Ⅱ

When you double-click the “Component name” or click the “Form” tab displayed on
the left side of the “Pick” data screen, the following “Form” screen opens.
Values you entered on the “Placement” and “Component” data screens are
displayed in the fields of “Component name,” “Package” and a feeder unit
respectively.

You can edit the seven items, “Angle,” “Side,” “Position,” “Type,” “Lane,” “Pick
position” and “Status” on the “Form” screen.

Example: To set a component to be picked up from the No. 41 of the front side

(1) Operations on the “Form” screen

<1> Select “Front” from the “Side” pull-down list.


<2> Enter the feeder number, 41 in the “Position” field.

2 − 71
Operation manual Ⅱ

(2) Operations on the “List” screen

<1> Click here with the right button, and select “Front” on the pull-down list.

<2> Enter “41” from a keyboard.

The range of holes of the feeder bank occupied with a tape feeder varies
depending on the tape width as shown below.
Type of a tape feeder/bulk feeder Number of occupied feeder mounting holes
8mm 2
12mm 3
16mm 3
24mm 4
32mm 5
44mm 8
56mm 8
72mm 10
Bulk feeder 2

For a 8-mm tape feeder, up to 40 pieces of tape can be attached on one feeder
bank while for a 32-mm tape feeder, up to 16 pieces of tape can be attached on
one feeder bank.

2 − 72
Operation manual Ⅱ

2.3.6.2 Setting items

1) Angle
Specify the component picking angle. The angle set in the "Component" data
screen is set here as the initial value.
To change the value, enter the desired value from the keyboard.

Even though you enter a value into this “Angle” field on the “Pick” data screen, the
corresponding angle is not changed on the “Component” data screen or database.

2) Side
Specify on which side to set the feeder, on the front side or on the rear side.
“AUTO” is selected in this field as the initial value.
When “AUTO” is selected, the optimization function determines the side, front or
rear.
• AUTO: The optimization function determines the side, front or rear.
• Front: Components are supplied from the front side.
• Rear: Components are supplied from the rear side.
(KE-2070C is excluded.)
When you select “Front” or “Rear,” the system allows you to enter the fields:
“Angle,” “Position,” “Type,” “Lane” (only for a stick feeder), “Pick position” and
“Status.”

* You can select two or more Pick data records to change them by one operation.
However, in this case, you can change the setting to “AUTO” only.
* You can select only “Rear” for a tray holder, DTS, MTC or MTS.

3) Position
Enter the position for mounting a feeder unit.
• For a tape feeder/stick feeder/bulk feeder: these types of feeders have a
fixed pin on its tip. Enter the number of the feeder-mounting hole of the
main unit into which this pin is inserted.
• For a tray holder: Specify the feeder-mounting hole number indicated with
the mounting marker.
• For a DTS: The system automatically sets the feeder-mounting hole
number specified on the “Machine setup” menu here.
• For an MTC/MTS: Specify the level on which a tray is stored.

You cannot specify another type of feeder on the position whose number was
already specified for one type of feeder.
Example: If you specify “10” in this field for a 12-mm tape feeder, you cannot
specify another type of feeder at the positions from 10 to 12.

2 − 73
Operation manual Ⅱ

4) Type (only for a stick feeder or tray)


Select the type of a stick feeder or a tray.
Note that data set on the “Component” data screen is displayed in this field. To
change the displayed data, enter the desired type on the “Component” data
screen.

• For a tray holder


Two types, “Type 1 (full specifications)” and “Type 2 (half specifications)”
are provided as a tray holder.

Push a tray Push a tray


against here. against here.
Mounting marker
Mounting marker
Type 2 Type 1

* To mount a tray holder on the main unit, align the feeder-mounting hole to the
mounting marker to insert the pin into the hole.

5) Lane (only for a stick feeder)


Select the lane number for a stick feeder.
Lane numbers are assigned from the left, 1, 2, … with viewed from the front of
the machine regardless of which side a component is supplied from.

Lane 1 Lane 2 Lane 3 Lane 2 Lane 1

Feeder Transport path


mounting hole

Lane 1 Lane 1 Lane 2

For a DTS, specify the level on which a tray is stored. The system calculates
the component pick-up position on the MTS with based on the tray information
specified on the “Component” data screen and displays it.
The upper level of a DTS is “1” and the lower one is “2.”

2 − 74
Operation manual Ⅱ

6) Pick position
Specify the X, Y and Z coordinates of the component pick-up position here.
When you enter data into the “Side” and “Position” fields, the system
automatically calculates and displays these coordinates. Make fine
adjustments of these coordinates with teaching operation.

• To avoid a risk of injury, do not put your hands inside the machine
nor move your face or head close the machine while the system is
performing a teaching operation.
• If the feeder bank is never recognized (for example, immediately
after the devices of the machine return to their home positions or
the bank moves down then up), the head moves across the feeder
CAUTION
bank when you perform a teaching operation. Do not place your
hand or head in the machine, nor move your hand or face close to
the machine.
• When you use an HMS, use caution for preventing laser beam from
getting into your eyes directly or after reflecting by a mirror.

You can enter only the “X1” and “Y1” fields for a tape feeder, stick feeder or bulk
feeder. For a tray, you have to perform the teaching operation for three
positions (X1Y1, X2Y2 and X3Y3 as shown in the figure below) since the head
moves to each component position and pick up each one from a tray. For an
MTC, you do not have to enter the “Z” filed since the system picks up a
component within an MTC.

MTS, MTC, DTS


Tray holder
Putting in/out

Press the tray against here

PWB Transport path

Machine front

Specify the center of the frame


within which a component is Frame Component
located instead of the center of a
component as the XY
coordinates.

Center of Center of a
the frame component
Feeder unit

* If you specify an incorrect value in the “X,” “Y” or “Z” field, a tombstone error or
pick-up error may tend to occur.

2 − 75
Operation manual Ⅱ

7) Status
Specify whether or not to use this feeder unit when production. “Used” is
selected as the initial setting. To change it, press the “F2” key or click the right
button of a mouse.
If there are two or more feeder units, specify the unit that is actually used for
production with this machine.
If you select “Not Used” when there is only one feeder unit, an error occurs
when the system checks data consistency.
A feeder unit whose “Status” is “Not Used” cannot be used as an alternate
feeder even though there are two or more feeder units.

2 − 76
Operation manual Ⅱ

2.3.7 Vision data

Vision centering is performed by recognizing a bright portion (lead, call, etc.) of the
component through the VCS camera.
At vision centering, it is impossible to detect a fault such as lead vend and ball
deformation. As vision data, set the sizes of lead, ball, etc. required to perform
centering and fault detection, fault detection level, etc.

2.3.7.1 “Vision” data screen display

When you display Vision data, the “List” screen appears first. You can see the list
of vision data on the “List” screen, and edit the displayed data also.

When you double-click the “Component name,” or when you click the “Form” tab
displayed on the left side of the screen, the following “Form” screen opens. The
contents of the “Form” screen vary depending on the selected component type.

2 − 77
Operation manual Ⅱ

2.3.7.2 Setting items

Based on the top view of lead components such as a QFP and connector, or the
bottom view of ball components such as a BGA, create the corresponding vision
data.

Top view Bottom view

<QFP (Top view)> <BGA>

1) “Component name,” “Type” and dimensions (“Size” and “Size”)


The values you entered on the “Component” data screen appear here. To change
a value, edit it on the “Component” data screen.

2) Lead (Ball) Pitch (X, Y)


Enter the distance between leads, or between balls (from the center of a lead or ball
to the center of the adjacent lead or ball).

Enter the correct value in the "Lead (Ball) pitch" field. Values of "Size X," "Size Y,"
"Len" and other items have some tolerances. For the "Lead (Ball) pitch, " however,
an error of only 10 μm(0.01mm), for example, may cause a recognition error.

Pitch between balls


Pitch between leads

2 − 78
Operation manual Ⅱ

3) Length Bottom, Right, Top and Left


Enter the length of leads. There provided are four entry fields including Bot, R, Top
and L, of which entry fields you have to enter are determined by the type of
component. For a QFP, entry is made only in one field (Len Bot) because the
length is the same in four directions. (You do not have to enter any data to fields in
which an asterisk mark “*” is displayed.)

Read length Read length

Enter into these fields a value used for recognizing a component with a VCS. For a
QFJ, leads are located even insides of the molded section (bottom of the
component), so you may enter a value a little bit greater than the length of a lead
viewed from the top of the component.

Top
Enter a value into the fields Top
“Len Bot,” “R,” “Top” and “L”
displayed on the “Vision” data
screen with regarding the Left Right Left Right
component placement angle, 0
degrees, defined with this
machine as the reference.
Bottom Bottom

Top

Left Right

Bottom

Top

4) Width
Enter the width of a lead, or the diameter of a ball.

5) Bottom, Right, Top and Left


Enter the number of leads or balls in each direction.
Even for a BGA component on which all balls are not located, enter the number of
balls as if all balls are located on the component.
Enter the number of lacked balls on the screen shown under Section “7) Start/No.”
For a BGA shown in the figure below, enter “7” into all of the fields “Bottom,” “Right,”
“Top” and “Left.”.

Bottom view

2 − 79
Operation manual Ⅱ

6) Bend
Enter the level for detecting a bent lead: whether a lead is bent in the horizontal
direction. A value you should enter here indicates the ratio: how much a lead is
bent against the lead pitch. Normally, enter a value from 20 % to 30 %.
The smaller this value is, the more strictly the system inspects a component.

ΔL
Level for detecting a bent lead L

Use the optional coplanarity function to inspect the lead in the vertical direction
(that is, a lead float check).

2 − 80
Operation manual Ⅱ

7) Start/No
If a component lacks a lead or ball, enter the information on the lacked (missing)
lead (ball).
The information on a lacking lead (ball) can be set for four directions separately, and
maximum 3 spots can be set for one direction.
Leads on each side should be numbered in the direction shown in the diagram
below.
Top

Left Right

Bottom
]

Example: For a QFP and BGA shown in the figure below, enter the information on
a lacking lead (ball) in the following way:

• QFP
Top View

⇒ In the fields from “Start 1” to “No.3,”enter "1/1, 8/2, 15/3".

1 from 1 pin 2 from 8 pins 3 from 15 pins

• BGA

Bottom view The left figure is obtained by reversing


Top the component posture whose
placement angle is 0 degrees
horizontally to convert the top view
Left Right and bottom view.
The side “Right” shown in the left
figure is the “left” side actually.
However, enter the number to the field
Bottom
“Right” as shown in the left figure.

⇒ For the Bottom : "1/1, 7/1, 0/0"; for the Right : "1/1, 7/1, 0/0";
for the Top l: "1/1, 0/0, 0/0"; for the Left : "7/1, 0/0, 0/0".

2 − 81
Operation manual Ⅱ

8) Contrast (only for BGA components and outline recognition components)


Specify the recognition method for BGA (FBGA) components and outline recognition
component.
Click the right button or press the “F2” key to display the list, and select the desired
contrast from the list.

① For a BGA component

Selecting the contrast (for a BGA or FBGA component) on the list

Table 2.3.5 Contrast items (For a BGA or FBGA component)


Items Recognized area Type
Out-PWB The system recognizes only balls located on Component whose molded section looks black
the outer regions of a component.
(You cannot specify this item for an FBGA
Out-Ceramic component.) Component whose molded section looks white
All balls-PWB The system recognizes all balls located on a Component whose molded section looks black
component.
All balls-Ceramic Component whose molded section looks white
All land The system recognizes all lands of a Component whose molded section looks black
component.

* If you change this setting to “Out-PWB” or “Out-Ceramic” after setting “All


balls-PWB,” “All balls-Ceramics” or “All land” in this field, the ball pattern setting is
initialized.

② For an outline recognition components


Check the contents of the supplied CD for details.

2 − 82
Operation manual Ⅱ

9) Base Style
When a component has a ball whose surrounding shines, the system allows you to
register this shining part as data to cause the system to ignore the shining part
surrounding a ball (base style) when the component is centered with a VCS.
Therefore, the combination of the “Base Style” and “Ball Pattern” is a pattern to be
recognized.

Base Style + Ball Pattern → Recognized pattern

Base style Ball pattern Recognized pattern


Default pattern
User pattern

The system uses only the “pattern” such as balls to center a component.

① Base Style (for a BGA or FBGA component)


You can specify the base style only when you select “All balls-PWB,” “All
balls-Ceramic” or “All land” in the “Contrast” field.
Click the right button or press the “F2” button to display the list, and select the
desired base style from the list.

【Choices for a base style】

2 − 83
Operation manual Ⅱ

When you select the [Browse] command, the following screen appears. Select the
corresponding base style, and click the <OK> button.

【List of the “Base Styles”】

Base styles displayed Description


on the list
Standard This is the base style indicating that no substance except a ball is located on the outer
regions of the package.

Index mark 4 This is the base style Index balls are at four corners except for grids.
indicating that there is no
Index mark 3 substance except a ball and Index balls are at three corners except for grids.
index ball on the outer regions (The condition under which no index ball is located at
of the package, and the index the upper left corner is regarded as 0 degrees.)
Index mark 2 ball is not located on the grid. Index balls are at two corners except for grids.
(The condition under which no index ball is located at
the upper left and bottom right corners is regarded as 0
degrees.)
Index mark 1 Index balls are at one corner except for grids.
(The condition under which no index ball is located at
the bottom right corner is regarded as 0 degrees.)
Outer belt type mark This is the base style indicating that the substance whose density is similar with a ball
and whose shape looks like a belt is located on the outer regions of the package.
Mark overspill type 1 This is the base style indicating that substances other than a ball are scattered over the
outer regions of the package.
Mark overspill type 2 The way for using “Mark overspill type 1” is almost the same as that for “Mark overspill
type 2.” Normally, select “Mark overspill type 1.” However, if there is a narrow and long
base style whose diameter is the same as the ball used for recognizing (centering) a
component, select “Mark overspill type 2.”

2 − 84
Operation manual Ⅱ

② Base Style (for a QFN)


Specify the base style for a QFN.

Click the right button or press the “F2” key to display the following list, and
select the base style.

When you select the [Browse] command, you can select the base style from the
following screen.

【Base styles (for a QFN)】

Items displayed on the Base style


pop-up menu/pull-down list
Standard Select the base style that is the same as (or similar with) the
component land shape. The system will not recognize a land located
Square land at each corner
at each corner as the “component lead pattern.”
Oblique land 1 at each corner
The base style “Standard” has no land at each corner.
Oblique land 2 at each corner If you select another base style, you can control the component
recognition according to the shape of a land located at each corner.

2 − 85
Operation manual Ⅱ

10) Ball Pattern (for a BGA or FBGA only)


Specify the pattern for recognizing a BGA or FBGA component.
You can select this item only if you select “All balls-PWB,” “All balls-Ceramic” or “All
land” in the “Contrast” field.
Click the right button or press the “F2” key to display the following list, and select a
pattern.

Standard BGA Peripheral BGA Staggered std. BGA (More out)

Staggered per. BGA (More out) Staggered std. BGA (Fewer out) Staggered per. BGA (Fewer out)

The items you can select on the Ball pattern


ball pattern list
Browse This command allows you to select a ball pattern you can register from the
displayed list. You can display the preview screen also.
Edit This command opens the ball pattern screen, and allows you to set a pattern
other than the standard type of pattern.
Standard BGA You can select components that satisfy the conditions described under
Section “2.3.7.1.2 Setting range” of CD.
Peripheral BGA
Staggered std. BGA (More out) You can select this item only when the pitch is from 2.00 mm to 3.00 mm
Staggered per. BGA (More out) You can select this item only when the pitch is from 2.00 mm to 3.00 mm
Staggered std. BGA (Fewer out) You can select this item only when the pitch is from 2.00 mm to 3.00 mm
Staggered per. BGA (Fewer out) You can select this item only when the pitch is from 2.00 mm to 3.00 mm

2 − 86
Operation manual Ⅱ

① Browse
When you select the [Browse] command, the following “Ball pattern list” dialog
box appears on the screen
Double-click the ball pattern to be set or click the <OK> button after selecting
the ball pattern to be set.
To call a ball pattern from the database, first call the component data (vision
data) in which the ball pattern is set. You cannot call a ball pattern alone.

When you click the


<Preview> button, the
selected ball pattern
appears on the screen.

【“Ball pattern list” dialog box】

• Example of the “Ball pattern preview” dialog box

X Number of ball rows


Number of (number of balls located in the horizontal direction)
balls Y Number of ball columns
(number of balls located in the vertical direction)

When you click the <Close> button, the system displays the
“Ball pattern list” dialog box again.

【Example of the ball pattern preview dialog box】

2 − 87
Operation manual Ⅱ

② Edit
When you select this command, the system allows you to create a new ball
pattern or edit a ball pattern already created.
To select a created ball pattern, click the [Browse] command, and select a
pattern from the “Ball pattern list” dialog box displayed on the screen.
You can register up to 16 ball patterns.

Select this button to create a ball one by one. When you click this button with the
left button while you are creating a new ball pattern, the system creates a ball.
When you click it with the right button, the system creates an index mark*1.

Select this button to specify the area on which balls are created with a mouse.

Select one of these patterns after you specify the area on which balls are created.

The current cursor position is displayed here

Area on which a ball pattern is created

Click one of these radio buttons to


change the size of the screen The name of a pattern already created is
displayed here. When you click the pattern
name, you can edit the corresponding pattern.

This button allows you to create a new ball


pattern. Click this <Make a new pattern> button
to create a new ball pattern (see the next page).

*1 Index mark: mark not to be used for centering a component but to be


referred to only. This mark is displayed in white on the ball
pattern creating area.

2 − 88
Operation manual Ⅱ

<How to create a new ball pattern>

a) Click the <Make a new pattern> button.

b) Select a ball pattern type from the “Pattern Type” list, and enter the number of
rows of a new ball pattern into the “Rows” field and that of columns into the
“Columns” field.

When you select the


“Peripheral BGA” from the
“Pattern Type” list, enter
the number of lines on
which a ball is located into
the “Peripheral” field.
(See “11) Number of lines”
on the next page.)

c) When you click the <Show Pattern> button, the system displays the ball pattern
you selected on the “Ball pattern creating area.” Add and/or delete a ball(s) to
edit the ball pattern.

If the number of balls you set when creating a ball pattern is different from that you
set on this screen, the following confirmation message appears on the screen.
When you click the <OK> button, the number of balls you set on this screen
becomes effective.

After creating/editing a ball pattern, click the <Save> button, and then <OK> button.
When you save a new ball pattern, the system displays the “Add a new ball pattern”
dialog box on the screen.
Enter a ball pattern name, and click the <OK> button.

2 − 89
Operation manual Ⅱ

11) Setting the lines of the peripheral pattern on which a ball (balls) is (are) located
(for a BGA or FBGA component only)
When you create/edit a “Peripheral BGA,” “Staggered per. BGA (More out)” or
“Staggered per. BGA (Fewer out),” set the number of lines on which a ball (balls) is
(are) located with counting them from the outer regions.
When you create/edit another type of pattern, “*” appears in the “Count” field on the
“List” screen, and the number of lines are not displayed on the “Form” screen.

- This example indicates that the


number of lines a ball (balls) is (are)
located is 4.

12) “Diameter Level” and “Area Level” (for a BGA or FBGA only)
When you select “All balls-PWB” or “All balls-Ceramic” in the “Contrast” filed, the
system allows you to specify whether to check a ball or not, and to set the judgment
value for detecting an error.

• “Diameter Level” (diameter check): The system measures the length and width
of each ball, and a recognition error occurs if either of these measured values
exceeds (or becomes smaller than) the set value. We recommend that you
enter 40 % or higher to the “Diameter Level” (input value) field.

The input ball diameter is supposed to be


“R.” When either “1-(H/R)” or “1-(V/R)”
H exceeds (greater than) or is lower than the
value you set (%), an error occurs.

V
• “Diameter Level” (diameter check): This is a ball area check. If the number of
pixels the system counted on the ball area that are converted from the ball
diameter input value is smaller or greater than the value you set, a recognition
error occurs. We recommend that you enter 45 % or higher to this field.

The initial value is “50” in this field.


When you enter a smaller value (that is, the check becomes stricter), an error
occurs more frequently.

2 − 90
Operation manual Ⅱ

13) Leads on
When “One-direction lead connector” is selected as the component type, specify
which side of a component has leads, upper or bottom.
Click the side with the right button.

14) View Field (L Top, R Top, L Bot., R Bot.)


For a connector component, the system recognizes all leads normally. However, if
the system can recognize only leads located at both ends of a connector or if there
is any shinning substances other than leads at both ends, you can cause the system
to recognize only leads at both ends, or recognize leads except ones at both ends
by assuming that the shinning substances are leads.
When you click the right button, the following list appears on the screen.

【Selecting the “View Field” on the displayed list】

Table 2.3.6 Choices for the “View Field” field


All The system recognizes all leads.
Only the both ends lead The system allows you to specify the range of leads to be
recognized by counting from ones at both ends of a component.
Both ends lead exclusion The system allows you to specify the range of leads not to be
recognized by counting from ones at both ends.

When you select “Only the both ends lead” or “Both ends lead exclusion,” enter the
number of leads to each field: “L Top,” “R Top,” “L Bot.” and “R Bot” to specify the
range of leads to be recognized by the system.

2 − 91
Operation manual Ⅱ

Table 2.3.7 List of the range of the number of leads you can specify

Choice of the "View Range of the number of leads


Component type
Field" L top R Top L Bot. R Bot.
All **** **** **** ****
One-direction connector Only the both ends lead 1-3 1-3 **** ****
Both ends lead exclusion 0-3 0-3 **** ****

Two-direction connector All **** **** **** ****


Only the both ends lead 1-3 1-3 1-3 1-3
Z-lead connector Both ends lead exclusion 0-3 0-3 0-3 0-3

* When you specify “2” as the number of leads, the lead recognition
accuracy may degrade.

<Meaning and image of the lead recognition pattern (setting of the “View Field”>

• All: The system is supposed to recognize all leads of a component.


Recognized

Recognized
• Only the both ends lead:
The system is supposed to recognize only leads located at both ends of a
component.

Recognized Recognized

Recognized Recognized

The system will not recognize the leads


located on the inside.

• Both ends lead exclusion:


The system is supposed to recognize only leads excluding ones located at
both ends of a component.

* Enter the number of leads to be recognized. (Not including the number of


leads that should be excluded.)

Recognized

Recognized

The system will not recognize the leads


located at both ends.

2 − 92
Operation manual Ⅱ

15) Corr. (Bright/dark correction)


Set here the threshold for recognizing a outline recognition component.
The initial value is set to "0." However, if recognition is not performed normally,
adjustment should be made between "−127 and 127."
If the displayed component is dark, and a recognition error occurs, decrease the
value set in this field (to make the image brighter). If the background (parts other
than leads or balls) is displayed too clearly, increase the value (to darken the
displayed image entirely).

16) Exp (Expansion: for expanded-lead connector components only)


Enter the information on an expanded-lead connector* component here. Click the
right button or press the “F2” key to edit this setting.

【“Exp” menu on the “List” screen】

* CONX (Expanded-lead connector): This is an expanded version of a


one-direction lead connector whose lead pitches are different from each other and
whose lead width is different also on the same side of a component. To
recognize such a component, specify only leads on a limited area of the
component. First, specify a block of leads whose pitches are the same, and
whose lead widths are the same to cause the system to recognize leads on this
block only, and position the component. Note that this operation is possible only
if there is no lead within the area whose width/length is twice the lead pitch of the
specified block.

• Profile: Select either "Flat lead" or "Gullwing lead."


Lead
◊ Flat lead ⇒
◊ Gullwing lead ⇒
• Recog. offset: The value set in "Recog. offset"
on the "Component" data screen is
displayed here. You cannot edit the
displayed values on this dialog box.
• LREP: Enter the distance from the component
recognition center position (VCS center
position) to the tip center position of the
right end lead of the lead group that the
system will recognize.

Lead right end position

Block of leads that the


Component recognition system will recognize
center position
2 − 93
Operation manual Ⅱ

2.3.7.3 Element

When you select “GNRL. Vision” (general-purpose vision component) in the


“Component type” field on the “Component” data screen, you can edit this field.
Check the contents of the supplied CD for details.

2.3.7.4 Vision Control

This screen allows you to make the detailed settings of a component that is to be
recognized with a VCS.
Since the initial values are set into the fields of this screen normally, you do not have
to change them.

① Light type
You can select a type of light.
② Light style
You can select the light type in details here.
Selection items vary depending on what was specified in the "Light type" field.
③ VCS Selection
You can select a VCS to be used here.
Specify an optional camera according to your machine (normally, 27-mm
optional camera).
④ Split Recognition
This is a setting for split recognition. The initial value will be selected
depending on the type of VCS.
If normal recognition cannot be achieved with the initial value because, for
example, a portion for of the recognized component image goes out of the view
field of the VCS, change the setting of the "Pitch" field(s).
The "Z" field is not used at present.

2 − 94
Operation manual Ⅱ

⑤ VCS Focus Height


This is an offset value applied to the component height when the system
recognizes a component. Entry should be made here if the VCS is out of focus
due to the extreme difference between the height of the lead and that of the
component bottom side.
Component Lead

A: VCS focus height


B B: Component height
A

⑥ Placement Offset
If the center position of a component centered with the VCS is different from the
placement position, then enter the difference here as the offset value.

⑦ Motion Control
These are the setting items for speed and height "At Pick Position" and "On
VCS." However, motion control (rotation etc.) is not performed on the VCS at
present. Actually, the system rotates a component so that it can be recognized
on the VCS, and moves it onto the VCS.
Therefore, the items of "On VCS" are not effective.
Note that the settings for “At Pick Position” are all invalid.

⑧ Height Inspection
This section is not used at present although it is intended for inspection of a
floating lead (optional).

2 − 95
Operation manual Ⅱ

2.3.7.5 Light Control data

This dialog box allows you to specify light control data for recognizing a component
with a VCS (the chart below shows a case in which split recognition is not
performed).

① Split View Settings


When the system performs a split recognition of a component, it displays the
number of times a recognition is to be performed here (the maximum number is
“4” at present).
The tab "Z2" is not used at present.

This is the Light pattern number (if there are two or more Light patterns, specify the number of a pattern).

This is the sequential number for recognition when split


recognition is performed.

The displayed items vary depending on the selected light type.

② Light pattern
Specify the brightness of each LED here.
For brightness, setting is available in the range from 20 (dark) to 200 (bright)
with the reference level being 100. Normally use the initial value

2 − 96
Operation manual Ⅱ

2.3.8 General-Purpose Vision Components

2.3.8.1 Overview of a general-purpose vision component

The following types of components are handled as a general-purpose vision


component
- Components having multi-pitch leads, components having various sized leads,
and components having leads at not-regulated positions (these components are
called “multi-lead” components; see Figure 2.3.8.1.1)
- Area array components having two or more arrays (called “complex array
components”; see Figure2.3.8.1.2)
- Components having balls/lands on not-regulated positions (called “random
component” ; see Figure2.3.8.1.3)
- Components having a side or corner (outline-recognition components)

• The components above are classified into the following sub-component types:
− Multi-lead component: Lead component group
− Complex array component and random component: Ball component group
− Outline-recognition component: Outline-recognition component group

Ball component group


Lead component group (Multi-lead component) (Complex array component) Random component
リード部品類(マルチリード部品) ボール部品類(複合アレイ部品)

Top view
Bottom view
Figure 2.3.8.1.1 Figure 2.3.8.1.2 Figure 2.3.8.1.3
A group of the same recognition elements is called an “element group.” In other
words, an element indicates a lead or a ball, and an element group indicates a
group of elements of the same size (leads or balls).
For a general-purpose vision component, a group of elements that are arranged in
the same manner is handled as one element group. By defining each element
group, the system can obtain the center of the component. A general-purpose
vision component shown in Figure 2.3.8.1.4 consists of four element groups.

Fourth element group Third element group Second element group

First element group


Top view
Figure 2.3.8.1.4

2 − 97
Operation manual Ⅱ

2.3.8.2 Simple Input: Quick Entry of Vision Data on a General-Purpose Vision


Component

This data entry method, “Simple Input” allows you to enter the minimum menu items
required to create vision data thanks to graphics.

2.3.8.2.1 Element data to be entered with Simple Input


Simple Input allows you to enter general element data, but you have to enter the
complicated component definition on the “Element Group” screen (see Section
2.3.8.5.1).
Table 2.3.8.2.1 shows the data that can be created with Simple Input.

Table 2.3.8.2.1 Component data that can be entered with Simple Input

Data type
Lead component Component whose element groups are all located on one of its sides.
Gull-wing or flat and outer lead
Elements of all element groups are placed in a line respectively.
Outline recognition Corner or side element
component (You cannot enter a mark element with Simple Input.)
All elements are “points.”
Ball component You cannot enter any data.

* You cannot create data on an extended array component with Simple Input.

Note: When you select the <Add> or <Edit> button on the “Element Data” screen,
and create or edit data on the “Element Group” or “Element” screen, you
cannot use this Simple Input function to enter the data created or edited.

2.3.8.2.2 Opening the “Simple Input” screen


When you click the <Simple Input> button after selecting an element in the
“Component Type” field on the “Element Data” screen, the element group definition
screen appears.

【“Element Data” screen example】

2 − 98
Operation manual Ⅱ

2.3.8.2.3 How to create data on a lead component group

1) Description of the “Define leaded element groups” screen


When you select “Lead component group” on the “Element Data” screen, the
following “Define leaded element groups” screen appears.
After specifying the template whose shape is the same as that of a component,
enter the number of element groups in the “Number of leaded groups on each
side” fields.

① Select a template.
(Seven types of
templates are
available.)

② Enter the number


of lead groups on
each side.

③ Total number of
element groups is
displayed here

After entering data, click the <OK> button.

Note: If you quit the “Define leaded element groups” screen after defining element
groups and clicking the <OK> button, the system will not display this screen
even though you click the <Simple Input> button the next time. To add an
element group, do it on the “Simple Input for Leaded Component” dialog box.

2 − 99
Operation manual Ⅱ

2) “Simple Input for Leaded Component” dialog box


When you click the <OK> button on the “Define leaded element groups” screen,
the “Simple Input for Leaded Component” dialog box appears on the screen that
allows you to enter or edit data on a lead element group. This dialog box
allows you to add, copy, paste and/or delete an element group also.
* The “Simple Input for Leaded Component” dialog box consists of three menu
item groups: “All Leaded Element Groups” list, “Position/Lead Count/Lead
Pitch” and “Lead Property.”

“All Leaded Element Groups” list “Position/Lead Count/Lead “Lead Property” menu item group
Pitch” menu item group

2 − 100
Operation manual Ⅱ

① “All Leaded Element Groups” list


You can perform an operation similar with that of Windows Explorer on the “All
Leaded Element Groups” list.

When you click [+], [+]


changes to [-] and the defined
element groups are displayed
at the lead positions.

② Position/Lead Count/Lead Pitch

• Lead Count (LC):Number of leads in the group


• Lead Pitch (LP): Distance from the center of one lead to that of the next lead

• First Lead X (FLX): Distance from a corner (in the farthest outer region) to the
first element

2 − 101
Operation manual Ⅱ

③ Lead Property
Select the lead type, and enter the dimensions of a lead.

【Gull-wing lead】 【Flat lead】

④ Editing an element group


You can add, delete and/or copy an element group on the “All Leaded Element
Groups” list. Each operation is similar with that of Windows Explorer.

1. Select a folder to be added, deleted or copied.

2. Click the corresponding button: <Add>, <Delete>


and <Copy> to add, delete or copy an element
group.
When you click the <Copy> button, you have to
click the <Paste> or <Paste Special> button also.

2 − 102
Operation manual Ⅱ

2.3.8.2.4 How to create data on an outline recognition component


You can enter data on an outline recognition component (only “corner” or “side”
element) with Simple Input.
Note that all element groups should be at a corner or side, and the maximum
number of element groups is “4.”

1) Starting up the “Edit outline element groups graphically” dialog box

① Select “Outline component” in the “Component Type” field on the “Element


Data” screen, and click the <Simple Input> button.

【When an outline recognition component is selected】

② The “Edit outline element groups graphically” dialog box appears on the
screen.

2 − 103
Operation manual Ⅱ

2) Adding an element group

When you place the mouse


pointer at the position
around the corner or side
where you can add an
element group, the mouse
pointer changes to the
hand-shaped cursor and the
message appears on the
bottom of the dialog box.
Click the mouse at this
position

When you click the <OK>


button, the system creates
an element group.

3) Deleting an element group

When you place the mouse


pointer at the position
around the corner or side
where you can delete an
element group, the mouse
pointer changes to the
hand-shaped cursor and the
message appears on the
bottom of the dialog box.
Click the mouse at this
position.

2 − 104
Operation manual Ⅱ

2.3.8.3 Specifications of a general-purpose vision component

1) Quantity
- Up to 20 element groups can be defined per component.

- Only one element can be specified per element group.

2) Dimensions

Element Setting item Standard VCS Optional VCS


Lead Pitch 0.5 – 22.0 mm 0.2 – 11.0 mm
element
Lead width 0.22 – 10.0 mm 0.08 – 5.0 mm
Lead length 0.4 – 10.0 mm 0.14 – 5.0 mm
Number of leads 1 to 384/element group
Ball/Land Pitch 1.0 – 22.0 mm 0.25 – 11.0 mm
element
Diameter 0.4 – 5.0 mm 0.1 – 2.5 mm
Number of balls 3 to 6936/element group

3) Shape and size specifications of an outline-recognition component group


- The specifications of the corner/side shape are the same as those of the
outline-recognition component group.
(See “3) Conditions under which an outline recognition component is
recognized” of Section 4.3.7.3.8 “Contrast” of the attached “Instruction
Manual CD.”
- A filled circle and square marks can be used. A mark whose size is from 2
mm to 10 mm can be recognized with the standard VCS.

2 − 105
Operation manual Ⅱ

2.3.8.4 Procedure for Creating Data on a General-Purpose Vision Component

To create data on a general-purpose vision component, create data on each element


group.
To create data on each element group, enter:
① Element information (such as whether an element is a lead or a ball, and size
and shape of an element)
② Number of elements and pitch between two consecutive elements
③ Distance between the component recognition center and the first element*
* The first element is defined as shown below:
− For a ball component: the first element is a ball located at the bottom left corner of an element
group.
− For a lead component: the first element on the bottom side is located at the leftmost position, that
on the right side is located at the lowest position, that on the top side is located at the rightmost
position, and that on the left side is located at the top position.

Example: For the following general-purpose component, create data on four element
groups (in mm).
How to enter “1 Element information” is not described here. See the next
page for the detail on how to enter data.

Fourth element group Third element group Second element group


(-17.4) (15.4)

1.5 1 1.5
+ Center of a component to be recognized
1 (0, 0)
* Numeric values in
parentheses indicate the
distance from the center of a
(-114.5, -4) First element group
component to be recognized.
• First element group
− Number of elements and pitch between two consecutive elements ⇒
Number: 30, Pitch: 1
− Distance of the center of a component to be recognized to the first element ⇒ X:
- 14.5, Y: -4
• Second element group
− Number of elements and pitch between two consecutive elements ⇒
Number: 4, Pitch: 1.5
− Distance of the center of a component to be recognized to the first element ⇒ X:
21.5, Y: 4
• Third element group
− Number of elements and pitch between two consecutive elements ⇒
Number: 31, − Pitch: 1
− Distance of the center of a component to be recognized to the first element ⇒
X: 15, Y: 4
• Fourth element group
− Number of elements and pitch between two consecutive elements ⇒
Number: 4, − Pitch: 1.5
− Distance of the center of a component to be recognized to the first element ⇒ X:
- 17, Y: 4

When you enter information described above, data on a general-purpose vision


component is created completely.

2 − 106
Operation manual Ⅱ

2.3.8.5 Data Entry Items

When a component is set as a general-purpose component on the “Component”


data screen, the <Element > button appears on the “Form” screen invoked from the
“Vision” data screen.

When you click the <Element > button, the following “Element Data” screen for
creating data on a general-purpose vision component appears.

• Component Type:
Select a component type among a lead component such as a QFP, a ball
component such as a BGA and an outline recognition component.
• Define data format
− Element group/Element format: Checking this check box allows you to
specify an element to be recognized such as a lead and ball, define the
element group (consisting of the same elements); pitch and quantity, and
specify the orientation and position of the group. Check this box when you
are to create data on a “multi-lead component” or “complex array
component.”
− Extended array data format: Checking this check box allows you to define
data by specifying the X and Y coordinates of an element to be recognized
such as a ball or land one by one. Check this box when you are to create
data on a “random component.”
• Element Group List: The element group you created appears here.
To create a new element group, click the <Add> button.
To edit the existing element group, click the <Edit> button.

2 − 107
Operation manual Ⅱ

2.3.8.5.1 Creating an Element group/Element format


When you click the <Add> button on the screen shown in Figure 2.3.8.5.2, the
following dialog box appears on the screen.
Clicking either of these buttons displays the previous or next element group data.

【“Element Group” dialog box】


1) Name: Enter up to 32 alphanumeric characters to specify the name of an
element group.
2) First element position: Enter the distance between the center of a
component to be recognized and the first element.

• Offset
− X, Y: For a multi-lead component, enter the distance between the
component center position and the center of the tip of the first
element. For a complex-array component, enter the distance from
the component center position to the center of the first element.
* Be sure to enter the dimensions correctly. If an error of the
distance between element groups exceeds ± 0.05 mm, the
system may not recognize the element groups.
− Theta: Enter this field for a multi-lead component only. When a lead is
located on the bottom side, enter “0°.” For the right side, enter “90°,”
for the top side, enter “180°” and for the left side, enter “270°.”

* First element
− For a multi-lead component: In the same way as a QFP, the first element is at the leftmost
position when an element group is located on the bottom side, at the lowest position when it is
located on the right side, at the rightmost position when it is on the top side and at the top position
when it is on the left side.
− For a complex array component: the first element is located at the bottom left corner of an
element group.

• Tolerance:
Although you can specify the tolerable range of value to be set, do not
change the initial value “0.” Be sure to use “0” for all “Tolerance” fields of
the element group.

2 − 108
Operation manual Ⅱ

Example 1: Multi-lead component

Center of a component
to be recognized

Element group

First element position (Θ = 0º)

Example 2: Complex-array component


Element group
First element position

Center of a component to
部品中心位置
be recognized
(外形中心位置)

Bottom View

3) Layout inspection
Set the tolerant range in which the center can be shifted with considering a lead
pitch or ball pitch.

4) Component Size
When you enter data to these fields for a lead component, the system can open
the Component Viewer (see Section 6.3) correctly.
• Outline: Values entered on the “Component” data screen appear here.
• Moldline: Enter the dimensions of the molded section of a component.
• Moldline Offset: When you enter data to the “Moldline” and “Moldline Offset”
fields, the system can display a lead component more correctly. This
function is provided for the Component Viewer only. If you do not enter any
value to these fields, the dimensions of a component appear on the
Component Viewer screen.

5) Point, 1D, 2D
• Point: Select this radio button if there is only one element in the element
group.
• 1D: Select this radio button for a component such as a lead component
whose elements are placed in a line.
• 2D: Select this radio button for a component such as a BGA whose
elements are placed horizontally and vertically.

2 − 109
Operation manual Ⅱ

6) Column, Row
When you select the radio button “1D” or “2D,” enter the number of leads or
balls, and the pitch.

7) Missing Elements
If an element group has a missing element, specify the missing element
information from a missing element located nearest the first element sequentially.
You can specify up to four missing elements per column or row.

8) Element
Enter the information on an element.

Screen displayed when you select a Screen displayed when you select
ball or land an outer lead or inner lead
• Type
Select an element type. The contents of the displayed list vary depending
on your entry at 5) (the right screen appears when you select the “1D” radio
button).
- Outer Lead: Gull-wing type lead (such as a QFP)
- Inner Lead: J-lead (such as a QFJ)
- Column: a ball or land whose height can be
recognized enough.
- Mark: a component that does not require any
inspection because it is not an electrode such as a
mark.
- Side: a component whose element is irregular-shaped, so the system
can recognize its side only.
- Corner: a component whose element is irregular-shaped, so the system
can recognize its corner only.
See the next page for how to specify the “Type.”

• Reference pos. (position)


Specify the first element reference position. We recommend that you specify
the “center of the bottom side (center of the lead tip)” for a lead component,
or “the center of an element (center of a ball)” for a ball component.

2 − 110
Operation manual Ⅱ

• Polarity
Specify the brightness of an element. If an element looks brighter than its
background, select “Bright.”

• Offset
Specify this field if the “first element position” of an element group should be
shifted further than that already specified.

• Element size
Enter the length and width of an element.

• Ball/Land
When you select “Ball” or “Land” in the “Type” field, these fields appear on the
screen.

Select the shape of a ball or land. (However, the system does not distinguish the shape currently.)

Specify whether to check the shape or not, and enter the judging level.
− Diameter: Specify whether to check the diameter. When checked,
the system checks each ball based on the average diameter of all
checked balls.
− Area: Specify whether to check the area.
− Ball exist?: Specify whether to check that there is a ball or not.
The initial value “30 %” indicates that the system can detect the
condition: 20 % of a ball is cut away.
− Average diameter: Specify whether to check the diameter with
comparing the average diameter of checked balls and the input
diameter
.

• Outer Lead/Inner Lead


When you select “Outer Lead” or “Inner Lead” in the “Type” field, these fields
appear on the screen.
− Profile: Specify the lead type.
− Cut shape: Specify the shape of the cut section if the tip of a lead is
cut:

U-shape Left bottom Right bottom


Flat cut cut cut

Length Length
Width Width Width
− Cut width, Cut length: When you select “U-shape cut,” “Left
bottom cut” or “Right bottom cut,” enter these fields (Length and width
described above).
− Coating: Specify the coating type of a lead. Not used at the
present.
− Lead size: For a gull-wing lead, enter the width and length of the tip
of a lead that is in contact with a board.

2 − 111
Operation manual Ⅱ

• Column
This screen appears when you select “Column” in the “Type” field.
Not used currently.

高Height

◎ When the radio button “Point” is selected on


the “Element Group” dialog box, the menu
items shown in the right figure can be
selected from the “Type” list.

• Mark
When you select “Mark” in the “Type” field,
this screen appears.
- Matching:
Specify the tolerant range of the similarity.
The higher value you specify, the more
strictly the system judges the similarity.
The initial value is set to “600.”
• Side
For a component whose element is irregular-shaped (other than a circle or
square), you can cause the system to recognize a component with its side.

The bottom side is the reference side.


When you use the right side, enter “90°” in
the “Theta” field of the “Offset” menu item
displayed on the “First element position”
Reference side column. In the same manner, enter “180°”
for the top side or “270°” for the left side.

• Corner
When you select “Corner” in the “Type” field,
this screen appears.
- Radius:
Enter the radius of the corner section. For a
right-angled corner, enter “0.” The reference
corner is the bottom left corner when the
component supply angle is 0°. If you want
to use the bottom right corner, specify “90°” in
the “Theta” field of the “Offset” menu item
displayed on the “First element position”
column. In the same manner, enter “180°”
for the top right corner or “270°” for the left
top corner.

2 − 112
Operation manual Ⅱ

2.3.8.5.2 Creating an extended array data format


When you select “Extended array data format” on the initial screen for creating a
general-purpose vision component, the following dialog box appears on the screen.
The procedure for creating data is basically the same as that for the “Element group/
Element format.” However, for an “Extended array data format,” specify the X and
Y coordinates of an element one by one.

Specify an element in the “Location” field one by one. Enter the X and Y
coordinates viewed from the center of a component.

* Up to 256 locations can be set.

2 − 113
Operation manual Ⅱ

2.3.8.6 Component Viewer

The Component Viewer allows you to display the created data on a general-purpose
vision component graphically.

2.3.8.6.1 Opening “Component Viewer”


The operating method and the contents of data to be displayed on “Component
Viewer” vary depending on the screen from which “Component Viewer” is started.
Table 2.3.8.6.1 Differences in Operating Method and Contents
of Display of “Component Viewer”
Screen from which “Component
For editing element data Contents of display
Viewer” is opened
Opening from the Vision Form screen “Component Viewer” must be closed. All element groups are displayed.

Opening from the Element Data screen “Component Viewer” does not need to All element groups are displayed.
be closed.
Opening from the Element Group Only the current element group is
screen displayed.

1) Opening from the Vision Form screen


When a general vision component is displayed on the Vision Form screen, the
<Preview> button is displayed on the Vision Form screen.

− Clicking the [Preview] button displays the following dialog box.

Example of the Example of the


ball component screen lead component screen

2 − 114
Operation manual Ⅱ

2) Opening from the Element Data screen


After making sure on the Element Data screen that element group data is
completed, click the <Compo Viewer> button.

3) Opening from the Element Group screen


After making sure on the Element Group screen that element data is completed,
click the “Compo Viewer” button.

2 − 115
Operation manual Ⅱ

2.3.8.6.2 How to use “Component Viewer”


Select an element group from the “Element Group List” or click the element group
you want to select with a mouse. The selected element group is displayed in blue,
and its first element is displayed in yellow.

Selected element group


Tool bar Element group selection list

First element

Cross line
representing the
center of component

Component shape entered Coordinates of the first Scroll bars


on the "Component" data element of the selected
screen(including leads) element group

【Explanation of the “Component Viewer” Screen】


■ Tool bar

End: Closes the Viewer.

Top View: Displays a figure of the component viewed from the top. *1

Bottom View: Displays a figure of the component viewed from the bottom. *1

Zoom Out: Scales down the component size 10% by clicking the icon.

Zoom In: Displays the icon in the pressed status by clicking the icon.
When you click it with the mouse left-button on the screen, the component size is
scaled up 10%.

*1 If you use the Component Viewer to display the element defined on the top side so that it
can be viewed from the bottom side, or the element defined on the bottom side so that it
can be viewed from the top side, the element may become invisible.

2 − 116
Operation manual Ⅱ

2.3.8.6.3 Editing data on a general-purpose component


When you start up the Component Viewer from the “Element Group” screen, you
can edit data on the “Element Group” screen without closing the Component Viewer
screen.
When you click the <Compo Viewer> button after editing data, your editing appears
on the “Component Viewer” screen also.

When you click the <Compo Viewer> button after editing data,
your editing appears on the “Component Viewer” screen also.

2 − 117
Operation manual Ⅱ

2.3.8.6.4 Example of “Component Viewer” screen whose contents vary depending on


the element type

1) Outer lead/inner lead element

◇Outer lead:
A green spot exists at
the center of the red
rectangle.
Red
Green

◇Inner lead:
A green spot exists at
the edge of the red
rectangle.

Lead

【Example of the outer lead element screen】

2) Ball, land, and column elements


Balls, lands, and columns are displayed in different colors respectively.

◇Ball:
Red circle (filled). A
white spot exists in the
upper right part to give a
ball a three-dimensional
appearance.

◇Land:
Lands are indicated as
green circles or
rectangles.

◇Column:
Columns are indicated
【Example of the ball element screen】
as light blue circles or
rectangles.

2 − 118
Operation manual Ⅱ

3) Mark element
A mark element is indicated as a dotted line circle or rectangle.

Mark

【Example of the mark element screen】

4) Side element
A side element is indicated as a red line.

Side

【Example of the side element screen】

5) Corner element
A corner element is indicated in red.

Corner

【Example of the corner element screen】

2 − 119
Operation manual Ⅱ

2.3.8.6.5 Component Viewer screen for the extended array format


The Component Viewer screen for the extended array format can be invoked from
the three screens shown below. The operation and displayed data vary depending
on which screen the Component Viewer screen is invoked.

Screen from which the Operation other than those of the Displayed data
Component Viewer Component Viewer
screen is invoked
Vision Form screen You have to close the Component Viewer. All extended array data groups
Element Data screen You can add or edit data without closing
the Component Viewer. When you click
Extended Array screen Only the current extended
the <Compo Viewer> button after adding
array data group
or editing data, the system updates the
data and redisplays the screen.

(1) How to invoke the Component Viewer screen

Invoking the Component Viewer screen from the Vision From screen

Click the <Preview> button on the “Vision Form” screen.

Invoking the Component Viewer screen from the “Element Data” screen

Click the <Compo Viewer> button on the “Element Data” screen.

2 − 120
Operation manual Ⅱ

Invoking the Component Viewer screen from the “Extended Array” screen

Click the <Compo Viewer> button on the “Extended Array” screen.

Screen example
The extended array data arrangement image is shown below. When you change
the value in the “Element No.” field with the speed control or when you click an
element displayed on the screen, the selected element is displayed in yellow.
(Elements not selected are displayed in blue.)

Tool bar Extended array data group list (display only)

Cross line indicating


the center of a Element number of the
component selected element and
total number

Component outline input in


the Component data

Coordinates of the selected element

2 − 121
Operation manual Ⅱ

2.3.9 Checking the status of data completion

Now we are checking how data has been completed. Incomplete data does not
allow optimization.

When you select the [Data]/[Data completion status] commands from the menu bar,
the following screen appears.

When the total number of records is equal to the number of completed records, data
has been completed, and an asterisk "*" is inserted between the parentheses "( )" of
the "Complete" field. For the "Pick data" or any other data whose "No. of Records"
field is null (0), that item is considered to have been completed although an asterisk
"*" is not displayed.
If you find any incomplete item, make it complete.

2 − 122
Operation manual Ⅱ

2.3.10 Line coherence check

This section describes the check of the prepared program for inconsistency with the
settings in the "Machine setup" menu or for inconsistency in the program itself.
When the coherence check is finished successfully, we can advance to optimization.
If any error is detected, the details of error will be displayed. Correct the program
taking into consideration the displayed errors or setting on the "Machine Setup" menu.

1) Exciting the coherence check


When you select the [Data (D)]/[Line coherence check (L)] commands on the menu
bar, the system executes the coherence check.
2) Reporting the coherence check
After the system finishes the coherence check, one of the following messages
appears on the screen.
① No error was found.

② Error(s) was(were) detected.

When you click the <OK> button at this point, the inquiry dialog box appears on the
screen that asks you whether to display the detailed information on the error(s).

Select <OK>, and the error information will be displayed.


3) Information on the error
Data type Data creation number Detailed information on the error

The VCS you specified for the first component on


Descriptions of an error that has occurred at a KE-2080 the “Component” data screen cannot be used
because the machine is not equipped with this
VCS or this VCS is set to “unused” on the
“Machine setup” menu.

2 − 123
Operation manual Ⅱ

2.4 Optimization
The Optimization function executes the following tasks for a production program
created with the Editor:
① Optimization of feeder layout
② Optimization of component picking and placement order

2.4.1 Conditions setting for optimization

Optimization is affected by various factors.


Those factors include items that are set depending on the machine and items you can
specify
This section describes those items you can specify.

2.4.1.1 Component supply count

When the number of the placement positions for one type of component is
outstanding, production with one feeder may result in the bad cycle time balance.
In this case, production efficiency may be improved by supplying the identical type
of components with two or more feeders if the number of feeders (trays) and
components of your system allows.

<Procedure for performing the [Component supply count] command>


1) Click the [Optimization]/[Component supply count] commands from the menu
bar.

2) The "Component supply count" dialog box appears as shown below.


After you click the name of a component whose number is to be set, click the
<Change…> button. When the system uses the
Optimization function to set
Component name registered on the Number of feeders set after optimization or display the number of feeders, it
“Component” data screen area for the number of feeders manually set displays “Auto” (initial value)
here.

2 − 124
Operation manual Ⅱ

The initial value of the “Number supplied” field is “Auto.” In this case, the number of
feeders (trays) is set to “1” basically. However, when the number of the certain type
of components is far greater than that of other types of components (3 times or more
of the average of the number of each component), the system automatically
increases the number of this type of components. Therefore, if the system
increases the number of feeders although there is one feeder, or if you want to
increase the number of feeders, you have to set this item manually.

3) When you click the <Change…> button, the following dialog box appears on the
screen. Specify the number of feeders.
After clicking the radio button “Manual,” enter the number of feeders, and click the <OK> button.

4) Initialization
When you click the <Initialize…> button on the “Component supply count” dialog
box, the “Initialize” dialog box appears on the screen. Select the radio button
“Auto” or “Minimum” on this screen.
The settings on this dialog box will be effective for all types of components.

20

Setting item Description


Auto The system automatically initializes the numbers of all types of
components. When you select this “Auto” radio button, you have
to specify the “Limit” field also (the default: 20).
Minimum The system initializes the numbers of all types of components to
the total number of pick data records of the corresponding type of
component respectively.
Save Limit as default The system registers the value you specified in the “Limit” field as
the default value. When you create a new program, this value is
applied as the initial value.

2 − 125
Operation manual Ⅱ

2.4.1.2 Setting the optimization conditions

The optimization result may vary depending on the settings on the “Component
supply count” dialog box described above and those on the “Optimization” dialog
box described below. Set the optimization conditions of a production program only
after understanding the descriptions below well.

When you click the [Optimization]/[Optimization] commands from the menu bar, the
“Assignments” screen appears.
Set the six categories of conditions including the “Assignments” option.

Click this tag to change the screen for the corresponding option.

This button registers new settings as the This button invalidates your registered
initial values. The new settings will be settings and restores the standard
displayed in the beginning when the settings (initial values of the machine).
system is booted the next time.

This buttons executes the optimization function.

This button stores your settings, and closes this screen.

Option Description
Assignments Assigns nozzles or pick data.
Area Specifies the area in which a feeder is mounted.
Feeder arrange Specifies how to assign feeders.
Place order Specifies how to display components in the placement order.
Non-stop Specifies the non-stop operations.
2000 Options Specifies the items not described above.

2 − 126
Operation manual Ⅱ

2.4.1.2.1 “Assignments” option

1) Nozzle
Select which nozzle layout you want to use, the nozzle layout set on the
"Machine setup" menu or the optimized nozzle layout.
① Auto arrange nozzles:
This optimizes the nozzle layout by ignoring nozzle settings on the "Machine
setup" menu. In this case, production cycle time will be shorter, but you
have to change the settings on the “Machine setup” menu, and it may take
longer time to prepare nozzles for changeover.
② Auto arrange empty nozzle positions:
The permanent nozzles set on the “Machine setup” menu are used as they
are, and the nozzles required as the result of optimization are assigned to the
empty area of the ATC. If your system has enough nozzles to do this
operation, select this option. Note that you have to change the settings on
the “Machine setup” menu to set the additionally assigned nozzles if you
select this option.

③ Use machine setup nozzles:


The nozzle settings on the "Machine setup" menu will be used as they are.
This option does not need any changeover time, and thus select this option
for flexible manufacturing.

2) Pick Data
Specify whether to assign feeders according to a feeder layout specified in the
“Side” field on the “Pick” data screen or optimize the feeder layout with ignoring
this layout.
① Use manual position assignment:
The system assigns the specified feeders according to the setting on the
“Pick” data screen and optimizes the layout of only feeders that are set to
“AUTO” in the “Side” field.

2 − 127
Operation manual Ⅱ

② Auto assign all data:


The system optimizes the layout of all feeders with ignoring the specified
feeder layout.

Once you execute the optimization function, its result will be reflected in the “Side”
filed of the “Pick” data screen also. If you edit this Pick data, select “Auto assign all
data” to optimize the feeder layout from the beginning again, or “Use manual position
assignment” to assign only the changed feeders.

3) Assign several components to each stick feeder:


To use two or more old stick feeders (this type supplies two or more sticks to
one stick feeder), gather stick components of the same type onto one stick
feeder. When you uncheck this check box, the system assigns the same type
of stick components so that it can pick up them at the same time.

2.4.1.2.2 "Area" option


Specify the area on which feeders are assigned.
All feeders may be located only on the front side, or only on the rear side.
When you mount feeders on the feeder changeover trolley in advance, the time
required for preparation of the next production is shortened.

Note that the check box “Station” is disabled.

• Both sides: Feeders will be located over the all areas of the feeder bank.
• Front only: Feeders will be located only on the front side of the feeder bank.
• Rear only: Feeders will be located only on the rear side of the feeder bank.
• Front priority area: Feeders will be located on the front side of the feeder bank first.
• Rear priority area: Feeders will be located on the rear side of the feeder bank first

Since a production program that sets a feeder on the rear cannot be used to produce
PWBs with a KE-2070C, select “Front” and optimize the program.

2 − 128
Operation manual Ⅱ

2.4.1.2.3 "Feeder arrange" option


Specify the start position for assigning a feeder here.
If the feeder is located on the center of all placement coordinates, the time from
component picking to placement will be shortened, that is a placement time will be
shorter.

• Median point of placements


This option locates a feeder on the position nearest to the placement position
that will be the median when counted from the smallest number if every
placement position is put in a queue in ascending order from the smallest X
coordinate.
• Average point of placements
This option locates a feeder on the position nearest to the average coordinates
of all placement positions.

Third nearest component


placement position

Second nearest component


placement position

Nearest component placement


position
Position at which a feeder is
located when you select
“Average point of placements”
Position at which a feeder is (A feeder is located with
located when you select “Median regarding the average
point of placements” (A feeder is coordinates of all component
located with regarding the median placement positions as the
point as the reference position.) reference position.)

<Image for locating a feeder when components are placed at three positions>

* The above two options would make a similar result if the number of component
positions is large, and placement positions are evenly spread throughout on a
PWB.
* Depending on the program, a trend is noticed, in general, that the "median point"
will be advantageous if there is any distant placement position, and the "average
point" will be advantageous otherwise.

2 − 129
Operation manual Ⅱ

2.4.1.2.4 "Placement order" option


Specify the placement data order: input order (data entry order) or optimized order.

• Assign in input order of Production Program File


Placement data will be displayed in entry order (that is, not changed at all).
• Assign in optimized order
Placement data will be displayed in the optimization order.

The optimization result can be referred to by selecting "Optimization/Divided


placement data" from the menu bar.

"Assign in optimized order" is a function for editing the optimized data


(optimization result can be referred to, but cannot be edited).
CAUTION However, once this function is executed, the data in order of the
original date entry will be deleted. Therefore, backup the data before
executing this function.

2 − 130
Operation manual Ⅱ

2.4.1.2.5 “Non-stop” option (option selected at the factory) ※KE-2070C is excluded.


This tab setting is available only if the machine is equipped with the “Non-stop option.”
The check box “Station” is disabled.
Specify whether to enable the
MTC/MTS non-stop operation.

Specify which side is mainly used


during non-stop operation, front or
rear.
Select “Front to Rear” when the
reference side is the front or
“Rear to Front” when it is the rear.

Specify the feeder layout method during non-stop operation.

• No: The system will not perform any non-stop operation.


• Speed priority: The system assigns components with giving the higher priority to
the speed.
• Change priority: The system assigns components with giving the higher priority to
changeover operation. (This item cannot be selected in the
KE-2080/2080R.)

4 線対称でコピーした場合
<When selecting “Speed priority”> 点対称でコピーした場合
<When selecting “Change priority”>
R-75 R-79 R-1 R-5

Rear

Front

F-75 F-79 F-75 F-79

*1 Non-stop operation
This is the function for producing PWBs without stopping the machine.
Example: For a machine whose reference side is the front, set on the front side all
feeders that are used for PWB production, and set on the rear feeder
bank the feeders identical to these feeders located on the front feeder
bank. If components run out at the feeders on the front side, the system
picks up a component from the feeder on the rear side automatically.
Until an operator press the switch (for non-stop operation) on the main
unit, the machine will never move to the front side. Therefore, the
operator can replenish the feeder on the front side with components
during production. When the operator press the switch after replenishing
the feeder, the machine will pick up a components from the front feeder
bank to continue PWB production.

2 − 131
Operation manual Ⅱ

2.4.1.2.6 "2000 Option" option


When you select the “2000 Option” tab, the following dialog box appears on the
screen.

① MTC/MTS Tray Priority


Specify whether to assign the same component type of tray components that are
supplied to an MTC/MTS all together or assign them with giving the higher
priority to the cycle time.
• Group trays with same component type together:
The system assigns the same type of components all together.
• Arrange trays to optimize supply time:
The system assigns components with giving the higher priority to the
component supply speed.

Comp Type A Comp Type A


A Comp Type B
A Comp Type C
Comp Type B A
B B
B C
Comp Type C A
C B
C C

When “Group trays with same When “Arrange trays to optimize


component type together” is selected supply time” is selected

② PWB/Circuit:
Specify whether to perform optimization, circuit by circuit, or throughout entire
PWB.
• Optimize by PWB
Optimization will be performed as if all circuits were developed into a single PWB.
The production cycle time will be shorter, but the placement order will be
complicated.
• Optimize by circuit:
Optimization will be performed on a circuit-by-circuit basis.

2 − 132
Operation manual Ⅱ

③ Consider the component types and heights


When you check this check box, the system specifies the component layer with
considering the component height automatically to create a program that places
components in high density (that is, places components adjacently).

When you check this check box actually, the system places components in the
following order:
<Component placement by considering the component type and component height>

Placement order ① Placement order ② Placement order ③

The components other than aluminum electrolytic capacitor have priority as seen in the
priority order shown in the following figure.
Priority order Component type Component height (mm)
1 0 < t ≦ 5.5
Components other than
2 5.5 < t ≦ 12
aluminum electrolytic capacitor
3 12.0 < t
4 0 < t ≦ 5.5
5 Aluminum electrolytic capacitor 5.5 < t ≦ 12
6 12 < t
<Priority order of layers>
The relation between layers is shown in the table below.
Priority Layer type
1 Placement layer
2 Component layer
3 Component type/height layer

The system skips a component to continue the current PWB production if it cannot place
it on a board due to a component run-out error during PWB production when you do not
select the option “Stop system on components run out” on the “Production (Pause)” tab
of the “Operation option” menu. The system places the skipped component finally after
the production resumes by your replenishing the feeder that caused a component
run-out error. At this point, the production conditions based on the component height
may not be satisfied. Therefore, we recommend that you select the option “Stop
system on component run out” on the Operation option menu when you select “Consider
the component types and heights.”

④ Order placement from smallest to largest nozzle size:


When you check this check box, the component placement order is optimized
so that a component that can use the smallest number of nozzle can be placed
first.
⑤ Arrange feeders according to the placement peak point is fixed on center of the
PWB:Specify the method for calculating the component placement peak point
that is the reference of the feeder layout. When you check this check box, the
system assigns feeders with regarding the center of a PWB as the component
placement peak point, and disables the setting of the “Placement peak” field on
the “Feeder arrange” option menu.

2 − 133
Operation manual Ⅱ

2.4.2 Executing the Optimization function

2.4.2.1 Executing the Optimization function

1) When you click the <Run> button on the “Optimization” conditions setting
screen, the system starts the optimization process.

2) The “Confirmation for optimization options” dialog box appears on the screen.
When you click the <OK> button, the system executes the Optimization function.

2.4.2.2 Saving a file

When you click the <Run> button on the “Optimization” conditions setting screen for
a new production program, the system displays the “Save As” dialog box. Specify
the file name and save the file.
① Specify the destination “drive” and “directory for saving a file.
② Enter the file name and click the <Save> button.

2 − 134
Operation manual Ⅱ

2.4.2.3 Checking the divided component placement data

This section describes how to display the placement data divided with the
Optimization function.
[Placement Data] command from the “Optimization” menu.

• You cannot select this command if you have not performed the Optimization
function (that is, there is no divided placement data file), or if you edit a
production program after executing the Optimization function.

The following “Divided Placement Data” screen appears.

• A symbol “/” displayed in the field “pair” on the “Divided Placement Data” screen
in the optimized order indicates a delimiter of data paired during one component
pick-up and placement cycle.

2 − 135
Operation manual Ⅱ

2.4.2.4 Nozzle layout

The [Nozzle layout] command displays the nozzles assigned to each station with the
Optimization function.
When you specify “Auto arrange nozzles” in the “Nozzles” field of the “Assignments”
option screen, check the result on the following screen, and set nozzles so that the
nozzles can be assigned in the same way specified on the “Machine setup” menu.

Select the [Optimization]/[Nozzle layout] commands from the menu.

The following “Nozzle layout” screen appears.

Example of the “Nozzle layout” screen (When the “Show permanent nozzle only”
check box is not checked and when it is checked)

• Nozzle number displayed on the ATC in red:


indicates a nozzle output with the Optimization function.
• Nozzle number displayed on the ATC in black:
indicates a permanent nozzle set on the “Machine setup” menu.
• “Show permanent nozzle only” check box:
shows the ATC nozzle layout output with the Optimization function when it is
not checked, or shows the permanent nozzle layout specified on the “Setup”
menu when it is checked.
• No. of Nozzles:
The displayed item “Resource” is disabled at present.
The displayed item “Opt.” indicates the number of nozzles output with the
Optimization function, and the displayed item “Resource” indicates that of the
permanent nozzles set on the “Setup” menu.

2 − 136
Operation manual Ⅱ

2.4.2.5 Feeder layout

The [Feeder layout] command displays the feeder layout specified on the “Pick” data
screen graphically.
When you click the [Display]/[Feeder layout] commands from the menu, the
following “Feeder layout” window appears on the screen.

1) “Feeder layout” window

When you move the trackball over the figure of a feeder displayed on the
“Feeder layout” window, part of the Component data for the corresponding
feeder is displayed on the screen.

2) Editing data on the “Feeder layout” window


You can edit the feeder layout with a trackball on the “Feeder layout” window.
Note that you cannot edit the IC collection belt position.
After editing the feeder layout and closing the “Feeder layout” window, then the
station selection screen, the following message appears on the screen that asks
you whether to incorporate your editing to the program.

• Yes: Incorporates your change(s) into the program data, and quits the
“Optimization” screen. The Pick data is changed according to your
editing.
• No: Quits the “Optimization” screen without saving your change(s).
• Cancel: Returns the system to the state in which it was before you closed
the “Feeder layout” window.

① Selecting a feeder
Before moving, coping or deleting a feeder, select the feeder whose layout
you want to edit. The selected feeder appears in the way that you can
distinguish it from others clearly.

2 − 137
Operation manual Ⅱ

② Moving a feeder
After selecting a feeder to be moved, drag it to the destination and release it
(by releasing the button). The feeder moves to the feeder mounting position
nearest the point at which you released the button.

③ Coping a feeder
Select a feeder and drag it with holding down the “CTRL” key. The feeder is
copied.

④ Deleting a feeder
Select a feeder, and press the “DEL” key when the feeder is displayed in the
reverse video. The feeder is deleted.

⑤ Display head image


The [Display head image] command changes the display
mode of the “Feeder layout” window to Head Image Display
mode. Click this command with the right button of a
trackball to select Head Image Display mode.
The spaces between heads are displayed graphically in Head Image Display
mode. If you assign feeders according to the displayed space between
heads, the system can pick up two or more components at the same time.

When you click the left button of your trackball, Head Image Display mode is
cancelled.

2 − 138
Operation manual Ⅱ

⑥ Mirror copy and Position copy


After selecting a feeder to be copied, click the right button of
the trackball to display the menu as shown in the left figure.
Select the command [Mirror copy] or [Position copy] on this
menu.
When you select a feeder located on the front side, it is copied
onto the rear side. When you select a feeder on the rear side,
it is copied onto the front side. (Any tray component cannot
be copied with this function.)
• Mirror copy
This command copies a feeder to the position whose hole is symmetric
with respect to a line: the source position is the opposite side of the
destination position.
• Position copy
This command copies a feeder to the position whose hole is symmetric
with respect to a point so that they can be used with the feeder
changeover between the front and the rear.
When a feeder is copied to the When a feeder is copied to the
position symmetrical to a line position symmetrical to a point
R-75 R-79 R-1 R-5

F-75 F-79 F-75 F-79

2 − 139
Operation manual Ⅱ

2.5 Other Functions

2.5.1 Editing

2.5.1.1 “Edit” menu

This is the function that helps you create a program.

* Selecting the range of data


To cut or copy data, select the range of data to be copied or cut in advance.
This range can be specified on a line-by-line basis.

How to select the range of data


Mouse When you drag a mouse, the lines to be selected are highlighted.
Keyboard When you press the “↓” key or the “↑” key while holding down the “SHIFT”
key, the lines to be selected are highlighted.

1) Cut
This command cuts the line(s) that is (are) selected.

2) Delete
This command deletes the line(s) that is (are) selected.

3) Copy
This command loads the selected data into the memory. To paste it to another
position, execute the [Paste] command described below.

2 − 140
Operation manual Ⅱ

4) Paste
This command pastes the data for which you execute the [Copy] command
described above. When you select the [Paste] command, the following dialog
box appears on the screen.

① No. of copies: Specify the number of times you are to copy the data here.
② X Pitch: Specify the amount to increment or decrement the placement
position in the X direction.
③ Y Pitch: Specify the amount to increment or decrement the placement
position in the Y direction.
④ OK: This button executes the [Paste] command.
⑤ Cancel: This button cancels the [Paste] command.
5) Insert line
This command inserts a blank line.
6) Duplicate
This command copies the line on which the cursor is located, and then inserts it
between the copied line and the next line.
7) Jump
Specify the line number on which you want to locate the cursor, and click the
<OK> button. The cursor moves to the specified line.

8) Search
This command searches a character string through data when you specify the
keys “Component ID,” “Component Name” and “Incomplete records.”
When you select the [Search] command, the following dialog box appears on the
screen.

Specify the key(s) for searching data, and click the <OK> button. The system
starts searching the specified character string.

2 − 141
Operation manual Ⅱ

9) Replacement
This command replaces a value in each field on the “Add info,” “Expansion” and
“Inspection” tabs of the “Component” data screen for all specified components
with the specified value by one operation.
① Setting the conditions for replacing data
When you select the [Replacement] command on the “Edit” menu, the following
“Component data replacement wizard” starts up.

Specify the conditions for replacing data of components, and click the <Next> button.

②Setting a value to be replaced with


The data you entered on the “Add info” tab of the “Component” data screen
appears on the screen.
Specify a value to which you want to change in the corresponding field, and
click the <Next> button.

2 − 142
Operation manual Ⅱ

③ List of the selected components


The entered data appears on the screen as shown below.

• <replace>: The system replaces data of the records you selected one by one.
• <All>: The system replaces all data.
• “inquiry for replace”: When you check this check box, the system displays the
“Replacement inquiry” dialog box every time the system
replace one record of data.

2 − 143
Operation manual Ⅱ

10) Cel copy


This command registers data of the selected cell (field) in the memory. This
command is effective for the cells “X,” “Y,” “Angle” and “Component name.”
To paste the registered data into another data, execute the [Cel Paste] command
described below.

11) Cel Paste


This command overwrites the corresponding item on a line on which the cursor is
located with data registered in the memory with the [Cel copy] command.
− You can paste data from the “X,” “Y” or “Angle” cells (fields) in the same type of
cell only.

12) Change component name


This command changes a component name.
When you select this command, the following dialog box appears on the screen.
Enter a new name to the “to” field, and click the <OK> button.

13) Update layers


This command makes a layer on the “Placement” data screen identical to a layer
set on the “Component” data screen.

14) Update Pick Offset


The component pick-up coordinates set on the “Pick” data screen are reflected in
the “Picking offset XYZ” field on the “Component” data screen.
When you select one data record on the “Pick” data edit screen after teaching,
and the [Update Pick Offset] command on the “Edit” menu, the difference
between the default pick-up value of the selected “Pick data” and the taught result
can be reflected in the “Picking offset” of the “Component data.”

2 − 144
Operation manual Ⅱ

15) Matrix copy


This command copies data in the range selected with the [Cut] or [Copy]
command in a matrix.
Data in the range selected with the [Cut] or [Copy] command is inserted.
When you specify the “No. of copies X,” “No. of copies Y,” “X Pitch” and “Y Pitch,”
you can copy data in a matrix by adding or subtracting the entered valued to/from
the placement position in the X direction and that in the Y direction respectively.
After you execute the [Matrix Copy] command, all of the component IDs are
changed to “#.”
For Pick data, you cannot execute this command by selecting two or more data
records.

① No. of copies, X: Specify the number of times the system will copy data
in the X direction.
② No. of copies, Y: Specify the number of times the system will copy data
in the Y direction.
③ X Pitch: Specify the amount to increment or decrement the component
placement or pick-up position in the X direction.
④ Y Pitch: Specify the amount to increment or decrement the component
placement or pick-up position in the Y direction.
⑤ OK: This button pastes the data.
⑥ Cancel: This button cancels the paste operation.

2 − 145
Operation manual Ⅱ

16) Vision Copy


This command allows you to copy mark data, which is registered onto the mark
database, into your data as a BOC mark or area fiducial mark.

<Procedure for executing the [Vision Copy] command>


① Select the mark teaching field for a BOC mark or area fiducial mark.

② When you select the [Edit]/[Vision Copy] commands from the menu bar, the
following dialog box appears on the screen.
Select mark data you want to copy from the list of the registered mark data,
and click the <Copy> button.

When the system executes the [Vision Copy] command for mark data, it
displays an asterisk mark in the mark teaching field for a BOC mark, or “OK”
in the “MarkTI” cell for an area fiducial mark.
If you specify the mark data already registered, the following overwrite
confirmation message appears on the screen.

2 − 146
Operation manual Ⅱ

17) Production program copy


This command uses the copy function to combine two or more production
programs.
A

<Concept of a multi-matrix>
If any area fiducial mark is used in Placement data of the production program to
be copied (“B” in the figure above), BOC marks of PWB data of the program “B”
are handled as area fiducial marks.
<How to copy>
① Load a source production program used as the reference. (In the Figure
above, it is the PWB A.)
② Specify (load) a destination production program in which a circuit is copied.
(In the Figure above, it is the PWB B.)
③ Specify the differences (offset X, Y and θ) between the PWB origin of the
source production program and that of the destination production program.
④ Perform a matrix copy function.

Source PWB Destination PWB Result


Single-plane PWB Single-plane PWB Single-plane PWB
Multi-plane matrix PWB Single-plane PWB
Multi-plane non-matrix PWB Single-plane PWB
Multi-plane matrix Single-plane PWB The system executes a matrix copy function if it
PWB judges it can execute this function by checking
Multi-plane matrix PWB
the difference described under ③ and the PWB
data. Otherwise, it copies the data as a
single-plane PWB.
Multi-plane non-matrix PWB Single-plane PWB
Multi-plane Single-plane PWB The system executes a matrix copy function as
non-matrix PWB the component placement positions of the
Multi-plane matrix PWB
reference circuit of a non-matrix PWB if it judges
it can execute this function by checking the PWB
data. Otherwise, it copies the data as a
single-plane PWB.
Multi-plane non-matrix PWB Single-plane PWB

2 − 147
Operation manual Ⅱ

2.5.2 Teaching

Teaching is an operation for adjusting mainly a component position while monitoring


its image.
Teaching operations are to be done for X and Y coordinates, the Z-axis and the shape
of a mark, and you have to the HOD regardless of what to teach.

2.5.2.1 How to use the Handheld Operating Device (HOD)

Emergency stop

Device selection keys


VACUUM
HMS HEAD CAMERA
ON/OFF

Liquid Crystal Display


JUKI
(LCD)
Function keys
F1 F2 F3 F4

No. keys
No.
-X+Y +Z+Y +X+Y

-θ-X FAST +θ+X


Move keys

-X-Y -Z-Y +X-Y


DEV.NAME

DEV. NAME
WINDOW PREVIOUS PAUSE
(device name) keys

CANCEL NEXT ENTER

<Operation procedure>
① Use a trackball to select what to teach.
② Press the “Device selection key” to select a device to be taught.
③ The LCD displays a slave device. Press the “function key(s)” to select a desired
slave device (in case of a head, for example, “L1 head” appears on the LCD).
④ If you select the camera, the image shot with the camera appears on the vision
monitor. While observing the image on the monitor, operate the “Move keys” to
adjust the position.
⑤ Press the [ENTER] key to validate the adjusted position, or the [CANCEL] key to
cancel the adjustment.

To avoid a risk of injury, do not put your hands inside the machine nor
CAUTION move your face or head close the machine while you are operating the
HOD.

2 − 148
Operation manual Ⅱ

* If you select a “head” with the “Device select key,” you can operate the “No.
keys” and the “DEV. NAME keys.”
♦ No. keys: Select this key to teach the Z- or θ-axis.

♦ DEV. NAME keys: Use these keys to select the support table and bad mark.

* If you select the camera with the “Device select key,” you can select 1POINT,
2POINT, 3POINT or WINDOW. Normally, 1POINT is used for teaching.
Select another one when necessary.
♦ 2POINT: Specify two points to obtain the coordinates at the center point of
these two points.

First point
Coordinates of
the center point
Second point

* This setting is available also for a large component (6 mm or larger) that


cannot be displayed within the monitor completely.

♦ 3POINT: Specify three points to obtain the intermediate value between the
maximum X coordinate and Y coordinate and the minimum ones of these
three points respectively.

First point
Coordinates of
the center position
Second point
Third point

♦ WINDOW: This method obtains the coordinates of the center point with
using a rectangular frame. When you select “WINDOW,” a
rectangular frame appears on the vision monitor. Operate the X
and/or Y direction key(s) to adjust the position of the left side and
that of the top side first, and then press the [ENTER] key. Next,
adjust the position of the right side and that of the bottom side,
and press the [ENTER] key. When you press the [ENTER] key
once more, the adjusted rectangular frame is validated.

2 − 149
Operation manual Ⅱ

2.5.2.2 Teaching coordinates

2.5.2.2.1 Teaching the X- and Y-axes

<Procedure>
① Move the cursor to the data to be taught.

− To teach the X- and Y-axes, teach one point on either the X-axis or the Y-axis.
The system will enter both coordinates of the X-axis and those of the Y-axis.

② Select a device used to teach the coordinates (for example, CAMERA) with the
Device select key of the HOD.

If any coordinate is entered on the screen in advance, the selected device will
move to the entered coordinates. Otherwise, the device will not move.

To avoid a risk of injury, do not put your hands inside the machine nor
CAUTION move your face or head close the machine while you are operating the
HOD.

If you select a head to be used as the device, and the nozzle used for the
selected head is different from the nozzle used to teach coordinates, the system
has to replace the former with the latter.

2 − 150
Operation manual Ⅱ

③ While observing the monitor, press the “Move key” to adjust the crosshair cursor
position so that it can be at the center of the coordinates.
As the device moves, the numeric values (such as coordinates) displayed on the
screen changes. If the device reaches its travel limit, error sound beeps to
announce an operator that the device cannot move any more.

When you press a “Move key” once, a device moves by 0.01 mm. When you press
a “Move” key after selecting the “FAST” key at the center, the device moves by 0.03
mm. If you hold down this key, the device moves faster. You can check the actual
position by numeric values displayed on the LCD.

④ Press the [ENTER] key. The system obtains the data on the taught
coordinates.

• About the digital zoom function


When you select the “Digital Zoom” option on the “Operation option” screen, you
can enlarge the image shot with the camera and display it on the VCS monitor.
To enlarge an image, press the Windows key of the HOD twice.

* See Chapter 7 “Operation Option” for the detailed description of the digital zoom
settings.
* Conditions for enabling the image enlargement function
− Length of the longer side of a component: 2.25 mm or less
− Component angle: 0/90/180/270°
− Placement position: Placement angle + Circuit angle
− Pick-up position: Pick-up angle

2 − 151
Operation manual Ⅱ

2.5.2.2.2 Teaching the Z-axis


This operation teaches the height of a component.
To teach the Z-axis, you can select a head or HMS only as a device used for
teaching.
To use the HMS to perform a teaching operation, press the HMS key of the Device
select keys after the system teaches the X- and Y-axes with the camera and the
camera moves to the place for measurement. The HMS starts teaching the height.
When you press the ENTER key, the system validates the taught value.

When you use the HMS to teach the height of a component, a laser beam is
reflected due to the surface condition (such as a gloss and roughness) of the top
side (to be measured) of a component, and the system may not be able to measure
the height of the component.
In such a case, move the laser beam onto the tape carrier as shown in the figure
below, and use that point alternately to teach the Z-axis.

Component

Tape carrier Measurement point

To avoid a risk of injury, do not put your hands inside the machine nor
CAUTION move your face or head close the machine while you are operating the
HOD.

2 − 152
Operation manual Ⅱ

2.5.2.3 Teaching a mark

The system teaches information required to recognize a mark.


To recognize a mark, five types of information are required: the mark polarity
(distinction between white and black), size, shape, threshold (criteria for judging the
brightness to distinguish between a mark and its surrounding), and the detection
frame size.

2.5.2.3.1 Teaching a BOC mark

<Procedure>
① Move the cursor to the mark position specified in the data to be taught.
Note that you have to enter a value to the X and Y coordinates of the mark in
advance respectively to cause the system to recognize it.

② Press the CAMERA key of the HOD.


• When the safety cover opens, the following screen appears.

When you click the <Continue> key, the system continues teaching a mark, the

2 − 153
Operation manual Ⅱ

camera moves to the X and Y coordinates of the mark, and the pattern of the
mark to be taught appears on the monitor screen.

* If there is no pattern to be taught on the monitor screen, check that the input
coordinates are correct.

* If you want to teach a mark (its color is white) of a ceramic PWB, press the
CAMERA key again. The polarity is switched.

③ Set the measurement frame.


Use the up, down, left and right arrow keys (the FAST key changes the speed
for moving the scale frame) to adjust the top side, left side, bottom side and right
side of the frame scale in this order, and enter the coordinates.
At this point, the system automatically recognizes a mark, and obtains the data
required for correction.

The system displays the image shot by the camera


and the rectangular frame on the monitor.

Set the top side and left side of the scale frame first.
Adjust the rectangular frame so that its top side and
left side can be aligned with those of the mark
respectively.
After adjustment, press the ENTER key.

In the same manner, set the bottom side and


right side of the mark.
After adjustment, press the ENTER key.

The system obtains the noise cut level around the mark automatically, and
displays it on the monitor.
Use the “↑” key and “↓” key (XY move up/down arrow keys) to adjust the scale
frame so that the mark can be displayed clearly and the surrounding noise can
be lessened as much as possible.

After adjustment, press the ENTER key.

2 − 154
Operation manual Ⅱ

• If the system cannot recognize a mark stably, click the <Light Type Set> button to set
the light pattern. Be sure to set the light pattern before specifying the top side and left
side of the measurement frame.

When you click the <Light Type Set> button, the following dialog box appears on the
screen. Set the light pattern.

− When you click the <Apply> button, you can check the image with the light amount you
set.
Light pattern Process
Standard Select this type if the system recognizes a normal BOC mark.
Resist/Cover Film Select this type if a mark is not reflected well with the
standard type of light because a resist or cover film is located
over a mark, so the system cannot recognize the mark stably.
User defined Select this type if a mark is not reflected with either type of
light above, and the system cannot recognize a mark stably.
This selection allows you to set the light amounts of the
vertical and inclined lights directly.

* We recommend that you specify a value from 50 to 200 for a user-defined pattern.
If you specify 50 or a smaller value, the amount of light may become unstable.

2 − 155
Operation manual Ⅱ

④ Select the mark shape.


The system automatically judges the mark shape. If it misjudges the shape,
press the “↑” and/or “↓” key (XY move up and down arrow keys) of the HOD to
select the correct one.

[*]
[ ]
[ ]
[ ]
[ ]
[ ]
[ ]
[ ]
[ ]
[ ]

[ ]
[ ]
[ ]
[ ]PR

⑤ Teach the inspection frame.


After following Step ④ above, the system displays the window whose size is
1.5 times that of a mark automatically so that the center of the window can
match the center of the screen (default window).
If you want to change the inspection frame, operate in the same way as Step 4.

In the same manner as the scale frame, set the inspection frame.

After adjustment, press the ENTER key.

2 − 156
Operation manual Ⅱ

⑥ After finishing the teaching operation, the system displays the message that
asks you to register the taught mark into the mark database.

To register the taught data into the mark database newly, enter the name of the
mark data into the “Register name” field, and click the <Add new> button.
If you want to replace the existing data with the taught data, enter the name of
the mark data already registered, move the cursor to the line on which the mark
data you want to replace appears, and click the <Replace> button.
If you do not want to register the taught data into the mark database, click the
<Cancel> button.

You have finished the teaching operation for recognizing a mark at this point.

2 − 157
Operation manual Ⅱ

2.5.2.3.2 Teaching a user-designated template


If any BOC mark is not found on a PWB or circuit, you can register a certain circuit
pattern*1 as a BOC mark.

* 1 Any pattern (such as a silk screen and wiring) can be selected if it is


distinguished from any other pattern on a PWB. Select a stable pattern that will
not vary depending on a PWB. We recommend that you use a pattern (land) as
a mark.

<Procedure>
① Move the cursor to the mark position of data to be taught.
Note that you have to enter the X and Y coordinates of the mark to be taught in
advance.

② Press the CAMERA key of the HOD.


The camera moves to the X and Y coordinates of the mark, and displays the
pattern to be taught on the monitor screen.
The system obtains the noise cut level around the mark and displays it on the
monitor screen.
Press the “↑” and/or “↓” key(s) (XY move up and down arrow mark keys) of the
HOD to adjust the display so that the mark can be displayed clearly and the
noise around the mark can be reduced as much as possible.
After adjustment, press the ENTER key.

2 − 158
Operation manual Ⅱ

③ Teach the scale frame (template setting frame).


Use the up, down, left and right arrow keys (the FAST key changes the speed
for moving the scale frame) to adjust the top side, left side, bottom side and right
side of the scale frame in this order, and enter the coordinates.

The system displays the image shot by the camera


and the rectangular frame on the monitor.
Pad printing section

Set the top side and the left side of the scale frame
first.
Set the top side and left side to be recognized (by
moving the side indicated with an arrow mark).
After setting, press the ENTER key.

**********

In the same manner, set the bottom side and right


side of the scale frame.
After adjustment, press the ENTER key.

**********

The maximum size of a scale frame is 256 × 256 pixels (approximately a quarter of
the screen). If you specify a too large scale frame, the system displays an error.

2 − 159
Operation manual Ⅱ

④Next, select “PR” at mark judgment setting.


Align the asterisk mark (*) with the position of “PR” (with the “↑” and/or “↓” key(s):
XY move up and down arrow keys of the HOD), and press the ENTER key.
[ ]
[ ]
[ ]
[ ]
[ ]
[ ]
[ ]
[ ]
[ ]
[ ]

[ ]
[ ]
[ ]
[ *]PR

**********

⑤ Teach the center of the template screen.

The system displays the scale frame and the


cross-hair cursor on the screen.
Move the crosshair cursor with the HOD to determine
the center of the template (this position matches the
coordinates of the mark specified on the “PWB” data
screen).

**********

⑥ Teach the inspection frame.


When you finish the operation described at Step ⑤, the system automatically
displays the window (default window) as the inspection frame. Set the window
size so that any bright (or dark) substance around a mark cannot be displayed
within the window. Normally, set the diameter of the window 1 mm to 2 mm
larger than that of the mark. If you want to change the inspection frame, operate
in the same manner as Step ③.

• Notes on setting of a template


1) Check to see if there is no pattern similar with the inspection frame you set inside
the detection frame.
2) Set the size of the pattern to 0.5 mm to 3 mm in the same manner as a standard
mark.
3) Specify the designed values (CAD data) as coordinates. The system cannot obtain
the center position correctly when it teaches the user-designated template. Since
the system teaches the pattern by moving the crosshair cursor, the position you
specified is regarded as the center position as it is (Since the system calculates and
sets the center position for the standard mark pattern, any error is not generated).
4) You cannot execute the [Vision Copy] command for any user-designated template.

2 − 160
Operation manual Ⅱ

2.5.3 Environment setting

This section describes how to set the environment for editing a production program.
When you select the [File]/[Environment setting] commands from the menu bar, the
following “Environment setting” dialog box appears on the screen.

Figure 2.5.1 “Environment setting” dialog box


1) Unit Type
Allows you to set units of length and pressure when you edit a program.
• Length: Select a unit among mm, 1/100 mm and inch.
• Pressure: Select a unit, either kPa or level.

2) Environment
① Save setting (* This function is available for the EPU only.)
• Yes: The system reproduces the following items when you start the Editor
the next time:
1. Displaying/hiding the tool bar
2. Loaded file name
3. Window size
When you start up the Editor again after quitting it, the system
opens the file you used the last time and displays the “Dimension
setup” screen.
• No: The system displays the screen for creating a new production
program when you start up the Program Editor the next time.

② Prompt to optimization on exit


• Yes: The system displays the inquiry dialog box that asks you whether to
execute the Optimization function if you try to quit the program
although you have not executed the optimization function.
• No: The system does not display the inquiry dialog box.

③ Notification of database entry completion


• Yes: The system notifies you that it registered component data into the
database when it did.
• No: The system does not notify you.

2 − 161
Operation manual Ⅱ

3) Pick Position Check


Specify the default value for judging a pick position error if you select the “Yes”
radio button in the “Check” field of the “Pick Position Detection” column on the
“Inspection” tab of the “Component data” screen.
(See Section 4.3.5.2.6 “Inspection.”)
The initial value set in this field is “50 %.”

4) Database File:
"Database" means a file or files in which component data (including vision data)
are registered. (For more details, see “INSTRUCTION MANUAL Chapter 5
Database”).
You can use only one database with the Editor.
You can create two or more databases and operate them, but You have to specify
the database file to use according to the program.
<Method of setting:>
●When using FlexLine database
① Check the checkbox for “Use FlexLine DB”.
② Click the <Browse> button, and select a database file on the “Open” dialog box.

● When using IS Component Database

Check the check box for “Use IS Component Database”.


The system automatically refers the database stored in the IS server.
The IP address and the port number are displayed on the screen.
* Refer to INSTRUCTION MANUAL Chapter 5 “Database” for details of the
database on the mounter; refer to “IS INSTRUCTION MANUAL” for the
settings on the IS system.

2 − 162
Operation manual Ⅱ

5) Default Nozzle Selection


Specify the nozzle you use among the “No. 500 nozzles” and the “No. 502/503
nozzles.” This selection changes the nozzle number the system automatically
selects on the “Component” data screen.

Example: When you select the radio button “Use 500 nozzle as a default”
When you enter “2 mm × 1.2 mm” as the component dimensions on the
“Component” data screen, the system automatically selects a “No. 500 nozzle.”

6) Fix nozzle selection even when component size is changed


When you select “Restore Defaults,” the nozzle is changed to the default nozzle
when you change the component size. When you select “Fix,” the nozzle
number currently selected is not changed even when you change the component
size.

2 − 163
Operation manual Ⅱ

2.5.4 Machine operation

This command actually operates the main unit to take various actions.
The Machine operation menu provides menu items corresponding to each action.

The table below describes the sub menu corresponding to each menu item and
outlines its function.

Menu item Sub menu 1 Sub menu 2 Outline of function


Transport PWB load Loads or ejects a PWB into/from the main unit.
PWB eject
Auto conveyor Adjusts the width of a PWB.
Measurement Current Measures a component according to the settings of
component Component data in details.
All component
Inspection Vision recognition Inspects whether vision recognition is available.
Coplanarity Checks that the system can perform a coplanarity
inspection check.
Check Performs a pseudo production to check errors of
Component Data the operating XYθ axes, and judges if the current
Speed speed is appropriate or not.
Confirmation Mark BOC Confirms a BOC mark recognition operation and
saves the measured values.
Feeder Bank Confirms a bank mark recognition operation and
(Front/Rear) saves the measured values.
Coordinate Place tracking Checks a position specified with each set of
coordinates of Placement data.
Pick tracking Checks a position specified with each set of
coordinates of Pick data.
Height tracking Checks each height specified in Pick data.
Management Management Mark Database Performs various operations of the mark database.
Teaching Vision Teaching Measures ball component data.

2 − 164
Operation manual Ⅱ

2.5.4.1 Transport

2.5.4.1.1 PWB load/PWB eject


These commands loads or ejects a PWB respectively.
After you select either of these commands, the Conveyor dialog box, which moves
the axis to the safety position, appears on the screen if the safety cover opens.
Check the safety of the machine and yourself, then press the <Continue> button.

After the axis moves to the safety position, the following “Pwb conveyor…” dialog
box appears on the screen.

• To load a PWB, press the <START> switch or click the <Execution> button.
• To finish the PWB load/eject operation, click the <Exit> button.
• To abort a PWB load operation for some reason while the system is being
loading a PWB, press the <STOP> switch.
① Conveyor operation
Select whether to load or eject a PWB on the “Conveyor operation” list.
② BOC alignment
Select whether to perform BOC alignment operation immediately after
loading a PWB. When you select the radio button “Use operation option
setting. ,” the setting of the teaching item provided on the "Operation options"
menu is applied to the BOC alignment operation.

2 − 165
Operation manual Ⅱ

2.5.4.1.2 Auto conveyor


This command adjusts the width of the PWB transport rails.
When you select this command, the following dialog box appears on the screen.

<Procedure>
①Enter the “PWB dimensions”
field.
②Set the “Margin” field if you have
to change it.
③Click the <Move> button.
(If you have never returned the
automatic conveyor width
adjustment unit to its home
position, return it at this point.)

1) Move of conveyor width


The <Move> button changes the width of the PWB transport rails to the width:
PWB dimension (Y) + the margin. The values of the following items are
applied to the actual width during transportation of a PWB.

2) PWB dimensions
Set the dimensions of a PWB. As the default setting, the PWB dimension (Y)
specified in PWB data is entered here.

3) Margin
Set the margin between a PWB and the PWB transport rails.

When you click the <Get> button after adjusting the margin with the knob
located on the front of the main unit, the system registers the value: obtained
by subtracted the PWB dimension from the current width of the PWB transport
rails as the margin.
4) <Conveyor> button
This button invokes the "Conveyor" dialog box.
5) <Origin width> button
This button returns the automatic PWB width adjustment device to its home
position.
6) <Apply> button
This button applies the value set as the margin to the production conditions.

2 − 166
Operation manual Ⅱ

2.5.4.2 Measurement

This command allows a component to be a head attached on actually to measure it


with each hardware device, then loads the measured result to a production program.

To avoid a risk of injury, do not put your hands inside the machine nor
CAUTION move your face or head close the machine while the machine is
operating.

2.5.4.2.1 Measurement mode


Two types of modes are provided for measurement operation: “Continuous
Measurement” and “Single Measurement.” To switch mode, select the
corresponding command.
The command and its corresponding measurement mode are shown in Table below:

Command on the
Measurement Measurement mode Description
sub-menu
Current component Single Measurement Measures a component displayed on the Component
"Form" screen.

All component Continuous Measures all components/components which satisfy the


Measurement conditions specified in a production program. In Single
Measurement mode, you can measure a component
which failed to be measured for some reason individually.

2.5.4.2.2 Measurement operations


1) Single measurement
The system measures only the selected component.
① Setting the conditions for Single measurement mode
When you select the [Machine operation]/[Measurement]/[Current Component]
commands from the menu bar, the following dialog box appears on the screen.

2 − 167
Operation manual Ⅱ

(1) Component to be measured


The descriptions of a component to be measured are displayed here.
(2) Pickup position
The component pick-up position is displayed here. You can change the
pick-up position to the previous or next alternative component. If there is
no Pick data created, each menu item is not displayed, so you cannot
change the component pick-up position, knock a feeder, or perform a
teaching operation.
• <FEED> button
When clicked, this button knocks a feeder (excluding a 32-mm paper
tape feeder) once to feed a component.
• Update pick data with new coordinates.
Check this box if you are to save the taught result with the HOD device
into Pick data. When unchecked, the coordinates displayed are used
to pick up the current component only.
• How to change the displayed coordinates of a pick-up position
If the pick-up position of a component to be measured is different from
the actual pick-up position, use the HOD to teach coordinates of the
component placement position.

(3) Measure Item


Select the item to be measured. By default, all available items are
selected. The displayed items varies depending on the component type
selected.

After setting the “Measurement” dialog box, click the <MEAS.> button to
measure the current component.

Depending on the packaging style of a component, the dialog box ,which asks
you whether to return a component to its original position or discard it after
measurement, may appear on the screen if the size of a component is 1 mm or
less.

2 − 168
Operation manual Ⅱ

2) Continuous measurement
The system measures all components you specified.

① Setting on the "Continuous component height measurement" dialog


box
When you select the [Machine operation]/[Measurement]/[All Component]
command from the menu bar, the following dialog box appears on the screen.

(1) Measurement type

Set the desired item(s) displayed here if you want to measure only
components that satisfy the certain requirements of Component data.
(2) Range of measurement

Check this check box to specify the component number to further select
components among those which already satisfy the conditions specified with
the “Measurement type" items.
• Start comp. No. (Start component No.)
Check this check box to specify the component data number of the first
component to be measured.
• End comp. No. (End component No.)
Check this check box to specify the component data number of the last
component to be measured.
• Only placement comp. (Only placement components)
Check this check box if only components specified in Placement data are
to be measured.

2 − 169
Operation manual Ⅱ

(3) Measure item


Select the item(s) to be measured. By default, all available items are
selected. The displayed measurement items vary depending on the
component type you selected.
(The CDS height can be measured for a component to be recognized with a
VCS when you use a KE-2080.)

(4) <MEAS.> button (<START> switch)


Starts measuring all components.

(5) <Exit> button (ESC key)


Returns to the previous screen.

(6) "Question" dialog box


Immediately after the system starts measuring all components continuously,
the dialog box, which asks you how to handle a component whose size is 1
mm or less after measurement, appears on the screen.

• Throw away every time


Discards a component in a way you specified.
• Returns every time
Returns a component to its original position.
• Inquires every time
Every time the system finishes measuring one component, it displays the
dialog box that asks you how to handle it if its size is 1 mm or less.

② When continuous measurement finishes


When the system finishes measuring all components that satisfy the specified
conditions, the following dialog box appears on the screen.

2 − 170
Operation manual Ⅱ

2.5.4.3 Inspection

This check attaches a component to a head actually to check to see if a component


can be centered with a VCS.
The speed check function performs a pseudo production to check errors of the XYθ
axes by operating each axis.

To avoid a risk of injury, do not put your hands inside the machine nor
CAUTION move your face or head close the machine while the machine is
operating.

2.5.4.3.1 Checking a component with a VCS

1) Operation for inspecting a component by recognizing it with a VCS

① Operation for recognizing a component with a VCS


When you select the [Machine operation]/[Inspection]/[Vision recognition]
commands from the menu bar, the following dialog box appears on the
screen.

1) Component to be inspected
Component data required to perform the vision recognition inspection
appears on the dialog box.
2) <Light check> button
These buttons allow you to obtain the optimal light conditions if the system
cannot recognize a component under the default light.
• <Setting> button: This button allows you to set the detailed lighting
conditions for measuring the light.
* Refer to Section 2.5.4.3.4 of the Instruction Manual stored in the
supplied CD for how to set the detailed lighting conditions.
• <Meas.>: The system automatically measures the optimal light
conditions for recognizing a component.

2 − 171
Operation manual Ⅱ

3) Pickup position
Data on the component pick-up position appears on the dialog box. You
can change the component pick-up position to the previous or next
alternate component position also. If there is no Pick data created, or if
“MTC” is specified as a feeder, each item on this section is not displayed,
so you cannot change the component pick-up position, perform the
feeder-knock operation or teaching operation.
• <FEED> button
This button knocks a feeder once to feed a component (except a
32-mm paper tape).
• “Update pick data with new coordinates”
This check box allows you to select whether to store the result
taught with the HOD into the Pick data or not.

4) Inspection result
After checking a component, the system displays the result in the
“Inspection result” section of the screen. “OK” appears if a component
can be centered based on its vision, while “NG” and the reason of an error
appear in the “Message” field if not.

2 − 172
Operation manual Ⅱ

2.5.4.3.2 Coplanarity inspection


This command allows the system to perform a coplanarity check and obtain the
corresponding parameter.
Enter data to the “Vision” data screen to create a production program, and click the
[Machine operation]/[Inspection]/[Coplanarity check] commands on the menu bar.
The following dialog box appears on the screen.

① Component to be inspected
The description of a component whose coplanarity is checked is displayed here.

② Getting coplanarity parameters


If the system cannot perform the coplanarity check stably, change the settings of the
electrode brightness threshold and scan position offset (for a lead component only)
to obtain the parameters that allows the system to measure a component stably.
Your setting of the “Number of times” field is doubled, and even a value obtained by
multiplying your setting by 20 may be set.

• <Acquire> button:
This button executes the process for obtaining the coplanarity parameters.

③ Pickup position
The component pick-up position is displayed here. You can change the pick-up
position to the previous or next alternative component. If there is no Pick data
created, or if an MTC is used as a feeder, each menu item is not displayed, so you
cannot change the component pick-up position, knock a feeder, or perform a
teaching operation.

• <FEED> button
When clicked, this button knocks a feeder (excluding a 32-mm paper tape
feeder) once to feed a component.

• “Update pick data with new coordinates.”


Check this check box if you are to save the result taught with the HOD device
into Pick data. When unchecked, the coordinates displayed are used to pick
up the current component only.

2 − 173
Operation manual Ⅱ

2.5.4.3.3 Speed check


This function performs pseudo operations: component pick-up, recognition and
placement to check an error of each axis, X, Y and θ, and judges whether the speed
specified with a production program is appropriate or not.
When you select the [Machine operation] command from the menu bar, and then the
[Inspection] and [Speed check] commands in this order, the following screen
appears.

① Component to be inspected
The data on a component to be inspected appears here.

② Pick offset at pick position


Data on the position from which a component is picked up appears here. If
there is no Pick data created, this column is dimmed in gray. You can manually
or use the HOD to enter an offset value also (however, such a value is not
stored in Pick data).

③ Detail of Inspection
• Insp. Item (Inspection Item)
Select an operation to be inspected: Auto (Production), XY, Pick Z up, Place
Z Down, and Theta(Other).

Auto (Production) Detects an error as the result of a pseudo operation from component
pick-up to placement.
XY Inspects the speed at which the XY-axes move.
Pick Z up Inspects the speed at which the Z-axis moves up to pick up a component.
Place Z down Inspects the speed at which the Z-axis moves down to place a component.
Theta(Other) Inspects the speed at which the theta axis rotates: this operation is not
measured normally.

2 − 174
Operation manual Ⅱ

• Use Head
Specify the head to be used for inspection.

• Angle
Specify the placement angle: 0, 90, 180 or 270º. (You can specify this
item only if you select an inspection operation including a component
placement in the “Insp. Item” field.)

• Insp. pos. (Inspection position)


Specify the trash box or the desired coordinates. (You can specify this item
only if you select “XY” in the “Insp. Item” field.)

• Count
Specify how many times you want to perform an inspection (from 1 to 100).

• Speed setting
Specify the speed applied to the speed check (the available menu items vary
depending on you selection in the “Insp. Item” field).

④ Result of Component Data Speed Check


When the system finishes checking the speed, the “Result of Component Data
Speed Check” dialog box appears on the screen that shows the result of the
speed check (XYθ-axes errors or whether a component is picked up
successfully or not). When you click the <OK (F8)> button, the system
validates the displayed result and stores the result values into the Component
data.

2 − 175
Operation manual Ⅱ

2.5.4.4 Confirmation

This is the function for checking your editing of a production program.

To avoid a risk of injury, do not put your hands inside the machine nor
CAUTION move your face or head close the machine while you are operating the
machine.

2.5.4 4.1 Mark : BOC


This command recognizes a BOC mark, then saves the measured coordinates of
the recognized BOC mark to the main unit. These measured coordinates are used
to correct coordinates during teaching of Placement data.
When you select the [Machine operation]/[Confirmation]/[Mark]/[BOC] commands
from the menu bar, the confirmation screen appears.
If the safety cover opens when you select these commands, the following dialog box
appears on the screen because the function to be invoked operates the axis.
Check the safety of the machine and yourself, then click the <Continue> button.

If the system fails to recognize a BOC mark, the following dialog box appears on
the screen.

To recognize the BOC mark again, select one of the following radio buttons
displayed in the “Restart mode” column.

2 − 176
Operation manual Ⅱ

① Re-try at same position.


Recognizes the BOC mark again without changing the current OCC camera
position.

② Re-try at taught position.


Recognizes the BOC mark again at the position whose coordinates were taught with
the CAMERA button of the HOD. This item is available only after you validate the
result of teaching operation.
③ Use taught position as center.
Validates the position whose coordinates were taught with the CAMERA button of
the HOD as the actual center of the recognized BOC mark. This item is available
only after you validate the result of teaching operation.
− To restart the BOC mark recognition operation after selecting one of the above radio
buttons, click the <Restart> button.
When you click the <Ignore> button, the system does not recognize a BOC mark at
each circuit/board hereinafter.

2.5.4.4.2 Mark - Feeder Bank (Front/Rear)


This command recognizes a feeder bank mark, then saves the coordinates that
were recognized and measured. These coordinates are used to correct
coordinates during teaching Pick data
When you select the [Machine operation]/[Confirmation]/[Mark]/[Feeder bank]
commands from the menu bar, the confirmation dialog box appears on the screen.
To recognize a mark on the front feeder bank, select the [Front] command. To
recognize a mark on the rear feeder bank, select the [Rear] command.

If the system fails to recognize a bank mark, the following dialog box appears on the
screen. In this case, the recognition result is not saved. Select with which timing
a mark is to be recognized hereinafter.

2 − 177
Operation manual Ⅱ

2.5.4.4.3 Place tracking


This command uses a camera to track a component placement position. You can
check the component placement position displayed on the monitor, so you can use
the HOD to teach and edit it if the position is not appropriate.

1) Setting the tacking conditions


When you select the [Machine operation]/[Confirmation]/[Coordinate]/[Place
tracking] commands, the following dialog box appears on the screen.

① Feed method
(1) Automatic feed
The camera shoots a component placement position one by one at regular
intervals. The camera stops for the time of period specified with the
“Automatic feed interval” slider bar displayed below, then moves to the
next position.
• Automatic feed interval: Use this slider bar to adjust the stop time
within the range from 0.01 second to five
seconds.
(2) Manual feed
When you press the [NEXT] key of the HOD or press the <Start> switch,
the camera moves to the next position

② Range

Enter the range of Placement data used for tracking: from the start point to
the end point. By default all placement positions are to be tracked.
After you specify all of the setting items, press the <Start> switch or click the
<Execute> button.
When you click the <Exit> button, the system returns to the previous screen.

2 − 178
Operation manual Ⅱ

2) While the camera is tracking a component placement position


The following dialog box appears on the screen while the camera is tracking
each set of coordinates of the component placement position.

① State
(1) Mode
“Manual” or “Automatic” that is set with the radio button “Feed method”
appears here.
(2) Range
When all placement points are to be tracked, the “first” point and “end” point
are displayed here. If you change the tracking range, the changed step
number is displayed.
(3) Status
“Moving” indicates that the axis is moving. “Pause” indicates that the axis
pauses temporally in Automatic Feed mode. “Stop” indicates that the axis is
stopped manually or intentionally. “Axis esc” indicates that the axis is
moving to the safety position. “Mark recog” indicates that an IC mark is
being recognized.
(4) Stop time remaining
The progress bar indicates the remaining stop time in Automatic Feed mode.
② Placement data
The current component placement information appears here.
The system does not display the field “Station” with this machine.
③ Placement position
The coordinates of a component placement position being tracked is
displayed here. You can manually change the coordinates or use the
teaching function to change the displayed coordinates.
④ <Set> and <Cancel> buttons
These buttons are activated after you manually change the coordinates or
use the teaching function to change them. When you click the <Set> button,
the changed coordinates are saved into Placement data. If you do not want
to save the changed coordinates, click the <Cancel> button.
⑤ Progress
This slide bar moves one by one as the tracking position moves. While the
2 − 179
Operation manual Ⅱ

tracking operation pauses, you can move this slider bar to move the tracking
position to the previous point, the next point and so on.
3) Teaching coordinates during tracking
If the tracked coordinates are different from the actual ones, you can use the
HOD to teach the component placement position.

<Procedure>
① Move the cursor to the X or Y coordinate.

② Press the HOD device button to teach the coordinates, then press the
ENTER key to validate them.

③ To enable the validated coordinates, click the <Set> button or press the
ENTER key again.
To reset the validated coordinates to their original values, click the <Cancel>
button or press the CANCEL key.

2 − 180
Operation manual Ⅱ

2.5.4.4.4 Coordinate: Pick tracking/Height tracking


These commands uses the camera to track the component pick-up position. You
can see the component placement position displayed on the monitor, so you can
use the HOD to teach the placement position if the entered coordinates are not
appropriate.
If you use the HMS (optional), you can track the component pick-up height. In this
case, the system displays on the monitor values detected by the HMS one by one.
If the height of a component is quite different from that specified in Pick data, teach
the height of a component in the same manner as coordinates

1) Setting the tracking conditions


When you select the [Machine operation]/[Confirmation]/[Coordinate]/[Pick
tracking] commands from the menu bar, the following dialog box appears on the
screen.

2 − 181
Operation manual Ⅱ

When you select the [Machine operation]/[Confirmation]/[Coordinate]/[Height


tracking] commands from the menu bar, the following dialog box appears on the
screen.

① Execute Mode
When you click both these two buttons, the system tracks the component
pick-up height after tracking the component pick-up position.

② Auto-teaching
− <Run Auto-teaching>: performs the automatic teaching operation.
− <Check for Parallel Pick Range>: checks that the system can pick up
two or more components simultaneously if the “Optimization” utility is
already executed.
③ Feed method

(1) Automatic feed


The camera obtains a component pick-up positions one by one at regular
intervals. The camera stops for the time of period specified with the
“Automatic feed interval” slider bar described below, then moves to the
next position.
• Automatic feed interval: Use this slider bar to adjust the stop time.
You can specify the stop time from 0.01
second to five seconds.
(2) Manual feed
When you press the [NEXT] key of the HOD or press the <Start> switch,
the camera moves to the next position.

2 − 182
Operation manual Ⅱ

④ Tracking order

(1) In order of component data


Enter the range of Component data used for tracking: from the start point
to the end point. BY default, all components are to be tracked.
(2) The order of feeder layout
The camera layout tracks the front/rear of the feeder bank sequentially.
Select the feeder bank to be tracked.
⑤ Action of each points (displayed on the "Pick height tracking" dialog
box)
The [Height tracking] command allows you to specify the action to be
performed after the machine moves to each tracking point.
(1) Trace stops if there is a difference of the measurement value.
If the difference between the value measured with the HMS and the
component pick-up height set in Pick data exceeds the "Difference
judgment value", the dialog box appears on the screen to stop the tracking
operation.
(2) Trace stops if it is not possible to measure.
If the HMS cannot measure the pick-up height (for example, because
there is no component at the measured pick-up position), the dialog box
appears on the screen to stop the tracking operation.
(3) The measurement value is taken.
This choice allows you to save the value measured by the HMS into Pick
data by asking whether to save it for each point.
(4) Position confirm by OCC.
Before moving the HMS to the pick-up position you can check the pick-up
point on the VCS monitor.

2 − 183
Operation manual Ⅱ

2.5.4.5 Management

This command allows you to perform various controls over mark database files
registered during mark data teaching operation.

2.5.4.5.1 Mark database


This command manages the mark database files which was registered during
teaching of mark data in the various ways.

(1) Registration
This list displays the mark data registered in the database. The meaning of
each item is described in Table below.
When you select the [Machine operation]/[Management]/[Mark Database]
commands from the menu bar, the following dialog box appears on the screen.
Item Meaning
Name Name of a mark
(The mark shape is displayed before the name itself.)
Update date Date and time when a mark was registered in the database.
Size Number of horizontal and vertical pixels consisting of a mark (Number of pixels:
1 mm = approximately 77 pixels)
aaa x bbb
aaa = number of horizontal pixels
bbb = number of vertical pixels
Polarity Negative/positive shot of a mark
Positive: white mark on black background
Negative: black mark on white background
Scale Image data scale of a mark
Grayscale: mark data is read as a multi-scale image.
Binaryscale: mark data is read as a binary-scale image.
Light pattern Light pattern for recognizing a mark
Standard: The standard light pattern is loaded.
Resist/Cover Film: The light pattern is loaded together with the
resist/cover film.
User: The user-designated light pattern is loaded.

① <Rename> button
This button allows you to change the registered name. Move the cursor to
the data line you want to change on the list, then click the <Rename> button.
② <Delete> button
This button allows you to delete the registered data. Move the cursor to the
data line you want to delete on the list, then click the <Delete> button.

2 − 184
Operation manual Ⅱ

2.5.4.6 Teaching a component

This function measures a ball of a ball component (such as a general-purpose vision


component, BGA and FBGA).
Refer to the Instruction Manual stored in the supplied CD for details.

When you select the component teaching function, the “Vision Measurement” dialog
box appears on the screen.

When you click the <MEAS.> button, the system starts measuring a component.
After the system picks up a component, the “Executing measurement” screen
appears. Perform a teaching operation.

2 − 185
Operation manual Ⅱ

① Teaching of inclination Specify the upper left corner


of a component and the upper right corner of
a component to correct the
inclination.

② Teaching of the center Specify the center of a


of a component component with the VCS or
(available with a manually.
general-purpose You can use the WINDOW
component only) key of the HOD to teach the
center position of a <Setting with a cross cursor>
component also.

<Setting with the window>

③ Specifying of the If there is any bright part other


eliminated area than an electrode, specify the
(available with a BGA eliminated area.
or FBGA component
only)

④ Setting of a ball area Teach the window so that only


(available with a electrodes whose diameter is
general-purpose the same can be inside the
vision component window.
only)

⑤ Validating of data After finishing setting the area, check the measured data, and click the
<OK> button. To quit the operation without recognizing a pick-up position
with the measured data, click the <CANCEL> button.

2 − 186
Operation manual Ⅱ

2.5.5 Print

Select the [File]/[Print] commands. Next, select one of the commands: [Program
Data], [Nozzle Setup], [Production Information] and [Optimization] as the item to be
printed.
When you select the [Optimization] command, select either of the commands [Option]
or [Supply quantity] on the displayed menu.

• [Program Data]: The system prints the contents of the production program.
Select what to print, and click the <Print> button. When you click the <Text out>
button, the system allows you to save data in a text file whose extension is txt.
You can select data to be printed: <PWB data>, <Placement data>,
<Component data>, <Pick data> or <Vision data>.

• [Production Information]: The production information is configured with


the <Production Management Data>, <Production conditions> and <Number
of components to be supplied>. Click the corresponding push button to print
the information. The system prints information whose corresponding button
is depressed on the menu.
• [Optimization]/[Option]: The system prints the settings of the optimization
options.
• [Optimization]/ [Nozzle Setup]: The system prints the nozzle setup
condition. The contents to be printed are shown below.
No Item Contents
1 Nozzle assignment The nozzle numbers and the number of nozzles
that have been assigned anew by optimization are
output.
2 ATC existing nozzle information The nozzles set by existing nozzle is output.
3 Assignment by division The nozzles that have been assigned anew by
optimization are output.
* Regarding nozzle assignment and assignment by division, the output result
depends on the "Nozzle for use" setting of [Optimization] → [Division option].
(Refer to "4.4.1.2 Setting optimizing conditions.")
• [Optimization]/[Supply quantity]: The system prints the optimized
“number of components to be supplied”.

2 − 187
Operation manual Ⅱ

2.5.6 Help

This function invokes the online manual.

No. Help group Description


1 Command Starts up the Help utility and the Help commands provided
with the Program Editor.
2 Version information Displays the information on the Program Editor.

2.5.7 Exit

Click the [File]/[Exit] commands from the menu bar.

If you have changed the program, but not saved it, the following “Information” dialog
box appears on the screen.

• Yes: the system saves the program. After saving the program, the system
quits the Program Editor.
• No: the system quits the Program Editor without saving the program.
• Cancel: the system cancels the exit process.

2 − 188
Operation manual Ⅱ

Chapter 3 Production
3.1 Overview
Use the created production program to check that a PWB is placed correctly and
produce PWBs.
After creating a new program, perform a trial PWB production before actually
producing PWBs in order to check the PWB placement/pick-up coordinates and
perform the final check of the program.

3.1.1 Production mode

The following three production modes are available during production:

No. Production mode Description


1 PWB production Specifies the number of PWBs you plan to produce and produces PWBs
actually.
2 Trial Performs a trial PWB production.
You can select the PWB pick-up position tracking function or PWB
placement position tracking function that is to be performed after
placement. *1
3 Dry run Checks the PWB pick-up/placement process without using any
component.
You can select the PWB pick-up/placement position tracking function. *1

*1: See Section 2.5.4.4 “Confirmation” of the “Operation Manual II.”

The system allows you to specify the requirements for producing PWBs,
performing a trial PWB production, or executing the dry run operation in each
mode above.

3−1
Operation manual Ⅱ

3.1.2 Production flowchart

START

Select the production


requirements and start
up the system.

Trial Specify the production Dry run


requirements?

PWB Production

Specifying of the trial Specifying of the Specifying of the Dry


production requirements production requirements run requirements

Specify Specify Specify


the execution mode. the execution mode. the execution mode.

Set the Set the


number of components. number of components.

Trial PWB production Dry run


End?

End of production

END

3−2
Operation manual Ⅱ

3.2 Production Screen


3.2.1 Starting up the Production screen

When you select the [Production]/[PWB Production] commands or the <Production


True> command button on the main menu, the following production requirements
screen appears.
Specify the desired production requirements on this screen (see Section 3.2.2
“Production Conditions screen”).

3.2.2 Production conditions screen

When you start up the Production utility, the production requirements setting screen
appears.
Set the requirements for each production mode: PWB production, Trail, and Dry run.

• Individual setting items: Select the production mode among the “PWB
production,” “Trial,” and “Dry run” to set the requirements for the selected
mode.
• Common setting items: Set the common conditions regardless the selected
mode: “PWB production,” “Trial” or “Dry run.”

3−3
Operation manual Ⅱ

3.2.2.1 Common setting items


1) No of PWBs to be prod. (produced)
Enter the planned number of PWBs to be produced. The planned number
of PWBs set at the previous production appears here at first. When you
display this screen for the first time, “1” appears here. When you enter “0”
into the [No. of PWBs to be prod.] field, the system will to produce PWBs
infinitely.

2) No of produced PWBs
Shows the number of PWBs that have been already produced. This
number is reset to “0” at start of production. (Note that this number is not
reset when you start continuous production.)
Normally, the initial value displayed here is set to “0.” However, when you
check the item “Accumulated planned number of boards produced” on the
“Production (Display)” tag of the “Operation option” menu, the number of
PWBs that were produced during the previous production appears here.
If you start production in this condition, the system counts the number of
produced PWBs from this displayed number and continues production until
the number reaches the planned number of PWBs.
When production is aborted or ended, the number of PWBs that have been
produced already appears here.
If you clear the production management information, you can set this number
to “0” to start production also.

3) Production Mode
The selected production mode, that is the individual setting item: one of
PWB production, Trial, and Dry run, appears here.

4) Placement offset
If a certain lot requires its unique offset (offset required due to an error
generated during the reference hole making process), enter the XY offset
value here. The entered offset becomes effective for the entire PWB.
• When a BOC mark is not used:
the entered offset value is effective for components to be placed on the
entire board.
All component placement positions are offset by the value you entered
here.
• When a BOC mark is used:
The entered value is used as an offset for finding a BOC mark. Even
though you enter the offset value, the placement result is not affected.
If the absolute position of a BOC mark is shifted due to the change of the
lot or other reason, so the BOC mark is out of the camera window, enter
an offset value so that the BOC mark can come to the center of the
camera window.

5) Ref. (reference) pin Adjust


This item shows whether to correct the reference pin position according to
the setting on the Operation Option menu.
When you correct the reference pin position, the corrected angle obtained
with the reference pin and follower pin positions is displayed here.

3−4
Operation manual Ⅱ

6) Placement Sequence
Specify in which order components should be placed on a board: Input order or
Optimized order.
You cannot select the <Optimized> button (Optimized order) for data not
optimized with the Program Editor.
The Input order is selected initially, but the Optimized order is selected
initially only if a created production program has been already optimized
when it is displayed on the Production screen for the first time.

• Input: the system produces PWBs in order entered on the


Placement data screen. Normally, the production tact time
becomes longer. Select this order to check data.
• Optimized: the system produces PWBs in the optimized order. Normally,
select this order.

7) Execution mode
Two execution modes are provided to execute each production mode.
No. Execution mode Description
1 Continuous The system continues producing PWBs until the specified
production ends or until you press the <STOP> switch to stop the
current production when the system is put in the pause state.
2 Step Every time the system moves to the certain position, the system is
put in the pause state.
To restart the current production, press the <START> switch.

Even when the system continues producing PWBs, your pressing <STOP>
switch puts the system in the pause state.

3.2.2.2 Individual setting items


1) PWB production
No. Item Description
1 Placement range To limit the area on which components are placed, enter the “Start
(Step No.) step No.” and “End step No.” The total number of placement
steps per circuit is displayed in the “Total placements” field. You
can specify this item only when you select the Input order as the
“Placement Sequence.”
2 Restart position If production is suspended for any reason, and the clamped board
is released, specify this option to produce the PWB completely by
placing the remaining components onto it. You can start placing
components from the specified area of a board.
This setting is valid for the first board only. This starting position
is ignored for the second and following boards, and components
are placed on all of placement positions.
This setting is initialized at start of production.

3−5
Operation manual Ⅱ

2) Trial

No. Item Description


1 Trial circuit Select the desired circuit for trial production. You do not have to make a selection if you
are to produce a single plane PWB.
- All circuit: Components set for trial production are placed on all circuits.
- Ref. circuit: Components set for trial production are placed on reference circuits
only.
- Spec. circuit: Components for trial production are placed on the specified circuits only

2 Trial range Specify the range for trail production.


- Spec place: Components are placed on the placement positions for which “YES” is
selected in the “Trial” field on the Placement data menu.
- Spec comp: All components for which “YES” is selected in the “Trial” filed on the
Component data menu are placed.
- All: Components are placed on all placement positions.

3 Spec. circuit You can specify this field only if you select “Spec. circuit” in the “Trail circuit” column.
no The system places components on the specified circuit(s) only as trial production.

4 Tracking When tracking is performed automatically, designate the stop time duration at a stop
interval position.
The unit is in 10 ms, and 1 is equivalent to 10 ms (0.01 second).
5 Place After components are placed on a board with trial production, designate whether or not
tracking to perform placement-tracking operation by the camera. If performed, select whether
it is manual or automatic.
- Off: Placement tracking operation is not performed.
- Automatic: Placement tracking is performed automatically.
- Manual: Stops at each placement position, and then goes to the next placement
position in response to input by an operator.

6 Pick Before components are placed on a board with trial production, designate whether or
tracking not to perform pickup-position tracking operation by the camera. If performed, select
whether it is manual or automatic.
- Off: Pickup-tracking operation is not performed.
- Automatic: Pickup-tracking operation is performed automatically.
- Manual: Stops at each pickup position, and then goes to the next pickup position
in responses to input by an operator.

3−6
Operation manual Ⅱ

3) Dry run

No. Item Description


1 Placement range Designate the start step number and the end step number to limit the area on
(Step No.) which components are to be placed. The total of step numbers per circuit is
displayed in the “Total placements” field. You can specify this item only
when “Input” is selected as “Placement Sequence.”
2 Tracking interval When tracking is performed automatically, designate the stop time duration at
a stop position.
The unit is in 10 ms, and 1 is equivalent to 10 ms (0.01 second).
3 Place tracking After dry run operation of a board, designate whether or not to perform
placement-tracking operation by the camera. If performed, select whether it
is manual or automatic.
- Off: Placement-tracking operation is not performed.
- Automatic: Placement-tracking operation is performed automatically.
- Manual: Stops at each placement position, and then goes to the next
placement position in response to input by an operator.

4 Pick tracking Before dry run operation of a board, designate whether or not to perform
pickup position tracking operation by the camera. If performed, select
whether it is manual or automatic.
- Off: Pickup-tracking operation is not performed.
- Automatic: Pickup-tracking operation is performed automatically.
- Manual: Stops at each pickup position, and then goes to the next pickup
position in responses to input by an operator.

3−7
Operation manual Ⅱ

3.2.3 Start of production

Specify the production requirements, and then press the <START> switch on the
operation panel.
PWB production starts and the “Production status” or “Production I/O status”
screen appears.
If the system has never performed the origin return operation before you press the
<START> switch, press the < ORIGIN > key at first to return each device to its
home position, and then press the <START> switch

Immediately after you press the <START> switch, the head starts
moving and the system starts production.
To avoid injuries, do not put your hands inside the machine or
keep your face or head away from the machine.
Before pressing the <START> switch, check to see if there is no
CAUTION one who is working the internal parts of the machine.
Before pressing the <START> switch, check to see if there is no
one who is near the machine and may be injured.
Before pressing the <START> switch, check to see if there is no
obstacle such as an adjustment tool that is located or attached
inside the machine and may prevent the machine from operating
normally.

If the system has never recognized the feeder bank (for example,
immediately after each device of the machine returned to its home
position or after the bank moved down, then up), the system
CAUTION automatically tries to recognize the feeder bank before moving to
the component pick-up position. While the system is recognizing
the feeder bank, the head moves across the feeder. Do not
move your hands or face close the machine.

When using the SCS or the Intelli SCS:


Be sure to complete verifying the components before pressing the
<Start> button. You cannot start a production without completing
CAUTION the verifications.
Refer to the instruction manual of the SCS or the Intelli SCS for
further information.

3−8
Operation manual Ⅱ

3.2.3.1 “Production status” screen


When you press the <START> switch to start PWB production if the “Show
Production I/O Status during Production” check box is not checked on the
“Production (Display)” tab invoked when you select the [Tool] command, and then
the [Operation option] command, the “Production status” screen appears.
The contents of the screen vary depending on the setting of “Production
(Display)” tag of the Operation Option menu invoked from the [Tool] command
(whether the item “Shows the number of boards produced in large characters” is
checked or not).
(See descriptions on Operation Option in Chapter 4.2)

− Normal production status display

− When the item “Shows the number of boards produced in large characters” is
checked on the “Production (Display)” tag of the Operation Option menu

3−9
Operation manual Ⅱ

① PWBs [prod./planned]
planned: The number of PWBs you plan to produce, which has been set in the
production requirements screen.
prod.: The number of PWBs that have been already produced
− When the item “Accumulate planned number of boards produced” is
selected on the Production (Display) tag of the Operation option menu, the
system starts counting the number of produced PWBs from the total
number of PWBs already produced.
− When the item “Count down the number of boards produced” is selected
on the Production (Display) tag of the Operation option menu, this field
displays the number of PWBs that have not been produced yet.
② Total placements
Shows the number of components placed on one board.
③ Sequence
Shows the component placement sequence being currently performed (Input
order or Optimized order).
④ Production status
Displays the current production status.
⑤ Circuit No.
Shows the circuit number on which a component is to be picked, then placed by
the heads.
⑥ Step No.
Shows the order in which components are specified to be picked up, and then
placed by the heads in Placement data (this number varies depending on the
placement sequence: Input order or Optimized order).
⑦ Current placement
Shows the number of components to be placed on a board (Maximum number:
“number of placed components” × “number of circuits”).
⑧ Pick Position
Shows the feeder number from which the heads pick up components.
Note: Since an MTC itself searches a level to supply components when the
stocked components run out, the level displayed may be different from the
actual one when an MTC supplies the machine with components.

⑨ Nozzle No.
Shows the number of the nozzle that is attached on the heads.
⑩ Comp. (Component) Name
Shows the name of components that are picked with the heads.
⑪ Comp. (Component) ID
Shows the ID of a component to be picked, then placed by the heads.
⑫ Feeder Bank Status
Shows the condition of the feeder bank during PWB production in Non-stop
Operation mode (optional).
When the feeder bank is enabled, this column is displayed in colors. When it is
disabled, it is displayed in gray.

The feeder bank is enabled The feeder bank is disabled


(production status). (resting phase).

3 − 10
Operation manual Ⅱ

3.2.3.2 Production I/O Status


When you check the “Show Production I/O Status during Production” check box
on the “Production (Display)” tab invoked when you select the [Tool] command,
and then the [Operation option] command, the “Production I/O Status” screen
appears if you start PWB production by pressing the <START> switch.
Refer to the Instruction Manual stored in the supplied CD for details.

Time elapsed per a produced PWB before a


clamped PWB is released after the PWB is
clamped completely

Blue: Normal condition


Yellow: Components run out.
Red: Error condition

Blue: Normal condition


Red: Error condition

3 − 11
Operation manual Ⅱ

3.2.3.3 Pause
To temporarily stop PWB production, press the <STOP> switch on the operation
panel.
When you press the <STOP> switch in Continuous production mode, or when the
production in Step production mode stops at a step position, the machine is put in
the pause state.

【“Pause” dialog box】

When you press the <START> switch at this point, the “Pause” dialog box
disappears, and the machine exits from the pause state. When you press the
<STOP> switch, the machine starts performing the production interrupt process.

Immediately after you press the <START> switch, the head


starts moving and the system starts production.
To avoid injuries, do not put your hands inside the machine or
keep your face or head away from the machine.
Before pressing the <START> switch, check to see if there is no
one who is working the internal parts of the machine.
CAUTION Before pressing the <START> switch, check to see if there is no
one who is near the machine and may be injured.
Before pressing the <START> switch, check to see if there is no
obstacle such as an adjustment tool that is located or attached
inside the machine and may prevent the machine from operating
normally.

3 − 12
Operation manual Ⅱ

3.2.3.4 Suspending PWB production


The system stops production and redisplays the production requirements screen
when it finishes producing the planned number of PWBs. All of three-color
lamps of the signal tower light at the same time to indicate that the system has
produced the planned number of PWBs.
To abort production before the system finishes producing the planned number of
PWBs, press the <STOP> switch to put the machine in the pause state, and
press the <STOP> switch again. The following inquiry dialog box appears on
the screen. When you click the <OK> button, the system aborts the current
PWB production.

When you click the <OK> button, the system starts replacing the
nozzle with another one. To avoid a risk of injury, do not put your
CAUTION hands inside the machine nor move your face or head close the
machine

3.2.3.5 End of production


Select the [File]/[Exit] command on the menu bar, or click the X mark located at
the upper right corner of the screen. The following dialog box appears on the
screen.

Select whether to save the production program (including the production


management information), and then click the <OK> button.
The system quits the production screen, and displays the main screen.

3 − 13
Operation manual Ⅱ

3.3 Production Management Information


When you select “PWB production” as the production mode, the production
management information is saved. This section describes the production
management information saved during production.

3.3.1 Production management information

1) Conditions under which the production management information is collected


① When “PWB production” is selected as the production mode, and
components are placed on all component placement positions of a board
② Once the information is collected, new information collected during the
next production is added to the previous production management
information. If you want to collect new data only, clear the information
already stored.

2) Production management information screen


Click the [Window]/[Management Info.] command on the menu bar, and select
the information to be displayed.

3) Contents
① Total management information

3 − 14
Operation manual Ⅱ

No. Item Description


1 Number of PWBs The number of PWBs produced after production management information is
produced cleared. (Only for completely produced PWBs)
2 Number of circuits The number of produced circuits (“Number of produced PWBs” × “Number
produced of circuits” – “Number of bad marks detected”)
3 Prod start time Date and time when the first production is started after the production
management information is cleared the last time.
4 Prod end time Date and time when the last production was ended with this production
program.
5 Operation time The time duration from when production was started to when the production
is completed excluding any stop time duration and the conveyor waiting time.
(Pause time duration is excluded also.)
6 PWB load wait The accumulated time of durations from when the clamped board is released
to when the IN sensor is set to ON.
However, the value displayed here indicates the time of “PWB eject wait” if
there is a board on the OUT buffer when the clamped board is released. If
there is no board on the OUT buffer and In sensor or Wait sensor is already
set to ON when the clamped board is released, no time is added to this
“PWB load wait.”
Even though the machine pauses while it is waiting for a board to be sent in,
this pause time is not added to this “PWB load wait.”
7 PWB eject wait The accumulated time of durations from when the clamped board is released
to when the OUT sensor is set to OFF (that is, when the board located on
the OUT buffer is ejected from the machine) if the OUT sensor is set to ON
when the clamped board is released.
Any time is not added to this “PWB eject wait” if there is no board on the
OUT buffer when the clamped board is released. However, in case of the
last board, the time duration from when the clamped board is released to
when the machine finishes transporting it is added to this period of time
regardless the OUT sensor status: ON or OFF. Even though the machine
pauses while it is waiting for a board to be ejected, this pause time is not
added to this “PWB load wait.”
8 Conveyor Waiting time The accumulated time of durations from when the system starts transporting
a PWB to when it finishes transporting the PWB. Even though the machine
pauses while it is waiting for a board to be transported, this pause time is not
added to this “Conveyor Waiting time.”
9 Total error stop time The accumulated time of durations for which the machine cannot produce
boards due to errors including a pause and emergency stop from start of
production to end of production.
[Total error stop time] = [Machine error stop time] + [Component run out
time]
10 Pause by operator The accumulated time duration for which the machine pauses due to a user
request (by pressing the STOP switch).
Pauses due to component protection or cycle stops are regarded as a user
request, and added to this “Pause by operator.”
11 Machine error stop The time duration obtained by adding all system pauses: that is, pauses
time made as the result you check the check box “Stop system on any error” on
the Operation option dialog box, pauses due to the condition the system
cannot produce any PWB (for example, the IC recovery belt is full of
components), and pauses due to asynchronous events (for example, the
bank moves down).
Note that if the system pauses because the stocked components run out, the
pause time duration is added to “Component run out time.”
12 Component run out The accumulated time of durations for which the machine stops because the
time stocked components run out or because it displays the Retry list.
13 Stop time The total time duration of the “Pause by operator,” “Machine error stop time”
and “Component run out time.”
14 Ratio of Pick-up (%) “Number of normal pick-up operations” / (“Number of normal pick-up
operations” + “Number of pick-up errors”)×100
15 Ratio of Placement (%) (“Number of normal placement operations” / (“Number of normal pick-up
operations” + “Number of pick-up errors”) ×100

3 − 15
Operation manual Ⅱ

16 Ration of Retry (%) 100 - "Ratio of Pick-up"


17 Components picked The number of successfully picked components
18 Components placed The number of successfully placed components
19 Bad mark detected The total number of circuits for which bad mark is detected and detected
area bad marks.
20 BOC mark recognition The number of BOC mark recognition errors
errors
21 Area fiducial mark The number of area fiducial mark recognition errors.
recognition errors
22 Component run out stops The number of times the machine stopped because the stocked
components run out

Each process of production is defined as follows:

Start of production

A Production • Operation time →A


(including board transport time) • Total error stop time →C+D+E
• Pause by operator →B
B Pause button ON • Machine error stop time →C+D
C Emergency stop button ON • Component run out time →E
• Stop time →B+C+D+E
D An error (such as a recognition error) occurs.

E Components run out.occurs.

End of production

3 − 16
Operation manual Ⅱ

② Feeder management information


The system can display the production management information per
feeder.
Select the desired device from the “Management Info.” menu: Front,
Rear, Holder (DTS), MTC and MTS.

When you select “Front,” the following screen appears.

No. Item Description


1 Total Picked The number of pick-up operations from the feeder
2 Picked The number of components successfully picked from the feeder
3 Placed The number of components picked from the feeder then placed on boards
4 Total Miss The number of components that are lost from the feeder.
(“Total Picked” – “Retry” × (“Retry” of Component data + 1) – “Placed”)
For an MTC only
(Total Picked” – “Placed”)
5 Pick Err. The number of pickup errors occurred at the feeder
6 No Comp. The number indicating how many times the stocked components run out at the feeder.
For a tray component, this field indicates how many times the number of the remaining
tray components becomes “0.” For a feeder component, the value displayed here is
the same as that displayed in the “Retry” field. When you set the number of the
remaining feeder components, this number indicates how many times the value “the
number of retry-over errors” + “the number of the remaining components” becomes “0.”
7 Retry The number of retry over errors occurred at the feeder.
(The machine picks up a component the number of times set at the menu item “Retry”
on the Component data screen, and increases the counter by “1” if it cannot pick up a
component.)
8 LA recog The number of laser recognition retry over errors occurred at the feeder
9 V recog The number of VCS recognition errors that occurred at the feeder (available with a
KE-2060 only.)
10 Lead The number of components whose bent lead(s) was (were) detected
11 Dimension The number of irregularly shaped components detected
12 Vrfy/SOT The number of components which causes a verify error or SOT error
13 Chip The number of components that are picked from the feeder and cause a chip rise error
14 Cpla The number of components whose floating lead(s) was (were) detected with the
coplanarity check
15 Other The number of other errors that the system has judged to have occurred such as a
component drop-off error.
* The total number for each item is displayed on the bottom line.

3 − 17
Operation manual Ⅱ

③ Pick ratio
This screen shows the component pick-up rate per feeder (Pick-up rate =
“Number of picked up components” + “Number of pick-up errors”)).

− The component pick-up rate per feeder is shown in ascending order of


percentage (from the worst rate first) (see Figure ).

Figure 3.15 Component pick-up rate screen (in ascending order)

No. Item Description


1 Supply Position per feeder
2 Lane For a stick feeder, the lane number is shown here. For a DTS, a tray number is
shown here.
3 Component name The component name is shown here
4 Total (A) “Number of picked-up components” + “Number of component pick-up errors”
5 Picked (B) Number of successfully picked-up components
6 Placed (C) Number of successfully placed components
7 Pick Error Number of components that could not be picked up
8 Pick-up ratio The ratio of successfully picked up components
(B/A) Pick-up ratio = “Picked” / (“Picked” + “Pick Error”)
9 (C/B) “Placed” / “Picked”

• Function of each button


<Supply> When you press this button, the screen shows the component
pick-up rates in order of feeders.
When the component pick-up ratios are displayed in order of
feeders, a light blue inverted delta symbol is displayed on this
button.
<B/A> When you press this button, the order in which the pick-up
rates are displayed is switched: ascending order (display from
the worst pick-up ratio) or descending order (from the best
pick-up ratio).
When the pick-up ratios are displayed in ascending order, a
light blue inverted delta symbol is displayed on this button.
When the pick-up ratios are displayed in descending order, a
light blue triangle mark is displayed on this button.

3 − 18
Operation manual Ⅱ

<C/B> When you press this button, the screen shows the component
recognition ratios in the ascending order (from the worst ratio
first).
When the screen shows the component recognition ratios in
ascending order, a light blue inverted delta symbol is displayed
on this button.

• Updating data
− During production (while the machine is producing a PWB): the
system does not update any data during production. When you
depress each button or display the screen again, data is updated, and
the pick-up ratios are displayed in ascending order (from the worst
ratio first) on the screen as shown in Figure .
− While the machine pauses during production: data is automatically
updated, and the pick-up ratios are displayed in ascending order (from
the worst ratio first) on the screen as shown in Figure .

4) Management of production management information

① Clear
To clear the production management information, select the
[Window]/[Management Info.]/[Clear] commands on the menu bar.

② Saving the production management information


After PWB production, execute the command [Save] or [Save as] on the
“File” menu to save the production management information on a file.
When you save a production program in response to the end of production
message displayed at end of production, the system saves its production
management information also.

③ Switching the displayed production management information


When the system displays the total, front, rear, holder (or DTS), MTC or
MTS production management information, the buttons <Total>, <Front>,
<Rear> <Holder> (or DTS), <MTC>, <MTS> and <Clear> are displayed on
the bottom of the screen. When you click one of these buttons, the
corresponding production management information appears or
disappears.

3 − 19
Operation manual Ⅱ

3.3.2 Production information

The [Production Info.] command displays the cycle time per PWB, the total
component placement ratio, the total component pick-up ratio, and vacuum level
information.

1) Conditions under which Production information is displayed


① “ PWB production” is selected as the production mode.
② Once the information on the component pick-up/placement ratios is
collected, new information collected during the next production is added to
the previous production information. If you want to collect new data only,
clear the information already stored.

2) Production information screen


When you click the [Window]/[Production Info.] commands on the menu bar,
the following screen appears.

3 − 20
Operation manual Ⅱ

3) Production information display items

No. Item Description


1 Line Tact time Shows the time duration from when a clamped board is released to when the
next clamped board is released per produced board.
2 Machine Tact time Shows the time duration from when a board is clamped to when the clamped
board is released per produced board.
3 Total placement rate Shows the component placement ratio of the entire machine.
4 Total pick rate Shows the component pick-up ratio of the entire machine.

To clear the component placement/pick-up ratios of the entire machine (“Pick” and
“Place”) of the production information, select the [Window]/[Production
Info.]/[Clear] commands on the menu bar.
When you load a file into the system, these ratios are cleared also.

− The items “Line Tact time” and “Machine Tact time” are displayed while the
machine is producing a PWB or while the machine is in Idle mode also. Note
that the line tact and machine tact of the previous production are displayed
while the machine is in Idle mode.
− The vacuum level is displayed only when the machine is producing a PWB.

3 − 21
Operation manual Ⅱ

3.3.3 Conveyor status

When you select this command, the system displays the PWB transport
information and information of each I/O sensor (ON/OFF status).
The Production idle screen shown in the figure below allows you to perform PWB
transport operation: loading or unloading a board.

When you click the [Window]/[Conveyor status] commands on the menu bar, the
following screen appears.

3.3.3.1 Production idle screen

① PWB Operation (PWB load/PWB eject/ PWB release)


When you click one of these buttons, the following message appears on the
screen.

When you click the <Yes> button, the axis moves to its waiting position, and
the system starts loading/unloading a PWB or releasing the clamped PWB.
When you click the <No> button, the machine does not operate.
3 − 22
Operation manual Ⅱ

② Control
When you click one of the <Individual control> buttons, the “Individual
control” screen appears.
When you click each button, you can turn on or off the corresponding device.
For the <BU plate> button, it moves the BU plate to the position specified
with the “Destination coordinates.”

When you click the <End> button, the system quits the “Individual control”
screen.
When you quit this screen, the PWB transport device conditions are
initialized.

3.3.3.2 Production screen


While the machine is producing a PWB, the PWB transport direction, thickness of
a PWB, PWB transport operation command and PWB transport conditions are
not displayed on the screen. The production status is displayed on the screen
instead of these items.

3 − 23
Operation manual Ⅱ

3.4 Tool

3.4.1 Setting the number of components

Enter the number of components to control the number of remaining components.


For a tray, if you do not enter the number of components, the system automatically
sets the number of components that are calculated based on the tray information
of Component data. For a feeder, if you do not enter the number of components,
“0” is set as the number of components. In this case, the system does not control
the number of remaining components at a feeder.
To resume the suspended production after adjusting the malfunctioning feeder so
that it can pick up a component, you have to clear the error with this number of
component setting function.

When you click the [Tool]/[Component supply] commands on the menu bar, the
“Component supply” screen appears.

Select a feeder unit.

3 − 24
Operation manual Ⅱ

① Items to be set

No. Item Description


1 Initial Enter the number of components supplied in the package specified with “Package”
before the system starts using it.
− For a tray package, this value is automatically set based on the number of
rows/columns of a tray set on the Component data screen.
− For a feeder component, the system does not manage the countdown of the
remaining components and continues placing components on boards until a
retry-over error occurs if you set “0” to this item.

2 Remain − Shows the number of remaining components when the system checks the number of
components. This value decrements every time the system picks up a component
during production.
− When “0” is set to “Initial,” the value shown here does not decrement.

3 W.Level When the number of remaining components becomes less than the value displayed
here, the signal tower warns you by flashing its yellow lamp.
If “0” is set to “Initial,” this function does not operate.
4 Warning Shows the component supply error.
*: Indicates that the number of remaining components becomes less than the
number specified at “W.Level.”
E: Indicates that a component pick-up retry over error occurs, and the system stops
picking up a component.
To recover the system from an error: Enter a value to “Remain” or click the
<Replenish Comp.> button.

② Descriptions of buttons
No. Item Description
1 DTS Change Replaces a tray of a DTS with another one. You cannot select this button if
any DTS component is not specified with the production program.
2 Replenish Comp. Enters the value set in the “Initial” field into the “Remain” field of the line at
which the input focus is located, and clears all warnings.
3 Replenish all comp. Replenishes all types of components.
4 OK Validates the settings on the displayed “Component supply” dialog box, quits
this dialog box, and then returns to the production requirements screen or
“Production status” screen from which you invoked this dialog box.
5 CANCEL Quits the displayed “Component supply” dialog box without validating the
settings on this dialog box, and then returns to the production requirements
screen or “Production status” screen from which you invoked this dialog box.
6 APPLY Validates the settings on the “Component supply” dialog box.

3.4.2 Operation option

Displayed are only items related to production among the items displayed on the
Operation option menu that is invoked with your clicking the [Options] command
on the main menu. You can see the same result regardless which screen is used
to set an item, the “Production” screen or the “Option” screen.
See descriptions on Operation Options in Chapter 4.2.

3 − 25
Operation manual Ⅱ

3.4.3 Modifying data

Even though an error such as a laser recognition error occurs during production,
you can change Component data such as “laser height” on the Production screen
to check whether the same error occurs again.

When you click the [Tool]/[Change Data] commands on the menu bar, the following
“Compo list” screen appears.
Select the data to be modified, and click the <OK> button.

The following “Change Data” screen appears.


Modify the setting of the item that might cause the error, and click the <OK>
button.

You cannot edit certain data such as a feeder type.

3 − 26
Operation manual Ⅱ

3.4.4 Automatic PWB width adjustment

See Chapter 2.5.4.1.2 “Automatic conveyor width adjustment” for details.

3.4.5 Retry list

The Retry list allows you to check and display errors that occurred during production.

3.4.5.1 Displaying the “Retry list” at end of production


1) “Not placed” list
To call the retry list at end of PWB production, select the [Tool]/[Retry
list]/[Unplaced list] commands on the menu bar. The screen shown in
Figure appears.
In this list, up to 100 retry errors can be displayed in the order in which the
errors occurred. However, the Retry list (Feeder information) screen in
Figure appears if there is any feeder that failed to pick up a component
although all components were placed on boards. If all components are
picked and placed, no error appears on the screen.

Figure 3.25 Retry list (Not placed list)

3 − 27
Operation manual Ⅱ

2) Feeder information
When you select the [Tool]/[ Retry list]/[Supplier information] commands on
the menu bar after production finishes, the screen shown in Figure appears.
In this list, up to 160 retry errors can be displayed.

Figure 3.26 Retry list (Feeder information)

3.4.5.2 Retry list displayed during PWB production


The information displayed in the “Retry list” during PWB production varies
depending on the error actually occurred as shown in Figure .

Figure 3.27 Retry list (“Not placed” list) screen

The Retry list displayed during production is for you to judge whether to continue
the current production. Therefore, select one of seven buttons displayed on the
list to control the production conditions after an error occurs.

3 − 28
Operation manual Ⅱ

Immediately after you press the <START> switch, the head starts
moving and the system starts production.
To avoid injuries, do not put your hands inside the machine or keep
your face or head away from the machine.
Before pressing the <START> switch, check to see if there is no
one who is working the internal parts of the machine.
CAUTION Before pressing the <START> switch, check to see if there is no
one who is near the machine and may be injured.
Before pressing the <START> switch, check to see if there is no
obstacle such as an adjustment tool that is located or attached
inside the machine and may prevent the machine from operating
normally.

1) Retry
When you click the <Retry> button, the following dialog box appears on the
screen.

When you press the <START> switch on this dialog box, the system resumes
the placement operation from the component where the error occurred in
order to continue production. The system automatically supplies with
components the feeder where components run out.
When you press the <CANCEL> button, the Retry list reappears.

2) Ignore
When you click the <Ignore> button, the following dialog box appears on the
screen.

When you press the <START> switch on this dialog box, the system skips
the component (its layer) displayed on the Retry list, and then starts
production from the next layer.
When you press the <CANCEL> button, the Retry list reappears.

3 − 29
Operation manual Ⅱ

3) Suspend
When you click the <Suspend> button, the following dialog box appears on
the screen.

This button stops production and moves down the support plate so that a
PWB can be ejected. In this case, the nozzle attached to the head is
removed to finish the operation. Your pressing the <STOP> key on the
operation panel can perform the same operation.

When you click the <Suspend> button, the system replaces a


nozzle with another one. To avoid a risk of injury, do not place
CAUTION your hand in the machine, nor move your face or head close to the
machine while the machine is operating.

4) Pick tracking
When you click this button, the component pick-up position correcting
process will be performed for the position that has caused the error displayed
in the list box.
For details, see the description of Section 3.4.5.3 “Pick position correction.”

5) Supply
When you click this button, you can control the number of components by
opening the “Component supply” window. See the Section 3.4.1 “Setting the
number of components” for details.

6) View Laser Waveform


This button allows you to display the laser waveform.
Section 3.4 “When the laser sensor is stained” of the “Operation Manual I.”

7) Display change
This button switches the screens between the “Not placed list” and the
“Feeder information” screen.

8) Change data
This button allows you to modify Component data.
See Section 3.4.3“Modifying data” for details.

3 − 30
Operation manual Ⅱ

3.4.5.3 Pick position correction


When you click the <Pick tracking> button on the Retry list, the screen shown in
Figure appears. When you press the <START> button on the operation panel,
the system starts tracking the component pick-up position.
See Section 4.5.4.4.4 “Coordinate system: component pick-up position” of
Chapter 4 for details on tracking of the pick-up position.

The system can track the component pick-up positions stored on Pick data of all
“feeders” and “components” displayed on the Retry List, and correct them.
The system automatically replenishes components whose pick-up position is
corrected.

Figure 3.31 “Pick pos. adjust conditions” dialog box

If the feeder bank is never recognized (for example, immediately


after the devices of the machine return to their home positions or
the bank moves down then up), the feeder may be recognized
CAUTION automatically before the machine moves to the pick-up position.
Since the head moves across the feeder bank while the feeder is
being recognized, do not place your hand or head in the machine,
nor move your hand or face close to the machine.

3.4.6 Checking

The system allows you to perform various types of checks: Verification check
(single/continuous), SOT check (single/continuous) or laser height check.
After attaching a component on a head actually, use each hardware device to
perform various types of checks.
See Instruction Manual on CD.

3 − 31
Operation manual Ⅱ

Chapter 4 Other Functions


4.1 Database
A “database” is used to register and collect component data (including vision data).
After you register the created component data in the “database,” the system manages
the data by component names.
Once you register component data in the database, you can easily create component
data only by specifying the component name registered in the database when creating
a production program with the Program Editor.
This chapter describes how to use a database mainly. See Chapter 2 Creating a
Production Program for how to create component (vision) data.

You can use the HLC (optional) to manage the created database or create a
database also. Therefore, the menu items that are unique to the HLC and not used
with this machine may appear on the database screen.

・ When using IS: Since the IS server manages the component data, particular
items for the IS are displayed on some screens.
・ For the operations on a mounter, refer to Chapter 4.1.2 of this manual, and for
the operations on the IS system, refer to “IS Instruction Manual”.

4.1.1 Flexline Database


4.1.1.1 How to Use a Flexline Database
After starting up the Program Editor, use a database to create component data.
1) If you know a component name
If the name of a component whose data you want to create is registered in the
database, enter the component name on the “Placement” data screen. The
system will register the data created for the component in the production program
that is being created.
Enter the component name here. The corresponding component data is created completely.

2) If you do not know a component name


Display the database list, and select a component name you are to register from
the list (this is the “Import” function).
<Procedure>
① Click a blank “Component name” field. ② Click the [Database]/[Import] commands.

4−1
Operation manual Ⅱ

③ The “Database List” appears on the screen. Select the desired component
name, and click the <OK> button.

④ The following dialog box appears on the screen. When you click the <OK>
button, the data associated with the selected component name is registered.

3) If the component name has already been registered in the placement data
(such as data converted from CAD data)
If component name(s) registered in the placement data is (are) registered in the
database also, register in the production program all the associated component
data registered in the database by one operation (by using the “Re-Search”*
function).
Click the [Database]/[Re-Search] commands.

− Re-Search function:
This function compares a component name registered in a database with that in the
placement data, and invokes the associated component data from the database if it
finds the same component name in both the database and placement data to
register the data in a production program. Once you execute this [Re-Search]
command, the system compares all component names registered in the placement
data with those in the database, and registers (overwrites) the associated
component data of a production program.

4−2
Operation manual Ⅱ

4) Changing the associated component data only without changing a


component name
When you execute the [Import] command on the “Component” data screen, the
system changes the associated component data only.

<Procedure>
① Display the “Component” data screen.
② Select a name of a component whose data is to be changed from the
“Component list.”
③ Click the [Database]/[Import] commands.

④ Select the name of a component whose data you want to register in the
component data from the component list of the database, and click the <OK>
button.

4−3
Operation manual Ⅱ

4.1.1.2 Starting Up the Database

(1) Starting up the database

1) Click the [Editor]/[Database] commands from the menu bar.

2) The following dialog box appears on the screen.

• “a blank Flexline database”:


Select this radio button to create a new database.

• “using a HLC database”:


Select this radio button to create a database based on the database created
with the HLC (optional).

• “Open an existing database”:


Select this radio button to open the database already created.

4−4
Operation manual Ⅱ

① “a blank Flexline database”


When you select this radio button, the following dialog box that allows you to enter
a new file name appears on the screen.
Select a folder in which a file is to be saved, enter a file name and click the
<Open> button.

Do not select any existing database file on the “Open” dialog box above.

If you select any existing database file, the following message appears
on the screen. When you click the <Yes> button on this message box,
the system deletes all data registered in the selected database file.
CAUTION

The “Flexline Database” screen that allows you to create a new database appears.

4−5
Operation manual Ⅱ

② “using a HLC database”


When you select this radio button, the following “Select a File to Convert From”
dialog box appears on the screen.

Enter a file name.

Specify the file Click the Open


type to be button.
converted here.

When you click the <Open> button, the “Convert File Into” dialog box appears on
the screen.

Enter a file name or


select the desired
one from the list.

Click the
Save button.

hen the system starts converting the specified database file, data is copied from
the source file to a new file and the progress bar that indicates how much data is
converted appears on the screen. When the system finishes converting data,
this progress bar disappears, and the system displays the data of a new database
file on the component list of the “Flexline Database” screen.

4−6
Operation manual Ⅱ

③ Open an existing database”


hen you select this radio button, the following “Open” dialog box appears on the
screen.
elect a folder in which you save a database file, enter a file name and click the
<Save> button.

The selected database file starts up.

4−7
Operation manual Ⅱ

(3) Database screen organization

1) List screen

Menu bar
Data tabs

Tool bar

Record
number

Number of
total records

Scroll bars

2) Form screen
The component form screen consists of three windows: “Component,”
“Centering” and “Other” windows.

Click each tab to switch the current window to the corresponding window.

These tabs are


used to switch the
screens between
the “List” screen
and “Form”
screen, or
between the
“Component Data”
screen and
“Vision Data”
screen.

4−8
Operation manual Ⅱ

4.1.1.3 Creating/Editing Component Data (Including Vision Data)


The system provides you with the following methods for creating a new component
data or editing the existing component data.

Menu command Description


Add Enter a new component name, and create component data.
Copy Hold the created component data, and copy it with another name.
Import Registration on the “Flexline Register in the specified database all component data registered
Database” screen in a production program or another database by one operation.
Registration on the Register in the database component data of a production
“Program Editor” screen program file record by record.

(1) Add
This command allows you to create new component data.

<Procedure>
① Click the [Data]/[Add] commands. ② The “Add a new component” dialog box
appears on the screen.
Enter a component name and click the
<OK> button.

③ The “Component Form” screen appears. Create data on this screen.

4−9
Operation manual Ⅱ

(2) Copy
To create data for two or more components that have the same dimensions but whose
names are different from each other, first create data for one component. For other
components, copy the data created for the first component, and change the copied
component name only. You can create data easily in this way.
In addition, if you have two or more components whose heights only are different from
each other, just copy the data for one component and change the name(s) of the
copied data, and change the height(s) later.

<Procedure>
① Select the copy source component name, and click the [Data]/[Copy] commands.

② The “Copy” dialog box appears on the screen. Enter a new component name,
and click the <OK> button.

3217

③ A new component name is registered.

4 − 10
Operation manual Ⅱ

(3) Import (on the “Flexline Database” screen)


This command registers in one database all component data registered in a
production program or another database by one operation.
<Procedure>
1) Click the [Data]/[Import] commands from the menu bar.
2) The following dialog box appears on the screen. Select a file and set the
conditions for registering data.
①Set the registration conditions.
- “Registration conditions”: Specify whether to overwrite data or not register it if the same name
component is already registered in the database.
• “Do not import”: The system does not overwrite data of the same name component in
the database.
• “Inquire each time”: The system displays the message that asks you whether to overwrite
data of the same name component in the database.
• “Overwrite”: The system overwrites data of the same component in the database.

② Specify whether to overwrite data created with an old model KE-700


series, KE-2010-40, KE-2050-60, KE-2070-80, FX series or CX
series in the database.

③ Click this icon to select a file to which the system registers data.

The file type is set to “mdb” at first. For


a file in another format such as a
production program, click “▼” to display
the list and select the appropriate type.

3) When you click the <Import> button, the system starts registering data.

4 − 11
Operation manual Ⅱ

(4) Import (on the “Program Editor” screen)


This command registers component data of a production program in the database
record by record on the “Program Editor” screen.

<Procedure>
1) Open the “Component” data screen with the “Program Editor.”
2) Select a component to be registered (with clicking a line of the desired component
on the “List” screen, for example), and click the [Database]/[Export] commands.

3) The following dialog box appears on the screen. When you click the <OK>
button, the system registers data in the database.

− The system registers component data in the database sequentially according to


each component name.

− The database in which the system registers data is the database specified with
the “Environment Setting” (See Section 2.5.3 “Environment setting”).

4 − 12
Operation manual Ⅱ

(5) Delete
This command deletes a component name.
<Procedure>
1) Select a component name to be deleted, and click the [Data]/[Delete] commands
from the menu bar.
2) The “Confirm” dialog box shown on the right side appears on the screen.
When you click the <Yes> button, the selected component name is deleted.

− To delete two or more component names

Specify the range of components to be deleted.


• How to specify the range of components on the “Flexline Database” screen:
For example, try to specify the range from the first line to the third line on the
screen below.
First, click this point of the first component line to be deleted.
Next, while pressing the [Shift] key, click this point of the last
component line to be deleted.

(6) Rename
This command renames a component name.
<Procedure>
1) Click the [Data]/[Rename] commands from the menu bar.
2) Enter a new component name, and click the <OK> button.

4 − 13
Operation manual Ⅱ

(7) Replace
When you select the [Data]/[Replace] commands from the menu bar on the
“Component List” or “Component Form” screen, the following screen appears.

• “Replace 502, 503 nozzles with 500 nozzles”


When you check this check box, all No. 502 nozzles and No. 503 nozzles that are
set for centering a component with laser or VCS are replaced with the No. 500
nozzles.

Once you replace nozzles with the No. 500 nozzles, you
CAUTION cannot restore data to its original state.

• Menu items displayed on the tab


The system resets each selected menu item to its default state respectively.
While the system is resetting data, the following message appears on the screen.

4.1.1.4 Package Type


A “package type” is a database created to decrease the number of steps to be
followed for creating component data.
You can create component data by selecting a package type that is similar with that of
a component whose data you are to create, and modifying the different data only.
You can create a new package type also.
Check the contents of the supplied CD for details.

4 − 14
Operation manual Ⅱ

4.1.1.5 Search
<Procedure>
1) Click the [Search]/[Find] commands from the menu bar.

2) The following “Component Search” dialog box appears on the screen.


Specify the search conditions, and click the <OK> button.

Enter your
Check here search
to search for keyword.
incomplete
data records.

Select one
from among
these search
options

To narrow a search, specify the “Manufacturer,” “Package Class,” “Feeder Type”


and/or “Centering” fields.

3) When the system finishes searching, it displays the “Component list” on which the
first matched component data registered in a database is highlighted.
4) To search incomplete data, click the check box “Find incomplete data only.” The
following screen appears. Click the <OK> button.

4 − 15
Operation manual Ⅱ

4.1.1.6 Tools

(1) Database Utilities


1) Convert HLC Database
This command converts a database created with another model (EPU or HLC) into a
new database format.
<Procedure>
1) Click the [Tools]/[Database Utilities]/[Convert HLC Database] commands.

2) The “Select a File to Convert From” dialog box appears on the screen. Select
a file and click the <Open> button.

3) The “Convert File Into” dialog box appears on the screen.


Specify the folder in which the converted file is saved and a name of the
converted file, and click the <Save> button.

4) The following screen appears, and the system converts the selected database file.

4 − 16
Operation manual Ⅱ

2) Compact FlexLine Database


When you use a database file for a long time (that is, you repeat adding and/or
deleting a record), the amount of unused area in the file increases. When you
execute this [Compact FlexLine Database] command, the system deletes this
unused area to make the file size smaller.

<Procedure>
1) Click the [Tool]/[Database Utilities]/[Compact FlexLine Database] commands
form the menu bar.

2) The “Compact Database From” dialog box appears on the screen.


Select a file, and click the <Open> button.

− The system cannot make the file being used currently smaller.

3) The “Compact Database Into” dialog box appears on the screen. Specify
where to save the processed file and its name (normally, the system overwrites
the original file), and click the <Save> button.

4) After the system finishes making the file size smaller, the following screen
appears. Click the <OK> button.

4 − 17
Operation manual Ⅱ

3) Update FlexLine Database


This command converts a database file created with the old version of database into
the current version format.

<Procedure>
1) Click the [Tools]/[Database Utilities]/[Upgrade FlexLine Database] commands
from the menu bar.

2) The “Open” dialog box appears. Select a file, and click the <Open> button.

3) The following message appears on the screen and the system converts the
specified database file.

4) When the system finishes converting the file, the following message appears on
the screen. Click the <OK> button.

4 − 18
Operation manual Ⅱ

4) Upgrade to Access 97 format


This command allows you to convert a database file into the Microsoft “Access97”
format, so that you can operate it with Access97. However, you cannot convert the
data that was converted into the Access97 format back into the original format.

<Procedure>
1) Click the [Tools]/[Database Utilities]/[Upgrade to Access 97 format] commands
from the menu bar.

2) The “Open” dialog box appears on the screen. Select a file, and click the
<Open> button.

3) The following message appears on the screen. Click the <Yes> button.

4) The system converses a database file into the Access97 format.

4 − 19
Operation manual Ⅱ

5) Repair Data
When you register data in the FLEXLINE database, a value beyond the input range
may be registered in the database. To repair such illegal data at a time, select the
[Repair Data] menu command.

<Procedure>
1) Click the [Tools]/[Database Utilities]/[Repair Data] commands from the menu bar.

2) The following screen appears. Check the check box of data to be repaired,
and click the <Repair> button. The system starts repairing the specified data.

3) The following message appears on the screen while the system is repairing data.

4) When the system finishes repairing data, the following message appears on the
screen. When you select the <Yes> button, the system displays the log file of
the repaired data (this log file is saved with the name whose format is “MDB file
name_res.log” under the folder the repaired MDB file is installed when the data
is repaired).

* If no data is repaired, the following message appears on the screen.

4 − 20
Operation manual Ⅱ

(2) Manufacturer
This command displays the “manufacturer name” for reference of component data.
The manufacturer name entered with this command can be selected from the
“Manufacturer” list of the “Component Form” screen.

1) Procedure for entering a manufacturer name


①Click the [Tools]/[Manufacturer] commands from the menu bar.
②The “Manufacturer List” appears on the screen. Click the <Add> button.

To delete the existing


manufacturer name,
select the
manufacturer name to
be deleted, and click
the <Delete> button.

③ Enter a new manufacturer name, and click the <OK> button.

2) Selecting a manufacturer name


Click here on the “Component Form” screen, and select the desired name from
the displayed list.

(3) BGA Editor


This command allows you to create or edit data on a ball component such as a BGA
and FBGA.
See Section 2.3.7 Vision Data for how to create ball component data.

4 − 21
Operation manual Ⅱ

(4) Options
When you click the [Tools]/[Options] commands form the menu bar, the following
“Options” dialog box appears on the screen.

1) Save
① Save Options
The system saves the database component by component.
Therefore, if you switch the displayed screen after changing data, the system
performs the process for saving data.
• Always Save Changes: The system automatically saves data when you
switch the displayed screen.
• Don’t Save Changes: The system does not save your change(s) even
though you switch the displayed screen.
• Prompt to Save Changes: The system displays the inquiry message to
save your change(s) when you switch the
displayed screen.
② File Location
• Default DB: Specify a database file displayed at first when the
database starts up. When you specify a file here,
the system automatically loads the specified file
without displaying the message at start-up.
• Package Code DB: Specify the package type to be used in the database
if there are two or more package types (if a user
create one).
• Adhe Parameter: Not used with this machine.

To change each item setting, click the <Browse> button.

4 − 22
Operation manual Ⅱ

2) Units
Specify the units of length and pressure on this tab. The menu item “Discharge
Pressure” is not used with a KE-2070/2080/2080R.

“Level” indicates “mmHg.”

3) Display
Check that you have checked the check box “Display Machine Dependent Data.”
Other menu items are not used with a KE-2070-2080.

4 − 23
Operation manual Ⅱ

4) Default

① How to set default nozzles


Specify the nozzle used with the machine between the “No. 500 nozzle” and “No.
502/503 nozzles” here. This setting changes the nozzle number automatically
selected when you create data.

Example: When you select the radio button “Use 500 nozzles as default”
⇒ When you enter “2 mm × 1.2 mm” as the dimensions of a
component, the “No. 500 nozzle” is automatically selected.

② Fix nozzle selection even when component size is changed


This menu item allows you to determine the nozzle number and the vacuum
pressure to be selected when you change the dimensions of a component. The
nozzle number and the vacuum pressure that are to be selected automatically
when you change the data according to this setting.

Example: When you select the radio button “Set nozzle No. and vacuum
pressure to default”
⇒ When you change the dimensions of a component, the default
values are automatically selected.

4 − 24
Operation manual Ⅱ

4.1.1.7 Creating Vision Data


When you click the “Vision Form” tab, the screen for creating vision data appears.
See Section 4.3.7 “Vision data” of Chapter 4 “Creating a Production Program” for how
to create vision data.

4.1.1.8 Print
When you click the [File]/[Print] commands from the menu bar, the following dialog
box appears on the screen.
Specify the printing conditions and click the <OK> button. The system prints the
specified data.

To print data, the printer driver should be installed on the machine.

4 − 25
Operation manual Ⅱ

4.1.1.9 Quitting the Database


Click the [File]/[Exit] commands.

4.1.2 IS Component Database


4.1.2.1 How to Use the IS Component Database
After starting up the Program Editor, use the IS component database to create
component data.
1) If you know a component name
If the name of a component whose data you want to create is registered in the
database, enter the component name on the “Placement” data screen. The
system will register the data created for the component in the production program
that is being created.
Enter the component name here. The corresponding component data is created completely.

2) If you do not know a component name


Display the database list, and select a component name you are to register from
the list (this is the “Import” function).
<Procedure>
① Click a blank “Component name” field. ② Click the [Database]/[Import] commands.

4 − 26
Operation manual Ⅱ

③ The “Database List” appears on the screen. Select the desired component
name, and click the <OK> button.

④ The following dialog box appears on the screen. When you click the <OK>
button, the data associated with the selected component name is registered.

3) If the component name has already been registered in the placement data
(such as data converted from CAD data)
If component name(s) registered in the placement data is (are) registered in the
database also, register in the production program all the associated component
data registered in the database by one operation (by using the “Re-Search”*
function).
Click the [Database]/[Re-Search] commands.

− Re-Search function:
This function compares a component name registered in a database with that in the
placement data, and invokes the associated component data from the database if it
finds the same component name in both the database and placement data to
register the data in a production program. Once you execute this [Re-Search]
command, the system compares all component names registered in the placement
data with those in the database, and registers (overwrites) the associated
component data of a production program.

4 − 27
Operation manual Ⅱ

4) Changing the associated component data only without changing a


component name
When you execute the [Import] command on the “Component” data screen, the
system changes the associated component data only.
<Procedure>
① Display the “Component” data screen.
② Select a name of a component whose data is to be changed from the
“Component list.”
③ Click the [Database]/[Import] commands.

④ Select the name of a component whose data you want to register in the
component data from the component list of the database, and click the <OK>
button.

4 − 28
Operation manual Ⅱ

4.1.2.2 Export component data to the IS component database


This command registers component data of a production program into the IS
component database one by one.

<Procedure>
1) Open the “Component” data screen with the “Program Editor.”
2) Select a component to be registered (with clicking a line of the desired component
on the “List” screen, for example), and click the [Database]/[Export] commands.

3) The following dialog box appears on the screen. When you click the <OK>
button, the system registers data in the database.

When a component with the same name is already registered, the following message
dialog appears.
Click <OK> to overwrite the component data; click <Cancel> not to overwrite it.

When the following error message appears, the component data in the database has
already been associated. Refer to the "IS Instruction Manual" for details.

4 − 29
Operation manual Ⅱ

4.1.2.3 Search

(1) Search
<Procedure>
1) Click the <Search> button on the database list screen.

2) The following dialog box appears on the screen.


Specify the search conditions, and click the <OK> button.
To narrow a search, specify the “Package Class,” “Feeder,” and/or “Centering”
fields.

Enter your
search
keyword.

Select one
from among
these search
options.

3) When the system finishes searching, it displays the “Database list” on which the
first matched component data registered in the database is highlighted.

4 − 30
Operation manual Ⅱ

・Searching the next matched component data

Click the <Find next > button on the screen.

The system displays the next matched data.

・Searching the previous matched component data

Click the <Find prev> button on the screen.

The system displays the matched component data located before the component
data currently displayed.

4 − 31
Operation manual Ⅱ

(2) Jump
Click the <Jump> button on the screen.

The following screen appears. Enter the record number of component data to
which you want to jump.

When you click the <OK> button, the system jumps to the specified record number
of component data

4 − 32
Operation manual Ⅱ

4.2 Operation Option

4.2.1 Overview
This utility allows you to set the operation conditions applied to data creation or
production.
The items to be set as the operation options are shown in the table below.
4.2.2 Detailed Setting Items
When you select the [Options]/[Option Setting] commands from the menu bar of the
main screen, the “Operation option” dialog box appears.
The “Operation option” dialog box consists of seven menus. When you click the
desired tab, the system displays the corresponding menu.

4.2.2.1 Setting the Teaching option

No. Menu item Description


Status Operation and detailed explanation
1 Align placement Specify whether to align the component placement position with a BOC (that is, the system
position with BOC. recognizes a BOC mark to correct the component placement position internally) when the
system teaches the component placement position on the Program Editor or other utility screen.
When this push button is pushed, the placement position is changed to the
coordinates corrected with the BOC mark during teaching of the placement position,
while the placement position is corrected reversely when it is loaded to the system.
Therefore, the system can teach the coordinates of the correct placement position
on a board.

4 − 33
Operation manual Ⅱ

2 Use reference pin Specify whether to correct the component placement position based on inclination difference
to correct PWB between the reference pin and the follower pin if “Hole Reference” is selected in the “Positioning
position. method” field on the “PWB” data screen.
When this push button is pushed, the placement position is corrected based on
inclination between the reference pin and the follower pin if "Hole Reference" is
selected in the "Positioning method" field on the PWB data.
3 Digital Zoom Specify whether to perform the digital zoom function with the WINDOW key of the HOD for
Enable digital teaching operation during tracking.
zoom in teaching The system performs the digital zoom function with the WINDOW key of the HOD
during teaching.
4 Digital Zoom Specify whether to perform the 4× zoom function if you check the check box “Enable digital
Enable 4× zoom zoom in teaching.”
The system performs the digital zoom function and displayed the image enlarged
four times.
5 Auto-teaching Specify whether to perform the auto-teaching function to track a component pick-up position.
Auto-teach at pick The system performs the auto-teaching function to track a component pick-up
position position.
6 Auto-teaching Specify whether to check the area in which two or more components can be picked up
Check for parallel simultaneously if you check the check box “Auto-teach at pick position.”
pick range The system checks the area in which two or more components can be picked up
simultaneously.
7 Do not auto-teach Specify whether to teach the Z coordinate of the component pick-up position, that is pick-up
pick height height, or not if you check the check box “Auto-teach at pick position.”
The system does not teach the Z coordinate with the automatic teaching operation.

* If you do not enter the coordinates of the reference pin and those of the follower pin
on the “Machine setup” menu correctly, the system will not correct the component
placement position correctly.

4.2.2.2 Setting the Production (Display) option


This option tab allows you to make settings of the data display and other items.

4 − 34
Operation manual Ⅱ

Description
Menu item
No. Status Operation and detailed explanation
1 Show the number Specify whether to display the number of boards produced during production in the large
of boards produced characters.
in large characters. The number of boards produced during production is shown in the large characters.

2 Count down the Specify which number of boards is displayed on the screen: the number of the remaining
number of boards boards to be produced or that of boards already produced.
produced. The number of remaining boards to be produced is shown.

The number of boards already produced is shown.


3 Accumulate Specify how to update the number of boards produced.
planned number of The number of boards adds up and is accumulated until the production
boards produced. management information is cleared (the total number is shown).
The number of boards produced is cleared to "0" when the <START> key is
pressed.
4 Change to Specify whether to switch the screen displayed at start of online production automatically.
production screen When you start PWB production online in the initial (Desktop screen) state, the
when triggered screen automatically changes to the production condition (PWB production) screen
online after the production program data is downloaded online to the system.
Also, when using the on-demand function of the IS, the screen changes in the same
manner.
5 Do not inquire for Specify whether to display the message that asks whether to save the production program when
save on exit. you quit the production condition screen.
The system does not display the message that asks you whether to save a
production program when you quit the Production screen. A production program is
not automatically saved.
6 Set production Specify which push button is pushed by default, <Resume production> or <Start new PWB> on
default to “Start the “Processing before production begins” dialog box (displayed when if is a continuous
new PWB”.. production file) that appears when you press the <START> switch again after production is
interrupted.
The <Start new PWB> push button is pushed by default on the “Processing before
production begins” dialog box that appears when you press the <START> switch
again after production is interrupted.
The <Resume production> push button is pushed by default.

7 Set resume Specify which push button is pushed by default, <Load new PWB> or <Re-clamp current PWB>
production default on the “Processing before production begins” dialog box that appears if there is a continuous
to 'Re-clamp production file and you can select either of these push buttons at first.
current board'. The <Re-clamp current PWB> push button is pushed by default on the “Processing
before production begins” dialog box when you press the <START> switch again
after production is interrupted.
The <Load new PWB> push button is pushed by default.
8 When it is not Specify the default production operation if you can initially select production operation (<Load
resumption new PWB> or <Re-clamp current PWB>) instead of <Resume production> when the
production, set “Processing before production begins” dialog box appears on the screen
‘Load new PWB’ as The <Load new PWB> push button is selected.
default.
The <Re-clamp current PWB> push button is selected.
9 Show pick and Specify whether to display the “Total pick rate” and “Total placement rate” check boxes on the
place rates in “Production Control” and “Production I/O Status” screens.
Production Control The system displays the “Total pick rate” and “Total placement rate” check boxes on
and Production I/O the screen.
Status screens.
The system does not display the “Total pick rate” or “Total placement rate” check
box on the screen.

10 Show Production Specify the screen to be displayed during PWB production.


I/O Status during The system displays the “Production I/O Status” screen.
Production
The system displays the “Production status” screen.
11 Worst feeder Specify the method for displaying the worst feeders on the “Production Control” and “Production
selection method I/O Status” screens.
Pick Ratio The system displays the worst 3 feeders on the screen by calculating the
(Successful component pick-up ratio based on the expression “number of successful pick-up
picks/total picks) operations” / “Total number of pick-up operations.”
Worst feeder The system displays the worst 3 feeders based on the total number of component
selection method pick-up errors.
Error counts

4 − 35
Operation manual Ⅱ

4.2.2.3 Setting the Production (Function) options


This option tab allows you to set the machine operations during production.

【Screen example: KE-2080】

Description
No. Menu item
Status Operation and detailed explanation
1 Auto-Correct pick Specify whether to perform the pick up position correction.
position. The system calculates the center position of a component based on the position
from which the system actually picked it up, and if this component pick-up position
is shifted from the center of the component, the system corrects the component
pick-up position according the calculation result. (This setting is available to a
component to be recognized with laser only.)
The setting of the radio button “Auto correct pick” on the “Component” data screen
is ignored, and the system does not correct the component pick-up position.
2 Check release of Specify whether to check to see if a component is apart from the nozzle when it is placed on a
component after board.
placing completion. Using the laser, the system checks if there is no component on the nozzle when the
Z axis moves up after a component is placed on a board.
The setting of the radio button “Release check” on the “Component” data screen is
ignored, and the system does not check a component.
3 Begin production Specify whether to start production only after a board is transferred.
after conveyor Production does not start until a board is clamped completely.
completion.

Production starts before a board is clamped completely.


4 Simultaneous Specify whether to allow the nozzles to be replaced simultaneously.
Nozzle Change. The nozzles are replaced simultaneously.

The nozzles are not replaced simultaneously.


5 Check fiducials Specify whether to recognize a BOC mark prior to a bad mark.
before bad circuit The machine recognizes a BOC mark prior to a bad mark.
marks.

4 − 36
Operation manual Ⅱ

6 Resume mode after Specify whether to display the message that asks a user to resume the production when he/she
production interrupts the production (by pressing the <Pause> key).
interruption. When production is aborted abnormally (due to an asynchronous event or production error), the
system always creates a continuous production file. See the description of the continuous
production for how to perform continuous production.
The system creates a continuous production file when the current production is
interrupted.
7 Stop production Specify whether to interrupt the current production if the system recognizes all circuits as bad
when all circuits are marks.
bad. The machine aborts production although it has not produced the preset number of
PWBs possibly because an error occurs: the wrong bad mark information, sensor
adjustment failure or malfunction and so on.
8 Check nozzle Specify whether to measure the nozzle direction when it is attached on a head.
orientation. If you use a nozzle that requires the angle adjustment before picking up a
component such as a Type T nozzle, the system measures the nozzle attachment
direction when you attach the nozzle to a head, then corrects the component angle
by the measured angle when the system picks up, recognizes or places a
component. Note that only the nozzles defined in the INI file are enabled. When
you enable a nozzle, the nozzle recognition time for case where the nozzle is
mounted
9 Production check Specify whether to check the nozzle setup of the IC head before PWB production starts.
for IC head nozzle Before PWB production starts, the system checks the nozzle setup of the right IC
setup (available head.
with a KE-2080
only)
10 Placement Select the component placement order in the combo box.
sequence for
panelized board.

Table 4.1 Choices of the “Placement sequence for panelized boards””


combo box and their descriptions

No. Choice Description


1 Complete each circuit The system places a component on each circuit of a
sequentially. matrix or non-matrix board one by one to produce a
PWB
2 Place by component The system places the first component on each
ID. circuit, then the second component on each circuit in
order specified in the Placement data. In this way,
the system places component on each circuit in order
specified in Placement data.
3 Group placements The system groups components (whose quantity is
into pick groups. the same as that of nozzles) that can be picked up at
the same time, and places them on each circuit.
This choice makes the cycle time shortest, so we
recommend that you select this setting.

4 − 37
Operation manual Ⅱ

4.2.2.4 Setting the Production (Function2) option


This option tab allows you to set the machine operations during production.

Description
No. Menu item
Status Operation and detailed explanation
1 Do not eject PWB Select whether the system should eject a PWB at cycle-stop.
on cycle-stop When you press the <Single Cycle> key during production, the system does not eject
a PWB after producing it, so the produced PWB remains on the center station.
- Release the clamped PWB and stop the production temporarily.
- When you press the <START> key, the production restarts.
The system finishes production after ejecting a PWB to the post-production process.
2 Check Laser Select whether the system should check to see if laser head(s) is (are) stained when a board is
condition. sent into the machine.
The system checks to see if laser head(s) is (are) stained after the head(s) move(s) to
the waiting position when a board is sent into the machine.
If any laser head is stained, the system pauses. When the system restarts, it checks
the laser heads again. If any laser head is still stained, the dialog box appears on
the screen that prompts you to select if the system should check the laser heads
again or the system continues production forcibly.
The system does not check any laser head.
3 Check SOT Select whether to check the direction of an SOT component having three terminals with the
direction after pick component picking-up position tracking operation.
tracking. The system checks the direction of an SOT component having three terminals after it
performs the component picking-up position tracking operation.
The system does not check the direction of an SOP component.
4 Perform component Select whether to execute the verification check with the component picking-up tracking operation.
verification after The system executes the verification check when it performs the component
pick tracking. picking-up position tracking operation.
The system does not execute the verification check.

4 − 38
Operation manual Ⅱ

5 Disable PWB Select whether to check to see if there is a board on the IN and OUT buffers at start of production
check in IN/OUT after an error occurs while the system is transporting a board between the IN and OUT buffers.
buffer. The system does not check a board at start of production.

The system automatically sets a board on the sensor if it remains between the IN and
OUT buffer sensors at start of production.
6 Threat the same Select whether to use the alternative feeder for the same type of components during component
components as placement in input order.
alternative feeders. The alternative feeder is used if the stocked components run out.

The alternative feeder is not used for the same type of components.
7 Do not place the Specify the component placement operation to be performed if no component is detected with a
component when a vacuum check and a component is detected with a laser check when the system performs the
vacuum part component existence check.
existence check - If no component is detected with a vacuum check and a component is detected
fails. with a laser check when the system tries to pick up a component, the system
discards the component according to the setting of the “Compo Reject to” menu
item and does not place it on a board.
- If no component is detected with a vacuum check before the system places a
component and before a component is recognized with the VCS (a component is to
be centered with the VCS only), the system discards the component according to
the setting of the “Compo Reject to” menu item without performing a laser check,
and does not place it on a board.
Even though a vacuum check error occurs, the system performs a laser check when
it tries to pick up a component, before it places a component on a board, and before a
component is recognized with the VCS, and if a component is detected with this laser
check, the system places it on a board.
8 The circuit is The system continues the current PWB production by skipping a component that caused a BOC
skipped when a mark recognition error at a circuit without placing it on a board.
BOC mark error If the bad mark check is enabled, the system ignores this option and depends on the result of bad
occurs. mark checks.
The system skips the circuit due to a BOC mark error.
The system handles the component that caused a BOC mark recognition error as
normally.
9 Auto verification of Set the execution of automatic verify inspection for target component at the start of production.
component
executed. Executes automatic verify inspection at the start of production.

Does not execute automatic verity inspection at the start of production.


10 Enable Laser Unit Specify whether to perform the laser unit interference check or not. (505 nozzle or later)
Interference Check. The laser unit interference check measures the condition of the first component picked up from
the feeder with laser once, and if the system judges that the component can be in contact with the
laser unit, a component run-out error occurs. This check prevents a component that was rotated
when it was recognized with laser from becoming in contact with the laser unit and damaging it.
The system does not perform the laser unit interference check for vision recognition components.
Performs a laser unit interference check. (Selected by default)

Does not perform a laser unit interference check.


11 Acquire nozzle This is the function for measuring the height of the nozzle with laser when it is installed.
height when By obtaining the measured height of the nozzle, the vertical position of a component becomes
attaching nozzle.. more accurate when the system recognizes it.
To recognize a thin component stably, or to keep the distance between the camera and a
component more constant when the VCS is used to recognize the component (Example: fine
leads or bumps are arranged and their pitches are narrow), use this function.
Note that when a No. 509 nozzle designed exclusively for a 0402 IC (optional) is installed on the
machine, the system always measures the height of the nozzle regardless of the setting of this
option.
The system measures the height of all nozzles when they are installed.
The system measures the height of a No. 509 nozzle designed exclusively for a 0402
IC when it is installed. (To mount a 0402 IC on a board, the option for a 0402 IC is
required.)
12 Adjust placement This check box appears on the screen for a mounter equipped with a vacuum pump only. Only
push height when “0.5 mm” is set in the “Placing Stroke” field of the Component data screen of a production
program for a component whose size is equivalent with that of a 1005 component, the system
adjusts the placing stroke to “0.2 mm” when it places a component actually.
The system adjusts the placing stroke “0.5 mm” to “0.2 mm.”

The system does not make the adjustments described above.

4 − 39
Operation manual Ⅱ

4.2.2.5 Setting the Production (Pause) option


This option tab allows you to set the machine operations during production.

Description
No. Menu item
Status Operation and detailed explanation
1 Stop system when Set the operation mode when components run out.
components run
out. The machine temporarily stops production when components runs out.

The machine continues placing components as long as it has components which can
be placed, even when a type of components run out during production.
2 Stop system on any Set the operation mode that the system enters when an error occurs.
error. The machine temporarily stops production when a productional error (including the
component run-out error) occurs.
The machine continues placing components as long as it has components which can
be placed, even when a productional error occurs.
3 Measure Select whether to measure the height of a component at restart of production after components
component height run out.
when restarting The system measures the height of a component on restart after components run
after component out.
run out.
The system does not measure the height of a component on restart after
components run out
4 Perform Specify whether to perform a verify check at start of production after the stocked components run
component out.
verification when The system performs a verify check at restart of production after the stocked
restarting after components run out.
component run out.
The system does not perform a verify check at restart of production after the stocked
components run out.
5 Perform SOT Specify whether to check the direction of an SOT component at restart of production after the
direction inspection stocked components run out.
when restarting The system checks the direction of an SOT component at restart of production after
after component the stocked components run out.
run out.
The system does not check the direction of an SOT component at restart of
production after the stocked components run out.

4 − 40
Operation manual Ⅱ

6 Display ' Supply' Set the function for supplying components fully while the system temporarily stops a production
button in the pause operation because components run out.
dialog.
The <Supply> button is appended to the "Pause" dialog box.
* This <Supply> button is not displayed on the "Pause" dialog box that appears when
components run out or when a mark recognition error occurs.
When you click this <Supply> button, the system replenishes a feeder (tape, stick
or bulk feeder) with components fully when its stocked components run out.
The <Supply> button is not displayed on the Pause dialog box.
7 Stop the system Specify the operation to be performed when the system finds a component to drop off the
when the machine. This function is effective even during non-stop operation.
component falls.
When the system finds a component to drop off the machine during production, it
pauses and displays a message indicating that a component drops off the machine.
(Component detection is performed at the end of placement.)
The system continues production even though a component drops off the machine.
8 Run pick tracking Select whether to perform a component picking-up position tracking operation before retry
when restarting operation if you select the retry operation and press the <START> switch when the system pauses
after component due to a component run-out error or laser retry over error.
run out. The system performs the component picking-up position tracking operation before
retry operation. At the same time, the combo box for the feeder to be used for
picking up and tracking a component becomes "active", and you can select one of the
following choices (“a.” is selected as the default):
a. Only feeders that ran out of components
b. All feeders that ran out or had errors.
c. All feeders on which the same type of components are set as those of the error
feeder
The system does not perform the component picking-up position tracking operation
before retry operation. At the same time, the combo box for the feeder to be used
for picking up and tracking a component becomes "inactive," and cannot select the
combo box.
9 The system pauses If an error occurs when the “component existence check” is performed while the Placement
if a component Monitor function is operating, you can stop the current PWB production temporarily or not on the
existence error “Operation option” screen.
occurs at a
Placement Monitor. When an image is shot at component placement, the system obtains the component
existence check result from the computer for the Placement Monitor. If this result
indicates an error, the system temporarily stops the current PWB production after a
component(s) of the same cycle is (are) placed on a board.
Immediately after the PWB production pauses, you can analyze the cause of the error
with the computer for the Placement Monitor.
When an image is shot at component placement, the system obtains the component
existence check result from the computer for the Placement Monitor. However, even
though the obtained result indicates an error, the system continues the current PWB
production.
The system stops the current PWB production if an error occurs in the above
component existence check when a PWB is unloaded after the PWB production
ends.

CAUTION
- When you enable the “Non-stop operation” on the “Function enable” tab, the
following items are automatically disabled, and you cannot select them:
① Stop system when components run out.
② Stop system on any error.
③ Measure component height when restarting after component run out.
④ Perform component verification when restarting after component run out.
⑤ Perform SOT direction inspection when restarting after component run out

4 − 41
Operation manual Ⅱ

4.2.2.6 Setting the Production (Check) option


This option tab allows you to set the coplanarity function (optional).

Description
No. Menu item 1
Menu item 2 Operation and detailed explanation
1 Properties checked Select what to check with the coplanarity check.
Only Colinearity The system checks each side having a lead(s) one by one separately
checked to detect which lead floats or not.
Colinearity and The system creates the reference side for checking whether a lead
Coplanarity checked floats or not based on the data obtained with the colinearity check,
and the checks whether each lead floats on this reference side.
2 When Copla check Select what to do for an error detected as the result of the coplanarity check.
fails
Pause: the system If an error occurs as the result of the check, the system pauses and
pauses. displays the corresponding message on the screen.
Place without checking The system places a component on a board without checking it.
Put into trash box The system discards a component into a trash box.
3 Information of Specify whether to output the description of an error (on the monitor or as data) if the coplanarity
Coplanarity check error occurs.
* This setting is enabled only when you push the <Pause.> push button for the “When Copla
check fails.” menu item.
No output. The system does not output the description of an error.

Only error electrode The system outputs information on the electrode (lead or ball) that
information. caused an error only.
All electrode information. The system outputs information on all electrodes after an error occurs.

4 − 42
Operation manual Ⅱ

4.2.2.7 Setting the Function enable option


You have to specify whether to perform a tombstone check, component dimension
check for each component on the “Component” data screen.

− On this option tab, you have to make the basic settings of these check
operations.
If you uncheck each check item (click each push button so that it is not pushed),
the setting on the “Component” data screen becomes invalid.

Description
No. Menu item
Status Operation and detailed explanation
1 Tombstone Set whether to detect a tombstone error of a component specified on the "Component" data
detection screen.
The "Tombstone check" setting on the "Component" data screen becomes effective.

2 Component Set whether to check a component whose size is different from the regular one.
dimension check The "Dimension check" setting on the "Component" data screen becomes effective.

3 Component Set whether to perform the component posture check function.


orientation check The system compares the ratio of the shorter side to the longer side of a component
specified on the “Component” data screen and the ratio the system measured. If the
difference between the ratio specified on the “Component” data screen and the
measured ratio is not within a certain range, it is regarded as a component posture
error.
4 Check nozzle Specify whether to perform the nozzle alignment check function.
alignment in pick The nozzle alignment check is enabled. If a nozzle is not aligned with a component
when the system picks it up, a pick-up position error occurs.

5 Show when pick Specify whether to perform the pick-up position error check function.
position shift is The system detects how much a component is shifted from the nozzle center with
detected laser or VCS after picking up the component to detect a pick-up position error.

4 − 43
Operation manual Ⅱ

6 Non-stop operation Set whether to perform the Non-stop operation or not.


The non-stop operation function is enabled.
* When feeders are assigned to the front and rear sides symmetrically with the
Program Editing utility, the non-stop operation gets executable.
7 Alternate When you enable the “Non-stop operation” function above, this push button is enabled.
Specify whether to execute the alternate production mode function in Non-stop operation mode.
Alternate production mode is enabled.

8 Non-stop operation Set whether to perform the Non-stop operation of the MTC or not.
(MTC) (This item is enabled only if “TR6D” is selected as an MTC on the “Device enable” menu invoked
form the "Machine setup" menu.)
The non-stop operation function is enabled.

9 Alternate (MTC) When you enable the “Non-stop operation [MTC]” function above, this push button is enabled.
Specify whether to execute the alternate production mode function in MTC Non-stop operation
mode.
MTC Alternate Production mode is enabled.

10 Non-stop operation Set whether to perform the Non-stop operation (MTS) or not.
(MTS) (This item is enabled only if “TR5D” is selected as an MTS on the “Device enable” menu invoked
form the "Machine setup" menu.)
The non-stop operation function is enabled.

11 Alternate (MTS) When you enable the “Non-stop operation (MTS)” function above, this push button is enabled.
Specify whether to execute the alternate production mode function in MTS Non-stop operation
mode.
MTS Alternate Production mode is enabled.

12 Non-stop operation Set whether to perform the Non-stop operation (DTS) or not.
(DTS) (This item is enabled only if “DTS” is enabled on the “Device enable” menu invoked form the
"Machine setup" menu.)
The non-stop operation function is enabled.
• Automatically setting the number of components in a DTS to the maximum value
− If you press the <SUPPLY> switch to replenish the DTS with component during
production in Non-stop mode, and press the <SUPPLY> switch again to cancel
Component Replenish mode, the system automatically sets the number of
components on this level of the DTS that was supplied with components to the
value indicating the level is fully supplied with components.
13 Enable Auto Comp. Select the check operation when the system picks up a component from an MTC
presence check of (“a.” is selected as the default).
MTC
a. Do not check: the system does not check any component.
b. Read file the system checks components when a production program file is
changed.
c. Supply component: the system checks components when components finish being
replenished by your pressing the <SUPPLY> key of an MTC.

− When you select “Read file” or “Supply component,” the system checks to see if there is any
component on a tray with the HMS located inside an MTC when it picks up a component from
a tray of the MTC that is replenished fully. Then, the system starts picking up a component
from where a component is actually located.
− When you select “Do not check,” the system always starts picking up components from the
first component of a tray when the tray is replenished fully.
− This item is enabled only if “TR6D” is selected as an MTC on the “Device enable " menu
invoked from the "Machine setup" menu.

4 − 44
Operation manual Ⅱ

4.2.2.8 Setting the Production (Function 3) option


This option tab allows you to set the machine operations during production.

Description
No. Menu item
Status Operation and detailed explanation
Select whether the system should change the order of BOC mark
recognition
No optimized Boc
Does not optimize the order of BOC mark recognition for
1 recog. Sequence if not
each PWB.
use each circuit mark
Optimizes the order of BOC mark recognition for each
PWB.

Specify whether to control the number of remaining components.

If the system assumes that components will run out at any


The number of circuit
remaining
2 during the next PWB production, the current production shall
components is to be
controlled stop
temporarily. Note that the production shall stop temporarily
after
the board finishes being clamped.

4 − 45
Operation manual Ⅱ

4.2.2.9 Setting the option on the “Production (Pause 2)” tab


Set the operation to be performed during PWB production.

Description
No. Menu item
Status Operation and detailed explanation
If an error occurs during transmission/reception of a command to/from a
PC for the Placement Monitor or the system fails to shoot any image
when the Placement Monitor function is activated, this item determines
whether to suspend the current PWB production (option).
The system pauses if If the system fails to shoot any image or if the failure of
a shooting error occurs shooting is caused by another error of the Placement
1 at a Placement Monitor, the system suspends the current PWB production.
Monitor. Even though the system fails to shoot any image or if the
failure of shooting is caused by another error of the
Placement Monitor, the current PWB production continues.
If the error described above occurs when a board is
ejected after the system finishes producing it, the system
stops PWB production.

4 − 46
Operation manual Ⅱ

4.3 Machine Management Information


This menu displays the machine operation time (total PWB production time), the
number of times a nozzle was removed and mounted, and the operation information
unique to the machine.
When you select the [Maintenance] command on the menu bar, then the [Machine
Management Info.] command on the "Maintenance" menu, it allows you to select any of
the following operation information items.
Check the contents of the supplied CD for details.

• Exchange frequency setup:


Allows to change times of replacement. Use this setting when nozzle
layout is reset (or changed).
• The warning level is set:
When the number of times set here is reached, relevant items on the
operation information screen will be shown in yellow.
• The error level is set:
When the set number of times is reached, relevant items on the
operation information screen will be shown in red.

4 − 47
Operation manual Ⅱ

4.4 Machine Setup

4.4.1 Overview
The basic configuration of the machine such as the nozzle layout is set on this
“Machine setup” menu.
You do not have to change the settings you have made on this menu until the system
configuration changes.
When you add a nozzle or change the machine configuration such as the reference pin
position, reset the corresponding setting on this menu.
Note that you have to check the settings by performing the periodic inspection after
cleaning the nozzles.
Table 4.1 “Machine setup” Menu Organization
No. Machine setup group Description
1 ATC nozzle setup Assigns nozzles to the ATCs whose numbers are 1 to 30, A and B.
Nozzle number to be assigned
Nozzle type to be assigned
Vacuum value to be obtained when the assigned nozzle is mounted on a
head
Nozzle height when mounted on a head
2 Vacuum value without Vacuum value obtained when the nozzle is not mounted.
nozzle
3 Reference pin position Positions of the reference and follower pins from the origin.
4 Shape clamp position Position of the edge reference from the origin.
5 MTC shuttle pick position MTC shuttle pick position
6 MTS position offset MTS first mark position
MTS second mark position
Height Offset
7 Component scrap position The position where IC components are discarded.
The position where chip components are discarded.
8 IC conveyor belt position The position where the IC collection belt is installed.
The position on the IC collection belt where the components are discarded.
9 Head wait position Position on which the head pauses to protect a component
10 Device enable If a device unit such as a head and an MTC cannot be used, for example,
because the device unit malfunctions, set this device unit on this setup
menu so that it is not to be used by the system (that is, the corresponding
“Use” button is not pushed).
− If you do not push the “Use” button, the system finishes PWB
production normally even though the malfunctioning device unit is
necessary to produce the current PWB.
11 Online connection Defines whether the machine is connected to the HLC (option) or the IS
(option).
It is required to set an IP address on the machine to connect with the HLC
or the IS.
12 PWB conveyor Sets delay for PWB conveyor sensor (the delay of the PWB conveyor
sensor for a cut out board or punch hole board), (units of delay (time [ms]
or length [mm]), whether to perform the automatic PWB width adjustment
function, back-up table board lower limit, acceleration, and stroke.
13 Signal light Sets the signal light pattern for each operation phase.
14 Bad mark sensor teaching Obtains the bad mark ON/OFF reference value.
15 Coplanarity Number of times of retries
Reference plane
16 VCS Cleanliness Check Sets the level for detecting that the VCS is stained.

4 − 48
Operation manual Ⅱ

17 Check CAL Block Sets whether to check that the CAL block mark is stained or not.
Cleanliness Sets the level for detecting that the CAL block mark is stained.
18 Laser Unit Interference Setting for “Tolerance” of the Laser Unit Interference Check
Check Pick Position Detection Settings Use/Not Use and Acceptance Limit
Stick Changer Settings Use/Not Use
Inspection Position Offset/Minimum Sample Size/Inspection Range
19 Setting a PWB 2D Code Sets a PWB 2D Code Position on a PWB used in IS-related function (On
Position demand).
Recognition position
2D Code Type
2D Code State
Angular lighting adjustment value
Vertical lighting adjustment value
Recognition method
Maximum value/Minimum value in camera gain
20 Setting a PWB Barcode Sets to use PWB Barcode Recognition for Placement Monitor.
Recognition for Placement
Monitor

21 Network Settings of the Network Setup of a PC for the Placement Monitor


Placement Monitor

4 − 49
Operation manual Ⅱ

4.4.2 Starting Up or Exiting the Machine Setup Utility

4.4.2.1 Starting up the Machine setup utility


When you select the [Setup]/[Machine Setup] commands from the menu bar or when
you select the <Machine Setup> command button on the touch panel, the following
“Machine setup” initial screen appears.
The touch panel is located on the left side of the “Machine setup” initial screen. The
functions that are frequently used among the “Setting Group” functions are registered
on the touch panel. When you select one of them, the system invokes the
corresponding function. The “Machine setup” initial screen shows the configuration
diagram. When you click a button displayed on this screen, the corresponding setup
screen starts up.

To change the setting of each item, select the [Setting Group] command on the menu
bar.

4 − 50
Operation manual Ⅱ

4.4.2.2 Exiting the Machine setup utility


When you select the [File] command, then [Exit] command from the menu bar or
select the [Exit] button from the touch panel, the system exits the Machine Setup
utility.
The following message appears on the screen. When you click the <Yes> button,
the changed values are saved.

No. Button Action


1 Yes Saves the setting data onto the hard disk.
2 No Cancels the setting data.
3 Cancel Cancels the Exiting Application command.

When you click the <Yes> or <No> button, the following message box appears on the
screen.

When you click the <Yes> button on the message box above, the system quits the
Machine setup utility after setting the I/O safety direction, that is, after returning a
nozzle onto the ATC if it is mounted on the head.

When you click the <Yes> button, the axes move, and the system
starts setting each I/O safety direction.
Before clicking the <Yes> button, be sure to check to see if there is no
CAUTION one who operates the inside of the machine. To avoid a risk of injury,
do not put your hands inside the machine nor move your face or head
close the machine while the machine is operating.

4 − 51
Operation manual Ⅱ

4.4.3 File

4.4.3.1 Registered nzl. no table


This command displays the list of the nozzles already registered and their information
on the screen.
When you select the [Registered nzl. no table] command from the [File] menu, the
“Registered nozzle no. table” dialog box appears on the screen.
Nozzle Information Window

Never change the settings


displayed here.
If you happen to change one
of them, the system may not
place a component on a
CAUTION board normally. If you have
to change any setting,
contact our technical person.

Figure 4.1“Registered nozzle no. table” dialog box

The list box displayed on the left side lists the nozzle numbers registered currently.
As the initial setting, only the numbers of the standard nozzles (500 to 509) are
displayed here.
The list box on the right side displays the data on the nozzle you select from the left
list box.
4.4.3.2 Read Nzl. data (read nozzle data)
This command adds and registers the information on the customized nozzle to the
system. Select this command if you use any customized nozzle.
Normally, information on an additional nozzle is provided by a floppy disk.
The file format of the additional nozzle information is “NZL***.ini”, that is an “ini” file,
where *** indicates the nozzle number.

When you select the [File]/[Read Nzl. data] commands form the menu bar, the “Nzl.
data file open” dialog box appears on the screen.

Select the desired file, and click the <Open> button. The specified data is registered
to the system.

You can check the nozzle number(s) you added on the “Registered nozzle no. table”
dialog box.

4 − 52
Operation manual Ⅱ

4.4.4 Setting Group


When you select the [Setting Group] command from the menu bar, the following items
appear on the displayed menu.

4.4.4.1 ATC nozzle setup


This menu command allows you to set information on each nozzle mounted on the
ATC.
When you select this [ATC nozzle setup] menu command, the following “ATC nozzle
setup” screen appears.
The system automatically sets the “Noz. No.” (Nozzle number), “Noz. Type” (Nozzle
type), “Vacuum”, “Noz. height” (Nozzle height) , "Reflection", "D cut recognition" and
"End recognition" fields.
However, you can enter a value into the “Noz. No.” field with a keyboard.
* "D cut recognition" or "End recognition" is a function to check the mounting posture
of the nozzle in order to prevent a component pick error and can be set in the
KE-2080 only.

“ATC nozzle setup” screen

4 − 53
Operation manual Ⅱ

1) How to set a nozzle


The reference head on which a nozzle is mounted measures the height of the
nozzle tip (measured value is to be entered into the “Noz. height” field) and nozzle
width (to be entered into the “Noz. No.) with laser. In addition, the reference head
obtains the vacuum value also.

1) Setting up each nozzle one by one: Click the desired nozzle number, and
press the [F3] key.

When you press the [DELETE] key or [BACK SPACE] key, the selected nozzle number
is deleted.

2) Setting up nozzles by one operation: The system automatically sets up all


ATC numbers or two or more selected ATC numbers by one operation.

<Procedure>
(1) Click the <AUTO> button.
(2) The following screen appears.
Select the ATC number to be set, and click the <OK> button.
To select all ATC numbers, click the <ALL SELECT> button, and then
<OK> button.

When you press the [F3] key or click the <OK> button, the axes move and
the system starts mounting or removing a nozzle. Before pressing the
[F3] key or clicking the <OK> button, be sure to check to see if there is no
CAUTION one who operates the inside of the machine. To avoid a risk of injury, do
not put your hands inside the machine nor move your face or head close
the machine while the machine is operating
.
① To interrupt this automatic setup, press the <STOP> switch.
② If the nozzle number entered before this automatic setup is different from the number
obtained with the automatic setup, the system selects the latter.
③To assign a customized nozzle to the ATC, be sure to enter the correct nozzle number
for the customized nozzle after automatic setup.

4 − 54
Operation manual Ⅱ

3) Check of attachment with the IC head


When you check the [Check with the IC head the condition of the nozzle attachment
on the head] button, the machine performs measurement with the IC head after
normal measurement to check to see if the IC head can recognize a component. (If
the nozzle to be measured is a standard nozzle, the machine will not perform this
operation.) (This item can be selected in the KE-2080 only.)
If you set a nozzle that cannot be used with the IC head, the machine displays the
error message shown in Figure 4.2

Figure 4.2 Screen for notifying that the corresponding nozzle cannot be used

2) Setting items

1) Noz. No. (Nozzle No.)


Set the nozzle number to be assigned to the ATC.

2) Type (Nozzle Type)


Set the type of a nozzle to be assigned to the ATC.
Table4 .2 Available nozzle types

No. Setting item Description


1 normal Normal nozzle
2 T-type Cleaner type nozzle
3 Gripper Gripper nozzle

3) Vacuum
Set the vacuum value when a nozzle is mounted on the reference head (L1).
This value is used to judge whether a nozzle is mounted or whether a
component is located on the regulated position by combining with the value set
with the [Vacuum value without nozzle] menu command described under 4.4.4.2
“Vacuum value without nozzle.” Note that the value set here is just auxiliary
information since the system uses laser to check whether a nozzle is mounted
or not or whether a component is located at the regulated position.

4) Noz. Height (Nozzle height)


Set the offset value of the length with viewed from the reference nozzle.
This value is used to make fine adjustments for controlling the height when the
system measures a component with laser.

4 − 55
Operation manual Ⅱ

5) Load Cell (optional)


Checks the load cell condition of a mounted nozzle.
Load nozzle check use standard nozzle numbers 500~509 and load controlling
nozzles use 600’s.
The input range of the load of load control nozzles can all be corrected or
checked automatically by simulation.
The following table shows load check threshold in the load nozzle check.

No. Nozzle No. Push Distance (mm) Check Threshold (%)


1 500 to 505,509 1500 Theoretical value ±40
2 506,507,508C 1500 Theoretical value ±40
3 601,602,603 33000 Theoretical value ±40

6) Reflection
The state of the reflection of the nozzle point is recognized with VCS, and it is
checked whether it is a nozzle that can be used with MNVC as antidazzle nozzle.
(Only when MNVC is used.)

No. Setting item Description


1 OK Antidazzle nozzle can be used.
2 NG Antidazzle nozzle cannot be used nor intended.
3 *** Antidazzle nozzle is not recognized.

The recognition result is displayed as “NG” when failing in the reflection


recognition.
① As usual, it is possible to produce with the nozzle that fails in the reflection
recognition.
② The nozzle that fails in the reflection recognition cannot be used as antidazzle
nozzle, and when you want to use it as antidazzle nozzle, coat its tip with black
paint and assign it again.
③ If it fails in reflection recognition even when “ ” is executed, exchange nozzle
and assign it again.
④ The nozzle with unstable recognition result exists when being assigned
repeatedly. Execute or as such a nozzle has the possibility that the
recognition result with MNVC becomes unstable when it is used as antidazzle
nozzle.

4 − 56
Operation manual Ⅱ

7) D cut recognition
The VCS performs vision recognition for the D cut part of the nozzle and sets a
recognizable or non-recognizable status. (available with a KE-2080 only)
No Setting item Contents of setting
1 OK The D cut can be recognized.
2 NG The D cut cannot be recognized.
3 *** D-cut recognition is not performed.
4 - The nozzle is one to which D cut recognition is not applicable.

8) End recognition
The VCS performs nozzle end recognition and sets a recognizable or
non-recognizable status. (available with a KE-2080 only)
No Setting item Contents of setting
1 OK The end can be recognized.
2 NG The end cannot be recognized.
3 *** End recognition is not performed.
4 - The nozzle is one to which end recognition is not applicable.

D cut recognition or end recognition may fail when the target nozzle has any flaw,
crack, dirt, or other defect.
Because the above recognition is also affected by nozzle shape, the recognition of
the following nozzles may result in a failure.
<D cut recognition>
- Nozzle that is provided with a set screw.
<End recognition>
- Nozzle having a black portion or metal portion on itself or its periphery, and
nozzle whose end and periphery are hard to distinguish at vision recognition.
- T-shape nozzle with a very small width.

When D cut recognition or end recognition has failed, the following recognition error
notice is displayed and the recognition result is displayed as "NG."

(1) The nozzle that failed in D cut recognition or end recognition can be used for
production.
(2) For the nozzle that failed in D cut recognition or end recognition, the angle of the
IC head cannot be corrected during production. To perform angle correction,
wipe off the dirt on the target part and assign it once again.
(3) If recognition fails after execution of (2), replace the nozzle and assign it once
again.
(4) When assignment is performed repeatedly, the nozzle may become unstable
about the recognition result. The angle of this nozzle may not be corrected
during production. Accordingly, execute (2) or (3).

4 − 57
Operation manual Ⅱ

4.4.4.2 Vacuum value without nozzle


This menu command allows you to set vacuum value of each head on which no
nozzle is mounted.
This setting causes the system to check a deviation of the vacuum values of heads.
If the value changes greatly by comparing with the value set at delivery of the
machine (by about 10 %), check and clean each head.

When you select the [Vacuum value without nozzle] command, the following “Vacuum
value without nozzle” dialog box appears on the screen.

【Screen example: KE-2080】

Select a head, and click the <Exec> button. The system automatically obtains its
vacuum value.

After setting the vacuum value, click the <OK> button. The set value is validated.

When you click the <Exec> button, the axes move and the nozzle is
attached or removed. Before clicking the <Exec> button, be sure to
check to see if there is no one who operate the inside of the machine.
WARNING To avoid a risk of injury, do not place your hand in the machine, nor
move your face or head close to the machine.

4 − 58
Operation manual Ⅱ

4.4.4.3 Reference pin position


This command allows you to set the center of the reference pin and the center of the
follower pin viewed from the home position of the machine respectively.
When the reference pin is used, the position of the reference pin set here becomes
the reference position of the program. The value in the “Ref. Pin adjust” field is
automatically obtained based on the relation between the reference pin position and
the follower pin position.
When you select the [Reference pin position] menu command, the following
“Reference pin position” setting dialog box appears on the screen.

1) Setting items
No. Item Description
1 Reference pin (X, Y) Center position of the reference pin viewed from the home position of the machine
2 Follower pin (X, Y) Center position of the follower pin viewed from the home position of the machine
3 Ref. pin adjust Board tilting angle calculated based on the positions of the reference pin and the
follower pin (for automatic operation only)

2) How to set
− Use the HOD to teach and enter the coordinates. In this case, both the X and
Y values are entered at the same time if the input focus is located in either the
“X” or “Y” field.
− You can enter X and Y coordinate values directly from the keyboard also.

The focus of a camera is on the top side of a board, and you cannot change it.
When you teach the reference pin/follower pin position(s) and input them, raise the
support plate and align the pin to the top side of the board.

<How to raise the support plate>


Click the mouse right button, and click the [Support plate]
menu command, and then the [On] command on the
displayed list.

To avoid a risk of injury, do not place your hand in the machine, nor move
CAUTION your face or head close to the machine during operation of the HOD.

4 − 59
Operation manual Ⅱ

4.4.4.4 Shape clamp position


This command allows you to set the corner position on the reference side of a board
with viewed from the home position of the machine.

1) Setting items
No. Item Description
1 X Stopper pin position
2 Y Reference board transport rail position

2) How to set
Use the HOD to teach each position to enter it. In this case, the system teaches
the X and Y coordinates separately.
When the input focus is located in the “X” field, the value is entered to the “X” field
only. When the input focus is located in the “Y” field, the value is entered to the
“Y” field only. You can enter each value with a keyboard directly also.
Example: Front reference, L → R
To teach the value, turn on the stopper before teaching it.

Stopper
PWB transport rails

Y (Reference rail position)

The system teaches this position.


X (stopper pin)

* Adjust the stopper position for the machines with the E or L-wide specifications.
Using “Shape clamp position” of the machine setup, adjust the rail support so that the
top end position of the stopper or the PWB outer shape position in the X-direction
becomes as follows:
Left → Right transport 714.5 mm ±0.5 mm
Right → Left transport 204.5 mm ±0.5 mm

Normally, when you point either the “X” or “Y” field with the cursor and teach it, the taught
values are entered to both of these fields. However, the setting on this screen is an
exception. The taught value is entered to the field in which the input focus is located
only (for example only the “X” field). Teach the X and Y coordinates separately.

To avoid a risk of injury, do not place your hand in the machine,


CAUTION nor move your face or head close to the machine during
operation of the HOD.

4 − 60
Operation manual Ⅱ

4.4.4.5 MTC shuttle pick position


This command allows you to set the pick-up position (X, Y) of a component supplied by an
MTC.
The system uses a camera to teach the X and Y coordinates. To teach the Z coordinate,
the system mounts a No. 508C nozzle on the right head to teach the height at which the
bottom side of the nozzle is in contact with the top side of the pick-up pad of the shuttle
located on the MTC.
When you select the [MTC shuttle pick position] command, the following “MTC shuttle pick
position” setting dialog box appears on the screen.

【Screen example: TR6D】

1) Setting items
① XY: The system teaches the center ② Z: The system aligns a No. 508C nozzle with
of the pad. the top side of the pad, and teaches the
position 0.5 mm lower than the top side.

MTC

KE-2070/2080 main unit


Top side of the pad Z
<Top view> Shuttle 0.5mm
Pad

4 − 61
Operation manual Ⅱ

2) How to set
Perform teaching operation or use a keyboard to set the MTC shuttle pickup position.
When the input focus is located in the “X” or “Y” field, the X and Y coordinates are
taught. When it is located in the “Z” field, the Z coordinate is taught.
To teach the Z coordinate, you have to check it with your eyes actually. All Z values
in the “Large,” “Small” and “Clamp” fields are taught at the same time when the
system teaches one of them.

When you select the device key of the HOD, the following message appears on the
screen. When you click the <Yes> button, the shuttle of the MTC is pulled out.
Perform the teaching operation.

To avoid a risk of injury, do not place your hand in the machine, nor
CAUTION move your face or head close to the machine while you are operating
the HOD.

4 − 62
Operation manual Ⅱ

4.4.4.6 MTS position offset


Set the offset value and the height of the tray base surface when the first mark and
second mark positions of the MTS are out of the corresponding design values.
When you select the [MTS position offset] command, the following “MTS position
offset” setting dialog box appears on the screen.

1) Setting item
① Mark position correction
The mark position correcting function obtains an offset in the horizontal
direction (X, Y) to the design origin of the MTS band mark and corrects the pick
coordinates of the production program at component pick operation.

② Height correction
The height correcting function obtains an average height among the heights of
arbitrary 3 points above the tray base surface and corrects the pick height of
the production program at component pick operation.

4 − 63
Operation manual Ⅱ

2) How to set
① Mark position correction
Perform teaching for the first mark and second mark of the MTS bank marks.
Select a mark item for setting an offset value and press the device key ("HMS"
or "CAMERA") of the HOD. Then, the MTS bank marks are drawn out and
teaching is started. Check and adjust the position so that the teaching
position may be the center of the bank mark.
After completion of teaching, the X/Y offset value to the bank mark position on
the design is automatically input.
② Height correction
(1) Setting the measuring coordinates (absolute coordinates)
Set the coordinates (X, Y) of 3 measuring positions on the tray base surface by
teaching or keyboard input operation.
Select the [Measuring position] item on the tray base surface and press the
HOD device key ("HMS") of the HOD. Then, the MTS bank marks are drawn
out and teaching is started. Check and adjust the measuring potion according
to the operating method for the selected device.
After completion of teaching, the X/Y coordinates of the measuring position are
automatically input.
* For the purpose of obtaining an average height value of the tray base surface,
set the coordinates making an enough X/Y space between measuring positions
and avoiding projections (tray holder, tray put on the tray base, etc.) and
punched parts (tray holder mounting hole, etc.) on the tray base.
(2) Setting the height correction value
Set the height correction value by teaching from the [Auto acquisition] button or
an input operation from the keyboard.
When you select the <AUTO> button displayed below the “Height Offset” field,
the following message appears on the screen.

When you select the <Yes> button, Height measurement for measuring
positions 1 to 3 is executed, the system obtains the height offset value (offset
value from the MTS height, 9 mm).

Note: The range of an offset value that the HMS can obtain is from – 10 mm to
10 mm. If the measured value is not within this range, the system
displays the error and cannot obtain the height offset value automatically.

To avoid a risk of injury, do not place your hand in the machine, nor
CAUTION move your face or head close to the machine while you are operating
the HOD.

4 − 64
Operation manual Ⅱ

4.4.4.7 Component reject position


This command allows you to specify where to discard a component that causes a
recognition error for each head and component type.
When you select the [Component reject position] command, the following
“Component reject position” setting dialog box appears on the screen.

【Screen example: KE-2080】

1) Setting items
No. Item Description
1 Medium component (X, Y, Z) Discard position of a component other than small components and large
components (connectors)
2 Small component (X, Y, Z) Discard position of a small component (the length of its longer side is 15 mm
or less)
3 Large connectors (X, Y, Z) Discard position of a large component (connector) (the length of its longer
side exceeds 50 mm)

2) How to set
Perform teaching operation or use a keyboard to specify where to discard a
component.
When the input focus is located in the “X” or “Y” field, the system teaches both the
X and Y coordinates. When the input focus is located in the “Z” field, the system
teaches the Z coordinate.
To teach small-sized component position of the left head (LNC60), teach the right
side (approximately 7 mm from the center) viewed from the center.
When the system teaches the center of such a component, the L6 head cannot
move and an error occurs.
For the KE-2080R, perform teaching for the dedicated trash box at the small-sized
component position of the right head.
3) When the large component scrap box option is provided
The display of the large component scrap position (X, Y, Z) for the above right
head is automatically changed according to the use/no use of "large component
scrap box" in the setting of optional unit to be used in the setup data.
In the large component scrap box, components whose short side exceeds 33.5
mm or whose long side exceeds 50 mm and which cannot be put in the standard
scrap box are thrown away.

4 − 65
Operation manual Ⅱ

− To avoid a risk of injury, do not place your hand in the machine,


nor move your face or head close to the machine during operation
of the HOD.
− You cannot set the right head onto the small component
discarding position.
CAUTION − Perform production by setting "Large component scrap box" to No
use in the setting of optional unit to be used in the setup data in
order to avert a danger of movement of the rear side at
component scrapping in the non-stop mode. When "Large
component scrap box" is set to Use in the non-stop mode, the
components to be scrapped into the large component scrap box
are regarded as protected components at production.

4.4.4.8 IC Collection Belt position


This command allows you to set the IC collection belt mounting position and the
component discarding position on the IC collection belt (center of the sensor on the
front side of the IC collection belt).
When you select the [IC Collection Belt position] command, the following “IC
Collection Belt position” setting dialog box appears on the screen.

1) Setting items
Specify the “Installation Position” and “Component reject position” fields.
1 2 3………………………………… ……65 66 67 ……………

Installation position Feeder bank

Component reject Sensor


(discarding) position

IC collection belt

4 − 66
Operation manual Ⅱ

2) How to set
① Installation Position
Click the <POS.SETUP> button. The following “Installed Position” setting
dialog box appears on the screen.
Select the <Front> or <Rear> push button, and enter the number of the feeder
bank on which the IC collection belt is set with a keyboard. After entering the
number, click the <OK> button.

② Component reject position


Perform teaching operation or use a keyboard to specify where to discard a
component.
When the input focus is located in the “X” or “Y” field, the system teaches both
the X and Y coordinates. When the input focus is located in the “Z” field, the
system teaches the Z coordinate.

− To avoid a risk of injury, do not place your hand in the machine,


nor move your face or head close to the machine during operation
of the HOD.
CAUTION
− The allowable input range of the feeder hole number is the range
over which the right head can move.

4 − 67
Operation manual Ⅱ

4.4.4.9 Head Wait Position


If an error occurs when you specify “Protect” in the “Component reject to” field on the
“Add info” tab of the “Component” data screen, the head moves to the head wait
position specified on this dialog box, and it allows you to remove a component from
the head.
When you select the [Head Wait Position] command, the following “Head Wait
Position” setting dialog box appears on the screen.

1) Setting items
Set the position at which the head waits for a while to protect a component.

2) How to set
Perform teaching operation or use a keyboard to specify where to discard a
component.
When the input focus is located in the “X” or “Y” field, the system teaches both the
X and Y coordinates. When the input focus is located in the “Z” field, the system
teaches the Z coordinate.

To avoid a risk of injury, do not place your hand in the machine, nor
CAUTION move your face or head close to the machine during operation of the
HOD.

4 − 68
Operation manual Ⅱ

4.4.4.10 Device enable


This command allows you to specify the function you are to use among the functions
available as the standard or optional unit

【Screen example when a KE-2080 is used】

When you click the corresponding tab, the "Std Device enable", "Option Device
enable", "Function Device enable", "VCS Device enable" or "MTC/MTS Device
enable" dialog box appears.

1) Std Device enable


Specify whether each unit is to be used or unused.
If a system unit malfunctions that unit may be defined as “a unit not used” using
this menu item. This allows the pick-and-place sequence to be executed without
modifying the production program data.
When the corresponding “Use” push button is pushed, the unit is to be used.
When it is not pushed, the unit is not to be used.
− If you want to change the setting of the unit from the “Unused” status to
“Used” status, return the head to its home position. Follow the instruction
displayed on the screen to return the head to its home position.
− If you want to change the setting of the unit from the “Used” status to
“Unused” status, the system automatically raises the head and turns off the
servo. If the servo of all heads is turned off, you have to raise heads that
are not to be used to the dead end manually, and return them to their home
positions respectively. In this case, be sure to follow the instructions
displayed on the screen.
- When you do not use the head and its shaft (Z-shaft), fix the shaft with the
fixing bracket as shown in the figure below.

4 − 69
Operation manual Ⅱ

Fixing bracket

When the shaft that is not to


be used is fixed

- Check to see if the Z-shaft is already moved up to the limit, and then attach the fixing bracket on the
tap of the Z-motor.
- Check to see if the belt of the Z-shaft is fixed with the rubber damper of the fixing bracket.

To avoid any accident caused by sudden activation of the


CAUTION machine, turn off the power.

2) Option Device Enable


When you select the tab “Option Device enable,” the “Option Device enable”
setting dialog box appears on the screen as shown in Figure 4.29.

Specify whether each unit is to be used or unused.


When you specify a malfunctioning unit as “a unit not used” on this dialog box,
you can allow the machine to pick up and place a component without modifying
the production program data.

4 − 70
Operation manual Ⅱ

3) Function Device enable


This tab allows you to specify whether to use software.
When you click the “Function Device enable” tab, the following “Function Device
enable” setting dialog box appears on the screen.
− When "MTS" is selected on the "MTC/MTS Device enable" dialog box, the
menu items are changed to "Bank Mark Recognition [Rear (MTS)] ."

<Operation when set to “Unused”>


No. Unit Production operation
1 Stop immediately when FFD If the sensor detects a feeder float error while the XY-axes are moving, the XY-axes
interrupted. move at low speed.
When the sensor detects feeder floating before the XY axes moves, the system asks the
operator whether to retry the sensor detection operation.
When you select the <Retry> button, the system checks the sensor again. When you
select the <CANCEL> button, the system terminates the current production.
2 SOT inspect unit You cannot specify the SOT direction check on the tracking menu.
3 Rear bank master (NSO) The master bank should be located on the front side of the main unit.
This operation does not depend on the PWB transfer reference side.
The system operates according to the setting of the “Alternate” push button on the
“Function enable” tab invoked from the “Operation option” menu.
4 Bank Mark Recognition The system performs PWB production without recognizing a bank mark.
5 VCS recognition retry Even though a recognition error occurs due to the difference of gloss of lead components
whose lot number is different from each other while the VCS is recognizing a lead
component, the system will not retry to recognize it.
6 When booting restore the The system does not save the information of the loaded production program when you
last data quit the desktop screen.
7 Batch knock function The system does not perform the following batch knock operations.

When the machine finishes Batch knock of components supplied with all of
transporting a PWB the used feeders
During PWB production in Non-Stop Batch knock of all components on one bank
mode
When a feeder bank is changed due Batch knock of only a switched feeder on which
to a component-run-out error or so components not placed on a board remain
8 MNVC The system does not recognize a component with an MNVC. The Optimization utility
does not assign a component that is to be recognized with a VCS to the L head
(For the KE-2080, high-resolution camera recognition components with a short side of
less than 3 mm are excluded.)

4 − 71
Operation manual Ⅱ

<Description of the menu item “Optimization function”>

No. Unit Production operation


1 Disable feeder optimization. When the Optimization function is executed, the setting of the
“Pick Data” menu item on the “Assignments” tab invoked from
the “Optimization” menu is fixed to “Auto assign all data.” (See
Section 2.4.1.2.1.)
2 Disable nozzle optimization When the Optimization function is executed, the setting of the
“Nozzle” menu item on the “Assignments” tab invoked from the
“Optimization” menu is fixed to “Use machine setup nozzles.”
(See Section 2.4.1.2.1.)

<Description of the <Parallel Pick Priority>/<Pick Position Priority> button function>

No. Unit Production operation


1 Parallel Pick Priority The system enters Standard Production mode, and operates in
the certain range that allows the system to pick up components
simultaneously.
2 Pick Position Priority The area in which the system can pick up components
simultaneously varies depending on the size of a component.
This area becomes smaller when the system picks up an
extremely small component, and the system picks it up near the
pick-up coordinates specified in Pick data. If the system picks
up a component even though a nozzle protrudes from the mold
section, a pick-up error occurs. It prevents a nozzle from
becoming in contact with the adjacent components when the
system places components.

4 − 72
Operation manual Ⅱ

4) Device enable (function 2)


Select the [Device enable (function 2)] tab to display the Device enable (function
2) screen shown in the following figure appears.
(This item can be set in the KE-2080 only. In the KE-2070/2070C/2080R, the
[Used unit (function 2)] tab is not available.

<Operations when the Device enable (function 2) tab is enabled.>


No Unit Production operation
1 D cut recognition enable A D cut recognition operation is performed by VCS at production
and angle correction is performed.
2 D cut detection of the IC head is A D cut recognition operation is performed by the IC head at the
executed at the PWB transport PWB transport timing before a nozzle return at a PWB change.
timing.

4 − 73
Operation manual Ⅱ

5) VCS Device enable


When you select the [VCS Device enable] tab, the “VCS Device enable" setting
dialog box appears on the screen.

If a VCS unit malfunctions, disable it on this dialog box. It allows the machine
to pick up/place components on a board without modifying the production
program being used.
− The system skips a component that is to be recognized with a VCS without
placing it on a board.

4 − 74
Operation manual Ⅱ

6) MTC/MTS Device enable


Specify whether to enable the MTC/MTS or DTS.
When you select the "MTC/MTS Device enable" tab, the "MTC/MTS Device
enable setting" dialog box appears on the screen as shown in the figure below.

− Tray Pick Sequence:


You can select any of eight
possible settings.

Feeder unit: MTC, MTS, DTS or tray holder

* Start position: Specify one


of four corners as the pick-up
start position.

* Pick direction: Select


either “X dir.” (X direction) or
“Y dir.” (Y direction: PWB Transport
standard setting).

1) Setting items
No. Item Description
1 MTC/MTS/DTS Enables or disables an MTC/MTS/DTS unit.
Set the type of MTS, MTS or DTS to be used here.
2 Tray Pick Sequence Set the order in which tray components are picked up
sets the direction in which components are to be picked up per
available unit.
3 Tray draw speed: Set the tray drawing speed.
Low Speed 2 This menu item allows you to select “Low Speed 2” in the “MTC/MTS”
speed setting field of the “Component Data” screen.

4 − 75
Operation manual Ⅱ

7) Special Function enable


The options in the Special Function enable are convenient if matching to your
environments.
Disable the options that are not suitable for your operations.
The Special Function enable options are disabled by default.

1) Setting items
No. Option Description
1 BOC auto correlation. BOC recognition is apt to fail if the marks are oxidized. When the
system fails to recognize the marks, this feature automatically
lower the threshold to the lower limit specified in Machine Setup
to continue the recognition.
Input a threshold value in the Limit edit box. Input range:
600-900
™ If the threshold value is set low, even a mark of which shape
is different may be recognized as “OK”.
2 Function to disregard Stop PWB transportation during dry run.
transportation at Dry run.
3 It does not knock about pick If the No. of retry is set to zero, the system feeds the tape only
error. after it recognizes the presence of a component and successfully
picks up the component.
4 Nullification of input of Step The feature to disable the input into the Start/End step No.
No. of Production mode. boxes in Production Conditions screen (PWB production and Dry
run). Changing the No. of placements by editing placement data
will update the end line automatically.
5 When the cover opens, The feature to disable the switches on the operator’s panel when
OpKey is invalidated. a cover open is detected or the area sensor is activated.

4 − 76
Operation manual Ⅱ

4.4.4.11 Online connection


This command allows you to specify the conditions for using the HLC or the IS.
A screen appears as shown in Figure “Online connection" setting dialog box when
[Online connection] is selected from the [Setting Group] menu.

1) Setting items and their descriptions

No. Item Description


1 Online connection To connect the machine with the HLC (option) or the IS (option), push the
<Connected> button.
When the <Not connected> is pushed, all other setting items are disabled.
2 Connection Configuration When you use the online connection, specify the HLC (option) or the IS (option).
When the <HLC> is specified, other setting items than the HLC are disabled.
3 Connection Settings When you use the <IS> as the connection configuration, enter the IS server name
and the port number to communicate with the IS.
The system obtains the information, such as component data and the machine
settings, from the server specified on this dialog.
™ The IP address should be set as your network manager instructs.
4 Intelli SCS When you use the Intelli SCS (option), push this button.
5 Traceability When you use the traceability function (option), push this button.
This function is available only when the “Intelli SCS” is used.
6 On-demand production When you run the on-demand production, push this button.
7 PWB Code Type Specify the code type applied on the PWBs. 1D barcode or 2D code.
The code type buttons are available only when the “Traceability” or the
“On-demand production” is used.
* After changing the IS settings on the online connection, shut down the mounter once,
and restart it.
* Each field of the IP address can be any number from “0” to “255.” Two or more
stations cannot have the same IP address. You cannot set 0 to all fields either.
* For detailed information for the items No.3 to No.7 that are the settings on the server,
refer to “IS Instruction Manual”.

4 − 77
Operation manual Ⅱ

4.4.4.12 PWB conveyor


Specify the conditions for transporting a PWB here.
A screen appears as shown in Figure 4.38 “PWB conveyor" setting dialog box when
the [PWB conveyor] command is selected from the [Setting Group] menu.

Figure 4.38 "PWB conveyor" setting dialog box


No. Item Description
Select of delay Select whether to set the delay time of each sensor to the same value or not. When you
time select either of these push buttons, it is pushed on the screen.
− STD: The delay time of each sensor is set to the same value.
1 − Option: The system allows you to set the delay time of each sensor independently.
When you select the <STD> push button, the system allows you to set the “STD” field
described below. When you select the <Option> push button, the system allows you to
set “Option” field described below.
Unit of delay Selects the unit used for the delay time of the sensor(s) specified on the “PWB conveyor”
2
tab,"time" (ms: 1/1000 second) or "length" (mm).
Sensor delay When you select the <STD> push button in the “Select When you select the <time>
3 of delay time” field, select the delay time or delay length button in the “Unit of delay”
here. field, you can set a value from 0
Option When you select the <Option> button in the “Select of to 2500 ms. When you select
delay time” field, set the delay time or delay length of the <length> button, you can
4 set a value from 0 to 1000 mm.
each sensor here: IN sensor, WAIT sensor, STOP
sensor, C.OUT sensor and OUT sensor.
Ready In delay The system checks the condition of the ReadyIn signal after the time you set in this
time “Ready In delay time” field passes if it detects that the OUT sensor is turned on while it is
PWB
5 ejecting a PWB to the post process. If the ReadyIn signal is set to “ON,” the system
conveyor
starts ejecting a PWB to the post process. The allowable value range in this field is from
1 to 300 seconds.
Sensor delay Specify the delay time for re-clamping a board (after turning it up side down) without
when removing it when the system restarts the paused production after the production finished
6 re-clamping abnormally due to an error such as a feeder float error.
You can set any value from 0 to 5000 ms or 0 to 200 mm.
Hold Wait When a notch of a board stops over the WAIT sensor, the detection status of the WAIT
Sensor Active sensor is cleared and the system judges that there is no board although a board exists.
7 until PWB When you enable this “Hold Wait Sensor Active until PWB Moves out.” function, the
Moves out. system memorizes the ON status of the WAIT sensor and ensures that a board is
transferred normally even after the WAIT sensor turns off.
Stop loading When the system produces the last PWB, it does not load any PWB and stops
8 PWB until last transmitting the Ready_OUT signal.
PWB is ejected.
Conveyor Set the conveyor speed for moving from the IN sensor to the OUT sensor in the
Motor Speed “Transferring PWB” column. Set the conveyor speed for moving from the OUT sensor to
9
the prost-processing device in the “Next Process” column. You can select one among
“Fast,” “Mid.” (Middle) and “Slow.”

4 − 78
Operation manual Ⅱ

Default back Sets the lower limit for moving down a board on a support table.
10
height (Unit: mm)
Down Select the speed of the support table among four: “Fast,” “Mid.” (Middle), “Slow” and
Acceleration “Slow 2.” (Default: “Fast”)
11 Support If a component can be moved easily because adhesiveness of solder paste lowers or for
Table any other reason, select “Mid.” or “Slow” or “Slow2.”
Support Table Enter the offset value to be applied when the support table moves up (allowable input
Overstroke range: 0 to 5 mm).
12
The support pin pushes a board upwards by the value you entered here.
(Default: 0.5 mm)

4.4.4.13 Signal light


This command allows you to set the lighting condition of the signal tower on each
screen or during each machine operation.
A “Signal light" setting dialog box below appears when the [Signal light] command is
selected on the [Setting Group] menu.

1) Setting items
No. Item Description
1 System Setting/User Setting – System Setting: The settings unique to the machine are to be
displayed. With this, you can check the patterns set according to
the system initial values. (You cannot change these settings.)
– User Setting: This push button allows you to change the signal
light pattern.
2 Signal light pattern Sets the light pattern of the signal light in each operation phase.
(Red, Yellow, Green)
3 Signal light pattern (Buzzer) * Sets ON/OFF of the buzzer in each operation phase.
4 Restore Default Copies the system setting data to the user setting data.

Note: When a buzzer sounds, press any of the switches located on the front panel.
For example, when you press the <START> or <STOP> switch, the buzzer
stops. The switch pressed to stop the buzzer functions to stop the buzzer
only, and not perform its original function.

2) How to set
First, select the operation (phase) to be set from the list displayed on the left
side, and select the signal pattern (push the corresponding push button).

4 − 79
Operation manual Ⅱ

4.4.4.14 Bad mark sensor threshold


If the color of a bad mark cannot be distinguished from that of a board easily, use
this command to set the sensitiveness of the sensor.
A bad mark should be positioned at a relatively level area on PWB.
When you select the setting item "Bad mark sensor threshold," the "Bad mark
sensor threshold " dialog box appears on the screen as shown in Figure 4.37.
Select the <CAMERA> or <SENSOR> button as the bad mark recognition unit, and
set a value in the “Set OCC Bad Mark Threshold” edit box if you uses an OCC.

When you select the <CAMERA> button,


the “Set OCC Bad Mark Threshold” edit box
is enabled.

When you select the <SENSOR> button,


the “Threshold teaching” field is enabled.

Select a unit for detecting a bad mark.


To recognize a bad mark with the OCC, select the <CAMERA> button. To
recognize it with a bad mark sensor, select the <SENSOR> button. The
displayed setting items are switched according to the unit you select, and the
system teaches each setting item.

① When you select the <CAMERA> button


Use the HOD and perform the teaching operation with a camera to enter a
value or directly enter it from the keyboard respectively.
For the “X” or “Y” position, values are entered to these fields at the same
time regardless of the input focus position.
<Position off Bad Mark> should be a position having good contrast with the
mark.
Move the focus to the “Set OCC Bad Mark Threshold” edit box, and press
the CAMERA key of the HOD to start teaching the position.

4 − 80
Operation manual Ⅱ

② When you select the <SENSOR> button


Use the HOD and perform the teaching operation with a camera to enter a
value or directly enter it from the keyboard respectively.
For the “X” or “Y” position, values are entered to these fields at the same
time regardless of the input focus position.
After entering a value to the “X” and “Y” fields respectively, perform the
automatic threshold teaching operation.

Operations of the system


① Setting of the “OCC bad mark threshold”
When you move the input focus to the “Set OCC Bad Mark Threshold” edit
box, and press the CAMERA key of the HOD, the system starts teaching the
specified position.
After checking the coordinates of a bad mark position, specify the detecting
frame (its left upper and right lower corners).
Change this detecting frame with the direction key of the HOD, and validate
it with the ENTER key.

The detecting frame should be contained within the mark area as shown
below:

Mark Detecting frame

After you validate the detecting frame, the system measures the threshold
value. It alternately recognizes a position a mark is located, and then a
position a mark is not located.

4 − 81
Operation manual Ⅱ

When the system obtains the threshold value after measurement, it


displays the result.

② When you select the <Exec> button in the “Threshold teaching” column
When you click the <Exec> button, the system displays the “Initialize” dialog
box that indicates the bad mark sensor is being initialized on the screen.
Then, the system displays the “Execution” dialog box that indicates the bad
mark sensor is being taught, and automatically performs a teaching operation.

4 − 82
Operation manual Ⅱ

4.4.4.15 Coplanarity
When a coplanarity unit (optional) is attached on the machine, this command allows
you to specify the “Retry count” menu item (that is, how many times the coplanarity
checks are to be performed) and the “Measure plane” menu item (that is how to
create the reference plane). Select the [Coplanarity] command on the "Setting Group"
menu. The "Coplanarity" setting dialog box appears on the screen as shown below:

No. Item Description


1 Retry count Sets the number of a retry operation to be done when a coplanarity error
occurs.
2 Measure plane To judge whether a lead (or ball) floats or not, you have to determine the plane
on which a lead or ball floats with based (reference plane).
To determine the reference plane, recognize all leads and create a virtual plane
based on the recognized leads first. Next, select which method is to be used
for creating this virtual plane, “three-point method” or “least squares method.”
This machine uses the “three-point method” for a lead component, and the
“least squares method” for a ball component according to the JIS regulations.

4 − 83
Operation manual Ⅱ

4.4.4.16 VCS Cleanliness Check


This command checks that the cover glass of the VCS is stained or not.

The initial value is set in each value-setting field. Click the <INSPECT> button
without changing these initial values.
The “VCS Cleanliness Check” execution dialog box starts up. When you click the
<Inspection> button, the system checks that the cover glass of the VCS is stained or
not.
The check result appears in the “Status” field of this dialog box. If this field shows
any stain, check and clean the cover glass of the VCS.

4 − 84
Operation manual Ⅱ

4.4.4.17 Check CAL Block Cleanliness


This command allows you to specify whether to use the CAL block mark stain
detecting function when the axes return to their home positions or before PWB
production starts.

The initial values are set in the “CAL Block Mark Cleanliness Reject Level” fields.
Click the <OK> button without changing these initial values.

4 − 85
Operation manual Ⅱ

4.4.4.18 Laser Unit Interference Check


When you select the menu command [Laser Unit Interference Check], the following
“Laser Unit Interference Check” dialog box appears on the screen.

• Laser Unit Interference Check Settings


To prevent a component from being in contact with the laser glass surface, this
function checks whether a picked-up component will be in contact with the laser
surface before it is rotated and measured so that it can be centered with laser.
You can set the marginal area for checking the laser unit interference check also.

Item Description Input range


Tolerance Sets the marginal area between a component and the glass surface 0.10 to 5.00 mm
for the laser unit interference check (default: 1.00 mm).

4 − 86
Operation manual Ⅱ

About the marginal area


The Laser Unit Interference Check predicts whether a component will be in contact
with the glass surface based on the following conditional expression, where the
distance from the component pick-up position to the edge of a component shall be (X,
Y).

2 2
Conditional expression X +Y + Margin < Laser GAP / 2

If the conditional expression above is not satisfied, the system judges that a
component will be in contact with laser surface, and then stops PWB production.
The square root of X2 + Y2 of the expression above indicates the radius of a
component rotation (L). The “Laser GAP” is 47mm. The value set in
“Tolerance” is to be added to the radius of a component rotation (L) to take the
distance from the center into consideration when the system judges whether a
component will be in contact with laser surface.
The following figure indicates the relation among the items described above.

Laser surface
Y
Margin
Component

L
X

X
LaserGap

zle
Nozzle
Y
Component Nozzle

Noz
Width
Center
Width

Center
Laser surface

• Pick Position Detection Settings


Since the capability of picking up a component of a nozzle gets lowered if the
nozzle picks up its edge, the picked-up component may drop or may be in contact
with the laser unit when the theta-axis is rotating to recognize it with the laser unit
or when the XY-axes move. Like the laser unit interference check described above,
this function checks whether a component is in contact with the laser unit before it
is rotated/measured to be centered with laser after picked up.

Item Description Input range


Use Specify whether to detect a component pick-up position when the Use/Not use
Laser Unit Interference Check is being performed (“Use” is selected
by default).
Acceptance Set the acceptable distance between the center of a component 1 to 100 %
Limit and the component pick-up position for the Laser Unit Interference
Check (Default: 30 %).
If the distance is not within the range ± (Component external
dimension × 0.3) when”30 %” is entered as the “Acceptance
Limit,” an error occurs.

4 − 87
Operation manual Ⅱ

About the “Acceptance Limit”


The “Pick Position Detection” check measures the distance from the center of a
component to the component pick-up position to assume whether the component will
be in contact with the laser unit.
Conditional expression
“Center of a component” – “Component pick-up position” < ± (“External dimension
of the component” × “Acceptance Limit”)
If the measured distance does not satisfy this expression, the system determines that
the component can be in contact with the laser unit, and stops the current PWB
production.
Note that the setting of the “Acceptance Limit” is to be made on the “Inspection” tab of
the “Component Data” screen described in Section 2.3.5.2.6 also.
When the “Yes” radio button is selected in the “Check” column of the “Pick Position
Detection” menu item on the “Inspection” tab of the “Component Data” screen, the
system uses the acceptance limit (that is, tolerance) of the Component data.
Combinations of the settings of the “Machine setup” utility, the Component data and
the “Operation option” utility and which check is to be performed according to each
combination are shown in the table below.
No. A B C Description

The system does not perform the Laser Unit Interference


1 Not check - Check.

Although the system performs the Laser Unit Interference
2 Not used
Check, it does not the Pick Position Detection check

The system performs the Laser Unit Interference Check as


3 Checked No well as the Pick Position Detection check. (The setting of the
“Machine setup” utility is used.)
Use
The system performs the Laser Unit Interference Check as
4 Yes well as the Pick Position Detection check. (The setting of the
“Component data” is used.)

A “Enable Laser Unit Interference Check” check box on the “Production (Function
2)” tab of the “Operation option” utility (Section 4.2.2.4)
B “Use” button of the “Pick Position Detection Settings” column of the “Laser Unit
Interference Check” window of the “Machine setup” utility
C “Yes”/”No” radio button of the “Pick Position Detection” column on the
“Inspection” tab of the “Component data” screen (Section 2.3.5.2.6)

This check is performed only when the first component is picked up at start of PWB
production or after PWB production restarts.
This check is performed for a component whose longer side is longer than 2.8 mm.
If the dimension of a component is less than 1.4 mm, the check is not performed.
This check is not performed for a component that is recognized with a VCS.

4 − 88
Operation manual Ⅱ

• Stick Changer Settings


This is the function that uses the HMS to correct the position for picking up a
component from a stick changer. The setting items are shown in the table below.

Menu item Description Input range


Use Sets whether to use the component pick-up position correcting ON/OFF
function of the HMS.
Inspection Position Sets the start position for checking a component pick-up
Offset position error with the HMS.
Enter an offset value from the edge of a component to the
check start position.
When the gap between the edge of a component and the
check start position is small, the system prevents the cycle
time from being extended without correcting the component
pick-up position.
The default value is 1.00 mm.
Minimum Sample Sets the minimum “Y” size of a component whose pick-up 0 to 50 mm
Size position error is to be checked (Size in the Y direction set in
the Pick data).
This setting allows the system to check only large components
that tend to cause an error more frequently, and it prevents the
cycle time from being extended.
The default value is 14.85 mm.
Inspection Range Sets the range for detecting the edge of a component with the 5 to 50 mm
HMS.
Enter the distance from the component pick-up position to the
stopper of the stick changer. If the HMS cannot detect the
edge of a component within this range, a component run-out
error is supposed to occur. However, this is counted as a
component run-out error.
The default value is 20.00 mm.

The values set in the “Inspection Position Offset” field and the “Minimum Sample Size”
field are used as fixed values regardless of a production program to be used. The
default values are calculated on the assumption the maximum size “X” of a component
at a stick changer for an SOP or SOJ shall be 16.51 mm.
See the following figure for the relation of the settings above.

Stopper

Inspection Range Inspection Position Offset

Distance from the component pick-up position to the edge of a component


= |Component pick-up position – Size “Y” of a component/2|

4 − 89
Operation manual Ⅱ

4.4.4.19 Setting two-dimensional code position on a PWB


This function allows you to set a PWB two-dimensional code used in the IS-related
function (On demand).
When you select the PWB Two-dimensional Code Position Setting, the following
dialog is displayed.

When you select "Cnvr Control", the following screen is displayed. Move the camera
to the PWB code position after executing "PWB transport" and transporting a PWB to
be produced.

4 − 90
Operation manual Ⅱ

Select "Recognize" in the PWB 2D Code Position Setting Dialog. If the recognized
PWB code is matched with the program downloader, the matched production
program name is displayed in the text box. When the unmatched barcode is loaded,
the following message appears.

【Matching Error】

Select "Save" and save the matched information. You can view it in the list,Eject a
PWB after you save.

【Setting PWB 2D Code Position (On demand) – Save button】

4 − 91
Operation manual Ⅱ

【2D Code Position Acquisition Status list】

【Conveyor Control Dialog (PWB ejection)】

When a PWB finishes being ejected, the system automatically goes into the mode of
waiting for a PWB transport.
If you continue to set a PWB 2D code position, repeat the code position setting after
transporting a PWB to be produced and moving the camera to the PWB code position
for a recognition.
If you finish setting the PWB 2D code position, end the conveyor control by pressing a
"CANCEL" button.
When you finish all the teachings, press "Complete" button in the PWB 2D Code
Position Setting Dialog.

4 − 92
Operation manual Ⅱ

4.4.4.20 Setting PWB Barcode Recognition for Placement Monitor


Set whether to use PWB Barcode Recognition for Placement Monitor, and select PWB
code you use.
Refer to "Placement Monitor Instruction Manual" for details.

4.4.4.21 Network settings of the Placement Monitor


Set the network of a PC for the Placement Monitor.
Refer to the “Placement Monitor Instruction Manual” for the detailed information on
this setting item.

4 − 93
Operation manual Ⅱ

4.4.5 Mechanical Setup


If you have to drive a mechanical device while setting the menu items on the
"Machine setup" menu, display the pop-up menu for mechanical devices by pressing
the right button of the trackball, or press the F9 keys.

When you select the desired mechanical device and click it with a trackball or select it
with the cursor key and press the <ENTER> key, you can drive it.

4 − 94
Operation manual Ⅱ

4.5 Manual Control

4.5.1 Overview
The Manual control utility provides you with the items for checking various types of
operations and for checking the ON/OFF status of each sensor.
The functions such as changeover of a PWB (see Section 2.7.1 “Setting up a PWB”),
moving of a head and checking of laser are mainly used. In addition, you can check
an LED that may malfunction (with turning it on and off).
Refer to Section Chapter 9 “Manual Control” of the attached “Instruction Manual CD”
for details of the manual control operation.

Table 4.4 Manual control items


Main menu Sub menu Description
1 Head 1 Head control XY axes movement control and display in X and Y coordinates relative
to each head.
Z and θ axes movement control and coordinate display of each head
Vacuum control, blow control, and pressure value display of each
head.
2 Head device control XY axes movement control and display in X and Y coordinates of each
head device (OCC, bad mark sensor, HMS).
Control of each head device and display of sensor status
3 Laser control Vacuum control of each head, and vacuum ON/OFF display Z axis
movement control and coordinate display of each head algorithm
change
Measurement and result display
Image display
Edge check and Edge check display
2 Conveyor 1 Independent control of Stopper control, edge reference cylinder control, support table control,
conveyor ready out control, board available out control, transport motor control,
each sensor of transport system, signal status display.
2 Automatic control of Automatic transport control, each sensor of transport system, and
conveyor signal status display
3 Auto width conveyor Automatic PWB width alignment operation control, display of the PWB
control transport width
Status display of each conveyor sensor
3 Vision 1 VCS control Control of the light and state display
Control of the side light board up/down cylinder and state display
Control of the light unit cylinder
4 Feeder 1 MTC control Shuttle control, tray control, pick control, and status display
Control of the PWB transport width adjustment operation and display of
the PWB transport width
2 Feeder knock pin Control of the feeder knock pin and control of the feeder position
control indicator
3 DTS control Tray control and state display
4 MTS control Tray control and state display
5 Others 1 ATC control ATC slide plate control, sensor status display, and nozzle suction
control
2 Signal light control Signal light control, buzzer control, and status display
3 CVS control Probe control, component measurement, and status display
4 SOT inspect unit Vacuum control and state display
5 Calibration block LED control, vacuum control and state display
control
6 Other sensors Status display of IC component discarding conveyor stop sensor, etc.
7 Driver status Status display of the X, Y, Z, and theta axes drivers

4 − 95
Operation manual Ⅱ

4.5.2 Starting Up or Quitting the Manual Control Utility

4.5.2.1 Starting up the Manual control utility


The “Manual control” initial screen shown below appears when selecting the [Manual
Control] command on the [Setup] menu invoked from the menu bar.
When you select the [View] command, and then the [Setting list] or [Configuration
display] command, the current screen is switched to the selected one.

【"Manual control" initial screen (Diagram display)】

4.5.2.2 Quitting the Manual control utility


When you select the [File]/[Exit] commands from the menu bar or select the <Exit>
command button, the “Question” dialog box that asks you whether to quit this utility
appears on the screen.

<OK>: When you click this button, the system sets the safety direction of each
I/O before quitting the Manual control utility. For example, if a nozzle is
attached on a head, the system returns the nozzle onto the ATC, and then
quits the “Manual control” screen.
<Cancel>: The system returns to the “Manual control” screen.

When you click the <OK> button, the axes move, and the system starts
setting each I/O safety direction.
Before clicking the <OK> button, be sure to check to see if there is no one
WARNING who operates the inside of the machine. To avoid a risk of injury, do not
put your hands inside the machine nor move your face or head close the
machine while the machine is operating.

4 − 96
Operation manual Ⅱ

4.5.3 Head control menu


When you click the right button of a mouse or click the <Head control> command
button, the pop-up menu appears on the screen. When you select the item to be
executed from this pop-up menu, the system executes the corresponding operation.

When you select an item form the pop-up menu, the axes move.
Before selecting an item, be sure to check to see if there is no one who
operates the inside of the machine. To avoid a risk of injury, do not
WARNING put your hands inside the machine nor move your face or head close
the machine while the machine is operating.

4.5.4 Laser image display


This command allows you to check the laser condition.
If a laser error frequently occurs or after you clean the laser head, perform this
command to check the laser condition.
When you select the [Control] command from the menu bar, the [Head] command and
then the [Laser/sensor control] command in this order, the “Laser/sensor control”
screen appears.

1) Image display
This item displays the image data from the laser sensor of the selected head.
Move the head or nozzle to the higher position than the laser height before
executing this function.
When the control item <Image display> is pushed, click the <EXEC (E)> button or
press the F3 key.

4 − 97
Operation manual Ⅱ

Check to see if the laser level is displayed uniformly over the screen.
If you find any point whose level decreases abruptly or whose level is extremely
low , the laser sensor surface may be stained. Clean the laser sensor. If the
edge face of a component is located at a position where the laser level is lowered,
the system cannot center the component stably and this may cause the laser
recognition error.

Laser level

【"Image display" dialog box】

− Laser level: indicates the brightness obtained when the photoreceptor


receives light emitted from the phototransmitter within the range from 0
(darkest) to 255 (brightest). Therefore, if the laser sensor is stained, the
level is lowered.

2) Edge Check dsp. (display)


This item checks to see if the entire laser sensor edge of the selected head gets
dirty or dusty, then displays the check result data.
To execute this operation, select the <ON> button or press the F3 key when the
control item <Edge Check dsp.> push button is pushed.

【"Edge check data display" dialog box】

The edge check is the function that checks the laser sensor under the similar
conditions with those for checking a component, and it can judge correctly
whether the laser sensor functions well or not: this cannot be judged with the
image check function. The value of the edge check result is represented with a
nondimensional value, and if this value of any point exceeds the red line, the
system cannot center a component stably when the edge face of the component
is located at this point. If the value exceeds the red line, clean the sensor.

4 − 98
Operation manual Ⅱ

4.6 Self Calibration


The Self Calibration utility allows you to change part of the basic setup information (MS
parameters) of the machine.

If you happen to make a wrong setting, the machine may malfunction.


CAUTION When you want to set any basic setup information, be sure to contact
us in advance.

If you want to use any Self Calibration feature immediately after


CAUTION power-on, always warm up the machine for at least 15 minutes.

4.6.1 Overview
The items set for self-calibration are shown in Table below:

No. Self-calibration group Description


1 Laser/sensor height Height of the Laser/sensor viewed from the top of a board
2 Rotation center of noz. Center of the nozzle rotation detected with the laser sensor
3 Head offset Assembling position of each head relative to the OCC
Assembling angle of the laser alignment unit relative to the main unit
4 VCS offset VCS camera assembling position and assembling angle
5 Vacuum calibration Vacuum calibration value
6 FCS Total placement offset (optional)

* If you have to use a jig to obtain an offset value, the head moves to a place on which
you can attach a jig easily (that is, the waiting position) when you select the
corresponding menu command.
* The guidance for the setting items you can control (moving to the waiting position,
opening of the slide plate, and closing the slide plate) is displayed on the message
line.

When a dialog box opens, the axes start moving. Before you open a
dialog box, check to see if there is no person who is working the
WARNING internal parts of the machine.
To avoid injuries, do not put your hands inside the machine or keep
your face or head away from the machine.

4 − 99
Operation manual Ⅱ

4.6.2 Set-up Group

4.6.2.1 Laser/Sensor Height


This command allows you to set the height of each laser sensor viewed from the top
side of a PWB.
If a laser recognition error occurs frequently, check the settings of component data of
the production program, and then select the [ATC nozzle setup] command on the
“Machine setup” menu to assign nozzles. If a laser recognition error still occurs,
reset the “Laser/sensor height.” After resetting the “Laser/sensor height,” be sure to
reset the “ATC nozzle setup” also.

(Height already set)


(Height measured)

(When the left 1 head is selected)

Select the head to be set by clicking the corresponding <Select Head> push
button, and click the <Exec.> button.
When you select the <Exec.> button, the nozzle (No. 500, 501 or 502) is attached
onto the head, and the laser sensor height is automatically measured.

When you click the <Exec.> button, the axes move. Before clicking
the <Exec.> button, be sure to check to see if there is no one who
WARNING operates the inside of the machine. To avoid a risk of injury, do not
put your hands inside the machine nor move your face or head close
the machine while the machine is operating.

4 − 100
Operation manual Ⅱ

4.6.2.2 Rotation Center of the Nozzle


This command allows you to measure a position of the laser sensor on which each
axis rotation center is located.

(Rotation center of the


nozzle already set)
(Rotation center of the
nozzle measured)

The head selected with the <Select Head> push button is to be set.
• Click the <Exec.> button.
When you click the <Exec.> button, the selected head moves along the
theta axis in from 0 to 15-degree units 24 times repeatedly to obtain the
average value.

When you click the <Exec.> button, the axes move. Before clicking
the <Exec.> button, be sure to check to see if there is no one who
operates the inside of the machine. To avoid a risk of injury, do not
WARNING
put your hands inside the machine nor move your face or head close
the machine while the machine is operating.

4 − 101
Operation manual Ⅱ

4.6.2.3 Head offset


This command allows you to set the mounting position of each head on the OCC
and the mounting angle of the laser alignment unit onto the main unit. To set the
head offset, the system uses the CAL piece.

(Assembling angle already set)

(Assembling angle measured)

(Assembling angle already set)

(Assembling angle measured)

The system sets up the head selected with the <Select Head> push button.
When you click the <Exec.> button, the system starts measurement.

The system sets up the head selected with the <Select Head> push button.
− Click the <Exec.> button.

When you click the <Exec.> button, the axes move. Before clicking
the <Exec.> button, be sure to check to see if there is no one who
WARNING operates the inside of the machine. To avoid a risk of injury, do not
put your hands inside the machine nor move your face or head close
the machine while the machine is operating.

4 − 102
Operation manual Ⅱ

Measurement operation
① Attach the No. 508C or 507 nozzle onto the selected head.
An error occurs if no nozzle is set for the ATC. In this case, assign the
nozzle on the “ATC nozzle setup” menu invoked from the "Machine
Setup" menu.
② Move the jig from the jig station to the calibration block if there is no jig
on the calibration block.
An error occurs if the jig cannot be picked up. In this case, remount the
jig.
③ Set the vacuum of the calibration block to ON to pick the jig.
④ Using the OCC, check the position of the jig, and measure the center
position and the inclination of the jig.
An error occurs if two holes of the jig cannot be recognized. In this
case, remount the jig.
⑤ Pick the jig with the selected head, then set the vacuum of the
calibration block to OFF.
⑥ Recognize the jig with the laser alignment unit.
⑦ Calculate the laser alignment unit mounting angle and the head
mounting position based on the inclination of the jig obtained at Step 4
and the recognition results at Step 6.
⑧ Using the OCC, recognize the calibration block position to calculate its
center position and inclination.
⑨ Mount the jig at the center of the calibration block, then set the vacuum
of the calibration block to ON to pick the jig.
To mount the jig, the machine corrects the jig inclination calculated at
Step 4, the laser alignment unit mounting angle and the head mounting
position calculated at Step 7, and the inclination of the calibration block
calculated at Step 8.
⑩ Using the OCC, recognize the position of the jig.
An error occurs if the distance from the jig to the calibration block is 1
mm or longer (absolute value). In this case, you may have to set the
MS parameters again.
⑪ Repeat steps 4 to 10.

4 − 103
Operation manual Ⅱ

4.6.2.4 VCS Offset


This command allows you to set the value offset from each VCS camera designed
position.
If the placement position of a component that is to be recognized with a VCS is
shifted in the certain direction, reset this offset value.
To set the VCS offset value, the system uses the CAL piece.

(Assembling position already set)

(Assembling position measured)

(Assembled angle already set)


(Measured assembled angle)

Select a VCS with the “Select VCS” button, and then the <Exec.> button.
When you select the <Exec.> button, the head picks up a jig, and then moves
above the VCS camera. The system moves up and down the head in the Y
direction five times, and recognizes a mark of the jig to obtain the average
value. Then, the head obtains the average value 24 times while moving the θ
axis in increments 0 to 15 degrees.

When you click the <Exec.> button, the axes move. Before clicking
the <Exec.> button, be sure to check to see if there is no one who
operates the inside of the machine. To avoid a risk of injury, do not
WARNING put your hands inside the machine nor move your face or head close
the machine while the machine is operating.

4 − 104
Operation manual Ⅱ

4.6.2.5 Vacuum calibration


When you execute the [Vacuum calibration] command, the system analyzes a trouble
of air devices such as a vacuum and blow unit that affect the component placement
operation, and then obtains the optimal timing for picking up and placing a
component.
If the vacuum value is very different from that set at the delivery of the machine and it
cannot be reset to this original value even after you perform the daily inspection,
execute this command.

- When you click the <Exec.> button, the machine automatically


calculates the time required to enter the vacuum condition.

When you click the <Exec.> button, the axes move. Before clicking
the <Exec.> button, be sure to check to see if there is no one who
operates the inside of the machine. To avoid a risk of injury, do not
WARNING put your hands inside the machine nor move your face or head close
the machine while the machine is operating.

4.6.2.6 FCS (optional)


The system can automatically measure the total placement offset value to maintain
the component placement accuracy that is ensured at delivery of the machine.
Refer to the Instruction Manual of the optional FCS for details.

4 − 105
Operation manual Ⅱ

4.7 Others
4.7.1 Log Tool
This Log Tool function records each device operation condition and saves it as a file
to analyze a trouble/error. If a trouble occurs, follow the instructions described below
to obtain a log (error history).

If any trouble occurs, execute the log tool immediately to obtain a


CAUTION log. If you perform another operation for several minutes without
executing this tool, a log will be erased.

4.7.1.1 Log Tool


① Select the [File]/[File Management (Explorer)] commands on the initial screen.
② Double-click “Log.bat” (this extension “bat” may not appear on the screen
depending on the setting of your personal computer) in the folder
“D:¥Juki¥Tools.”

③ A file “log.log” is generated in the folder “D:¥Juki¥Tools¥LogData.” Send the


file “log.log” to JUKI. We may be able to analyze the error.

4 − 106
Operation manual Ⅱ

4.7.2 Automatic Log Recording Function


Even though you do not execute the Log Tool described above for the certain period
of time, the system automatically obtains a log.

4.7.2.1 Automatic log obtaining function to be executed if an error occurs


Even though you do not execute the Log Tool, the system automatically obtains
a log for an error whose number is from 510000 to 901000 (mainly production
errors). However, if any operational error such as cover open, Emergency Stop,
feeder float detection, and area sensor activation occurs, the system does not
obtain any log.

① Where a log file is saved


A folder indicating each month is generated under D:¥juki¥Tools¥ErrHist,
and log files whose names are indicated with “an error number (day-hour
minute second)”.log are saved in this folder.
Example: When an “E650100” error occurs at 12:34:56 16 March, 2006
A file E650100 (16-12h34m56s).log is saved in the folder
“D:¥Juki¥Tools¥ErrHist¥09.

② Deleting a log file


The system saves logs that are obtained in one month, and automatically
deletes logs obtained more than one month ago.
Example: If an error occurs for the first time in September and creates a
monthly folder 09, the system deletes all logs saved in “07” folder
(created in July) at the same time it saves the log in the folder “09.”
③ Error history file
A text file that records error/warning messages displayed on the screen in
one month is created in the folder “C:¥Juki|Tools¥ErrHist.” This file is
named according the year and month a message is displayed. The
following file name is an example of text file generated in June 2002.
C:¥Juki¥Tools¥ErrHist¥ErrLog_Y2002_M06.err

4 − 107
Operation manual Ⅱ

4.7.2.2 Automatically obtaining a log file on production performed immediately before


the system pauses
The system automatically saves a log file that records data on 100 PWBs
produced immediately before the system pauses.

① Where a log file is saved


The system automatically saves a log file whose name is “Juki/number of
produced PWBs.log” in the folder “C:¥Temp¥PcErr¥LogFile.” In addition to
this log file, the system generates the following three files.

Juki.CMP0001.log:
log file of data on the
last produced PWB

Juki.EMG0001.log:
log file of data obtained
until the system stopped
due to the Emergency
Stop error

Juki.PS0001.log:
log file of data obtained
until the system paused
last time

② Deleting a log file


When you change a production program to another one, the system
overwrites log files from “Juki.0001.log” sequentially.
Since the system generates only one “Juki.CMP0001.log,”
“Juki.EMG0001.log” and “Juki.PS0001.log” respectively, it automatically
overwrites each of these file.

4 − 108
Operation manual Ⅱ

4.7.3 What to do at power failure

4.7.3.1 Uninterruptible Power Supplies (UPS)


This machine is equipped with UPS as a battery backup power supply to prevent
any computer data from being damaged or erased at power failure. Therefore, this
unit helps you securely shut down the system even at power failure without
damaging or losing any data.

4.7.3.2 Corrective action at power failure


If power cannot be supplied to the main unit normally, the power supply monitoring
function of the UPS is activated.
To recover the system from this problem, you have to turn on the machine.
Save the production program, production management information, and other
necessary information and shut down the system before turning off the machine.
If you do not operate the machine for a certain period of time, the data at that time is
saved and the shutdown process of the system is executed.

Step 1. Preparation for automatic shutdown


If the system detects any power supply error, the screen shown in Figure 4.55 appears.
If the UPS detects any power supply error, the screen shown in Figure 4.56 appears.
If you do not perform any operation on either of these screens, the system starts
counting the remaining time to start the shutdown process. When the remaining time
reaches “0,”, the automatic shutdown screen (Figure 4.57) appears.
If you click the <Cancel> button on the screen shown in Figure 4-56, you can manually
shut down the system.

Figure 4.55 Screen displayed when the Figure 4.56 Screen displayed when the
system detects a power failure (1) UPS detects a power failure (2)
◇ When you click the <Cancel> button
When you click the <Cancel> button, the operation being performed by the
machine during production is interrupted.
In this case, the machine continues interrupting the operation for the certain
period of time (approximately five minutes: factory setting). Save data
manually and shut down the system for this period of time. If you do not shut
down the system although this period of time passes, the shutdown process is
executed automatically.

Figure 4.57 Start of the shutdown process

4 − 109
Operation manual Ⅱ

Step 2. Saving a file


Save the information necessary to continue the current operation into a temporary
file, and forcibly quit the application software.

Step 3. Shutdown process

① Preparation for power-off


After quitting the application software, Windows NT starts being shut down, and
the following screen appears at this point.
Turn off the main unit

② Power supply from the UPS is turned off.


If you do not turn off the main power supply of the main unit manually, power
supply from the UPS is automatically turned off approximately three minutes
later.

4 − 110
Operation manual Ⅱ

4.7.3.3 Recovery operation after power failure (Turning on the machine)


If you find any file at start up of the system by checking to see if there is any file that
was saved at power failure, the inquiry dialog box appears on the screen.

◆ Confirmation dialog box displayed when the system finds a file that was
saved at power failure
If the system finds saved data at start-up, the following confirmation dialog box
appears on the screen.

Yes: Recovers a production program, production management information


and any other necessary information, and recovers the system as it
was when a power failure occurred.
No: Any recovery process is not executed.

− When the recovery process is executed completely, the following inquiry


dialog box appears on the screen.

Yes: Deletes the saved data.


No: Does not delete the saved data. (The inquiry dialog box for the
recovery process appears again at start-up the next time.)

− When you click the <No> button on the recovery inquiry dialog box, the
following inquiry dialog box appears on the screen.

Yes: Deletes the saved data.


No: Does not delete the saved data. (The inquiry dialog box for the
recovery process appears again at start-up the next time.)

− If the system detects an error of the saved file at start-up, the following
dialog box appears on the screen. The system does not execute any
recovery process and the saved data that may be damaged is deleted.

4 − 111
Operation manual Ⅱ

Chapter 5 Daily maintenance


5.1 List of Daily Routine Checks
To prevent the body from injury which can be caused by accidental
activation of the machine, cut off the power to the machine before
WARNING starting to work.

Items to be checked Check and lubrication frequency


Every
Maintenance Check point Check Daily Weekly Monthly Bimonthly
year
Check that the air pressure
Air pressure
is 0.5 MPa ○
Piping and joint Air leakage ○
Unit air cylinder Check operation. ○
Air filter (Head) No dirt ○
Power on lamp Check if it is lit. ○
Worn out, damage, or
Transfer belt
stretch ○
Check
Transfer pulley If it functions properly. ○
Stopper chip Worn out or damage. ○
Keep the front/rear safety
Gas spring
cover opened. ○
Chip box Discard a chip(s). ○
Cables and connectors Check the connections. ○
Vacuum pump Check the pressure. Judge this check result by using the negative pressure gauge.
X- and Y-axis direct drive units Remove dust and oil. ○
Remove dust and foreign
Transfer belt
substances. ○
Transfer sensors Cleaning ○
Laser align sensor Clean the sensor window. ○
Cleaning of the lenses and
EPV61/62(optional)
LED lights ○
Nozzle Clean the nozzle. ○
Nozzle outer shaft Clean the inside of the shaft. ○
ATC bracket Remove dust and oil. ○
Remove dust and foreign
Cleaning CVS (optional)
substances. ○
Feeder bank, Overall feeder
exchange trolley
Remove foreign substances. ○
Remove dust and foreign
OCC (Polarizing filter)
substances. ○
VCS Clean the upper side. ○
Remove dust and foreign
CAL block
substances. ○
Coplanarity (optional) Clean the sensor window. ○
Remove dust and foreign
Load control unit (optional)
substances. ○
Remove dust and foreign
Fan Motor filter
substances. ○
X- and Y-axis direct drive unit
rails
6459 Grease N ○
Transfer screw shaft (Shaft) 6459 Grease N ○
Transfer guide shaft 6459 Grease N ○
PWB stopper part 6459 Grease N ○
Ball screw (Head part) Smooth CG2 Grease ○
Lubrication movement
Spline shaft (head part) CG2 Grease ○
Nozzle Oil After cleaning
6459 Grease
Nozzle outer shaft
N , Oil ○
Overall feeder exchange trolley 6459 Grease N ○
Support table CG2 Grease ○
One year = 6,600 hours

5−1
Operation manual Ⅱ

Notes: 1. For lubrication, use the attached oil or grease. For positions for which the
use of only grease is specified, use the attached grease (6459 Grease N).
2. Never apply any grease other than grease (CG2 Grease). to the parts to be
lubricated with "Grease (CG2 Grease).. " For other parts, do not use any
grease not specified by JUKI.
3. New Defrix Oil No. 1: Class four, third petroleum
Grease (6459 Grease N): Not dangerous product regulated with the
Fire-Defense law
Grease (CG2 Grease): Not dangerous product regulated with the
Fire-Defense law.

5−2
Operation manual Ⅱ

5.2 Checking Each Part


Inspection item 5.2.1 Air pressure Inspection frequency: everyday
What to inspect Check the original air pressure and the drain.

1) Check to see if the air pressure is 0.5 Mpa. 3) Drain oil and water in the bottle
connected to the drain.

2) If the pressure is outside the range from 0.45


Mpa to 0.55 Mpa, check to see if any air leaks
at the factory. If you find no problem, follow
the procedure below to readjust the air Discard oil or water
collected in the bottle.
pressure.
How to adjust Adjustment of the original air pressure

1) Put the knob ① into the open state. 2) Pull the knob of the regulator ②
downward, then rotate it so that the
pressure of the used air can
① become 0.5 MPa.

CLOSE OPEN

Inspection/Replacement Replacement of the filter element When to replace: two years


1) When to replace a filter element: every two
years or when the air pressures decreases to
approximately 0.1 Mpa (1 kgf/cm2).
2) How to replace a filter element
Filter element A Filter element B
① While moving down the sliding section, rotate the main (PF901002000) (PF901006000)

unit to the left or right by 45 degrees to pull it downward.


② Remove the filter element B by rotating the resin part that Resin part

fixes the filter element B to the left. Remove the filter


element A by rotating it itself to the left.
③ Attach each filter element by following this procedure in Sliding sections
the reverse order.
* See the procedure below for replacing the option filter element.

Close the control ① of the finger valve before following the procedure
WARNING above.

5−3
Operation manual Ⅱ

Inspection item 5.2.2 Option filter Inspection frequency: everyday


What to inspect Check to see if the optional filter is stained.

1) Check to see if the red mark of the clogging checker is not raised.
2) If the filter is clogged, replace the filter element with a new one.

Inspection/Replacement Replacement of the optional filter element When to replace: two years

1) Loosen and remove screws ① and ② (four screws).

① ②

2) Loose and remove screws ③ 3) Replace the filter element, O-ring,


(four screws). and gasket with new ones.

O-ring

Gasket

Filter element

PN: PF901007000
A set of O ring, Gasket
and Filter element

4) Attach a new filter element with following the


procedure above in reverse order.

5−4
Operation manual Ⅱ

Inspection item 5.2.3 Piping and joint Inspection frequency: Every week
What to inspect Check to see if the optional filter is stained.

1) Check that there is no air leakage.

<Far side on the right>


Check to see if there is no air leakage.

Finger valve

Inspection item 5.2.4 Each unit air cylinder Inspection frequency: Every week
What to inspect Check to see if any air does not leak from the air pipes.

1) Turn on the power of the machine, select the "Manual control" items, and check that
operation is possible.
① PWB stopper section
② Pusher X, Pusher Y
③ ATC unit
④ VCS (when equipped with an option)

Manual control
This is the mode for checking each operation or the ON/OFF status of the sensor.
Refer to Chapter 9 “Manual Control” of the “Instruction Manual CD” for details of the
manual control operation.

When you select the button from the main


screen, the Manual Control mode starts up.

2) If any operation has a problem, inspect the machine with checking the “Piping System
Diagram” on the next page.

5−5
Electromagnetic valve manifold
PWB transport solenoid
φ4

φ4 A B
ATC
CVS
Pressure switch
φ6 ST=30 Pressure
Manifold
gauge
Mist separator
Operation manual Ⅱ

A B
(1) Piping diagram

φ12
(Option)
P R
Factory-
piping
Cell block Regulator Filter
(Ejector)

φ6
(Main body)

φ4 Head unit φ8

Stopper A B φ8

Meter in
φ4 Drive cylinder 20L F φ6
Drive cylinder 20R F

5−6
Connector bracket F
AE/E valve
(Option)
Drive cylinder 20L R φ6
Pusher Y (x5)
Drive cylinder 20R R

Connector bracket R

Overall feeder
φ6
exchange trolley F
φ6
Overall feeder
φ4 exchange trolley R
(Option)
(Option)
Pusher X (x5)
AE/E valve
Operation manual Ⅱ

(2) Piping diagram (Head)

Ejector
ø8

Filter

Pressure sensor

Blow-Sw

Nozzle

(3) Piping diagram (Overall changer table, Common to all four tables)

Roller lever

Roller lever ø6

ø6
Selector

ø6

ø6 ø6

Pilot check valve

ø6

5−7
Operation manual Ⅱ

Inspection
Inspection item 5.2.5 Air filter (common to the LNC head and the IC
frequency:
head) Every month
What to inspect Check to see if the air filter is not stained.

1) Check to see if the air filter inside the filter box located
on the front side of the head unit is not stained with
your eyes.

How to check if the filter is not stained


Select the [Maintenance] command from the main
screen, and then the [Self Calibration] command.
Next, select the [Set-up group] command, and then
the [Vacuum calibration] command. (Refer to
Section 4.6.2.5 “Vacuum calibration”)
If the calibration result has any problem, the message
for asking you to replace the filter with a new one
appears on the screen.
2) If the air filter is stained, replace it with a new one.

Tool to be used: When to replace:


Replacement Replacement of the head air filter Hexagonal Every six months
wrench

1) Loosen the “setscrew ②” of the filter box to remove the “filter box U ①.”
2) Check to see if the “filter ③” is stained.
3) If it is, replace the “filter ③” of the “filter box L ④” with a new one.
4) Insert the new “filter 3” into the “filter box L ④” securely and fix them with the “setscrew ②.”
Check to see if the “O-ring F2 ⑤” is fit into the groove of the “filter box L ④” also.

Filter ③: Part number 40046646


③ ①


5−8
Operation manual Ⅱ

Inspection item 5.2.6 Transport belt Inspection frequency: Every week

What to inspect Check to see if the transport belt is not worn out, damaged or stretched.

1) Check that the belt is severely worn-out, damaged, or stretched.


2) If the transport belt is worn out or damaged, replace it with a new one.

Tool to be used: When to replace:


Replacement Replacement of the transport belt Driver and wrench Every year

1) Loosen the screws used to fix the transport pulleys (one at the front and the other at the
rear) attached to the long hole at part A, to untension the transfer belt.
A A A

2) Remove the transport belt from the transport pulleys, and replace it with a new one.

B Transport belt
201mm

200mm

3) Adjust the tension of the transport belt.


① While the transport belt is loosened (that is, the transport belt is held under no
tension), find a flat portion of the transport belt and use a permanent marker to write
down two marks at the positions whose distance “B” is 200 mm.
② In the reverse order to 1) above, move the “transport pulleys” at “Part A” to tension
the transport belt until the distance between two marks becomes 201 mm, and fix the
transport pulleys.
③ After attaching the transport belt, operate it on the “Manual Control” screen for
several minutes to check to see if there is no problem such as noise or detachment
of the belt.
④ Check the tension of the transport belt again one week later.
<Part number of each belt>
For the IN/OUT position
Board For the center Option
size position Standard Extension of the conveyor Extension of the conveyor
150mm 250mm
M 40000864
40000863 40011058 40011065
L
L-Wide 40001070 40000790 - -
E 40001860 - -

5−9
Operation manual Ⅱ

Inspection item 5.2.7 Transport pulley Inspection frequency: Every week

What to inspect Check of the transport pulley operation

1) Operate the conveyor motor on the “Manual control” screen to check to see if the pulley
rotates smoothly..
2) If the pulley does not rotate well or does not rotate at all, replace it with a new one.

Tool to be used: When to replace:


Replacement Replacement of the transport pulley Driver and When the pulley does
wrench not rotate well

1) The transport pulleys are attached on the front and rear rail plates. Loosen the screws
used to fix the pulleys to replace them.
2) After replacing the transport pulleys, adjust the tension of the transport belt.

Hexagonal nut

Flat washer Rail plate

Spring washer Pulley spacer


Hexagonal nut Transfer pulley

Flat washer Rail plate


Holding screw

Spring washer Pulley spacer


Transfer pulley

Holding screw

Inspection item 5.2.8 Gas spring Inspection frequency: Every week

What to inspect Check the gas spring condition.

If the cover cannot be held at a fixed angle,


replace the gas spring.
Replace the gas spring when the cover
cannot held at the position where the mark
▼ is hidden by cover hinge. Safety cover

Mark ▼ Cover hinge Gas spring

5 − 10
Operation manual Ⅱ

Inspection item 5.2.9 Stopper chip Inspection frequency: Every week

What to inspect Check to see if the stopper chip is worn out or damaged.

The “stopper chips ①” are located on each tip of the “(A) X pusher” and “(B) stopper” that
control the PWB transport direction. The “stopper chip ①” is worn out since a PWB slides
over its surface.

1) If the stopper chip is worn out or its surface coating is peeled off, or if a board is centered
unstably, replace the stopper chip with a new one.

Tool to be used: When to replace:


Replacement Replacement of the transport belt Driver two years

1) Loosen the screw ② and replace the shopper chip ① with a new one.
2) Be sure to attach the stopper chip ① in the direction shown in the figure (C) below.

① Stopper chip: part number E2233725000 ② Screw: part number SM1030401SE

④ X pusher ③ Stopper
① Stopper chip ① Stopper chip

② Screw

(B) Stopper
② Screw
(A) X pusher

Stopper
X

(C) Attaching the stopper chip

For the M or L size specification of the KE-2080R, the above parts are used. For the E size
specification or L-wide option, the following part is installed on the stopper section shown in
Figure (B).
Left → Rightward flow: 40080766 stopper chip R
Right → Leftward flow: 40080767 stopper chip L
(For the X pusher, a common stopper chip is used.)

5 − 11
Operation manual Ⅱ

Inspection item 5.2.10 Cables and connectors Inspection frequency: everyday

What to inspect Check the cables and connections of the connectors.

1) Make sure that the connectors (of devices such as a keyboard and HOD) are all seated
firmly. (Such as a tray supply device, keyboard and HOD)

Such as a tray
Mouse supply device,
Keyboard USB and Ethernet
HOD

Cut off the power to the machine before starting to disconnect or connect
WARNING the connectors.

− Never use the machine with any voltage other than those specified.
− Before switching on the machine, be sure that the machine is in a
safe conditions.
− Before switching on the machine, be sure that there is no one who is
CAUTION
working in the machine.
− Before switching on the machine, be sure that there are no obstacles
(tools and jigs) left in the machine.
− Turn off the machine to supply air.

To avoid a risk of serious injury or death caused by electric shock


hazard, turn off the main power switch of the house current which is
WARNING installed in the building where the machine is used.
Note that it is not the main power switch of the machine.

5 − 12
Operation manual Ⅱ

Frequency of execution:
Inspection item 5.2.11 Vacuum filter, optional Refer to the following.
What to inspect Checking the filter for dirt.
1) Check the vacuum pressure by the negative pressure gauge
provided at the front of the equipment when the vacuum
pump is in operation.
* Check it when a vacuum operation is not in progress.
2) If the value indicated with the meter is lowered to – 80 kPa or
less (a value in the green range), follow the description of
Section “Replacement of filter and blade” or
“Check/replacement of parts of the vacuum pump” to
check/replace a consumable part(s).
Tool to be used: When to replace:
Replacement Replacement of filter and blade 1.5 years
Hexagonal wrench and box wrench
* The procedure below is applied to a KE-2070 whose revision is E or earlier, a KE-2080
whose revision is G or earlier or a KE-2080R whose revision is A or earlier.
1) Check whether the vacuum pump is not operated and whether it not at a high
temperature after operation.
2) Remove the front cover of the main unit and loosen the M4 screws that fix the fan
assembly at the front of the pump. Then, remove this assembly forward.
3) Remove the 4 hexagonal bolts fixing the pump cover with the box wrench and remove
the cover.
4) Remove the filter from the hollow and check it for dirt. (If the filter is very dirty, replace
it.)
5) Pull out the blade from the slit of the rotating part and replace it. (8-blade set)
* For the direction of the blade, refer to the following figure.
6) For installation, reverse the above procedure.
7) At a restart, make sure that any abnormal noise is not produced and that the value on the
negative pressure gauge is -80 kPa or more.

- Direction of blade installation


Filter
(40061996)

Blade (x 8)
(40061995)

Filter (40061996)

Vacuum pump

Fan assembly

M4 screw Blade (×8)


(40061995)

5 − 13
Operation manual Ⅱ

Inspection/ Check/replacement of parts of Tool to be used: Hexagonal When to replace:


replacement ement the vacuum pump wrench and screwdriver Nine months
* The procedure below is applied to a KE-2070 whose revision is F or earlier, a KE-2080
whose revision is H or earlier or a KE-2080R whose revision is B or earlier.
It is assumed that the pressure is lowered due to wearing of any of the following parts.
<List of consumable parts> Part number of the spare parts set: 40099554
Number of the
What to check corresponding parts
What to check How to check
used in the vacuum
parts

Whether the packing is worn out


Cup packing 2 Visual check
abnormally, becomes hardened or cracks.

Whether the valve is deformed, hardened or


Air intake valve 2 Visual check
chipped.
Whether the valve is deformed, hardened or
Exhaust valve 2 Visual check
chipped.

Whether the ring is deformed, is worn out,


O-ring S-67 2 Visual check
is hardened, or cracks.

Whether the ring is deformed, is worn out,


O-ring P-10 4 Visual check
is hardened, or cracks
Whether the gasket is deformed, is
Gasket 2 Visual check
hardened, or cracks.
Air intake valve interference
2 Whether the rubber cracks or is hardened Visual check
prevention rubber

- Preparation for replacement


1) Check to see if the vacuum pump is not
operating.
*Since the inside of the pump is heated after
it operates, leave it for approximately thirty
minutes after it stops. Only after the pump
is cooled down, replace the corresponding
part(s) and/or clean the pump.

2) Remove the front cover of the main unit. ①


3) Remove the air tube 1 and the wiring
harness (power cable and ground wire) 2
Vacuum pump
from the vacuum pump, and then remove
the hexagon-socket-head bolt (M4×8) 4
that fixes the VAC_PUMP_PLATE (vacuum
pump plate) 3.

4) Take the vacuum pump out of the main unit.


(Pay attention to the wire harness when you take out the vacuum pump.)

5 − 14
Operation manual Ⅱ

- Replacing the gasket


1) Remove four (totally eight) 2) Remove two black gaskets from the
hexagon-socket-head bolts (M5×20) from inside of the pump head cover, and
each pump head cover. then replace them with new ones.

3) Tighten one pair of hexagon-socket-head bolts (M5×20) at the diagonally opposite


corners, and then another pair with the force 5.0 N·m to fix each pump head cover.
-Check to see if the gaskets are located in the gasket groove of the pump head cover.
Be careful to fix the cover so that any gasket cannot be caught between the pump head
cover and the pump head plate.

- Replacing the O-ring S-67


1) Remove the pump head plate in the same manner as you replace the gasket.
2) Replace the O-ring S-67 located on the upper section of the cylinder with a new one.
3) Tighten one pair of bolts M5×20 at the diagonally opposite corners, and then another
pair with the force 5.0 N·m to fix the pump head cover.

- Replacing the O-ring P-10


1) Remove the pump head plate in the same manner as you replace the gasket.
2) Two O-rings are inserted in each pipe connecting the pump head cover.
Replace them with new ones to which vacuum grease is already applied.
3) Tighten one pair of bolts M5×20 at the diagonally opposite corners, and then another one
with the force 5.0 N·m to fix the pump head cover.
*To attach the pipe onto the pump head cover, check to see if vacuum grease is already
applied to the O-ring. Be careful not to damage any O-ring when you insert it into the
pipe.

5 − 15
Operation manual Ⅱ

- Replacing the cup packing and the air intake valve interference prevention rubber

1) Remove three flat-head machine screws (M4×8) (totally six), and then remove the
retainer, the air intake valve interference prevention rubber and the cup packing cylinder.
*Clean the cylinder and the retainer completely.

Flat-head machine screw

Retainer

Cup packing (*80S)

Air intake valve interference Connecting rod


prevention rubber

2) Place the cleaned cylinder in the casing, and place a new cup packing with aligning its
center with the center of the cup packing fixing section of the connecting rod. Push the
cup packing into the cylinder.

*Pay attention to the direction of


the cup packing.

3) Place the retainer on which the new air intake valve interference prevention rubber is
attached onto the cup packing. Tighten the bolt (M4×8) to which liquid gasket (NK-4) is
applied with the force 3.0 N·m.

5 − 16
Operation manual Ⅱ

- Replacing the air intake valve and the exhaust valve

1) Loosen the pan-head machine screw (M3×5) to remove the exhaust valve holder and
the exhaust valve.
2) Wipe the pump head plate clean, and then replace the exhaust valve with a new one.
3) Place the exhaust valve holder over it, and then fix them onto the pump head plate with
the pan-head machine screw (M3×5) to which Loctite 242 (for preventing the screw from
loosening) is applied with the force 0.8 N·m.

How much Loctite is to


be applied
Exhaust valve holder

Pump head plate

Exhaust valve

Air intake valve

Flat washer

* Apply Loctite to two to three threads from the edge.


* Do not apply any Loctite to the end face of the screw.

4) Loosen the pan-head machine screw (M3×5) fixing the air intake valve to remove the air
intake valve and the flat washer.
5) Wipe the pump head plate clean, and replace the air intake valve with a new one.
6) Put the flat washer on the air intake valve, and fix them on the pump head plate with the
pan-head machine screw (M3×5) to which Loctite 242 (for preventing the screw from
loosening) is applied with the force 0.8 N·m.

*Attach the air intake valve and the exhaust valve so that these valves can cover the air
intake hole and the exhaust hole completely.

5 − 17
Operation manual Ⅱ

5.3 Cleaning
Inspection frequency:
Where to clean 5.3.1 XY axis direct drive unit Every month
Tools to be used: Grease to be used:
Removal of dust on the rail and
How to clean Brush and 6459 Grease N
stained grease waste cloth

1) Check to see if any dirt or dust is stuck to the rails of the X-axis unit and Y-axis units, and
clean them if any.
After wiping off the grease, apply new one to the rail.
X-axis direct drive unit

Y-axis direct drive unit

<Y-axis dynamic brake release switch>


At the lower position of the left side surface of the equipment, there is a switch to release
the Y-axis dynamic brake (switch that makes the Y-axis motor free).
The head (X axis) can be easily moved in the Y direction by hand if this switch is released.
Open the slide cover fully and operate the dynamic brake release switch. If the slide
cover is opened when the machine is turned on, the machine enters Emergency Stop
mode for safety. Never operate the slide cover when the machine is turned on. When
you turn on the machine, check the condition of the release switch and that of the slide
cover.

- Ordinary status

Open the slide cover


Slide cover and then operate the
switch.

- Release status

It is hazardous to operate the switch while the power supply is turned on.
DANGER Be sure to operate it in the power OFF status of the equipment.

5 − 18
Operation manual Ⅱ

Inspection frequency:
Where to clean 5.3.2 Transport sensors Every month
Tools to be used: Recommended solution:
How to clean Cleaning of the sensor window Waste cloth IPA

1) Remove dirt and dust on the sensors.


If the smudges cannot be removed completely, apply a little amount of alcohol (IPA) to
the waste cloth and clean the sensor window with it.

Wait sensor Stop sensor C-OUT sensor

Transport
In sensor direction Out sensor

Input buffer Centering Output buffer


station station station

Inspection frequency:
Where to clean 5.3.3 CAL block Every week
Tools to be used: Recommended solution:
How to clean Cleaning of the CAL block waste cloth IPA

1) Check to see if there is any foreign substance such as dust on the upper side of the CAL
block 1 or the first mark 2. If there is, clean it.
If the first mark is stained, it may cause the axis return error.
If you cannot remove any stain, apply a little amount of alcohol (IPA) to the waste cloth,
and clean the CAL block with it.

5 − 19
Operation manual Ⅱ

Inspection frequency:
Where to clean 5.3.4 Laser align sensor (LNC60/FMLA) Every week
Tools to be used: Recommended solution:
How to clean Cleaning of the laser window Waste cloth and IPA
cotton swab
1) When a “stained laser head error” occurs during PWB production (see Section 3.4
“When the laser sensor is stained” of the “Operation Manual I.”) or on a regular basis,
(every week), check to see if the laser head is stained. If the laser head is stained,
clean it.
2) We recommend that you clean the sensor window every week. However, if it can be
stained easily, you have to clean it at the shorter intervals.
<How to check>
First, set the “User level” to “Manager” or higher. Then, select the [Manual control]
command, the [Laser control] command, and the [Edge check disp] button in this order to
check to see if the laser head is not stained. (Refer to Section 9.4.1.3 “Laser/sensor
control” of the “Instruction Manual CD.”)
<How to clean>
① Clean the window (four positions on the front and the rear) with waste cloth with no
fluff or cotton swab moistened with alcohol once in one direction only.
(*Note: If you wipe the window back and forth, dust may remain on the window or it
may damage the window.)
② Wipe the window with dry waste cloth only in one direction to remove alcohol
remained on the window.

Sensor window (Back and forth)

(A) LNC60

If you use not alcohol but any solution such as acetone and thinner, the
resin part around the sensor window may fuse. Never use any solvent
CAUTION other than alcohol. Moreover, never apply the air blow to the sensor
window because the foreign substances such as dust entered into the
sensor might influence the operation in recognition.

5 − 20
Operation manual Ⅱ

Sensor window

(B) FMLA (KE-2080R)

If you use not alcohol but any solution such as acetone and thinner, the
CAUTION resin part around the sensor window may fuse. Never use any solvent
other than alcohol.

5 − 21
Operation manual Ⅱ

Inspection frequency:
Where to clean 5.3.5 EPV61/62(Placement monitor option) Every month
Cleaning of the EPV61/62 lenses Tools to be used: Recommended solution:
How to clean Cotton swab IPA
and LED lights
Clean the lenses, the LED lights and the surrounding area with a clean and dry cotton
swab. (Make sure that the cotton swab does not leave lint.)
If some dirt still remains, clean it with a cotton swab moistened with alcohol (IPA), and
wipe off the alcohol with a dry cotton swab.

Lenses and LED lights

Rear side of the head Cotton swab EPV61/62

* Bring the head unit to the rear side of the machine to clean the EPV61.
* An EPV61 is installed on a KE-2070, while an EPV62 is installed on a KE-2080/2080R

If you use not alcohol but any solution such as acetone and thinner, the
CAUTION resin part around the sensor window may fuse. Never use any solvent
other than alcohol.

5 − 22
Operation manual Ⅱ

Inspection frequency:
Where to clean 5.3.6 VCS unit Every week
Tools to be used: Recommended solution:
How to clean Cleaning of the top side of the VCS Waste cloth IPA

1) Check to see if there is any foreign substance such as dust on the top side (window and
LED) of the VCS. If there is, clean the top side of the VCS with dry waste cloth or
gauze and blow off the stains stuck to the LED with air.

Window LED

If you use not alcohol but any solution such as acetone and thinner, the
CAUTION resin part around the sensor window may fuse. Never use any solvent
other than alcohol.

5 − 23
Operation manual Ⅱ

Inspection frequency:
Where to clean 5.3.7 Nozzle Every week
Tool to be used: Recommended solution:
How to clean Cleaning of the nozzle Ultrasonic cleaner IPA

1) Dampen the entire nozzle whose number is from 500 to 504, 509 in alcohol, and clean it
with an ultrasonic cleaner or similar tool for five minutes.
2) To prevent the urethane section of the nozzle tip from being peeled off by cleaning it with
alcohol, use the jig to turn the nozzle whose number is 505 to 508C upside down. Then,
clean it with an ultrasonic cleaner so that its tip cannot be dampened in alcohol.

Urethane part
Beaker, etc.

Alcohol
Jib block, etc.

3) Dampen soft cloth with alcohol and use it to clean the urethane part and any part of the
nozzle diffuser on which stains remain stuck to even after you use a supersonic cleaning
bath to clean it.
4) After cleaning the nozzle, follow the description of “Applying oil to a nozzle after cleaning
it” on the next page and lubricate the nozzle to prevent its inside from being rusted.

1) Never use solution (such as acetone) other than alcohol.


The nozzle diffuser may be discolored.
In addition, solution may catch fire. Treat it with enough care.
CAUTION While you are cleaning the nozzle, be sure to wear a protective
mask for organic odor.
IPA contains a substance harmful to your body.
2) Never disassemble the nozzle.

* Cleaning a load control nozzle (optional) ①Remove the ②Clean the main
1) Remove the nozzle attachment from the 6** type nozzle unit with an
attachment. ultrasonic
nozzle main unit. cleaner or a
2) Clean the 6** type nozzle main unit as described similar tool.
above.
3) Clean the nozzle attachment with a dry waste cloth ③Clean the
or with an air gun. attachment with
4) Use the push-in type jig to attach the nozzle an air gun or a
similar tool.
attachment. (See Section 12.11.1.1. (Instruction
manual CD))

Never use any solution (such as alcohol) to clean the nozzle attachment
CAUTION
because it may deform the nozzle attachment.

5 − 24
Operation manual Ⅱ

Inspection frequency:
Lubrication item Applying of oil to a nozzle after cleaning it Every week
Tools to be used: Oil to be used:
Air gun, cotton swab and waste cloth New Defrex No.1

If you leave the nozzle without doing anything to it after cleaning it, the inside of the nozzle
may be rusted.
Follow the procedure below to apply oil to the nozzle slider.

1) After cleaning the nozzle, use an air gun or similar device to blow off alcohol remaining in
the nozzle.
2) Apply one drop of oil to the section shaded in the figure below (section protruded from
the nozzle inner when you push the nozzle slider in the direction indicated with the arrow
mark ) with a cotton swab whose half part is dampened with oil.
3) Move the nozzle slider up and down twenty to thirty times to spread oil over the entire
nozzle slider.
4) Wipe off excessive oil around the nozzle with clean waste cloth.

① Apply oil to this part with a cotton


swab dampened with oil.

Part to which oil ③ Wipe off excessive oil


should be applied with a waste cloth.

Nozzle inner

Nozzle slider

② Move up and down the nozzle


slider 20 to 30 times.

5) After applying oil to the nozzle slider, return the nozzle to the ATC unit, and select the
[Machine setup] command and the [ATC nozzle layout] command in this order. (Refer to
Section 8.5.1 “ATC nozzle layout” of the “Instruction Manual CD.”)

1) Do not use oil any other than New Defrex Oil No. 1 to a nozzle. If
you use oil whose viscosity is high such as grease, the nozzle slider
CAUTION cannot return to its original position normally.
2) Be sure to return the nozzle to the ATC unit instead of the head.

5 − 25
Operation manual Ⅱ

Inspection frequency:
Where to clean 5.3.8 Nozzle outer Every month
Tool to be used: Recommended solution:
How to clean Clearing of the nozzle outer Cotton swab and IPA
waste cloth

1) Clean the inside of the nozzle outer with a cotton swab dampened with alcohol.
2) Clean the surface and the spring section of the nozzle outer with alcohol.

Wiping rag

Spring

Nozzle outer

Cotton swab

Applying oil or grease to the nozzle outer after Inspection frequency:


Lubrication item Every mouth
cleaning it
Tools to be used: Oil to be used: New Defrex No. 1
Cotton swab and waste cloth Grease to be used: 6459 Grease N

1) Apply oil to the circumference of the nozzle outer and the area between the nozzle outer
and the outer spring holder with a cotton swab. After applying oil, blow the excessive oil
with an air gun or similar device, and then wipe it off with waste cloth.

Wipe off the excessive oil


Apply oil with a with waste cloth.
cotton swab lightly
dampened with oil.

Nozzle outer

2) Use a tip of toothpick or similar tool to apply rice-gain sized of 6459 Grease N around the
section specified in the figure below (gap between the nozzle outer and the outer spring
holder so that the nozzle outer can slide well).

Outer SP holder
Area to be greased

Toothpick
20 to 30 times

Nozzle outer

3) Move the nozzle outer up and down twenty to thirty times to spread grease over the
nozzle outer.

5 − 26
Operation manual Ⅱ

Inspection frequency:
Where to clean 5.3.9 ATC Unit Every week
Cleaning of dust and/or a foreign Tool to be used: Recommended solution:
How to clean Waste cloth IPA
substance from the ATC

1) If there is a chip or a foreign substance on the “slide plate ①,” it may cause a nozzle
detachment/attachment failure.
Clean the slide plate with waste cloth or similar cloth dampened with alcohol.

Inspection frequency:
Where to clean 5.3.10 Feeder bank Every week
Cleaning of dust and/or a foreign substance from the Tool to be used:
How to clean Cleaner
feeder bank

1) Clean the feeder bank on regular basis.


In addition, clean the feeder bank with a cleaner or similar device if there is a foreign
substance such as a chip on it before attaching a feeder such as a tape feeder on it.

Feeder bank

5 − 27
Operation manual Ⅱ

Inspection frequency:
Where to clean 5.3.11 Overall feeder exchange trolley (optional) Every week
Cleaning of dust and/or a foreign substance from the Tool to be used:
How to clean Cleaner
feeder bank

1) Clean the overall feeder exchange trolley on regular basis. In addition, clean the feeder
bank with a cleaner or similar device if there is a foreign substance such as a chip on it
before attaching a feeder such as a tape feeder on it.
2) Check to see if there is no foreign substances such as a chip around the “bank locating
pin ③” (both sides).

Bank locating pin

5.3.12 CVS (Component Verification System) Inspection frequency:


Where to clean Every week
(Option)
Cleaning of dust and/or a foreign Tool to be used: Recommended solution:
How to clean Waste cloth IPA
substance from the CVS

1) If dust or smudges is (are) stuck to the side A of the contact, wipe it (them) off with cloth
lightly dampened with alcohol.
If dust or smudges is (are) stuck to the side A, the machine may not inspect a component
normally.

Side A
Contact Contact

5 − 28
Operation manual Ⅱ

Inspection frequency:
Where to clean 5.3.13 OCC (Polarizing filter) Every week
Cleaning of dust and/or a foreign substance from the Tool to be used:
How to clean Air gun
OCC (polarized filter)

1) Check to see if there is no dust and/or foreign substance on the polarizing filter of the
OCC.
If there is any, remove it with an air gun or like.

Polarizing filter

Inspection frequency:
Where to clean 5.3.14 Coplanarity sensor everyday
Cleaning of dust and/or a foreign substance from the Tool to be used:
How to clean Waste cloth
filter unit

1) Wipe off smudges from the filter unit (phototransmitter window/photoreceptor window)
with clean waste cloth or gauze.
Phototransmitter
Photoreceptor window
window

No. Part number Part name


1 E9674729A00 Filter unit (phototransmitter side)
2 E9674729B00 Filter unit (photoreceptor side)

CAUTION Never use alcohol to clean the filter unit.

5 − 29
Operation manual Ⅱ

Inspection frequency:
Where to clean 5.3.15 Load control unit (optional) everyday
Remove dust/a foreign substance from the surface Tool to be used:
How to clean Waste cloth
where load is to be measured.

1) Check to see if there is not any foreign substance such as dust on the surface where
load is to be measured. If any, clean it.

Load control unit (load cell)

5 − 30
Operation manual Ⅱ

Inspection frequency:
Where to clean 5.3.16 Fan motor filter Every mouth
Remove dust and/or foreign substance stuck to Tool to be used:
How to clean
Fan motor filter Vacuum Cleaner

1. Pull to detach the top frame (black plastic) from the machine, and remove the filter.
Pull the top cover
in the direction of the arrow.

Fan motor with the filter The side of the machine


and the top frame viewed from above

2. Vacuum all the dirt off the filter.

If the dirt does not come off, the filter needs to be


replaced with the new one.
Part name: Fan filter
Part number: HM001010020 (Set of 5 sheets)

Fan filter

3. Attach the filter and the top frame back on the fan motor.
Check to see if the fan works properly.

Make sure that the power is OFF when cleaning the fan motor.
CAUTION The fan is turning very fast while the power is on; it is
dangerous to do the cleaning.

5 − 31
Operation manual Ⅱ

5.4 Lubrication
Lubrication item 5.4.1 XY-shaft direct drive unit Inspection frequency: Every year
Tool to be used: Grease gun Grease to be used: 6459 Grease N

Where to lubricate XY-shaft direct drive unit (grease nipple)

1) Use a grease gun to insert grease from the grease nipple of the XY-shaft direct drive unit.

① X-shaft direct drive unit rail: 2 (upper and lower)


② X-shaft direct drive unit: 4 (upper, lower, left and right)

③ Y-shaft direct drive unit rail: 2 (left and right)


④ Y-shaft direct drive unit: 4 (front, rear, left and right)

Apply grease here.


(Grease nipple)

Never lubricate the bearing of the X. and Y.shaft driving belt or motor
CAUTION (with machine oil or grease).

To prevent the body from injury which can be caused by accidental


CAUTION activation of the machine, cut off the power to the machine before
starting to work.

5 − 32
Operation manual Ⅱ

Lubrication item 5.4.2 Transport screw shaft (Shaft) Inspection frequency: Every month
Tool to be used: Brush Grease to be used: 6459 Grease N

1) Screw shaft 1 on each side, right and left


Apply grease to the entire surface of ①.

Lubrication item 5.4.3 Transport guide shaft Inspection frequency: Every month
Tool to be used: Brush Grease to be used: 6459 Grease N

1) Guide shaft: 2 on the left, 3 on the right


Apply grease to the entire surface of ①.

Lubrication item 5.4.4 PWB stopper part Inspection frequency: Every month
Tool to be used: Brush Grease to be used: 6459 Grease N

1) Apply grease to the washer and the thread of the slide.

Washer

*
*

Thread of the slide.

5 − 33
Operation manual Ⅱ

Inspection frequency:
Lubrication item 5.4.5 Ball screws and spline shaft (Head part) two months
Grease to be used:
Tool to be used: Brush
CG2 grease

1) Apply a light coating of grease (CG2 grease) to the ball screws ① rails.
Apply a light coating of grease (CG2 grease) to the spline shaft axis ②.
After fitting grease to the spline shaft axis sufficiently with moving the Z.axis up and down,
remove extra grease with cloth or similar matter so that it cannot be splattered.

Spline shaft②

Ball screws ①

<LNC60 head> < IC head (for a KE-2080/2080R only)>

Never apply any grease other than CG2 grease to the parts above.
CAUTION When grease (CG2 grease) is mixed with another type of grease, it may
be separated from the screw, rails or shaft and run down.

5 − 34
Operation manual Ⅱ

Inspection frequency:
Lubrication item 5.4.6 Support table two months
Grease to be used:
Tool to be used: Brush
CG2 grease

1) Lift the support table with the hand, and apply grease (CG2 grease) to the entire surface
of four ball screws from both sides.

Support table

Ball screws

Never apply any grease other than CG2 grease to the parts above.
CAUTION When grease (CG2 grease) is mixed with another type of grease, it may
be separated from the screw and run down.

Inspection frequency:
Lubrication item 5.4.7 Overall feeder exchange trolley (optional) two months
Grease to be used:
Tool to be used: Brush
6459 Grease N

① Bank locating pin


② Guide shaft
2
1

1) Apply grease to the bank locating pin ① and guide shaft ②.


Apply grease to the carrier side (mounter side) of the “bank locating pin ①” also.

5 − 35
Operation manual Ⅱ

5.5 Replacing the UPS Battery with a New One

Replacement 5.5.1 Removing the UPS When to replace: two years

When the UPS battery reaches the end of its life (two years when energized), or if you
cannot charge the battery because it is discharged or for any other reason, replace it with a
new one.

To avoid a risk of serious injury caused by electric shock hazard, turn off
the main power switch of the house current. Be sure to turn off the main
breaker and main power switch of the main unit also.
WARNING
The main power switch of the house current is installed in the building
where the main unit is used. Note that it is not the main power switch of
the machine.

1) Disconnect the connectors that are connected to the UPS, and disconnect the relay
connector of the cable that extends from the UPS.
2) Remove the screw from the metal plate that fixes the UPS on the front side, and pull out
the UPS from the main unit.
Push down the power switch of the UPS to turn off the UPS.
3) After replacing the battery, follow the Steps 1 to 2 above in the reverse order to attach a
new UPS on the main unit.

Disconnect the connector


from the communication
connector.
UPS

Disconnect the
plug from the
AC outlet.
IN OUT Disconnect the
signal connector.
Disconnect the
relay
connector.

Remove this screw.

Power supply unit

UPS mounting position Power supply unit

CAUTION The UPS main unit is extremely heavy. Be careful to remove the UPS.

5 − 36
Operation manual Ⅱ

Replacement 5.5.2 Replacing Batteries When to replace: two years

The following steps explain how to replace the batteries. Consider all warnings,
cautions, and notes before replacing batteries.
Batteries can present a risk of electrical shock or burn from high short
circuit current.
The following precautions should be observed :
WARNING
1) Remove watches, rings or other metal objects.
2) Use tools with insulated handles.
3) Do not lay tools or metal parts on tip of batteries

1) Remove two screws from the bottom of the 2) Lift the front cover and remove it.
UPS.

3) Remove four screws from the front. 4) Pull out the battery.

5) Disconnect two cables connecting the battery and the UPS.


Connect the red one of the battery cables to the positive side (+) and the black one to
the negative (-) side of the new battery.
6) Assemble the new battery with following the instructions above in reverse order (from
Step ⑤ to Step ①).

5 − 37
Operation manual Ⅱ

How to discard 5.5.3 How to discard a used battery

Contact your recycling or hazardous waste center for information on proper disposal of the
used battery. We accept your battery for return at cost to you.

- Do not dispose of battery or batteries in a fire. Batteries may explode.


Proper disposal of batteries is required. Refer to your local codes for
WARNING disposal requirements.
- Do not open or mutilate the battery or batteries. Released electrolyte is
harmful to the skin and eyes. It may be toxic.

Do not discard the UPS or the UPS batteries in the trash. This product
CAUTION contains sealed, local recycling or hazardous waste center.
Contact the dealer from which you purchased the machine for details.

5 − 38
Operation manual Ⅱ

5.6 Consumable Parts List


When to replace
Quantity
No. Part number Part name Unit (guideline) Remark
KE-2070 KE-2080 KE-2080R (Year)
FILTER (Upper side of the
1 40046646 6 7 7 0.5
head)
FILTER (Inside the ejector
2 40011159 6 7 7 1
unit)
SILENCER (Inside the
3 40011160 1
ejector) 6 7 7
4 40001339 NOZZLE ASM 501 6 7 7 0.75
5 40001340 NOZZLE ASSEMBLY 502 6 7 7 0.75
6 40001341 NOZZLE ASSEMBLY 503 6 7 7 HEAD 0.75
7 40001342 NOZZLE ASSEMBLY 504 1 1 1 0.75
8 40001343 NOZZLE ASSEMBLY 505 1 1 1 1
9 40001344 NOZZLE ASM 506 1 1 1 1
10 40001345 NOZZLE ASM 507 1 1 1 1
11 40044239 NOZZLE ASM 508C 1 1 1 1
Designed exclusively
12 40025165 NOZZLE ASM 509 1 1 1 0.75
for a 0402 (optional)
13 40000863 CONVEYOR_BELT_S 4 4 4 1 For IN/OUT
For IN/OUT (For E
14 40001860 CONVEYOR_BELT_S(E) 4 4 4 1
size)
For CENTER, Note
15 40000864 CONVEYOR_BELT_C 2 2 2 1
1
For CENTER, Note
16 40001070 CONVEYOR BELT C(L) 2 2 2 1
2
For the option that
CONVEYOR BELT EX
17 40011058 2 2 2 1 extends the PWB
(150)
Conveyor transport distance
For the option that
CONVEYOR BELT EX
18 40011065 2 2 2 1 extends the PWB
(250)
transport distance
For the option that
CONVEYOR BELT EX
19 40000790 − − 4 1 extends the PWB
(W)
transport distance
20 E2233725000 STOPPER CHIP 2 2 2 2 Stopper & X pusher
21 40080766 STOPPER CHIP R − − 1 1 Stopper Note 3
22 40080767 STOPPER CHIP L − − 1 1 Stopper Note 4
Life cycle when it is left
as it is: three months
(Recharge the battery
Electrical
23 40011120 UPS BATTERY 1 1 1 2 after three months.)
component
Life cycle when it
remains turned on:
two months
24 40061995 Pump blade(8-blade set) 1 1 1 1.5 (Option) Note 5
(Option) Note 5
Pump Replace the pump
25 40061996 Pump filter 1 1 1 − filter depending on the
dirty status.
Vacuum pump
26 40099554 1 1 1 0.75 (Option) Note 5
maintenance kit

5 − 39
Operation manual Ⅱ

* Note 1: Exclusively designed for a medium size


* Note 2: Exclusively designed for a large size and E size
* Note 3: KE-2080R L-wide, E size left → Exclusively for a rightward flow
* Note 4: KE-2080R L-wide, E size right → Exclusively for a leftward flow
* Note 5: The type of a vacuum pump to be used varies depending on the revision number of
the machine you use. Be careful to use the appropriate maintenance parts.

Pump type Vacuum pump (No. 24 and 25 in the Vacuum pump (No. 26 in the
“Consumable Parts List” above) “Consumable Parts List” above)
KE-2070 Revision A to E Revision F or higher
KE-2080 Revision A to G Revision H or higher
KE-2080R Revision A only Revision B or highe

5 − 40
Operation manual Ⅱ

5.7 Parts Recommended to Be Replaced on a Regular Basis


The components that have to be replaced on regular basis due to wear or degradation
other than expendables are shown in the table below. Regardless of whether a device
is described in the table below or not, all air devices have to be replaced with new ones
if oil or water is mixed into the air of them.

Contact our Service department or dealer for how to replace a component with a new one.

Quantity Guideline for


No. Part number Part name Device
2070 2080 2080R replacement
1 40050034 Ejector 70 assembly 1 - - Head Two years
2 40050035 Ejector 80 assembly - 1 1 Head Two years
3 40046642 Magnetic scale wiper 4 4 4 XY 6,600 hours
4 40058384 Y paired cable assembly 1 1 1 Y Three years
5 40058385 XY paired cable assembly 1 1 1 XY Three years
LA sensor paired cable
6 40080206 - - 1 XY Three years
assembly
7 40046022 Y plastic rail 1 1 1 Y Three years
8 40046023 X plastic rail 1 1 1 X Three years
1394 robot cable
9 40044517 1 1 1 XY Three years
assembly
10 40044543 Optical fiber cable 7 M 1 1 1 XY Three years
Filter element A (Rear
11 PF901002000 1 1 1 Cover Two years
stage)
Filter element B (front
12 PF901006000 1 1 1 Cover Two years
side)
13 PF901007000 Filter element 1 1 1 Cover Two years
10,000 open/close
14 40001471 Gas spring A 2 2 2 Cover
operations
10,000 open/close
15 40001454 Gas spring B 2 2 2 Cover
operations
16 E9649729000 HOD assembly 1 1 1 Others 500,000 times
17 E9662729000 HOD assembly (for EN) 1 1 1 Others 500,000 times
18 E9619729000 FDD (optional) 1 1 1 Others 30,000 hours
SSD assembly (silicon
19 40053302 1 1 1 Others 20,000 hours
disk)
20 40053303 HDD assembly (hard disk) 1 1 1 Others 20,000 hours
21 40025669 LCD monitor 1 1 1 Others Two years
LCD monitor (for
22 40028131 - 1 1 Others Two years
coplanarity)

* One year = 6,600 hours (22 hours/day × 300 days/year)

5 − 41
Operation manual Ⅱ

Chapter 6 Operating of Troubleshooting


This chapter describes troubles that may occur during operation of this machine and
their corrective actions.

Troubles that may occur more frequently are described first.

6.1 Component Placement Errors

6.1.1 Component placement errors occur over the entire board


(this error occurs on each board repeatedly).

Cause Corrective action


① Data entry error of X- and Y-coordinates on the ① Reset the correct coordinates on the
“Placement” data screen “Placement” data screen (by checking the CAD
coordinates or performing a teaching operation
again).
② BOC mark positioning error or stained mark ② Check the BOC mark and reset it.
Especially if a BOC mark is stained, the Maintain BOC marks so that they cannot be
tendency of a component placement error to stained.
occur may not be uniform.
③ You have taught the component placement ③ Be sure to teach the “placement” data only after
coordinates although you did not execute the creating “PWB” data, and then executing the
BOC alignment function when you created data. “BOC alignment” function. (See Section
2.5.4.4.1 “Mark: BOC.”)
④ You have taught a BOC mark on the “PWB” data ④ If you use CAD coordinates, never teach any
screen although the BOC mark is indicated with BOC mark. If you teach any BOC mark, teach
the CAD coordinates. all component-placement coordinates again.
⑤ If you use CAD data, coordinates of a ⑤ Check the CAD data. If it is incorrect, teach all
component placement position of CAD data or placement data again. However, if all
those of a BOC mark are incorrect. coordinates are shifted in one direction, move
the coordinates of a BOC mark on the “PWB”
data to offset those coordinates. (For example,
if coordinates are shifted by “0.1 mm” in the
X-direction, add “0.1 mm” to the X-coordinates of
all BOC marks.)

6−1
Operation manual Ⅱ

6.1.2 Component placement positions are shifted in various directions over


the entire board (Coordinates are shifted in the different way depending
on a board).

Cause Corrective action


① Any BOC mark is not used. ① Use a BOC mark. If there is no BOC mark on
In this case, the component placement precision the board, execute the template matching
tends to vary depending on a board. function (see Section 2.5.2.3.2).
② A BOC mark is stained. ② Clean the BOC mark.
In this case, coordinates of a component Maintain BOC marks so that they cannot be
placement position tend to vary depending on a stained.
board also.
③ Data entry error in the “PWB thickness” field on ③ Check and/or modify the values in the “PWB
the “PWB” data screen height” and/or “PWB thickness” field(s).
In this case, a board is loosened in the up and (See No. 6) and No. 7) of Section 2.3.3.2.2.)
down directions, and it moves in the X, Y or Z
direction during PWB production. The machine
drops off a component to be placed while the
Z-axis is moving down.
④ The backup pin is not installed properly. For a ④ Reinstall the backup pin. Install it especially
thin board or large board, a component under a component that requires the high
placement error may occur more frequently. placement precision.
⑤ The clearance between the reference pin and ⑤ Use the reference pin appropriate for the
the positioning hole of a board is wide, and a positioning hole of a board. Change the setting
board moves due to vibration generated during of the “Positioning method” field to “Shape
PWB production. Reference.”
⑥ Since the support table moves down quickly, a ⑥ Set the “Down Acceleration” to “Middle” or “Low”
component that has been placed on a board is on the “PWB conveyor” menu invoked from the
moved when the machine releases the clamped “Setting Group” menu of “Machine setup”
board. screen.
(See Section 4.4.4.12.)
⑦ The flatness of the board top side is not enough. ⑦ Decide whether the board is used or not.
If the backup pin position is adjusted, the
flatness may be improved.
⑧ A filter or air tube of the head section is clogged. ⑧ Execute the “Vacuum calibration” command on
In this case, a component is picked up with the the “Set-up group” menu invoked from the “Self
remaining vacuum pressure when the vacuum calibration” menu.
condition is cancelled during placement of the (See Section 4.6.2.6.)
component. If the condition is not improved even after
executing this command, replace the filter or air
tube of the head section with a new one.

6−2
Operation manual Ⅱ

6.1.3 A component placement error occurs at only part of a board.

Cause Corrective action

① Data entry error of X- and Y-coordinates on the ① Set the correct coordinates on the “Placement”
“Placement” data screen data screen (by checking the CAD coordinates
or performing a teaching operation again).
② If you use CAD data, coordinates of a ② Check the CAD data. If it is incorrect, reset the
component placement position of CAD data or coordinates of components placement positions
those of a BOC mark are incorrect. or reset those of the BOC mark.
If coordinates of one BOC mark are shifted,
coordinates of components that are placed
around the BOC mark are shifted greatly.
③ A BOC mark is stained. ③ Clean the BOC mark.
Maintain BOC marks so that they cannot be
stained.
④ Data entry error in the “PWB thickness” field on ④ Check and/or modify the values in the “PWB
the “PWB” data screen height” and/or “PWB thickness” field(s) of the
In this case, a board is loosened in the up and “PWB” data screen.
down directions, and a component placement (See No. 6) and No. 7) of Section 2.3.3.2.2.)
error may occur in the certain area of a board.
Normally, the amount by which the placement
position of a component is shifted from the
regulated position varies depending on a board.
⑤ The backup pin is not installed properly. For a ⑤ Install the backup pin especially under a
thin board or large board, a component component that causes a component placement
placement error may occur more frequently. error.
⑥ Since the support table moves down quickly, a ⑥ Set the “Down Acceleration” to “Middle” or “Low”
component that has been placed on a board is on the “PWB conveyor” menu invoked from the
moved when the machine releases the clamped “Setting Group” menu of the “Machine setup”
board. screen.
(See Section 4.4.4.12.)
⑦ The flatness of the board top side is not enough. ⑦ Decide whether the board is used or not.
If the backup pin position is adjusted, the
flatness may be improved.

6−3
Operation manual Ⅱ

6.1.4 Only a certain type of component is placed at the wrong position.

Cause Corrective action

① Placement data entry error ① Set the correct coordinates on the “Placement”
data screen (by checking the CAD coordinates
or performing a teaching operation again).
② If you use CAD data, coordinates of a ② Check the CAD data. If it is incorrect, reset the
component placement position of CAD data is placement data.
incorrect.
③ Wrong selection of the “Laser position” field on ③ Set the laser position at which the system can
the “Expansion” tab invoked from the center a component stably (see Section
“Component” data screen or wrong selection of a 2.3.5.2.5).
nozzle Or select the largest nozzle that can pick up a
component stably.
④ Wrong setting of the “Placing stroke” field of the ④ Set the appropriate value in the “Placing stroke”
“Add info” tab invoked from the “Component” field.
data screen (See Section 2.3.5.2.4.)
⑤ An IC mark positioning error occurs or an IC ⑤ Set the coordinates of an IC mark again (If you
mark is stained. teach them, check that they are correct).
Even though you move a BOC mark, Maintain IC marks so that they cannot be
coordinates of a component that uses an IC stained.
mark are not changed.
⑥ The backup pin is not installed properly. For a ⑥ Install the backup pin especially under a
thin board or large board, a component component that causes a placement error.
placement error may occur more frequently.
Normally, a component placement error occurs
over the certain area of a board.
⑦ Since the support table moves down quickly, a ⑦ Set the “Down Acceleration” to “Middle” or “Low”
component that has been placed on a board is on the “PWB conveyor” menu invoked from the
moved when the machine releases the clamped “Setting Group” menu of the “Machine setup”
board. screen.
Especially if adhesiveness of solder cream is (See Section 4.4.4.12.)
low, a component such as an electrolytic
capacitor that requires a small placement area
with considering its weight may cause a
placement error more frequently.

6−4
Operation manual Ⅱ

6.1.5 Component placement angle error

Cause Corrective action

① Data entry error in the “Angle” field of the ① Enter the component placement angle again.
“Placement” data screen
② Data entry error in the “Supply angle” field of the ② Set the “Supply angle” on the “Packaging style”
“Component” data screen tab invoked from the “Component” data screen
The component placement angle for PWB again.
production is calculated with the expression: (See 5) of Section 2.3.5.2.2.)
“Supply angle” (set on the “Component” data
screen) + “Angle” (set on the “Placement” data
screen) according to the packaging style of a
component.
Packaging Supply angle* Angle (on the Component
style (on the Placement placement
Component data screen) angle during
data screen) production
90° 180°

− Note that only the supply angle is specified


clockwise.
③ Nozzle selection error ③ Select a nozzle again.
Since the system cannot pick up a component Select a nozzle that can pick up a component
stably in this case, the component placement stably.
angle and/or placement coordinates tend to vary. Normally, select the large nozzle among nozzles
that can pick up a component with considering
the picked-up area of a component.
(See Section 1.4.1.3.)
④ For a long connector, the speed for rotating the ④ Decide if a customized nozzle should be used.
theta axis is fast with considering the area to be Or, select “Med.” or “Low” in the “Theta” field on
picked up by a nozzle. the “Expansion” tab invoked from the
Since the system cannot pick up a component “Component” data screen.
stably also in this case, the component (See Section 2.3.5.2.5.)
placement angle and/or placement coordinates
tend to vary.

6−5
Operation manual Ⅱ

6.2 Component Pick-Up Errors

Cause Corrective action

① Data entry error in the field of component pick-up ① Set the “Pick position” (X, Y) again.
coordinates (X, Y) on the “Pick” data screen
For a tray component, values in the “Pilot
Position” fields and those in the “Pitch between
components” fields become the initial values in
the “Pick position” on the “Pick” data screen.
Therefore, be sure to enter values into the “Pilot
Position,” “Pitch between components” and “No.
of columns/rows” fields correctly.
② Data entry error in the “Z” of the “Pick position” ② Set the “Z” of the “Pick position” field again.
field on the “Pick” data screen
In this case, a nozzle cannot reach a
component, or cannot pick it up because the
nozzle pushes it too much.
③ Nozzle selection error ③ Select a nozzle that can pick up a component
Especially if the nozzle is too small for a stably.
component to be picked up, the nozzle cannot Normally, select the large nozzle among nozzles
pick it up, or drops it off even though the nozzle that can pick up a component with considering the
picks it up. picked-up area of a component.
(See Section 1.4.1.3.)
④ Data entry error in the “Placing stroke” field on ④ Set an appropriate value in the “Placing stroke”
the “Add info” tab invoked from the “Component” field.
data screen (See Section 2.3.5.2.4.)
⑤ The surface of a component is markedly uneven. ⑤ Select “Med.” or “Low” in the “Pick Z down” and
“Pick Z up” fields on the “Expansion” tab invoked
from the “Component” data screen.
(See Section 2.3.5.2.5.)
⑥ The surface of the laser sensor is stained. ⑥ Clean the surface of the laser sensor.
(Refer to Section 4.3.4 of the “Operation Manual I.”)
⑦ Data entry error in the “Pitch between ⑦ Specify the appropriate value in the “Pitch
components” field on the “Component” data between components” field on the “Packaging
screen style” tab invoked from the “Component” data
screen.
(See Section 2.3.5.2.2.)
⑧ Setting mistake of the “CDS Height” on the ⑧ Set the “CDS Height” on the “Component” data
“Component” data screen screen again.
(Applicable to the IC head of a KE-2080 only) Adjust the CDS height to place a component
described on the left so that the component
The IC head of a KE-2080 detects existence of a
existence sensing section of the CDS can
component not with laser to detect a line but with
necessarily be shielded from light by the
a fiber sensor called “CDS” to detect a point.
component.
Therefore, the IC head cannot detect whether a
component exists or not according to the default
CDS height setting value if the component is a
special component whose center section is
dented.

6−6
Operation manual Ⅱ

6.3 Laser Recognition (Component Recognition) Errors

Cause Corrective action


① The surface of the laser sensor is stained. ① Clean the surface of the laser sensor.
(Refer to Section 5.3.4)
② Data entry error in the “Laser position” field on ② Specify the height that allows the system to center
the “Component” data screen a component stably in the “Laser position” field on
the “Expansion” tab invoked from the
“Component” data screen again.
As the laser position, set the distance (negative
value) from the tip of a nozzle to the position on
which laser beam impinges.
(See Section 2.3.5.2.5.)
③ Nozzle selection error ③ Select a nozzle that can pick up a component
Since the system cannot pick up a component stably.
stably in this case, the system cannot measure a Normally, select the large nozzle among nozzles
component at the laser position you set. that can pick up a component with considering the
picked-up area of a component.
(See Section 1.4.1.3.)
④ “Comp Shape” setting mistake for laser ④ Check the setting of the “Comp Shape” field on
recognition the “Expansion” tab invoked from the
“Component” data screen.
When laser recognition error 93 (shape
recognition error) occurs frequently, change the
component shape.
When "No missing edge" is selected → "Missing
edge" and "Flexible"
When "Missing edge" and "PLCC" are selected →
"Flexible"
(See Section 2.3.5.2.5.)
⑤ Laser sensor malfunction ⑤ Perform the edge check by selecting the
[Control]/[Head]/[Laser control] commands on the
“Manual control” menu. If the level is displayed
above the red line, clean the laser sensor gently.
(See Section 5.5.4.)
- If the same trouble occurs even after cleaning
the laser sensor, contact JUKI Service
department.
⑥ Setting mistake of the menu item “Outer ⑥ Check to see if the correct component dimensions
dimensions” on the “Component” data screen are entered in the menu item “Outer dimensions”
(“Width” and “Length” fields) on the “Component”
data screen. (See Section 2.3.5.2.)

6.4 Nozzle Attachment/Detachment Error

Cause Corrective action


① The surface of the laser sensor is stained. ① Clean the surface of the laser sensor.
(Refer to Section 5.3.4)
② The ATC is stained. ② Clean the ATC. Clean dust and grease from the
ATC. (Refer to Section 5.3.4)
③ The nozzle is not installed onto the ATC firmly. ③ Move the slide plate and check that the nozzle is
In this case, the nozzle may be cracked. After inserted into the hole of the ATC firmly.
returning it to its home position, move the slide
plate of the ATC to check the nozzle installation
condition.
④ Setting error of the “ATC nozzle setup” on the ④ Set the “ATC nozzle setup” on the “Machine
“Machine setup” menu setup” menu again.
(See Section4.4.4.1.)

6−7
Operation manual Ⅱ

6.5 Mark (BOC Mark/IC Mark) Recognition Errors

Cause Corrective action

① A mark is stained. ① Manage marks so that they cannot be stained.


Set the noise cut level of a mark again.
(See Section 2.5.2.3.)
② Data entry error of the X and Y coordinates of a ② Specify the X and Y coordinates of a mark again.
mark If you change the coordinates of a mark, those of a
component placement position change also.
Therefore, after changing the coordinates of a
mark, be sure to check the coordinates of the
component placement position too.
③ Mark inspection frame setting error ③ Set the mark inspection frame again.
Especially if the mark inspection frame is too (See Section 2.5.2.3.)
small, a mark may be located outside the frame
easily due to the PWB position error caused
when the machine clamps it. If there is any
substance whose color is the same as that of a
mark around the mark, determine the size of the
inspection frame with considering the error
generated when the machine clamps a PWB
(including an error of a PWB itself).

④ The mark material is bad. ④ Check the mark material.


Check that the specifications of a mark satisfy the
requirements described under “3. Board
Recognition Marks” of Section 1.4.4.
Mark coating should be any of transparent
antioxidant coating, nickel-plated, tin-plated,
gold-plated and hot-air-level solder coating.
⑤ Mark polarity setting error ⑤ Set the polarity again.
A white mark is specified as a black one, and a * When you press the [CAMERA] button of the
black mark (for a ceramic board) is specified as HOD, which is pressed for the first time to teach
a white one. a mark again, the polarity is reversed.
(See Section 2.5.2.3.)
⑥ The OCC is stained. ⑥ Clean the OCC.
Or the polarizing filter setting error. Or readjust the polarizing filter.
(Refer to Section 5.3.12)

6−8
Operation manual Ⅱ

6.6 Vision Recognition Errors

Cause Corrective action

① The VCS camera is stained. ① Clean the VCS camera. (Refer to Section 4.3.5)
② Vision data” creation error ② Check the “Vision data.”
This error occurs more frequently due to lead (See Section 2.3.7.)
(ball) pitch and number of leads (balls) entry
errors.
Be sure to enter the lead pitch and number of
leads as correctly as possible.
Especially for a general-purpose vision
component, enter the correct first element
distance of the element group (± 0.05 mm).
③ Data entry error in the “Supply angle” and ③ Set the “Supply angle” and “Height” fields on the
“Height” fields “Component” data screen again.
Especially for a unidirectional lead component or (See Sections 2.3.5.2.1 and 2.3.5.2.2.)
bi-directional lead component, specify the supply
angle so that it can be the JUKI reference angle
(for example, its leads can be on the upper side
of a unidirectional lead component).
④ Improper reflectivity of a lead ④ Change the value of the light pattern on the
In this case, the system cannot recognize a lead “Light Control” data screen invoked from the
since the lead is too bright or too dark. “Control” menu of the “Vision” data screen: set
the larger value when the lead is dark, and the
smaller value when it is bright.
(See Section 2.3.7.5.)
⑤ Insufficient reference brightness of the VCS ⑤ Adjust the quantity of light of the LED. (See
Section 2.3.7.6.)

• If the trouble is not recovered even after you


execute the command above, obtain the VRAM
data and send it to JUKI Service Department.
<How to obtain the VRAM data>
i. Press the Ctrl, F12 (or Fn + F2)keys of a
keyboard at the same time while the error image
is displayed on the monitor.
- This operation automatically creates a file
whose name “Vram***.img”, where “***” is a
serious number generated according to your
pressing the Ctrl + F12 keys.
ii. Select the [File]/[File Management] commands
on the main screen, and select the folder
“D:¥juki¥data¥vram.”
iii. Save the file “vram***.img” onto a floppy disk or
another media.

6−9
Operation manual Ⅱ

6.7 Other Errors

Symptom Cause Corrective action


1) The <START>/<STOP> When the cover on the side Close the cover located on the opposite side.
switches cannot function. opposite to these switches is
opened, they are disabled for
safety.
2) You cannot refer to the The database file is not set Set the database file correctly on the "Environment
database. correctly on the “Environmental setting" screen invoked from the "File" menu of the
setting” screen of the “Program "Program Editor" utility.
Editor” utility. Check to see if the "Use Flexline Database" check box
is checked also. (See Section 2.5.3)
When the IS system is used, the "Use IS Component
Database" check box should be checked.
(If the connection to the server is disturbed, it also
causes an error.)

3) If you optimize an optimized The “Pick Data” field is not set Make setting of the “Pick Data” field on the
program again, it changes correctly on the “Assignments” “Assignments” option tab of the “Optimization” screen
the current feeder option tab of the “Optimization” as follows:
assignment. Or even screen.
If you want to change the current feeder assignment
though you try to change
⇒ Select “Auto assign all data.”
the current feeder
assignment by the Otherwise
Optimization function, it ⇒ Select “Use manual position assignment.”
does not change the feeder
assignment. (See Section 2.4.1.2.1.)

4) A component placement Data entry error in the “PWB Set the “PWB layout offset” or “Circuit layout offset”
position is outside a PWB layout offset” or “Circuit layout field again so that coordinates of a component
(or circuit). offset” field on the “PWB” data placement position viewed from the PWB origin can
screen be within a PWB or circuit.
(See Section 2.3.3.2.)
5) For a connector, laser Data entry error in the “Pick Enter the distance from the top side of a component
beam impinges the depth” field on the “Component” to the bottom side of a nozzle in the “Pick depth”
connector when the system data screen field.
centers the connector.
Nozzle
Pick depth
Component
height

6) The system cannot ① The length and width of a ① Enter the “Width” and “Length” fields correctly with
measure a component. component are set taking consideration into the component supply
oppositely. angle. (See 5) of Section 2.3.5.)
② The surface of the laser ② Clean the surface of the laser sensor.
sensor is stained.
③ Nozzle selection error ③ Select a nozzle again.
(See Section 1.4.1.3.)

6 − 10
Operation manual Ⅱ

Symptom Cause Corrective action


7) The system cannot pick up a ① Data entry error in the “Height” field ① Enter the distance from the bottom
component supplied by an on the “Component” data screen side of a nozzle to that of a
MTC/MTS. component (including a lead) in the
“Height” field.
② Shuttle teaching error ② Set the [Setting Group]/[MTC
shuttle pick position] commands on
the “Machine setup” menu.
(See Section 4.4.4.5.)
③ Nozzle selection error ③ Select a nozzle that can pick up a
component stably.
(See Section 1.4.1.3.)
8) The system does not pick up ① Since the system has taught the ① Teach the coordinates (X, Y) of the
two or more components at coordinates (X, Y) of the component component pickup position set on
the same time. pick-up position set on the “Pick” the “Pick” data screen again so that
data screen, the distance between the distance between components
components whose pick-up whose pick-up positions are
coordinates are specified exceeds specified can be within the range
the range within which the system within which the system can pick up
can pick up components at the two or more components at the
same time. same time.
<Range within which the
system can pick up
components at the same time>

Nozzle number Range


501 0.075 mm
500, 502, 503 0.15 mm
504 0.25 mm
505, 506 0.4 mm
507, 508C 1.0 mm
509 0mm

Example: For the No. 508 nozzles,


they cannot pick up
components at the same
time if the distance
between the MNLA L1
head and the L2 head
exceeds 17 mm ± 0.15
mm.

② The system checks if the ② Check the “NO” of radio button


component pick-up position is “Auto correct pick” on the “Add info”
corrected. Since the system tab invoked from the “Component”
automatically corrects coordinates data screen.
of the component pick-up position (See Section 2.3.5.2.4.)
during PWB production in this case,
at some point of production the
distance between components may
exceeds the range within which the
system can pick up components at
the same time.
③ The No. 509 nozzle is used for a ③ When the machine picks up 0402
0402 component. components only, it has to pick
them up stably, so the machine
always picks up 0402 components
not simultaneously but sequentially.
Therefore, the simultaneous
component pick-up range of the No.
509 nozzle dedicated for 0402
components is set to “0 mm.”

6 − 11
◆ Revision record

Rev. Date Revised locations Revision contents Remarks


00 Jan.2007 First edition First
edition
00a Mar.2007 Revised
01 Jun.2007 <For the Main Unit Revised
Version 1.1>
02 Nov.2007 P1-2 to 5 <For the Main Unit Revised
P4-32, 33, 37 Version 1.21>
P5-1, 18, 24

03 Jul.2008 P1-13 <For the Main Unit Revised


P2-58, 60, 62, 63, 123, 124 Version 1.30>
P4-33, 55, 56, 60
P5-1, 2, 8, 14, 20, 27, 28
04 Dec. 2008 Chapter 1,2,4,5 Revised <For the KE-2080R> Revised
<For the Main Unit
Version 2.00>
05 Jul.2009 P1-1~4,14,16~17 <Added IS Ver2.00> Revised
P2-7,62,144,156,158 P3-9,16
<For the Main Unit
Chapter 4
Version 2.00>
P5-1,15,27,28 P6-10
06 Feb.2010 P1-1,3,4,5,18 P2-32,52 <Added Revised
P4-40,41,66,75 Placement Monitor.
P5-1,18,26
<For the Main Unit
Version 2.50>
07 Aug.2010 P1-3,4,5,6,15,18,21 Added KE-2070C Revised
P2-15,17,61
P4-45,55 Added “Longer sized
PWB in X axis”, “Lighting
unit of solder recognition”
and “Component
Quantity Control”.
<For the Main Unit
Version 2.81>
08 Feb.2011 P1-1,2,3,4,11,18 Added Placement Monitor. Revised
P2-4,41,48,83 <KE2080/2080R>
P4-41,42,47,49,50,54,57,62,76,79,
85,86,92,102,106 <For the Main Unit
P5-1.12,13,14,15,16.17 Version 2.84>
ELECTRONIC ASSEMBLY & TEST SYSTEMS DIVISION
INTERNATIONAL SALES & MARKETING DEPT.
2-11-1, Tsurumaki, Tama-shi, Tokyo 206-8551, JAPAN
PHONE: 81-42-357-2293 FAX: 81-42-357-2285

http://www.juki.co.jp/

Copyright © 2007-2011 JUKI CORPORATION The specification and appearance may be changed without notice.
All rights reserved throughout the world. Original Instructions

You might also like