Download as pdf or txt
Download as pdf or txt
You are on page 1of 152

Introducing IEEE Collabratec™

The premier networking and collaboration site for technology


professionals around the world.

IEEE Collabratec is a new, integrated online community where IEEE members,


Network.
researchers, authors, and technology professionals with similar fields of interest
can network and collaborate, as well as create and manage content. Collaborate.
Featuring a suite of powerful online networking and collaboration tools, Create.
IEEE Collabratec allows you to connect according to geographic location,
technical interests, or career pursuits.

You can also create and share a professional identity that showcases key
accomplishments and participate in groups focused around mutual interests,
actively learning from and contributing to knowledgeable communities.
All in one place!

Learn about IEEE Collabratec at


ieeecollabratec.org
FALL 2017
VOL. 9 • NO. 4
www.ieee.org/sscs-news

features
18 Fully Depleted Silicon
on Insulator Devices CMOS
By Andreia Cathelin

27 Digital Circuits for Mobile Computing


By Alice Wang and Hugh Mair

34 Low-Power Wireless Systems about this image:


for Hospital Patient Monitoring Security is important in IC design, to prevent instances such as this gray
box attacker model. Find out more in the article “Security Adds an Extra
By Alison Burdett Dimension to IC Design.”

41 Security Adds an Extra Dimension


to IC Design
By Ingrid Verbauwhede

46 Designing Hardware for Machine Learning columns/departments


By Vivienne Sze 3 contributors
4 EDITOR’S NOTE
55 Embedded Deep Neural Network Processing 5 President’s Corner
By Marian Verhelst and Bert Moons
7 ASSOCIATE EDITOR’S VIEW
8 china connection
66 Innovation, Startups, and Funding 10 circuit intuitions
in the Age of Accelerations
By Terri S. Fiez, Mar Hershenson, Lucy Sanders,
13 A Circuit for All Seasons
and Catherine Ashcraft 17 guest editorial
98 Chapters
73 Nanoscale MOSFET Modeling 125 People
By Christian Enz, Francesco Chicco, 133 SOCIETY news
and Alessandro ­Pezzotta 136 conference reports
145 IEEE NEWS
82 Neutralization Techniques 148 CONFERENCE CALENDAR
for High-Frequency Amplifiers
By Payam Heydari

90 Challenges of Physiological Signal


Measurements Using Electrodes
By Long Yan and Joonsung Bae

Digital Object Identifier 10.1109/MSSC.2017.2738741

IEEE SOLID-STATE CIRCUITS MAGAZINE fa l l 2 0 17 1


Administrator, Abira Sengupta Terms to 31 Dec. 2018
IEEE SSCS, 445 Hoes Lane Mike Beunder, Hideto Hidaka, Bezhad Razavi,
IEEE solid-state Piscataway, NJ 08854 USA Ali Sheikholeslami, Zhihua Wang
circuits magazine Tel: +1 732 562 2676 Terms to 31 Dec. 2019
Editor-in-Chief Administrator, Lauren Caruso Jan Craninckx, Terri Fiez, Tadahiro
R. Jacob (Jake) Baker IEEE SSCS, 445 Hoes Lane Kuroda, Ingrid Verbauwhede, Alice Wang
rjacobbaker@gmail.com Piscataway, NJ 08854 USA Region 7 Representative
Tel: +1 732 562 3871 Ali Sheikholeslami
Tutorials Editor
Willy Sansen SSC Administrative committee Region 8 Representative
willy.sansen@esat.kuleuven.be President, Jan Van der Spiegel Bram Nauta
University of Pennsylvania
TECHNOLOGY EDITOR Region 10 Representative
Vice-President, Bram Nauta
Open University of Twente Hideto Hidaka
Secretary, Mike Beunder Chairs of Standing Committees
Associate Editor for the far east Awards—John J. Corcoran
Zhihua Wang TD Shepherd & Co.
Chapters—Stefan Rusu
zhihua@tsinghua.edu.cn Treasurer, Wanda Gass Education—Ali Sheikholeslami
Design, Connect, Create Meetings—Bill Bowhill
ASSOCIATE EDITOR FOR
EUROPE AND AFRICA Past President, Bill Bidermann Membership—Patrick Yue
Marcel Pelgrom BK Associates Nominations—Bill Bidermann
pelgromconsult@kpnmail.nl Other Representatives Publications—John Long
Representative to Sensors Council
ASSOCIATE EDITOR Darrin Young
Ali Sheikholeslami
ali@eecg.utoronto.ca Representative to CAS from SSCS IEEE Periodicals/
Shanthi Pavan
Magazines Department
CONTRIBUTING EDITORs Representative to SSCS from CAS
Behzad Razavi Andrei Vladimirescu Senior Managing Editor
razavi@ee.ucla.edu Geraldine Krolin-Taylor
Representatives to EDA Council
Tom Lee Bryan Ackland, Vivek Tiwari senior Art Director
tomlee@ee.stanford.edu Janet Dudar
Representatives to ISSCC
Anantha Chandrakasan ASSOCIATE Art Director
News Editor Gail A. Schnitzer
Abira Sengupta Representative to CICC
abira.sengupta@ieee.org Ramesh Hijani production coordinator
Representative to IEEE YP Program Theresa L. Smith
MAGAZINE ADVISORY COMMITTEE
Chair: Rakesh Kumar. Jake Baker, Emre Ayranci Staff Director, Publishing Operations
Bill Bidermann, Glenn Gulak, Representatives to Nanotechnology Council Fran Zappulla
Erik Heijne, ­Hideto Hidaka, Ian Young Editorial Director
Richard Jaeger, Michael Kelly, Representative to Technical Committee on RFID Dawn M. Melley
Tom Lee, Marcel Pelgrom, Jeffrey Walling Production Director
Willy Sansen, Abira Sengupta,
Representative to Engineering Technology Peter M. Tuohy
Ali Sheikholeslami, Lewis Terman,
Management Society Advertising Production Manager
Alice Wang, Patrick Yue
Mike Beunder Felicia Spagnoli
Representative to Biometrics Council Director, Business
Bruce Hecht Development—Media & Advertising
Representative to Internet of Things (IoT) Mark David
IEEE solid-state Andreia Cathelin +1 732 465 6473, FAX: +1 732 981 1855
circuits society Representative to IEEE 5G m.david@ieee.org
Aarno Pärssinen www.ieee.org/ieeemedia
Executive Director, Michael Kelly
IEEE SSCS, 445 Hoes Lane Elected AdCom Members at Large IEEE prohibits discrimination, harassment,
Piscataway, NJ 08854 USA Terms to 31 Dec. 2017 and bullying. For more information,
Tel: +1 732 981 3400 Andreia Cathelin, Pavan Hanumolu, visit http://www.ieee.org/web/aboutus/
sscs-staff@ieee.org Kofi Makinwa, Roland Thewes, Patrick Yue whatis/policies/p9-26.html.

SCOPE: Each issue of IEEE Solid-State Circuits Magazine is envisioned as a self-contained


resource for fundamental theories and practical advances within the field of integrated cir-

“Why Diversity Matters” ©NCWIT, used with permission.


cuits (ICs). Written at a tutorial level and in a narrative style, the magazine features articles
by leaders from industry, academia and government explaining historical milestones, current
trends and future developments.
contact information: See the “Contact Us” page on SSCS Web site: http://ewh.ieee.
org/soc/sscs/index.php?option=com_content&task=view&id= 10&Itemid=3.
IEEE Solid-State Circuits Magazine (ISSN 1943-0582) (SCMOCC) is published quarterly by The
Institute of Electrical and Electronics Engineers, Inc. Headquarters: 3 Park Avenue, 17th Floor,
circuit pattern—footage firm, inc.

New York, NY 10016-5997, USA +1 212 419 7900. Responsibility for the contents rests upon
the authors and not upon the IEEE, the Society, or its members. The magazine is a member-
ship benefit of the IEEE Solid-State Circuits Society, and subscriptions are included in Society
fee. Replacement copies for members are available for US$20 (one copy only). Nonmembers
can purchase individual copies for US$183.00. Nonmember subscription prices are available
on request. Copyright and Reprint Permissions: Abstracting is permitted with credit to the
source. Libraries are permitted to photocopy beyond the limits of the U.S. Copyright law for
private use of patrons: 1) those post-1977 articles that carry a code at the bottom of the first
page, provided the per-copy fee indicated in the code is paid through the Copyright Clearance
Center, 222 Rosewood Drive, Danvers, MA 01970, USA; and 2) pre-1978 articles without fee.
For other copying, reprint, or republication permission, write to: Copyrights and Permissions
Department, IEEE Service Center, 445 Hoes Lane, Piscataway NJ 08854 USA Copyright © 2017
by The Institute of Electrical and Electronics Engineers, Inc. All rights reserved. Periodicals about the cover:
postage paid at New York, NY, and at additional mailing offices. Postmaster: Send address The first seven articles in this issue were
changes to IEEE Solid-State Circuits Magazine, IEEE, 445 Hoes Lane, Piscataway, NJ 08854 USA. written by women in leadership roles in the
Canadian GST #125634188 Printed in USA
IEEE Solid-State Circuits Society, highlighting
Digital Object Identifier 10.1109/MSSC.2017.2767838 the wide-ranging contributions of the Society.

Promoting Sustainable Forestry

SFI-01681

2 fa l l 2 0 17 IEEE SOLID-STATE CIRCUITS MAGAZINE


contributors

Andreia Cathelin Vivienne Sze is an LUCY SANDERS is


h a s b e en w it h S T- associate ­professor in chief executive offi-
Microelectronics, the Electrical Engi­­ne­ cer and cofounder of
Crolles, France, since e­ring and Computer the National Center
1998, where she is Science Department at for Women and Infor-
now a fellow in Tech- the Massachusetts In- mation Technology,
nology R&D. stitute of Technology. Boulder, Colorado.

Alice Wang is an MArian Verhelst CATHERINE ASHCRAFT


assista nt genera l is an assistant profes- is a senior research
ma­­nager in high-per- sor at the Micro-Elec- scientist w ith t h e
formance processor tronics and Sen­­­­s ors National Center for
technolog y at Me- Laborator­­i es of the Women and Informa-
diaTek and chair of Electrical ­Engineer­ing tion Technology at the
the IEEE Solid-State Circuits Society Department at KU Leuven, Belgium. University of Colorado, Boulder.
Women in Circuits Committee.

H u g h M a i r is a n Bert Moons is a Christian Enz is


a ssist a nt g e n e r a l research assistant with the Swiss Fed-
­m a ­­n a g e r i n h ig h - with the Micro-Elec- eral Institute of Tech-
performance proces- tronics and Sensors nology (EPFL), where
sor te c h n olog y at Laboratories of the he is currently a pro-
M e d i aTek Electrical Engineer- fessor, director of the
ing Department, KU Leuven, Belgium. Institute of Microengineering, and
head of the IC Lab.

Alison Burdett is Terri S. Fiez is the Francesco Chicco


the chief scientific vice chancellor for is work ing toward
officer of Sensium and research and inno- his Ph.D. degree as a
has over 30 years of v at ion at t h e Un i- member of the IC Lab
experience in elec- versity of Colorado, at EPFL.
tronic engineering and Boulder.
semiconductor design.

INGRID V ER B A U - MAr Hershenson Alessandro Pez-


W HEDE h e ads t h e is a cofounder and zotta joined EPFL’s
embedded systems managing partner IC Lab in 2016 as a sci-
and hardware team at Pejman Mar Ven- entist, where he works
of the research group tures, a seed-stage on semiconductor de-
COSIC at KU Leuven, in­­v estment firm in vice modeling.
Belgium. Palo Alto, California.

Digital Object Identifier 10.1109/MSSC.2017.2745918


Date of publication: 16 November 2017 (continued on p. 12)

IEEE SOLID-STATE CIRCUITS MAGAZINE fa l l 2 0 17 3


editor’s note

R. Jacob Baker

Welcome to the Fall 2017 Issue of


IEEE Solid-State Circuits Magazine!

T
This issue of IEEE Solid-State Circuits Additional articles of interest perhaps, a different way of looking
Magazine offers seven articles from in this issue include 1) part 2 of the at things for those already working
members of the IEEE Solid-State Cir- article on nanoscale MOSFET mod- in the field.
cuits Society (SSCS) Women in Cir- eling for the design of low-power The goal of the magazine contin-
cuits Committee. Guest Editor Wanda analog and RF circuits by Chris- ues to be to provide Society news
Gass has assembled an impressive tian Enz, Francesco Chicco, Alessan- and information as well as a series
set of tutorials to highlight contribu- dro Pezzotta, 2) Payam Heydari’s of self-contained resources to keep
tions of female leaders in the SSCS. article on neutralization techniques SSCS members current with changes
These articles focus on the design for high-frequency amplifiers, and in technology while, at the same time,
challenges of the following: 3) an article on the challenges of providing reviews of circuit design
■■ 28-nm fully deplete silicon on insu- physiological signal measurements concepts. This includes contributions
lator by Andreia Cathelin using electrodes by Long Yan and from experts describing the current
■■ mobile c ­ omputing by Alice Wang Joonsung Bae. state of affairs and evolution of a
and Hugh Mair We also have the regular, and ever- particular IC technology. We will also
■ ■ p at ie nt monitoring by A lison popular, editorials/tutorials from continue to feature articles focused
Burdett Marcel Pelgrom, Ali Sheikholeslami, on the contributions of luminaries.
■■ security circuits for the Internet and Behzad Razavi in this issue. Of course, suggestions from readers
of Things by Ingrid Verbauwhede Marcel’s article discusses the impor- are always welcome.
■■ machine learning by Vivienne Sze tance of wasting time, a forgotten This issue marks end of my third
■■ neural networks by Marian Ver- art he would argue. As always, his year as editor-in-chief (EIC) of the
helst and Bert Moons. article is a great read. Ali’s column is a magazine. While I have signed-up
The last article in this special sec- thought-provoking look at sinusoidal for another three years, until the
tion is an interview in which Terri Fiez circuits. The article pro­­vides, as the fall of 2020, I would like to publicly
explores the startup landscape for series of his article name indicates, thank the people I work closely with on
IC products with venture capitalist circuit intuitions when looking at res- every issue for their contributions,
Mar Hershenson and discusses the onant, that is LC, circuits. including Geri Krolin-Taylor (and
business case for the diversity of Behzad’s series, “A Circuit for All her crew!), Abira Sengupta, Behzad
startups with Lucy Sanders and Cath- Seasons,” points the spotlight on the Razavi, Ali Sheikholeslami, Marcel Pel-
erine Ashcraft of the National Center decision feedback equalizer (DFE). grom, and Rakesh Kumar. I greatly
for Women and Information Tech- The article is an i­ntroduction to equal- enjoyed reading the magazine when
nology. The mix of articles in this ization, and why it’s need ­­­ed, fol- Mary Lanzerotti and Dick Jaeger were
issue underscores the wide-ranging lowed by why DFE is used. Behzad’s EIC and chair of the SSC Magazine
contributions of our members that thought f ul selection of topics in Advisory board, respectively, and I
make the world a better place by ad­­­ this series gives a concise introduc- am proud to attempt to continue their
vancing the s ­tate of the art in the tion to a great variety of circuits good work today. As always we hope
solid-state circuits industry. and their uses while, at the same you enjoy reading IEEE Solid-State Cir-
time, providing a good summary cuits Magazine.
Digital Object Identifier 10.1109/MSSC.2017.2745879 of techniques for those look ing
Date of publication: 16 November 2017 at refreshing their knowledge or, 

4 fa l l 2 0 17 IEEE SOLID-STATE CIRCUITS MAGAZINE


President’s Corn er

Jan Van der Spiegel

Reflections

T
The IEEE Solid-State Circuits Soci- recognize professionals active in the Branch Chapters located worldwide.
ety (SSCS) has always, and will con- field of solid-state circuits. This number continues to grow due
tinue to have, a profound and positive Thanks to the work of SSCS Mem- to the efforts of Stefan, who facilitates
impact on me, both in my career bership Chair Patrick Yue, we enjoyed Chapter chair meetings at regional
and personal life. So allow me to a steady incremental growth in our SSCS conferences to share informa-
begin my final “President’s Corner” membership. But more importantly, tion, encourage participation, and cel-
column with a heartfelt and sincere we see a balancing between indus- ebrate our Chapter activities.
thank you—to you, my fellow mem- try and the academic memberships. Our Women in Circuits (WiC) Pro-
bers—for allowing me the honor Membership programs created in gram, which started with the founda-
and pri­­­vilege to have served as our 2016 and 2017 included the de- tion of a standing committee in 2016,
Society’s president. velopment of the SSCS mobile app, supports our inclusion and diversity
Looking back over the last two IEEE Volta, to inter- initiatives from the
years, I am filled with a sense of est students in elec- 2020 strategic plan to
deep satisfaction, pride, and ap­­ trical engineering and increase female mem-
Several major
preciation for the progress and hard circuit design as a ber recruitment, re-
programming
work achieved by our team of volun- career path, to ensure tention, and advance­­
initiatives and
teers on the Administrative Commit­­tee a future workforce for ment into leadership
added member
(AdCom), our g rassroots leader- our global profession. roles within the Soci-
benefits were
ship, and our administrative staff. In addition, a series et y. SSCS welcomed
launched over the
We have experienced two banner of campaigns was set four new female Ad-
past two years
years of growth, membership ser- up to enhance and Com members this
that we can all
vice, new product development, and in­­­­­c rease our overall past year to our Board.
be proud of and
engagement activity. I would like SSCS membership. For Highlights from 2017
enjoy for many
to thank our Chapter chairs, volun- example, a senior mem- activities include great
years to come.
teers, and leaders for their tireless bership campaign was programs such as the
dedication and hard work. undertaken to increase June Bay Area WiC net-
Several major programming ini- and support the eleva- working luncheon,
tiatives and added member benefits tion of IEEE Members to Senior Mem- which featured speakers from Apple
were launched over the past two ber status. Details on qualifications a n d the University of California,
years that we can all be proud of and and how to become a Senior Mem- Berkeley. Networking events were also
enjoy for many years to come. Work- ber are outlined on the SSCS website: held at the 2017 International Solid-
ing in line with the Society’s 2020 http://sscs.ieee.org/membership/ State Circuits Conference (ISSCC), VLSI
strategic plan, your volunteers and become-a-senior-member. Symposium 2017, and 2017 European
I have spent time on understand- I would also like to recognize the Solid-State Circuits Conference. Thanks
ing where our priorities should be achievements and efforts of Stefan to the efforts of Alice Wang, our WiC
and on what the Society needed to Rusu, our SSCS Chapters chair. Under committee chair, and Wanda Gass,
focus. The underlying mission of h i s l e a d e r s h ip, t h e r e h a s b e e n our WiC vice committee chair, we have
the strategic plan was to serve and a steady increase in our Chapters and seen a 25% growth in female members
engagement activities at the grass- since 2016.
Digital Object Identifier 10.1109/MSSC.2017.2746159 roots level. We now have 106 Chap- Our Society launched a new web-
Date of publication: 16 November 2017 ters, Joint Chapters, and Student site, which debuted at ISSCC 2016

IEEE SOLID-STATE CIRCUITS MAGAZINE fa l l 2 0 17 5


and brought the first Xplore-ready to assist students with travel to anniversary in the Fall 2016 issue of
mobile reader to IEEE by exclusively SSCS-supported conferences to aid IEEE Solid-State Circuits Magazine and
contracting with FirstIron to license in their educational needs. Addition- on the SSCS website.
BrowZine. BrowZine is a tablet and ally, we have also implemented new The SSCS conference portfolio
smartphone-based e-reader that pro- programs, including the Society’s remains a vital service and engage-
vides members with a convenient massive open online course (MOOC) ment activity for our global commu-
and easy way to read articles from series. The MOOCs were produced in nity and membership. Of particular
current and past issues of IEEE Jour- concert with our flagship conferences note was CICC 2017, held in Austin,
nal of Solid-State Circuits and IEEE and will help to build career aware- Texas. The conference was a great
Solid-State Circuits Magazine. ness in circuit design. VLSIx was success, with more than 300 people
Along with my fellow SSCS lead- launched in 2016 and is now available in attendance. There was a wide array
ers and the AdCom, our Society has on the SSCS Resource Center. CICCx of techn ic a l s ession s , a r ivet i ng
worked diligently to build program- will be available later this year. plenary session, and networking op­­
ming and services to enhance member The SSCS Resource Center provides a portunities. The conference was a
recognition and re­­ centralized location perfect blend of information gather-
ward the loyalty of to house all of the ing and learning. Additional infor-
our almost 10,000 Society’s educational mation about CICC 2017 can be found
members. In this mag-
Let me be the mater ia l, ­i ncluding in the summer issue of IEEE Solid-
azine, you may have
first to welcome short courses, tutor i- State Circuits Magazine.
noticed a new feature
our incoming als, and webinars. These The progress made within our
in the “People” column
president, Prof. resources are avail- Society is an indicator of our contin-
called “In Step with,”
Bram Nauta, and able to SSCS mem­­bers ued promise of delivering excellence
in which we highlight
pledge my support at no cost. while serving our members and com-
an SSCS member. This
to his presidency The SSCS webinar munity faithfully. As one in a long
column has focused
as he takes over program has grown line of stewards that came before me,
on profiles from Chap-
the reigns on 1 immensely these past I can look back with a deep sense of
ter chairs and AdCom
January 2018. two years thanks to admiration and pride at all we have
members to young the leadership of accomplished together. I would like
professionals and stu­­ Michael Perrott and to take this opportunity to offer my
dent members; it is a way for the his team of webinar coordinators— sincere thanks for the support and
Society to celebrate the individual and Alvin Loke, Filip Tavernier, and dedication of our AdCom members
reinforce that all our member seg- Hoi-Jun Yoo. Another exciting proj- and Society professional staff.
ments are valued. ect was undertaken through a part- In closing, let me be the first to
Under the stewardship of Emre nership with Rivers Publishing to welcome our incoming president,
Ayranci, our SSCS Young Profession­ launch a tutorial-style book series in Prof. Bram Nauta, and pledge my
als (YP) chair, we have built a strong conjunction with our flagship con- support to his presidency as he takes
YP program. SSCS YP mentoring ferences. I’d like to thank Ali Sheik- over the reigns on 1 January 2018.
events held at conferences have holeslami, SSCS Education chair, for Many of you will know Bram from his
provided the opportunity for our his great efforts and continued ini- body of work, as a professor at the
student and young professional tiatives to bring more educational University of Twente, and from hav-
members to connect with our more resources to our members. ing served the Society with distinc-
senior members. T he mentor- On the publications front, we are tion as editor-in-chief of IEEE Journal
ing event at ISSCC 2017 attracted planning to launch IEEE Solid-State of Solid-State Circuits (2007–2010). I
more than 140 attendees, making it Circuits Letters, a fast-paced publica- have every confidence that Bram will
one of the most successful SSCS YP tion of original contributions empha- continue the good work and prog-
events ever held. At the 2017 Cus- sizing the transistor-level de­­­­­sign ress gained from the foundation that
tom Integrated Circuits Conference of ICs. The first issue is scheduled my predecessors gifted to me. I am
(CICC), we were pleased to pres- to be available in 2018. Congratula- proud to hand off the presidency to my
ent two prestigious guest speak- tions to John Long, SSCS Publica- good friend, Bram, knowing the Soci-
ers from Si l icon Labs at the SSCS tions chair, for being the dri­­ving ety is in excellent hands.
mentoring event; they provided force behind this new publication, I wish you all the best in your
the future generation of the Society and Behzad Razavi, who will be the endeavors, both personal and pro-
with counsel and direction for their editor-in-chief. In 2016, we celebrated fessional. Thank you once again for
career journey. the 50th anniversary of IEEE Journal entrusting me with the great honor
In the area of education, we con­­ of Solid-State Circuits and de­­dicated of serving as SSCS president.
tinued to provide student travel an entire magazine issue to this cel-
grants and predoctoral fellowships ebration. Please read about the 50th 

6 fa l l 2 0 17 IEEE SOLID-STATE CIRCUITS MAGAZINE


a ssoc iate editor’s vie w

Marcel Pelgrom

Wasted Time

T
Three teams of young managers were sary mental pauses to a 21st century are first laid out not in silicon, but in
staring at a helicopter constructed of audience, with its social media and weeks, days, and hours. “Make sure
LEGO bricks. Each team had to build a unlimited distractions. When bored, we have customer samples ready in
copy of the helicopter. Team 1 start­ smart­­phones or other gadgets will week 24!” Successfully designing a
­ed right in, directly laying down the entertain them! complex IC starts with sitting back
landing skids and working its way up. Time efficiency is all around us, and questioning what needs to be
Team 2 divided the tasks, and every­ starting as early as childhood. Modern done. Without thinking through the
one started building their part. And parents allow their children every­ fundamentals of a design, a redesign
Team 3 reflected on the task, inspect­ thing except boredom. Dealing with becomes unavoidable. And often that
ing the example thoroughly. Halfway bored children is a horrifying pros­ redesign is started without taking
through the allotted time, the Team 1 pect to millennials! When school is sufficient time for evaluating and
helicopter was close to completion. over, the family leaves preferably reflecting on the first one, already
Team 2 had already finished the major that same night for their holiday necessitating the second redesign.
building blocks of the machine. Poor vacation. Electronic gadgets prevent Speeding up the process turns into a
Team 3, they were still hovering around boredom during the trip, and the nightmare for all.
the example. next morning, a full day of sched­ Managers walking around and talk­
But during the second half, the tide uled activities awaits the children. ing at ease to people can spot signs of
turned. Somehow Team 1 had used The parents follow their own over­ delays earlier than the Excel generals.
essential parts of the rotor assem­ filled program. What if they were Preventive management pays off.
bly for the horizontal stabilizer. left alone together, without a smart­ Today’s authors don’t waste any
Confusion followed by disassembly! phone or tablet? Would the couple start time either. In 1656, the French sci­
Team 2 had finished all subblocks, talking and realize how isolated entist Blaise Pascal apologized for his
but these did not fit together. Crisis! spouses in modern marriages have long letter to a friend: “I would have
And the slow starters? Team 3 built b e co m e? Wa st i ng t i m e to get h e r written a shorter letter, but I did not
the helicopter straightaway and fin­ strengthens every relationship, with have the time.” Summarizing the essen­
ished ahead of time! The supposedly family, friends, neighbors, and col­ tials of a scientific endeavor into a
wasted time had not been wasted leagues. Dining, playing, or just stroll­ concise, stylish description requires
after all. ing together forms life-long bonds time. Older publications often are
Wasting time and feeling bored are between people. marvels of style and depth because
curial for all intellectual and creative The new CEO of a consolidated the authors had time to reflect. Edit­
processes. Our brains require time semiconductor company took the ing required manually rewriting the
for absorbing, structuring, and con­ new management team for two weeks text several times. The process was
solidating new information. Everyday into the desert without any commu­ so slow that there was ample time to
issues need to be put aside so the nication devices. Some managers col­ reflect on how each sentence was best
deeper levels of one’s awareness can lapsed while wasting their time in phrased. Modern authors edit faster
connect the new data into the men­ a tent; they went home and left the than they can think.
tal framework we call consciousness. company. The remaining team grew Technology has reduced the time
Reflection reveals deeper thoughts the market cap by sevenfold in a few mankind has to spend on dull, seem­
or an unexpected solution to a prob­ years’ time. ingly unproductive tasks. But somehow
lem. It’s hard to explain these neces­ In industry, wasting time violates we forget to use the freed-up time in a
every economic principle. Especially productive way. What a waste!
Digital Object Identifier 10.1109/MSSC.2017.2745920 in our high-tech world, strict sched­
Date of publication: 16 November 2017 ules are irresistible. New IC designs 

IEEE SOLID-STATE CIRCUITS MAGAZINE fa l l 2 0 17 7


china con n ection

C. Patrick Yue Tian Lu

China’s Latest Overseas M&A in the Semiconductor Industry

T
The historic flood of merger and economic restructuring. Chinese com- ■■ Tsinghua Unigroup bought New
acquisition (M&A) agreements that panies are becoming a major force in H3C Group (New H3C) for US$2.5
swept through the semiconductor the expansion of the global semicon- billion.
industry during the past two years ductor industry, and the growth of There are also a number of failed
has slowed to a trickle. The com- China’s semiconductor industry will attempts: Fujian Grand Chip Invest-
bined value of about a dozen trans- have a profound impact on the global ment planned to buy Aixtron for
actions announced in the first half semiconductor industry. In the past €670 million, Ingenic Semiconductor
of 2017 was US$1.4 billion, accord- two years, China has spent a lot of to buy OmniVision for ¥12.62 bil-
ing to the market research firm IC money and effort on its semiconduc- lion, Gigadevice to buy ISSI for ¥6.5
Insights [1]. That is a significant drop tor industry, including independent billion, Zhejiang Wansheng to buy
from US$4.6 billion in the first half construction and overseas M&As. Analogix Semiconductor for ¥3.75
of 2016 and a whopping US$72.6 bil- According to the incomplete statis- billion, China Reform Holdings Cor-
lion in the first half of 2015. Despite tics of Jiweinet, the total volume of poration to buy Lattice for US$1.3
the slow start to M&As in 2016, sev- transactions of China’s semiconductor billion, and China Reform Holdings
eral large transactions announced overseas M&As ­(completed) so far has Corporation to buy MEMSIC Inc. for
during the second half of the year exceeded US$11 billion. ¥1.65 billion.
pushed the total value of deals to The successful cases of China’s semi- IC Insights pointed out that govern-
near US$100 billion, within s­ triking conductor overseas M&As in­­clude the ments around the world are scrutinizing
distance of the all-time record of following most notable transactions: these deals, which is playing a role in
US$107.3 billion set in 2015. Despite ■■ Beijing Jianguang Asset M ­ anagement reducing the number of completions.
the e
­ xistence of several pending or (JAC Capital) bought NXP Semicon- Based on the political climate in the
rumored deals, including the pend- ductor’s Standard Products business United States and other western nations,
ing sale of Toshiba’s memory chip for US$2.75 billion and its RF Power Chinese entities are going to have a
business, it is unlikely that a second business for US$1.8 billion. hard time buying Western semicon-
half of M&A surge will emerge to ■■ Jiangsu Changjiang Electronics Tech ductor firms, which may make them
bring the value of ­transactions for Company bought STATS ChipPAC hesitant to throw their hat in the ring
the year anywhere close to either for US$780 million. on possible deals. For instance, Germany
2016 or 2015, IC Insights said. ■■ Shanhai Capital completed its ac- introduced new rules in July such
quisition of Analogix Semiconduc- that trading in sensitive sectors would
Dilemma: Restrictions on the tor for US$500 million. be halted if the country’s interests were
­Overseas M&As of Chinese Capital ■ ■ Integrated Silicon Solution Inc. to be affected.
The acquisition of overseas semicon- (ISSI) was acquired by Uphill Invest- China has bought some semicon-
ductor enterprises with key technical ments for US$731 million. ductor business overseas in the past,
capability is part of China’s strategy ■■ CITIC Capital Holdings, Hua Capi- but the semiconductor industry is
to improve the domestic semicon- tal Management, and investment a sensitive industry. The approval pro-
ductor industry chain. The M&A boom Goldstone bought OmniVision for cess is complicated, and hence the
in the semiconductor industry is the US$1.9 billion. number of acquisition cases have
general trend of consolidation and ■■ Nantong Fujitsu Microelectronics been reduced. In addition, the Com-
(NFME) bought Advanced Micro mittee on Foreign Investment in the
Devices’ (AMD’s) semiconductor United States (CFIUS) has objected to
Digital Object Identifier 10.1109/MSSC.2017.2748298 assembly and testing services for at least nine foreign takeovers of
Date of publication: 16 November 2017 US$371 million. U.S. companies so far this year. This

8 fa l l 2 0 17 IEEE SOLID-STATE CIRCUITS MAGAZINE


further suggests that, under the cur- assets. Second, list assets in the main- investment needs to maintain the
rent administration, CFIUS is acting land. Semiconductor overseas M&As investment of technology research
more cautiously. CFIUS is responsible are being strictly scrutinized because and development in the next round.
for reviewing whether foreign take- of the “purchase restriction” of all par- If there is no output after dispos-
overs pose a risk to U.S. national secu- ties. Meanwhile, how to list acquired able investment, additional funds are
rity. Therefore, Chinese ­companies and assets at home is a problem. Even if needed to catch up with the evolving
investors that focus on U.S. assets may the M&As are completed, there are still technology. Now, quite a number of
hit a snag. Meanwhile, as Chinese doubts about whether the advanced Chinese overseas IC acquisitions are led
companies have made frequent pur- technology can be transferred to domes- by capital firms, which generally have
chases overseas, the Chinese govern- tic enterprises and if new technologies reserves after M&As. However, when
ment has also restricted domestic can be further developed based on cur- the understanding for product develop-
capital outflows. rent technologies. ment is not thorough, reserve funds may
“What China’s integrated circuit So far, only Tsinghua Unigroup’s be insufficient and the predicted invest-
industry needs is the experience of acquisition of H3C and NFME’s acqui- ment period can be prolonged, result-
overseas M&A. Since there was no sition of AMD’s semiconductor assem- ing in a huge funding gap. Although the
capital or technology accumulation, bly and testing services are regarded state strongly financially supports the
there was no condition for overseas as successful by industry insiders. development of the IC industry, the big-
M&A,” stresses Prof. Shaojun Wei, the Jiangsu Changjiang Electronics Tech gest limitation of financial input is that
leader of Technological Development Company completed its acquisition it is disposable, which means that the
“Core electronic device, High-end of STATS ChipPAC but is now faced follow-up support for the same project
general chip and Fundamental soft- with the problem of how to digest its is limited.
ware products” and director of the large operation loss. Ingenic Semicon- On the one hand, the international
Institute of Microelectronics of Tsin- ductor’s acquisition of OmniVision, political and M& A environments
ghua University. Funds are a great Uphill Investments’ acquisition of become increasingly complex, and
challenge for the “go out” M&As of ISSI, Zhejiang Wansheng’s acquisi- domestic capital overseas M&As turn
China’s IC industry. tion of Analogix Semiconductor, and more difficult. On the other hand, the
China’s IC industry development HC SemiTek’s acquisition of MEMSIC local IC investment remains popular,
had a late start relative to the United were all thwarted from the China and multiple forms of cooperation
States, Japan, and the European Union Securities Regulatory Commission. between domestic and international
countries as it largely depended on NXP as well as other projects that companies are increasing. With the
financing and loans to grow the busi- JAC ­ Capital acquired have not go establishment of the national funds
ness and thus still lacks sufficient public, and the company’s future and the implementation of various poli-
accumulation of capital to forge M&As remain unclear. cies, local governments have been
on its own. Thus, it is necessary for “Compared with other aspects, proactive. Beijing, Wuhan, Shanghai,
China to use high leverage. For exam- the lack of follow-up funds, espe- and Sichuan have established IC local
ple, China Electronics Technology cially the R&D investment support- funds in the past two years.
Group Corporation had to withdraw ing re-innovation will badly restrict The heat wave of investment in
its proposal for a merger with Atmel the development of China’s inte- the IC industry will continue in the
Corporation after considering various grated circuit industry. In this case, foreseeable future. The investment
investment risks, including financial the advanced technology purchased in production lines, industrial parks,
stress. Prof. Ming Zhang, director of overseas may not be able to pay its and public service platforms will like-
the Department of International Invest- way. With domestic implementation ly accelerate. Due to the restriction of
ment at the Institute of World Econom- of deleverage and the tightening of the international situation, industrial
ics and Politics, Chinese Academy of foreign direct investment, the Chinese capital will turn to the merger and in-
Social Sciences, explains in “Report IC industry, which still has a long way tegration of domestic enterprises, and
of China’s Overseas Direct Investment to go, needs new design of policy sup- the upstream and downstream indus-
in Q2 2016” that the overseas M&As of port,” says Prof. Tianchun Ye, leader of try ecology will be built based on plat-
Chinese enterprises (especially state- national integrated circuit technology form enterprises. At the same time,
owned ones) mainly rely on external development and director of Institute overseas M&As may start to shift to
financing, especially the support of of Microelectronics at Chinese Acad- different forms of cooperation, such
domestic banks, which brings heavy emy of Sciences. as establishing joint ventures between
debt burden and operational risks. In fact, the IC industry is a “bottom- international enterprises and domes-
less pit” that requires huge amounts tic enterprises and searching oppor-
How to Go Public After Successful of continuous investment. Gartner tunities for M&As of high-quality
Acquisitions Is Also a Problem analysts point out that IC companies
China’s overseas M&As typically take need to compete with industry devel-
two steps. First, acquire overseas opment; namely, the output of the (continued on p. 12)

IEEE SOLID-STATE CIRCUITS MAGAZINE fa l l 2 0 17 9


C ircu it Intu itions

Ali Sheikholeslami

Reinventing the Wheel

W
Welcome to the 15th article in this and constructed if one resorts to a
y
column series. As the title suggests, two-dimensional space.
each article provides insights and Consider a ball moving at a con-
intuitions into circuit design and stant speed on a circle, as shown in e jθ
analysis. These articles are aimed Figure 1(a). The constant speed is sin(θ )
at undergraduate students but may captured by a triangular waveform θ x
serve the interests of other read- representing the angle of rotation
cos(θ )
ers as well. I would appreciate your as a function of time [see Figure 1(b)].
comments and feedback as well as If we project the movement of this
your requests and suggestions for ball along the x-axis (or the y-axis),
future articles in this series. Please i.e., a one-dimensional space, we (a)
send your comments to ali@ece will have a sinusoid, as shown in θ
.utoronto.ca. Figure 1(c). This is how a sinusoid 2π
In the column in the Spring 2017 is defined using Euler’s formula:
issue, we discussed why sinusoids cos ^~t h = Re " e j~t , . It is also how
are so important to circuit design- a sinusoid is generated by an ac (b) Time
ers. In this article, we explore meth- generator, powering up buildings
ods of generating sinusoids, trying and cities. x (t ) y (t )
to make intuitive sense of sinusoi- In an ac generator, as pictorially
dal waveforms. shown in Figure 2, the windings of
a coil are rotated in a circle in the pres-
Time
Generating Sinusoids ence of a constant magnetic field.
The real sinusoids, such as cos ^~t h Alternatively, a magnet can be rotated
and sin ^~t h, appear far more com- in the middle of a coil. In either case,
plicated when compared to rectan- there is a projection of a circular mag- (c)
gular and triangular waveforms. In netic field along the winding of the
Figure 1: (a) A point moving at a constant
fact, a rectangular waveform is just coil. This is indeed Euler’s formula in
speed on a circle, (b) i as a function of time,
a derivative with respect to time of action, where the vector represent- and (c) projection of the point movement
a triangular waveform. The deriva- ing the winding area (A) is rotating in along the x-axis and y-axis as functions
tive of a rectangular waveform is a circle and being projected along the of time.
mostly zero, except at discontinui- magnetic field vector.
ties. In contrast, cos ^~t h is a very The presence of circular move-
smooth function; its derivative is ment is essential in creating a sinu- tor is charged to an initial voltage,
well defined, and its second-order soidal waveform. It is not always V0, closing the switch will create a
derivative resembles the original obvious, however, that there is a current through the inductor. The
function. If we were to create a sinu- circle behind the creation of every inductor current initially discharges
soidal function in a one-dimensional sinusoid. We look at LC oscillators to the capacitor, reducing its voltage to
space, we would soon find that it is illustrate this point. zero. When this occurs, the current
almost impossible. However, this Figure 3 shows a simplified ver- in the inductor will be at its peak,
same function is easily understood sion of an LC oscillator consisting charging the capacitor in the op ­­
of an ideal capacitor and an ideal posite direction. The current in the
Digital Object Identifier 10.1109/MSSC.2017.2745921 inductor, with no resistive losses inductor then reverses direction,
Date of publication: 16 November 2017 in either of the two. If the capaci- and the same trend continues in the

10 fa l l 2 0 17 IEEE SOLID-STATE CIRCUITS MAGAZINE


θ = ωt t=0

C vc (t ) iL (t ) L
θ B
A –
N S

Figure 3: An LC circuit with no resistive


loss and an initial voltage V0 across the
resistor is known to produce a sinusoidal
voltage across the capacitor.
R

Figure 2: A coil rotating at a constant speed in the presence of a magnetic field produces a
iL(t )
sinusoidal voltage at its terminals.

opposite direction. This description or simply


ωt vC (t )
is consistent with the basic equa-
2 2
tions that govern the capacitor and 1/2Cv C + 1/2Li L = constant. (8)
the inductor behavior:
This equation tells us that the
(a)
v C = L di L (1) sum of the stored energy in the
dt √L /2 iL(t )
capacitor and the inductor is con-
dv C √C /2 V0
i L =-C .(2) stant, i.e., it does not change with
dt
time. This energy is equal there-
We can combine the two equa- fore to the initial energy we stored
tions to find a second-order differen- in the capacitor (1/2CV02) . In ad­­ ωt
tial equation for the voltage across dition, (8) tells us that the voltage √C /2 vC (t )
the capacitor: across the capacitor and the current
of the inductor form an ellipse, or
d2 vC
LC + v C = 0.(3) a circle w ith proper scaling fac-
dt 2
tors, as shown in Figure 4(a) and (b)
This equation is known to have a (b), respectively. In other words, the
sinusoid as its solution. In fact, it voltage across the capacitor and the Figure 4: (a) The trajectory of the voltage
turns out that the voltage across the current in the inductor (with proper across the capacitor and the current through
capacitor and the current through scaling factors) will always lie on a the inductor is an ellipse. (b) When properly
the inductor will be of the forms: circle, such that the energy in the scaled, the trajectory becomes a perfect
circle. The square of the radius of this circle
system remains constant. What we
represents the energy stored in this circuit.
v C = V0 cos ^~t h (4) really observe as the voltage across
i L = I 0 sin ^~t h, (5) the capacitor is only the projection
of the state of the system (voltage- eral derivation of v C and i L can be
where ~ = 1/ LC and I 0 = C/L V0 . current pair) along the voltage axis found in most introductory text-
Clearly, the voltage across the ca­­ (for the voltage across the capacitor) books such as in [1]. Here, we sim-
pacitor and the current through the and along the current axis (for the ply plot, in Figure 5(b), the energy
inductors are sinusoids, as found by inductor current). stored in the capacitor, the energy
solving the differential equation, but L et us now e x plor e wh at h ap - stored in the inductor, and the sum of
where is the circle in this solution? pens if we add a resistor in parallel the two energies. The energy moves
If we multiply the opposite sides with the LC circuit, as shown in Fig­­­ back and forth between the capacitor
of (1) and (2), we will have ure 5(a). We assume the resistor is and the inductor but loses its value
large enough (R > 0.5 L/C ) to cre- in each cycle. The total energy in the
dv C
Cv C + Li L di L = 0.(6) ate an underdamped behavior. In system is monotonically decreasing
dt dt
this case, the resistor will begin to as time progresses.
In other words: deplete the stored energy by turn- It is also helpful to plot a trajec-
1 d ^Cv 2 + Li 2h = 0, (7) ing it into heat. What happens to our tory of the scaled versions of the
C L
2 dt state variables v C and i L ? The gen- voltage across the capacitor and the

IEEE SOLID-STATE CIRCUITS MAGAZINE fa l l 2 0 17 11


will sustain the oscillation longer;
t=0
√L /2 iL(t ) the radius reduces at a slower rate.
A smaller resistor will attenuate the
+
oscillation faster and beyond a cer-
C vc (t ) iL (t ) L R tain value (R # 0.5 L/C ) will not
– allow any oscillation, corresponding
√C /2 vC (t ) to the overdamped circuit behavior.
(a) In summar y, we have claimed
that there is always a circle behind
Energy

Stored Energy in C
every sinusoid. Identifying such cir-
Stored Energy in L
Total Stored Energy
cles may seem like reinventing the
Figure 6: The voltage-current trajectory wheel but this often leads to a new
of a leaky LC oscillator can be a spiral, intuition and understanding of cir-
signifying the loss of stored energy as time cuit behavior.
progresses.
Time
(b) Reference
[1] R. E. Thomas, A. J. Rose, and G. J. Tous-
current in the inductor. Figure 6 saint, The Analysis and Design of Linear
Figure 5: (a) An LC circuit with a resistive shows that this trajectory is a spiral, Circuits, 7th ed. New York: Wiley, 2012.
loss in parallel. (b) The stored energy in this
circuit flows back and forth between the
a circle whose radius is diminish-
inductor and the capacitor, losing its value ing with time, signifying the energy
to heat in the resistor with time. loss to the resistor. A larger resistor 

contributors (continued from p. 3)

Payam Heydari is L o n g Ya n i s w i t h Joonsung Bae is


a full professor of Samsung Electronics, with Kangwon Na­
electrical engineer- Korea, where he leads ti­o nal University,
ing at the University of analog interface cir- where he is an assis-
California, Irvine, and cuit developments tant professor in the
is noted for his work for next-generation Department of Elec-
in silicon-based millimeter-wave and biosensors. trical and Electronics Engineering.
terahertz IC design. 

china con n ection (continued from p. 9)

product lines after the integration References 4ef2d4531b90d6c85ec3a87c24028905f85


4a.html
[1] IC Insights. (2017). Value of semiconduc-
of international giants. tor industry M&A deals slows dramati- [3] Business Wire. (2017). Shanhai Capital
In short, the M&A activities may cally in 1H17. [Online]. Available: http:// completes acquisition of analogix semi­­
w w w.icinsights.com/news/ bulletins/ conductor [Online]. Available: http://
look sweet at first but some of them Va l u e - O f- S e m i c o n d u c t o r - I n d u s t r y - w w w.businessw ire.com/news/
taste bitter. More importantly, the MA-Deals-Slows-Dramatically-In-1H17-/ home/20170 406006025/en/Sha n ha i-
[2] CCIDWISE. (2017). Six trends of semicon- Capital-Completes-Acquisition-Analogix-
acquired technology and asset may be ductor industry In 2017 [Online]. Avail- Semiconductor
hard to digest. able: https://wenku.baidu.com/view/5ac 

12 fa l l 2 0 17 IEEE SOLID-STATE CIRCUITS MAGAZINE


A C ircu it for All Seasons

Behzad Razavi

The Decision-Feedback Equalizer

T
The decision-feedback equalizer (DFE) say the equalizer provides a high- izer does not suffice in most prac-
dates back to the 1960s [1] and be­­gan frequency “boost” to compensate for tical cases. Specifically, a typical
to appear in high-speed wireline com­­ the channel loss. channel introduces, in the signal
munication systems in the early 2000s. path, i mp e d a n ce discontinuities
In this article, we study the properties The Need for Decision-Feedback (mismatches) resulting from connec-
of this circuit and describe its “ana- Equalization tors and other physical interfaces
log” implementations. While intuitively appealing, linear between boards, cables, etc. Such
equalization faces three issues. First, discontinuities manifest themselves
The Need for Equalization since it requires a large amount of as deep notches in the channel’s fre­­
As high-speed random data propa- boost for very lossy channels, it sig- quency response (Figure 2) that would
gates through a medium with a lim- nificantly amplifies high-frequency be difficult to compensate by a lin-
ited bandwidth (also called a “lossy” noise, corrupting the data. Second, ear equalizer.
medium), it is dispersed. That is, the a high boost demands multiple To appreciate the beauty of DFEs,
data edges become slower, possi- stages, each one inevitably limiting we first return to the time domain and
bly disallowing full transition if a the bandwidth and consuming con- view the data waveform as the superposi-
010 or 101 sequence occurs [Fig- siderable power. Third, the inverse tion of random steps shifted in time by
ure 1(a)]. This sluggishness of the response provided by a linear equal- integer multiples of Tb [Figure 3(a)].
channel also makes the zero crossing
times of the data a function of the bit
amp­­litudes, causing significant jitter.
Both degradations increase the bit- Din Dispersive
Dout
detection error rate. In the frequency Channel
domain, the channel attenuates the Tb t
(a) t
high-frequency content of the data
[Figure 1(b)]. Spectrum of
Channel Response

The frequency-dependent chan- Random Data


nel loss depicted in Figure 1(b) can
be undone by means of a circuit
having the inverse response, i.e., a
high-pass filter (HPF). As illustrated
in Figure 1(c), if subjected to such a
response, the received data assumes (b) f
its original, undispersed shape and Dispersive
more easily lends itself to detec- Channel HPF
tion. This HPF exemplifies a “linear”
equalizer as it can be approximated
by a finite impulse response filter t t
incorporating only linear stages (delay
(c)
units and scaling coefficients). We
Figure 1: (a) The dispersion of random data in a lossy channel, (b) the channel frequency
Digital Object Identifier 10.1109/MSSC.2017.2745939 response showing attenuation of high-frequency components, and (c) the use of an HPF to
Date of publication: 16 November 2017 equalize the channel.

IEEE SOLID-STATE CIRCUITS MAGAZINE fa l l 2 0 17 13


[Figure 1(b)] or as narrowband rejec- This issue can be remedied if
Channel tion (Figure 2). We surmise that ISI can the delay element is followed by a
Response
be suppressed if we reconstruct the limiter, also known as a “slicer,” so
tail values and subtract them from the as to remove the amplitude noise
next bit(s). [Figure 4(b)]. The loop thus stops
Let us implement this idea for the noise from circulating and acts as
f canceling the first postcursor in Fig- a nonlinear equalizer. For robust op­­
ure 3(b). We must delay the present eration, we replace the delay stage
Figure 2: Notch in frequency response due bit by one bit period, scale this result and the slicer with a f lipf lop ( F F )
to impedance discontinuity. by a factor equal to h 1, and subtract [Figure 4(c)], recognizing that typi-
it from the next bit. Figure 4(a) shows cal FFs provide both a one-period
Due to the channel imperfections, such an arrangement. delay and limiting action on the ampli-
each output bit is broadened, exhib- If we consider D in as the pres- tude. We can say the loop feeds the
iting a tail that interferes with the ent bit, D out holds the previous bit FF’s decision back to the input, hence
next bit(s). Called intersymbol inter- and D F a scaled copy thereof. Thus, the term DFE. As illustrated in Fig-
ference (ISI), this phenomenon is D in-D F is free from the first post- ure 4(d), the summer output, D sum,
more clearly seen in the impulse c ur sor, whet her it is cr e ated by is free from the first postcursor, mak-
response of the channel [Figure 3(b)]. wideband loss or impedance discon- ing greater voltage excursions with
We observe that the tail values at Tb, tinuities. With a linear delay element, less jitter and allowing better de­­
2Tb, etc., (called the “postcursors”) this loop is still a “linear” equalizer. tection. Sensed by the flipflop, this
respectively represent the ISI intro- A side effect is that the amplitude output is the most critical node in
duced in the next bit, the bit after it, noise at the output is scaled by a fac- the circuit.
and so on. In general, energy removal tor of h 1 and added to the input data, In the DFE loop of Figure 4(c), two
from a signal’s spectrum causes ISI, degrading the signal-to-noise ratio of parameters must be adjusted to reach
whether it occurs as wideband loss each bit. optimum performance. First, the clock
sampling edges must occur at the
peaks of D sum, necessitating a clock
recovery circuit. Second, the first “tap”
Din value, h 1, must be chosen according
t Main to the actual channel response. This
Dout Cursor h0 Postcursors is typically accomplished by moni-
t h1 toring the eye diagram at the summer
Dispersive h2 output and adjusting h 1 to maximize
Dout Channel
t 0 Tb 2Tb t its height.
t Higher-order postcursors can
(a) (b)
also be removed by a DFE. Depicted
in Figure 5, a two-tap realization
Figure 3: (a) Random data viewed as superposition of steps and (b) the impulse response of
returns scaled copies of the last two
a lossy channel.
bits to the input.

Slicer Design Issues


Din Tb Dout Din Tb Dout In addition to clock phase alignment
+ +

and proper setting of the feedback
– h1 h1
tap, the DFE shown in Figure 4(c) must
DF DF also deal with the total loop delay.
(a) (b) We predict that, at a sufficiently high
Summer FF Din
data and clock rate, the circuit begins
Din
to incur errors.
D Q Dout
+ Dsum Dsum t To study the DFE speed limitations,

consider the differential top­ology in
CK t Figure 6 and suppose the slave latch
h1 Dout
in the FF enters the sense mode on
DF the falling edge of the clock, at t = t 1 .
t
(c) (d) The slave output requires a certain
Figure 4: (a) A feedback loop canceling the first postcursor, (b) the addition of a slicer to amount of time to change state, called
suppress amplitude noise, (c) the use of an FF as a delay element and a slicer, and (d) the the “clock-to-Q” delay, TCK -Q = t 2 - t 1 .
resulting ­waveforms. This t­ransition ­propagates through

14 fa l l 2 0 17 IEEE SOLID-STATE CIRCUITS MAGAZINE


the scaling stage and causes a change
at the summing node. This node
has a finite time constant, introduc- Din D Q D Q Dout
+
ing its own delay, TFB = t 3 - t 2 . When –

CK goes high, the master latch enters
the sense mode and must change its
CK CK
output according to the new value of
h1
D sum before CK goes low again. The
necessary time for this change is the
setup time of the FF, Tsetup = t 5 - t 4 . h2
Thus, TCK -Q + TFB + Tsetup must not
exceed one clock cycle and hence one
bit period:

Figure 5: A two-tap DFE architecture.


TCK -Q + TFB + Tsetup # Tb .(1)

Master Slave
Similar speed limitations exist in other
Latch Latch
variants of this architecture as well (see Dsum DM
the “DFE Variants” section). Din D Q D Q Dout
Three other nonidealities affect +

the performance of the DFE. First,
the data input port of the summer in
CK CK
Figure 6 cannot be arbitrarily non-
linear because the dispersed data’s h1
amplitude carries information about DF
the channel and must not experience
CK
significant limiting. We can see intui-
tively that, if the D in waveform in
Figure 4(d) is greatly amplified and Dout
sliced, then all of the bits exhibit a Dout
full swing but the jitter introduced
by the channel remains. As a guide- Dsum
line, we choose the 1-dB compression Dsum
point of this port to be greater than
DM
the main cursor amplitude [2] so that
the nonlinearity negligibly increases DM
the ISI. t1 t2 t3 t4 t5 t
Second, the input offset of the
FF, VOS, shifts the net voltage sensed
Figure 6: A DFE with differential signal paths.
at the summing node, D sum, equiv­­
alently deg rading the volt age
m a r gin for the negative or posi-
tive data values sampled by the FF.
Third, the total noise in D sum, Vn, +
yields a finite bit error rate. This –
noise includes that produced by
the summer and the stages preced- +h1
ing the DFE and the input-referred
MUX

Din D Q Dout
noise of the FF. As a rule of thumb,
–h1
w e e n s u r e t h a t 8 (4VOS + Vn, rms)
r em a in s less t h a n the peak data

swing D sum. The factor of eight is +
Select
CK
chosen to ensure error rates on the
order of 10 -12 and the factor of four
represents the four-sigma variance
of the offset. Figure 7: An unrolled DFE architecture.

IEEE SOLID-STATE CIRCUITS MAGAZINE fa l l 2 0 17 15


DFE Variants
A multitude of DFE architectures have CK1/2 CK1/2
been proposed to ease the design
tradeoffs. We study some here.
D Q Deven Din D Q Deven
It is possible to transform the +
– –
feedback loop of Figure 4(c) to a pre- h1 h1

MUX
dictive or “unrolled” topology. Sup-
Din
pose D in and D out swing between –1 h1
and +1. Since we wish to compute D Q Dodd
D in - h 1 D out, we can equivalently –
+
consider D in - h 1 and D in + h 1 as the D Q Dodd
CK1/2
only two possible levels that must
reach the FF. The selection between
CK1/2
these two values can be made by
(a) (b)
the previous bit. Figure 7 shows the
resulting “unrolled DFE” [3]. Here,
the previous bit available at D out Figure 8: Half-rate DFE architectures with (a) two summers and (b) one summer and one
multiplexer.
decides whether D in - h 1 or D in + h 1
must travel through the multiplexer
and be sliced by the FF. We note that
the summing nodes lie outside the
feedback loop, which is the princi-
pal advantage of this arrangement.
I1 I2
The timing budget is now given by
TCK -Q + Tsetup + TMUX 1 Tb, where TMUX X Y
denotes the delay from the select
input of the multiplexer to its out- Vin1 M1 M2 Vr 1 Vr 2 M3 M4 Vin2
put. In some cases, TMUX is less than
TFB in (1). However, the D out signal
CK MCK1 CK MCK2
must be level shifted and/or ampli-
fied to properly switch the multi-
plexer, leading to additional delay.
At very high speeds, it is desir- Figure 9: A comparator input stage based on two differential pairs.
able to drive the DFE with a half-
rate clock, CK 1/2, which is simpler At very high speeds, the sum- As a result, the transconductance
to generate and distribute. Fig- ming node and the FFs can incorpo- of the two pairs falls considerably,
ure 8(a) shows a half-rate DFE [4], rate inductive peaking for a greater making the offsets of the subse-
where the FFs are clocked by CK 1/2 bandwidth and a smaller loop delay. quent stages significant.
and CK 1/2, thereby demultiplexing This improvement comes at the cost 2) How does the characteristic shown
the data by a factor of two. Each out- of a more complex layout and signal i n Fig u r e 10 ( b) c h a nge i f the
put bit lasts for 2Tb seconds and, distribution difficulties. front-end comparator has an off-
after subtraction from D in, is fed set equal to 1.5 least-significant
to the FF in the other branch. This Questions for the Reader bits (LSBs)?
topology nonetheless does not re­­­­­­­lax 1) Can the delay stage and the ­slicer In the ideal case, we have
the loop timing budget given by in Figure 4(b) be realized as a sin- V +F -V -F = V +in -V -in if V +in - V -in 2 0
(1). It also consumes about twice as gle limiting differential pair? a n d V +F - V -F = - (V +in - V -in) i f
much power as the full-rate DFE of 2) Can the unrolled DFE of Figure 7 V +in - V -in 1 0. With a compara-
Figure 4(c). ­accommodate a second tap? tor offset of 1.5 LSBs, the former
Another half-rate DFE architecture holds if V +in - V -in 2 1.5 LSBs and
is depicted in Figure 8(b) [5]. Here, Answers to Last Issue’s Questions the latter, if V +in - V -in 1 1.5 LSBs.
the half-rate outputs are multiplexed 1) In Figure 9, why can we not apply That is, the circuit negates the
so as to reconstruct the full-rate data, Vin1 and Vin2 to M 1 and M 2 and differential input even for values
with the result serving as the feed- Vr1 and Vr2 to M 3 and M 4 ? reaching = 1.5 LSBs. The result-
back signal. While using only one In such a case, each differential ing characteristic is shown in
summer, this method adds the mul- pair can experience a large input Figure 10(c).
tiplexer delay to TCK -Q + TFB + Tsetup, difference even when the compar-
degrading the speed. ator is making a critical decision. (continued on p. 132)

16 fa l l 2 0 17 IEEE SOLID-STATE CIRCUITS MAGAZINE


guest editorial

Wanda Gass

Women in Circuits

I
In 2016, Jan Van der Spiegel, presi- ■■ Ingrid Verbauwhede, AdCom mem- VLSI Symposium, the Asian Solid-State
dent of the IEEE Solid-State Circuits ber and IEEE Fellow Circuits Conference, and ESSCIRC,
S o c i e t y (SSCS), com m issioned a ■ ■ Marian Verhelst, IEEE Journal in addition to ISSCC. They have also
n e w committee, Women in Circuits of Solid-State Circuits Editorial organized Chapter events in which
(WiC), to improve the recruitment, Board member and Distinguished local female speakers were invited to
retention, and advancement of fe­­ Lecturer present. The first one was in the San
male members within the Society. ■■ Alice Wang, AdCom member and Francisco Bay Area, where Apple Vice
To increase the visibility of women in WiC chair. President Kate Bergeron and Rikky
volunteer leadership positions in the I hope you enjoy reading this collec- Muller, cofounder of Cortera Neuro-
SSCS, this issue offers a series of tuto- tion of tutorials. technologies, made presentations that
rials, written by women in our Soci- Last year, the WiC Committee had were open to all Chapter members.
ety, covering several emerging trends its first meeting at ISSCC and started by (Read more about this luncheon in the
in our industry. counting the number “Society News” column
The following SSCS members were of women in the SSCS, in this issue.) More in­­
invited to write these tutorials: at each membership formation about WiC
■■ Alison Burdett, Program vice-chair level, over the past To increase the vis- can be found on the
of the International Solid-State several years to see if ibility of women in SSCS website un­­d e r
Circuits Conference (ISSCC) the new activities will volunteer leader- t h e W o m e n i n Cir-
■■ Andreia Cathelin, SSCS Adminis- in­­­crease the number ship positions in cuits tab.
trative Committee (AdCom) mem- of female Society mem-
the SSCS, this issue In 2018 at ISSCC,
ber and Steering Committee chair bers. In 2017, Alice
offers a series of the WiC ­C om m it tee
of the European Solid-State Cir- Wang be­­came chair of
tutorials, written will be hosting a work-
cuits Conference (ESSCIRC) the WiC Committee
by women in our shop on Sunday eve-
■■ Terri Fiez, AdCom member and and has enlisted volun-
Society, covering ning that will be open
IEEE Fellow teers toorganize sev-
several emerg- to all conference at­­
■■ Vivienne Sze, associate profes- eral activi­­ties. These
ing trends in our tendees. The theme
sor of Electrical Engineering and activities include part­­­
industry. of the workshop is Cir-
Computer Science at the Massa- ner­­­­­ing with the SSCS cuits for Social Good
chusetts Institute of Technology Young Professionals and will feature key-
Committee to provide more opportunities notes and invited talks from 6 p.m.
for networking and mentoring. to 7:30 p.m. I hope to see you there!
Digital Object Identifier 10.1109/MSSC.2017.2748299 Many volunteers stepped up to orga-
Date of publication: 16 November 2017 nize networking events in 2017 at the 

IEEE SOLID-STATE CIRCUITS MAGAZINE fa l l 2 0 17 17


Andreia Cathelin

T
he race on the
C o m plement a r y
Metal–Oxide–Semi-
conductor (CMOS)
More Moore integra-
t ion sc a le h a s br oug ht to light
several major limitations for effi-
cient planar process integration
starting with the 40 nm technology
node. The transistor channel was
more and more difficult to control
in terms of electrostatics, and many
process engineering methods (such
as, for example, Silicon strain) were
used to provide transistors with
good carrier speed and decent elec-
trical characteristics. Starting from
the 28-nm node, the obvious solu-
tion for transistors with increased
electrical performances was the
use of fully depleted devices. Two
integration methods have been
identified by the semiconductor
industry for these fully depleted
devices: Fully Depleted Silicon on
Insulator (FD-SOI) CMOS and Fin-
FET CMOS devices. While the fun-
damental carrier semiconductor
equations are similar, the process
integration is very different. This
a r t icle fo c uses on pla n a r F D -
SOI CMOS technology features as
integrated by STMicroelectronics

Fully Depleted Silicon on


Insulator Devices CMOS
The 28-nm node is the perfect technology for analog,
RF, mmW, and mixed-signal system-on-chip integration

Digital Object Identifier 10.1109/MSSC.2017.2745738


Date of publication: 16 November 2017

18 fa l l 2 0 17 IEEE SOLID-STATE CIRCUITS MAGAZINE 1943-0582/17©2017IEEE


in the 28-nm node [1], [2], and 28-nm high-K metal gate low-power Figure 2 is a cross section of
its specificities for analog, radio (LP) process using the same back end N - type MOS (NMOS) and P-type MOS
frequency (RF), millimeter wave of the line and gate module. Several (PMOS) transistors in a regular bulk
(mmW), and mixed signal system- process steps, specifically channel CMOS technology. A threshold voltage
on-chip (SoC) integration. implants, halo implants, and mask- (VT ) modulation can be obtained by
Figure 1 is a generic cross sec- ing levels, are removed compared to body bias tuning (VBBN and VBBP).
tion of an FD-SOI CMOS device. the traditional 28-nm bulk technol- This is very limited by the thresh-
This technology is called Ultra- ogy thanks to the presence of the old voltage of the parasitic source/
Thin Body and Buried Oxide undoped FD-SOI channel. There is drain diodes toward the respective
(UTBB) FD-SOI CMOS as, in the less than a 20% change with respect transistor body. As this voltage is about
28-nm node, the active device to a classical CMOS bulk flow; the two 0.6 V, the effective safe body-biasing
has a ultra-thin conduction film extra steps are related to the hybrid- (BB) voltage range spans from 0 to
(7 nm) and lays atop a 25-nm ization and raised source/drain epi- ~ 0.3 V (modulus). The body factor
insulation layer of buried oxide taxy. At this node, more than 10% of in bulk technologies is also quite lim-
(BOX). This planar topology has the process steps and seven masks ited (25 mV/V); hence the total possible
the following direct implications. are saved, resulting in an overall ma­­ variation of the threshold voltage is
Thanks to the SOI BOX layer, the nufacturing process cost saving of limited in bulk technologies to only a
transistor gets total dielectric 10% [3]. This process offers the effi- few tens of millivolts.
isolation. No channel doping is ciency of fully depleted devices along Fig u r e 3 pr e s e nt s c r o s s s e c-
needed as, thanks to the thin with the manufacturing yield of pla- tions of the NMOS and PMOS tran-
silicon film, the channel is fully nar technologies. sistors in the 28-nm UTBB FD-SOI
depleted. Also enabled by this technology. The darker side of the
technology, no pocket implants FD-SOI Devices and Body Biasing N-wells corresponds to the deep-N-
are needed for the source and Opportunities well layer.
drain, which naturally enhances For good understanding of the spe- Regarding the regular VT (RVT)
the analog/RF transistor’s behav- cific features of different FD-SOI transistors [see Figure 3(b)], one can
ior. Another implication of the transistors, let’s first start with a observe the specific UTBB FD-SOI
thin BOX layer is that the front- small reminder from planar bulk topology, with the raised sources and
side transistor’s electrostatics CMOS transistors. drains and the thin BOX isolating
can be controlled from under-
neath the BOX (an area called the
transistor body). By applying a
voltage on the transistor body,
FBB
one can change or modulate the
0 3V
threshold voltage of the main Gate
(front side) transistor. We can see this
device as well as a planar dual-gate Source Drain
Ultra-Thin Buried Oxide
device: the front gate is the regular
one (like in bulk technology) and the
second one comes from the body tie,
with the BOX as the back-side gate
oxide. As the thickness ratio of the
front and back gate oxides is about
Total Dielectric Isolation
ten, the front-side transistor’s trans-
conductance Gm is ten times bigger
than the one on the back-side gate. No Channel Doping
In terms of manufacturing, the
28-nm FD-SOI CMOS process from
No Pocket Implant
STMicroelectronics shares most of
process steps with the equivalent
28-nm bulk technology from STMi-
croelectronics. It is a modified bulk Figure 1: A generic cross section of an UTBB FD-SOI transistor.

IEEE SOLID-STATE CIRCUITS MAGAZINE fa l l 2 0 17 19


Starting from the 28-nm node, the obvious very efficient body biasing on these
RVT devices, called here reverse body
solution for transistors with increased biasing (RBB), with the effective bias-
electrical performances was the use ing voltage range from roughly 0 to
3 V (modulus).
of fully depleted devices. Let’s now focus on the low VT
(LVT) devices, as depicted on the
the front-side transistor from its for NMOS and respectively embed- upper part of Fig ure 3(a). These
body. In this case, for VT modula- ded P-well to deep N-well for PMOS devices are also called “flipped-
tion through body biasing, the only devices. These are Zener-type diodes, well” devices, as in order to obtain
limiting parasitic diodes are those with an opening voltage around the lower VT characteristics, the
between deep N-well to P-substrate modulus of 3 V. Hence, we can do a process engineering has proposed
a solution where the NMOS devices
lay on an NWell body, and respec-
tively the PMOS on a PWell body.
NMOS PMOS In an equivalent way, we can apply
G G forward body biasing (FBB) on these
S S VBBP devices, with also an equivalent
VBBN D D
body voltage variation from roughly
0 V to 3 V (modulus).
The body factor for both families
P-Well N-Well
of transistors (RVT and LVT) is much
larger in FD-SOI than in an equi­
P-Sub valent body node, in 28 nm being
~85 mV/V, for the thin oxide devices.
This argument together with the
Figure 2: A cross section of CMOS transistors in a regular bulk technology. very wide body biasing range result

NMOS PMOS

Nominal
G G
VBB Biasing Mode
D S D S
VBBN VBBP
LVT
NMOS GND FBB
Box Box
P-Well –3 –0.3 3
N-Well

LVT
PMOS GND FBB
P-Sub
–3 +0.3 3
(a)
PMOS NMOS

G G
D S D S
VBBP VBBN
RVT RBB
PMOS VDD
Box Box
P-Well –3 VDD/2 –0.3 3
N-Well

RVT RBB
NMOS GND
P-Sub –3 VDD/2 +0.3 3
(b)

Figure 3: A cross section of 28-nm UTBB FD-SOI CMOS transistors: (a) LVT transistors and (b) RVT transistors.

20 fa l l 2 0 17 IEEE SOLID-STATE CIRCUITS MAGAZINE


in an unprecedented wide variation
of the threshold voltage of around
0.6 RBB
250 mV, as depicted in Figure 4.
0.4
FBB
FD-SOI Transistors 0.2 NLVT

Vth (V)
Analog Features NRVT
When we were following the his- 0.0 PLVT
FBB PRVT
torical nanometer downscaling of –0.2
the CMOS bulk road map, the ana- RBB
–0.4
log designers had to get used to the
fact that the analog behavior of the –0.6
respective transistors was getting –3 –2 –1 0 1 2 3
VB (V)
worse and worse with the down-
scaled technology node. This was
Figure 4: The threshold voltage (VT) variation with respect to BB voltage for RVT and LVT
inherent from the planar CMOS bulk
devices in 28-nm FD-SOI technology.
transistor topology, in the race for
faster and faster digital behavior
and/or low power. Some foundries, do not need transistor pockets, and In Figures 5–7, we compare the 28-nm
like STMicroelectronics, had over- we recover native analog behavior FD-SOI technology with an equiva-
come that in the 65-nm node by from a “simple” and well-controlled lent 28-nm node LP bulk technology,
introducing a specific analog tran- fully depleted conduction channel. both from STMicroelectronics.
sistor called HPA (high performance FD-SOI hence brings several ad­­ Figure 5 shows major improve-
analog) that solved this by eliminat- vantages for analog designers in ments of FD-SOI versus bulk solu-
ing the transistor pockets. In the terms of efficient short-channel tion regarding analog gain and VT
28-nm FD-SOI planar technology, devices, improved analog perfor- matching parameter. For example, in
thanks to the thin film structure, we mances, and lower noise variability. 28-nm FD-SOI, an LVT NMOS device

1E+3 5.0
dc Gain-Lin (Gm/Gds) Avt (mV.µm) 28LP Bulk
28FDSOI 4.5 Curves for W = 1 µm

1E+2 4.0
3.5
28LP Bulk
3.0 28FDSOI
1E+1
2.5
2.0
Gate Length (m) Gate Length (m)
1E+0 1.5
1E–8 1E–7 1E–6 1E–5 1E–8 1E–7 1E–6 1E–5
(a) (b)

Figure 5: (a) Analog gain (Gm/Gds) and (b) matching parameter (Avt) for NMOS LVT devices in 28-nm FD-SOI technology (red) compared
with 28-nm LP bulk (blue).

21 140
20 Gm/ld (1/V) 28FDSOI Cgg (fF/µm) 28LP Bulk
120
19 28FDSOI
18 100
28LP Bulk
17 80
16
15 60
14 40
13
12 20
Gate Length (m) Gate Length (m)
11 0
1E–8 1E–7 1E–6 1E–5 1E–8 1E–7 1E–6 1E–5
(a) (b)

Figure 6: Improved analog performance (Gm/Id and total gate capacitance Cgg) for NMOS LVT devices in 28-nm FD-SOI technology (red)
compared with 28-nm LP bulk (blue).

IEEE SOLID-STATE CIRCUITS MAGAZINE fa l l 2 0 17 21


of a size 1 nm/100 nm can show an ure 7. As an example, for an LVT NMOS If we talk about RF operation frequency
excellent analog performance with of 1 nm/120 nm bi a s e d at a 1 nA below 10 GHz, we can then work with a
a DC gain of 80 and a sigma(VT ) of drain current, we get 1.5 dB lower 1/f transistor length of 100 nm. Perfor-
only 6 mV. noise in an FD-SOI than in bulk. This is mances such as a maximum available
Figure 6 shows that FD-SOI pro- a typical value of main branch current gain (MAG) of 12 dB and NFmin of ap-
vides a higher Gm for a given current, for a low-noise amplifier in low giga- proximately 0.5 dB can be obtained for
with respect to the equivalent 28-nm hertz frequencies. a current density 125 nA/nm (here
LP bulk node. This, combined with W = 1 nm). Going higher in frequency
the lower parasitic capacitances com- FD-SOI Transistors will then require working with the
ing inherently from the SOI insula- RF and mmW Features minimum transistor length of 30 nm.
tion, permits the designer to achieve Thanks to the deep submicron li­­ Here, for example, for a 60-GHz op­­­­
higher operation bandwidths for a thography, this technology node eration frequency, a MAG of 12 dB and
given current consumption, or —if provides very fast transistors. The NFmin of approximately 1.3 dB can be
working at constant bandwidth—lower intrinsic devices [front end-of-line obtained, when working at a current
power consumption. (FEOL) plus Metal1 contact] in the density of 200 nA/nm. This value is
The variability in planar FD-SOI LVT flavor, for example here NMOS, 33% lower than in 28-nm LP CMOS bulk.
technologies is improved with re­­ show fT and fmax superior to 300 GHz. In a fully integrated schematic, all
spect to an equivalent LP bulk node, (See Figure 8.) back end-of-line (BEOL) layers have to
thanks to the simpler manufacturing We can distinguish two types of be added atop the intrinsic transistor
process steps. This helps as well for dimensioning and biasing stra­ tegies, to withstand the respective current
the noise behavior, as is shown in Fig- depending on the operation frequency. biasing conditions, respect the metal

3.0E–5 1E–4
Input Ref. Voltage Noise at 1 Hz Input Ref. Voltage Noise at 1 Hz
Noise_Sv at Hz (V/√Hz)

Noise_Sv at Hz (V/√Hz)

for NLVT W = 1 µm/L = 1 µm 9E–5 for NLVT W = 1 µm/L = 120 nm


2.5E–5
8E–5
2.0E–5 7E–5
6E–5
1.5E–5 28LP Bulk
5E–5
1.0E–5 4E–5 28LP Bulk
28FDSOI
Idrain/W (µA /µm) 3E–5 28FDSOI
Idrain/W (µA /µm)
5.0E–6 2E–5
1E–6 1E–5 1E–4 1E–3 1E–2 1E–1 1E+0 1E+1 1E+2 1E–4 1E–3 1E–2 1E–1 1E+0 1E+1 1E+2 1E+3
(a) (b)

Figure 7: Noise behavior for NMOS LVT devices in 28-nm FD-SOI technology (red) compared with 28-nm LP bulk (blue).

400 400

300 300
fmax Meas [E+9]
fT Meas [E+9]

200 200

100 100

0 0
0.0 0.2 0.4 0.6 0.8 1.0 0.0 0.2 0.4 0.6 0.8 1.0
vgs [E+0] vgs [E+0]
fT Versus vgs (Model = LVTNFET WFING = 5e–07 fmax Versus vgs (Model = LVTNFET WFING = 5e–07
vds = 1 L = 3e–08) vds = 1 L = 3e–08)
(a) (b)

Figure 8: The high-frequency behavior (fT and fmax) of LVT NMOS 0.5 μm/30 nm in 28-nm FD-SOI CMOS.

22 fa l l 2 0 17 IEEE SOLID-STATE CIRCUITS MAGAZINE


Top View Cross Section
35

Source
Gate 30

Drain
25 U fmax
20
15 –20 dB/dec
Source 10
Drain

5 m4 m2
0 fT
–5 H21
Gate –10
1E10 1E11 1E12
Frequency (Hz)
(a) (b)

Figure 9: Full ten metal layers BEOL implementation of an NLVT transistor of 16 µm/30 nm, Wfinger = 800 nm for a drain current of
5.2 mA. The initial design kit Pcell stops at Metal 1. Measurements are performed on 10–110 GHz and, respectively, 220–330 GHz test
benches independently.

density rules, and fulfill the electro-


migration current density rules up to 550
a maximum operation temperature. 500 28FDSOI
At the same time, the designers have 450
Slow
to take great care in minimizing the 400
Vth (mV)

Typ
full BEOL effects on the effective fT 350 Fast
and fmax degradation. An example of 300
implementation is given in Figure 9(a) 250 Slow
28LP Bulk Typ
for a transistor in a mmW oscillator 200 Fast
core, where thin staircase accesses 150
for low fringe parasitic capacitors 1E–8 1E–7 1E–6 1E–5
between drain and source have been Gate Length (m)
implemented, and the gate access
resistance is optimized through a Figure 10: VT process corners for LVT NMOS devices, comparing a 28-nm FD-SOI CMOS and
28-nm LP bulk.
dual gate access [4]. On-wafer de-
embedded measurements of this
device showed that such a full BEOL FD-SOI Transistors biasing, its absolute value being much
transistor still sustains a very good Mixed Signal Features lower than the one in bulk. We expe-
mmW performance: fT of 246 GHz In terms of process variability, we rience here compounding benefits:
and fmax of 359 GHz, as depicted in experience tighter process corners a smaller resistance yields a smaller
Figure 9(b). and less random mismatch in FD- switch with a more compact layout,
Deep submicron CMOS has the SOI than in competing processes at hence with lower parasitics, which
counterpart of very low and dense the same lithography node. The ben- finally gives an even smaller switch.
BEOL, with a large number of metal efits become obvious in terms of a This feature is key for high-per­
layers. While this might be seen as simpler design process and shorter formance data converters and other
a limiting point for mmW design, design cycle, leading to improved switched-capacitor circuits.
the eight metal layers of this tech- yield or improved performance at Lower junction capacitances as
nology obtain decent values for the given yield. Figure 10 illustrates this those experienced in FD-SOI make
integrated passive devices. This is for the VT parameter. a substantial difference in high-speed
enabled by the operation in a low Reduced VT from body biasing circuits. They permit drastic reduc-
parasitics environment coming with has also excellent i mpl ic at ion s tion of self-loading in gain stages and
the SOI technologies. Several exam- for CMOS switches (pass-gates), as a significant reduction of switches
ples can be cited here: an inductor depicted in Figure 11 [5], result- self-loading. This yields a two-fold
of L = 0.5 nH with a Q factor of 18 at ing in an unprecedented quality of benefit: not only incremental improve­­
10 GHz, a varactor of C = 50 fF with a analog switches. One can observe ments, but mostly they allow the
Q factor of 20 at 20 GHz, and a 50-Ω the exceptional flat behavior of the de­­­­­­signer to use circuit architectures
transmission line of 0.8 dB/mm losses CMOS switch resistance in the case that would be infeasible/inefficient
at 60 GHz. of the FD-SOI integration using body in bulk technologies [6].

IEEE SOLID-STATE CIRCUITS MAGAZINE fa l l 2 0 17 23


FD-SOI Transistors Features Varia- depend on the body biasing variation Analog/RF FD-SOI Specific
tion with the Body Biasing Tuning for an operation at a given constant Tuning and Trimming Techniques
FD-SOI semiconductor physics pre- current. Figures 12 and 13 illustrate Using Body Biasing
dicts that the main design transistor’s this aspect by providing measure- By taking advantage of the unique
parameters (such as Gm or fT ) do not ment curves for different devices. very wide-band body biasing voltage
range available in FD-SOI technolo-
gies, the state of the art proposes
1,400 several unique techniques bringing
wn = 1 u uncontested chip energy saving and
Switch Resistance (Ω)

1,200
revisiting system performances.
1,000 wp = 2 u A first method consists of gen-
28LP CMOS
800 943 Ω erating and mak ing available on
28FDSOI noBB
28FDSOI FBB±1.8 V chip BB voltages (a pair, for NMOS
600 and PMOS transistors) variable over
400 288 Ω time and process, voltage, and tem-
25 Ω perature (PV T) variations. Start-
200
0 0.2 0.4 0.6 0.8 1 ing from these voltages, we can
Input Voltage (V) cancel system-level PVT variations
by continuously tuning transis-
Figure 11: An LVT CMOS switch resistance variation with respect to input voltage, compar- tors’ respective VT . Several design
ing a 28-nm FD-SOI CMOS and a 28-nm LP bulk. examples can be found in [7]–[9].
As well, reconfiguration at circuit/
block/system depending on appli-
cation operation mode is enabled.
[S]

1.5
Design examples can be found in
[10] (at block level) and [9] (at sys-
gm Meas [E–3]

1.0 tem level). Finally, we can propose


new energy-efficient design tech-
niques for tunable blocks via body
0.5
tie, as in [11].
A second method consists of gen-
0 erating and making available on chip
1E–7 1E–6 1E–5 1E–4 1E–3
fixed body bias voltages. In such
id [LOG] [log A]
cases, the design can efficiently
gm Versus id (W = 1e–06 L = 3e–08 MODEL = Ivtnfet)
enable operation at ULV (0.5 V) and in the
Figure 12: A 28-nm FD-SOI LVT CMOS 1 µm/30 nm transistor measured Gm for different same time increase circuit speed.
drain currents, where Vbody varies from 0 to 2 V, Vds = 1.1 V. Some design examples are [12] and

30 400
[Hz]
[S]

300
20
fT [E + 9]
gm [E + 3]

200

10
100

0 0
0 5 10 15 20 25 0.0 0.2 0.4 0.6 0.8 1.0
id [E–3] [A] id [E–3] [A]
gm Versus id (vds = 1 WFING = 1e–06 fT Versus id (vds = 1 WFING = 1e–06
L = 3e–08 MODEL = Ivtnfet_rf) L = 3e–08 MODEL = Ivtnfet_rf)
(a) (b)

Figure 13: A 28-nm FD-SOI LVT CMOS 1 µmX20 fingers/30 nm transistor* measured Gm and fT for different drain currents, where Vbody
varies from 0 to 2 V, Vds = 1.1 V. ()*: intrinsic device (FEOL plus Metal 1).

24 fa l l 2 0 17 IEEE SOLID-STATE CIRCUITS MAGAZINE


[13]. Another advantageous feature
A short overview of planar UTBB FD-SOI
is the minimization of the switches’
on-resistance value and excursion technologies has been presented, focusing on
for energy efficient and high speed analog, RF, mmW, and mixed signal designs.
switched-capacitors circuits (e.g.,
analog-to-digital conver­ters), as in
[14] and [5].
For the first method, on-chip Example of Body Bias Fully Enabled path and the frequency synthetizer
body bias generators have to be RF-Mixed Signal ULV SoC in but also the DC-DC converter (1.2
carefully considered for integra- 28-nm FD-SOI to 0.55 V) and the body bias gen-
tion in terms of power consumption, The design of an Impulse Radio- erator (up to ±1.8 V, for variable out-
ripple, and noise on the generated Ultra Wide Band (IR-UWB) Binary put voltage).
control voltages. They may have a Phase-Shift Keying (BPSK) and Bi­­ This design takes full advantage
constant over time operation or duty nary Position Modulation (BPM) RF of FD-SOI body biasing techniques
cycled, depending on the system transmitter operated at 0.55 V, IEEE for tuning, trimming, and loop con-
op­­eration. And finally, they can ad­­­ 802.15.4a compliant, is an excel- trol, and this is done at the circuit
dress smaller or larger islands of lent example of a ULV RF-mixed- and system levels in very elegant
transistors to be tuned or control­ signal SoC implementation in 28-nm ways (Figure 14). First of all, an ex­­
led. This consists of a new category FD-SOI [9]. This chip serves three tremely low power phase-locked
of embedded power management emission channels from a single loop-free architecture with aggres-
blocks. For the second method, the reconfigurable signal path (3.5, 4.0, sive duty cycling is proposed, com-
fixed positive BB voltage can come and 4.5 GHz, respectively) and has pensated by on-chip adaptive FBB
from a supply (1 or 1.8 V), and the configurable data rates of 0.11, 0.85, for local oscillator tuning and trim-
negative one can be easily gener- 1.7, 6.81, and 27.24 Mb/s, respec- ming upon the requested trans-
ated by a simple charge-pump volt- tively. The RF and mixed-signal SoC mit frequency. The Digital Power
age generator. features the digital and RF transmit Amplifier features programmable

31.25 MHz Crystal Clock

Packet-Level
BPSK Pulse-Level
Baseband Symbol-Level Baseband
at 32.25 MHz BPM at 31.25 MHz Baseband LFSR
at 500 MHZ

ENABLE_LO
Packet Encoder CLK_500 MHz
ENABLE_PA

Duty-Cycled Frequency Synthesis at 3.5–4.5 GHz


CALIB

LO Programmable
Frequency Calibration Frequency Divider
Packet Buffer ÷7, ÷8, ÷9
128 B

Power Amplifier Power Amplifier


Synthesized
Full-Custom

and Pulse Shaping


SPI I/F Enabling at 3.5–4.5 GHZ
and
Pulse
Shaping RF_OUT

Pulse Shaping and


Config. Registers

Figure 14: A RF-mixed signal SoC for ULV WSN transmitter (from [9]).

IEEE SOLID-STATE CIRCUITS MAGAZINE fa l l 2 0 17 25


pulse shaping enabled by body bias of Internet of Things (IoT) and 5G on adaptive FBB and digitally program-
mable pulse shaping,” IEEE J. Solid-State
control, meeting U.S. Federal Com- ecosystem [15]. It also permits the Circuits, no. 99, pp. 1–15, 2017.
munications Commission spectral implementation of efficient revisited [10] A. Larie, E. Kerhervé, B. Martineau, L.
Vogt, and D. Belot, “A 60 GHz 28 nm UTBB
regulation for all emission chan- tuning and trimming strategies for FD-SOI CMOS reconfigurable power am-
nels. Last but not least, high-speed process and temperature compensa- plifier with 21% PAE, 18.2 dBm P1dB and
74 mW PDC,” in Proc. IEEE Int. Solid-State
and ULV digital implementation tion, as well as for circuit reconfigu- Circuits Conf. Dig. Tech. Papers, 2015,
enabled by FBB further improves ration. And finally, FD-SOI permits pp. 1–3.
[11] I. Sourikopoulos, A. Frappé, A. Cathelin,
energy efficiency. to propose simpler circuits based L. Clavier, and A. Kaiser, “A digital de-
By summing up these new system on body bias tuned inverters that lay line with coarse/fine tuning through
gate/body biasing in 28 nm FD-SOI,” in
and design features in the 28-nm FD- revisit state-of-the-art evolution. Proc. Conf. 42nd European Solid-State Cir-
SOI 1 mm2 SoC, record energy effi- cuits Conf., 2016, pp. 145–148.
[12] L. Fanori, A. Mahmoud, T. Mattsson, P. Ca-
ciency improving by 16 the state of References puta, S. Rämö, and P. Andreani, “A 2.8-to-
[1] N. Planes, O.Weber, V. Barral, S. Haendler,
the art has been demonstrated (trans- D. Noblet, D. Croain,M. Bocat, P. Sassou-
5.8 GHz harmonic VCO in a 28 nm UTBB
FD-SOI CMOS process,” in Proc. IEEE Radio
mitter only: 14pJ/bit, SoC: 24pJ/bit). las, X. Federspiel, A. Cros, A. Bajolet, E. Frequency Integrated Circuits Symp., 2015,
Richard, B. Dumont, P. Perreau, D. Petit,
The transmitter is compliant with all D. Golanski, C. Fenouillet-Beranger, N.
pp. 195–198.
[13] A. Lahiri and N. Gupta, “A 0.0175mm 2
the standard requirements. Guillot, M. Rafik, V. Huard, S. Puget, X. 600 µW 32 kHz input 307 MHz output PLL
Montagner, M.-A. Jaud, O.Rozeau, O. Sax- with 190 psrms jitter in 28 nm FD-SOI,”
od, F. Wacquant, F. Monsieur, D. Barge, L. in Proc. 42nd European Solid-State Circuits
Conclusions Pinzelli, M. Mellier, F. Boeuf, F. Arnaud, Conf., 2016, pp. 339–342.
and M. Haond, “28 nm FD-SOI technol-
A short overview of planar UTBB ogy platform for high-speed low-voltage
[14] S. Le Tual, P. N. Singh, C. Curis, and P. Dau-
triche, “A 20 GHz-BW 6b 10 GS/s 32 mW
FD-SOI technologies has been pre- digital applications,” in Proc. Symp. VLSI time-interleaved SAR ADC with Master
Technology, 2012, pp. 133–134.
sented, focusing on analog, RF, [2] F. Arnaud, N. Planes, O. Weber, V. Bar-
T&H in 28 nm UTBB FD-SOI technology,”
Proc. IEEE Int. Solid-State Circuits Conf.
mmW, and mixed signal designs. ral, S. Haendler, P. Flatresse, and F. Nyer, Dig. Tech. Papers, 2014, pp. 382–383.
“Switching energy efficiency optimiza-
One of the major arguments of such tion for advanced CPU thanks to UTBB
[15] B. Zimmer, Y. Lee, A. Puggelli, J. Kwak, R.
Jevtić, B. Keller, S. Bailey, M. Blagojević,
technologies is the unprecedent­ technology,” in Proc. IEEE Int. Electron De- P.-F. Chiu, H.-P. Le, P.-H. Chen, N. Sutardja,
vices Meeting Dig., 2012, pp. 3.2.1–3.2.4.
­e d very wide VT tuning range of [3] D. Jacquet, F. Hasbani, P. Flatresse, R. Wil-
R. Avizienis, A. Waterman, B. Richards,
P. Flatresse, E. Alon, K. Asanović, and B.
~250 mV for FD-SOI versus ~10 mV son, F. Arnaud, G. Cesana, T. Di Gilio, C. Nikolić, “A RISC-V vector processor with
Lecocq, T. Roy, A. Chhabra, C. Grover, O.
for bulk, in addition to very good Minez, J. Uginet, G. Durieu, C. Adobati, D.
simultaneous-switching switched-capac-
itor DC–DC converters in 28 nm FD-SOI,”
analog intrinsic performances. The Casalotto, F. Nyer, P. Menut, A. Cathelin, I. IEEE J. Solid-State Circuits, vol. 51, no. 4,
Vongsavady, and P. Magarshack, “A 3 GHz
new “tuning knob” obtained from the dual core processor ARM cortex TM -A9 in
pp. 930–942, 2016.
body ties brings no parasitic effects 28 nm UTBB FD-SOI CMOS with ultra-wide
voltage range and energy efficiency opti-
on the signal path, which is a very mization,” IEEE J. Solid-State Circuits, vol.
About the Author
attractive feature in the case of tun- 49, no. 4, pp 812–826, 2014. Andreia Cathelin (andreia.cathelin
[4] R. Guillaume, F. Rivet, A. Cathelin, and Y.
ing schemes, as the feedback signal Deval, “Energy efficient distributed-os-
@st.com) has been with STMicro-
is under the BOX of the transistors. cillators at 134 and 202 GHz with phase- electronics, Crolles, France, since
noise optimization through body-bias
The excellent analog performances control in 28 nm CMOS FD-SOI technol-
1998, where she is now a fellow in
and the contained variability enable ogy,” in Proc. IEEE Radio Frequency Inte- Technology R&D. Her major fields of
grated Circuits Symp., 2017.
very high-performance energy ef­­ [5] A. Kumar, C. Debnath, P. N. Singh, V. Bha-
interest are in the area of RF/mmW/
ficient solutions. For RF to mmW tia, S. Chaudhary, V. Jain, S. L. Tual, and R. THz systems for communications
Malik, “A 0.065 mm2 19.8 mW single chan-
design, atop the previously men- nel calibration-free 12b 600 MS/s ADC in
and imaging, where she is leading
tioned aspects, excellent fT , fmax are 28 nm UTBB FD-SOI using FBB,” in Proc. advanced design R&D projects. She
42nd European Solid-State Circuits Conf.,
available, enabled by deep submi- 2016, pp. 165–168.
is serving on several IEEE conference
cron technology features. The BEOL [6] B. Murmann, “Mixed-signal design in FD- committees, including the Execu-
SOI,” Leti-Days, Grenoble, June 2015.
in an FD-SOI environment permits [7] J. Lechevallier, R. Struiksma, H. Sherry, A.
tive Committee of the International
the implementation of high-perfor- Cathelin, E. Klumperink, and B. Nauta, “A Solid-State Circuits Conference and
forward-body-bias tuned 450 MHz Gm-C
mance passive devices, despite the 3rd-order low-pass filter in 28 nm UTBB
Steering Committee chair of Euro-
very dense very-large-scale integra- FD-SOI with >1 dBVp IIP3 over a 0.7-to- pean Solid State Circuits Confer-
1V supply,” in Proc. IEEE Int. Solid-State
tion constraints. For mixed-signal ­Circuits Conf. Dig. Tech. Papers, 2015, pp.
ence–European Solid State Devices
and high-speed designs, the major 1–3. Conference (ESSCIRC-ESSDERC). She
[8] D. Danilovic, V. Milovanovic, A. Cathelin,
key parameters are improved vari- A. Vladimirescu, and B. Nikolic, “Low-
received the electrical engineering
ability, remarkable CMOS switches power inductorless RF receiver front-end degree in 1994 from ISEN Lille and
with IIP2 calibration through body bias
performance, and reduced para- control in 28 nm UTBB FD-SOI,” in Proc.
the Ph.D. degree in 1998 as well as a
sitic capacitances. IEEE Radio Frequency Integrated Circuits habilitation à diriger des recherches
Symp., 2016, pp. 87–90.
The 28-nm FD-SOI CMOS technol- [9] G. de Streel, F. Stas, T. Gurné, F. Durant,
in 2013, both from the University of
ogy enables flexible and energy- C. Frenkel, A. Cathelin, and D. Bol, “Sleep- Lille, France.
Talker: A ULV 802.15.4a IR-UWB transmit-
efficient SoC solutions for multiple ter SoC in 28-nm FD-SOI achieving 14 pJ/b
types of applications in the field at 27 Mb/s with channel selection based 

26 fa l l 2 0 17 IEEE SOLID-STATE CIRCUITS MAGAZINE


Digital Circuits for
Mobile Computing
Optimizing power performance

image licensed by ingram publishing


and innovation opportunities

Alice Wang and Hugh Mair

T
oday’s mobile phone industry. We can clock processors at and beyond for the best solution in
has millions of times 5+ GHz but cannot use them because mobile computing.
more computing po­­­ of the thermal limits of users putting
wer than all of the the de­­­­­­v ice in their pockets. We can What’s Going on Around Me?
NASA computers that drive more performance through (Adaptive Techniques for
put two astronauts on the moon. It is multicore and parallelism but the Power/Performance Optimization)
through the progress made by Moore’s user prefers to charge their mobile On a given wafer there is a large varia­
law and the ability of software engi­ once per day. To ship millions of ICs tion of maximum central processing
neers to take advantage of increased per day means adding margin for reli­ unit (CPU) speed for each IC due to
computing to create new applications ability, resulting in wasting power process variations. However, at the
that help our daily life be more effi­ and performance. New innovation is product level, we need to guarantee a
cient. There is enough computational needed to provide the optimized power minimum CPU speed with which each
power available that we are at the prec­ and performance of these devices. IC will work over all possible tem­
ipice of intelligent robots, autonomous This tutorial will discuss circuit peratures and variations due to the
vehicles, and machine learning for a innovation opportunities for mobile environment that the processor could
smart home/environment/life. computing by being adaptive to the encounter. In the design closure stage,
Putting all of this computing into environment, driving the state of the we will sign off at the worst condition
an increasingly smaller form fac­ art in power delivery and clocking and of silicon speed, voltage, temperatu­re,
tor and limited energy sources cre­ then focusing on foundation intellec­ and device lifetime, but in reality
ates innovation opportunity for our tual property (IP) such as standard cell this is also the worst case and causes
library and embedded memory design power overhead to achieve the speed
for mobile computing. Finally, we will target. Therefore, by employing adap­
Digital Object Identifier 10.1109/MSSC.2017.2745819 look into how to co-optimize up the tive techniques, we can reduce this
Date of publication: 16 November 2017 food chain from circuits to systems power overhead by operating the IC

1943-0582/17©2017IEEE IEEE SOLID-STATE CIRCUITS MAGAZINE fa l l 2 0 17 27


Computing Subsystem (HW)

DET DET
CPU0 CPU1 CPU0 CPU1

TEMP TEMP

Performance-Driven CPU Cluster Energy-Efficient CPU Cluster Vdd

Shader0 Shader1 System


PTP PMIC
Power
Controller
Manager
Power-Efficient GPU Cluster

80 45

70 40
PTP: +23% GHz PTP: –30% Power (avg)

Power Savings (Typ) (%)


35
60
30
50
25
40
20
30
15
20
10
10 5
0 GHz 0
90 100 110 120 130 140 Fast Typ Slow
(%) Silicon Speed

Figure 1: PTP detectors enable adaptive voltage scaling for optimal power/performance of the CPU complex.

the CPU to detect when operating


30 130 conditions have changed. This ad­­
aptive technology allows the device
25 110 to use available voltage margin to
Average Power Saving (%)

boost performance or lower power


Temperature (C)

20 90 With Temperature consumption when possible. A small


Monitoring controller monitors the detector data
15 70 Without Temperature dynamically trimming the device for
Monitoring
dc voltage bias, aging, and tempe­
10 50 Temperature
rature adjustment as the device is
exposed to different conditions. The
5 30
result is 20% megahertz boost or 30%
power savings depending on the sys­
0 10
Time tem-on-chip (SoC) operating condition.
Figure 2 shows the power savings over
Figure 2: Temperature monitors allow for additional power savings across time as the time due to the temperature sensors.
temperature fluctuates. Adjusting the voltage with tempera­
ture can save an additional 5–10% of
at the lowest voltage that still guaran­ staying below the thermal budget power. The technology is IP agnostic,
tees speed and quality [1]. of the chip, as shown in Figure 1. thus all processors and digital logic
The goal of adaptive techniques Power, thermal, and performance in the SoC are able to gain a similar
is to maximize performance while (PTP) detectors are distributed within power savings benefit.

28 fa l l 2 0 17 IEEE SOLID-STATE CIRCUITS MAGAZINE


Using back-gate biasing is another
The goal of adaptive techniques is to maximize
way to adaptively adjust the silicon
for process variation. Forward-body performance while staying below the thermal
bias (FBB) increases the performance budget of the chip.
of slow silicon by applying 400 mV to
the body of the P-type metal–oxide–
semiconductor transistors in a 28-nm dithering. When clock dithering is network (PDN) impacts the perfor­
process node CPU. The body-bias active, a secondary process adjusts mance capability. Because a very
switch is integrated into the distrib­ the on-chip phase-locked loop fre­­ robust PDN is also associated with
uted power switch to ensure even quency and off-chip dc-to-dc converter a higher cost, there is a very care­
distribution of all relevant voltages voltage to a more energy-efficient oper­ ful tradeoff between the cost of the
across the CPU (Figure 3). A careful ating point to maximize performance. PDN solution versus the performance
power sequence of the voltage rails The control loop goes between clock achievable by the processor that needs
is needed to prevent short circuit dithering and voltage/frequency ad­­ to be considered.
current between supplies [2]. justment to achieve maximum per­ During run time, the processors
Adaptive power allocation is used formance, which occurs when total switch between light and heav y
to maximize CPU performance within power consumption is close to the work loads continuously, c ­ ausing
the currently allocated power bud­ power budget. A two-times perfor­­man­­ voltage droop in the PDN. These
get by instantly reallocating power ­c e improvement is observed when droops diminish the voltage margin
from low-activity CPUs to high-activ­ power is limited to 25% of normal available and compromise CPU oper­
ity ones, thus avoiding performance operating power [3]. ating speed. To minimize voltage
throttling on high-activity CPUs. In droops, a remote-sensing technol­
scenarios where the cumulative power Sipping Power Through a Straw ogy is employed [1]. A feedback line
of all CPUs exceeds the total clus­ (Power Delivery Network) using a printed circuit board (PCB)
ter budget, automatic clock gating Powering the processors is also a trace to a location closest to CPU
is introduced as a temporary coun­ very important consideration, and power pins provides actual CPU volt­
termeasure and is achieved by clock the design of the power delivery ages to the power management IC

RVDD VNWBIAS

PDB

VDDB
VDD
RET ORET

BIASEN OBIASEN

OPDB

RVDD
VNWBIAS
PDB

RET
Transition
Transition

BIASEN
Transition
Transition

Transition

Transition

No FBB FBB No FBB

Power-Up Active Retention Active Retention Active

Figure 3: A hybrid switch to support body biasing and power sequencing required to prevent short circuit current between supplies.

IEEE SOLID-STATE CIRCUITS MAGAZINE fa l l 2 0 17 29


(PMIC). The voltage delivered from
Die PCB Cap the PMIC continuously compensates
VCC
PKG
Pkg Cap PMIC for any losses, thus power deliv­
PCB ered to the processors is guarante­
­ed to within a known error margin.
The feedback mechanism is con­
VCC VCC tinuous, and thus power supplied
Max Max is constantly tracked and adjusted
during operation. Figure 4 shows
oscilloscope plots before and after
VCC VCC
remote sense are enabled achi­e v­i ng
Min Min
18 mV(dc), 11 mV(ac) power sup­­­­­p ­­­
Remote Sensing Off Remote Sensing On
­ly compensation.
Increasing CPU clock frequency,
Figure 4: Oscilloscope plots of voltage measured at the probe location on the PCB closest
to the IC before and after remote sense (VCCmax and VCCmin are the high and low voltage
core count, and lower supply voltage
specs, respectively). stresses both the power distribution
network and the dc/dc converter from
current load transients. Aggressive
clock gating in a high-performance
Without Clock Gating Second Droop: 118 mV CPU creates an additional challenge:
idle CPUs enter an idle state in which
dynamic power is completely elimi­
nated, and consequently the dc/dc
converter switches from pulsewidth
mode (PWM) to pulse-frequency mode
(PFM). When this event is detected, the
CPU clocks are automatically switched
With Clock Gating Second Droop: 64 mV to a lower clock frequency, thus pre­
venting a large step in supply current
and minimizing the dI/dt transient.
Without this feature enabled, the
maximum VDD droops 118 mV, as
the dc/dc converter transitions from
PWM to PFM. When enabled, the droop
is reduced to 64 mV due to lower ini­
tial current demand, achieving a 45%
Figure 5: A silicon measurement of WFI ➔ max-power showing droop reduction from
118 to 64 mV of the second droop through aggressive clock gating when the transient is reduction in VDD droop (Figure 5) [2].
detected. WFI: wait for interrupt. Another droop reduction scheme uses
a 1.8 V supply to deliver momentary
boosting current and charge to the
CPU (Figure 6). A bank of five clocked
2.5-GHz Clock 1.8 V Supply on-die voltage sensors continuously
Bandgap
State monitors the internal power supply
Voltage
Voltage voltage, using an externally supplied
Monitor Array
2.5-GHz clock. State-based logic, in
VMon0 turn, monitors the five sensor outputs
Reference VMon1 Power
Digital 12 and, upon detecting a droop occur­
Voltage VMon2 Switch
Control
Generator VMon3 Activation Array rence, sends a 12-b activation code to
VMon4 Code the integrated bank of power switches.
Response Time <1 ns This switch activation effectively can­­
DIE_SENSE_VDD cels the resonant droop before it
CPU
Cluster reaches the minimum voltage, thus
DIE_SENSE_VSS
improving VMIN. For effective droop
reduction, the entire process from
detection to prevention must occur in
Figure 6: Droop mitigation design with response time <1 ns. under 1 ns [4].

30 fa l l 2 0 17 IEEE SOLID-STATE CIRCUITS MAGAZINE


“I Feel the Need, the Need for
Speed” (Clocking) CPU Bounding Box
A structured clock mesh is often used in
Fishbone “Spine”
high clock rate CPUs to minimize clock
Fishbone “Ribs”
insertion delay and end point skew. In
Tap-Point Buffer
the CPU, a “fishbone” structure is con­
First-Level Clock Gate
structed to propagate the main clock
to a grid of 229 tap-points across the
CPU. As shown in Figure 7, the fishbone
utilizes a distributed driver column
tied to horizontal spines; no additional
vertical strapping is used, eliminating
half of the traditional mesh capacitance.
The resistance-capacitance (RC) of each
spine is constrained by using tap-point
buffers at each grid point, minimizing
capacitance and RC va­­­­r iation of each
spine. Traditional clock tree synthe­ Figure 7: A fishbone clock physical structure.
sis (CTS) is used to connect from the
tap-points to lower-level gates, allowing
skew to be used as required [2]. 200
A direct connection to a large nu­­m­­ HD HC
ber of clock tree elements maximizes 64 b/bl 32 b/bl Cell: HD
the potential benefit of a mesh style of 175 Cell: HD Bits/BL: 32
clock grid. To achieve this, the first SVT LVT Bits/BL: 64 Periphery: LVT
level of functional clock gates instan­ Periphery: LVT Area: 119.3%
Area: 100%
Reactive Power (%)

tiated in the register transfer level are


150
logically combined into the second Power Too High
level. This increases the number of Cell: HC
first-level clock loads from three to Bits/BL: 64
Cell: HD
3,009, which are immediately behind 125 Periphery: SVT
Bits/BL: 64
Area: 118.6%
229 tap-point buffers. The overall CPU Periphery: SVT
clock insertion delay is reduced by 35% Area: 100%
Cell: HD
while maintaining parity power with 100 Bits/BL: 32
traditional CTS in a 28-nm CPU design. Periphery: SVT
Power Too Area: 119.3%
Low
How to Lay a Good Foundation
75
­(Standard Cell and Embedded SRAM) 90 95 100 105 110 115
Once the CPU specification and micro­ Relative Performance (%)
architecture are defined, the next
step is to implement them into digital Figure 8: An L1 SRAM’s PPA tradeoff resulting in L1 CPU SRAM using HD with 32 bbl.
logic and implement the place and
route. One knob for additional tuning option tradeoff. LVT was eliminated clock-gating paths are frequent­­ly speed
of the power/performance of the CPU due to the impact of leakage power. bottlenecks in high-speed CPU imple­
is the standard cells and embedded HD with 64 bbl does not meet perfor­ mentations. A high-speed clock-gating
memory (SRAM) design to achieve the mance, so HD with 32 bbl or HC with cell, shown in Figure 9, is devised to
power/performance target. 64 bbl is considered; compared to HD replace the traditional clock-gating cells
To meet CPU L1 cache SRAM perfor­ 64 bbl, these have +19.3%, and +18.6% (four inverter delays) in timing-critical
mance requirements while minimiz­ more area, respectively. As can be seen, paths. The new cells offer data-to-output
ing the power consumption, three the HD 32 bbl has a 3% higher perfor­ timing of only two inverter delays [1].
design options were explored: 1) high- mance and 9% lower power than the HC One of the significant challenges
density (HD) versus high-current (HC) 64 bbl and, hence, is the topology used to logic performance in advanced
bit cell, 2) 64 b/bit line (bbl) versus for CPU L1 caches for optimal power, process technology pertains to the
32 bbl, and standard threshold volt­ performance, and area/cost [2]. midend-of-line (MEOL) and higher re­
age (VT) versus low VT periphery Clock gating is a commonly used sistance between transistors and in­
transistors. Figure 8 shows the design methodology to reduce power. However, terconnects as the technology scales

IEEE SOLID-STATE CIRCUITS MAGAZINE fa l l 2 0 17 31


counterparts. Area impact from the
FF larger double-source cells is managed
FF
ECK FF by restricting their use to only the most
EN
CG critical timing paths.
CK
VDD VDD
CK
Up the Food Chain (Circuit ➔
TE CK1
CK
CK1 TE
CK1 ­System ➔ Software ➔ Humanities?)
In any system the power/performan­
EN
ECK ­ce tradeoffs made at higher levels can
CK1
EN ECK make or break any improvements
CKN TE made at the lower levels. For exam­
TE CKN ple, if many low-power knobs made
VSS VSS available at the circuit level are not
correctly used at the system or soft­
Traditional Clock Gating Cell High-Speed Clock Gating Cell
ware level, then the power overhead
at the higher level can not be opti­
Figure 9: The EN to ECK critical path in the clock gate is optimized from four gates to two
mized away by circuit innovations.
gates. EN: enable; ECK: enable CK.
Therefore cross optimizations from
circuits upward are vitally important
to the end product.
2 One such example is in the CPU
MEOL
Connections FO4 Delay software model utilizing a heteroge­
3
1
(ps) neous multiprocessor (HMP) archi­
Standard 2x INV 9.1 tecture. In mobile platforms, there
Xtor Source
4 is a wide range of demand for high
5 Double-Source 2x INV 8.6
Frequency Benefit 5.5%
performance in burst modes, sustain­
able performance in thermally limited
FO4 Delay at 0.75 V, TT, 25 °C
applications and low power modes
Input Output 2 1 for continuous usage. Thus a hetero­
geneous multiprocessor architecture
that includes both high-performance
4 and low-power CPUs is adopted to fit
3 5
all the power/performance profiles
needed. Since this architecture is dif­
ferent than previous computing mod­
els of multiprocessor systems, a new
software model was needed. The ini­
tial models imposed a limitation where
only one CPU type can be active at a
time. For a truly heterogeneous expe­
rience, new HMP software allows the
application access to all of the proces­
sors in the asymmetric CPU subsystem
Figure 10: A schematic and layout of a double-source inverter to reduce the MEOL resis-
tance and improve speed. simultaneously. While inherently supe­
rior to the previous models, the HMP
to a smaller dimension [4]. To miti­ across the source and drain from the performance remains highly depen­
gate this impact, a new standard cell source of the active transistors to the dent on the quality of the heteroge­
topology was created in a 10-nm pro­ respective power rail. neous scheduler embedded in the SoC
cess node. As shown in Figure 10, an Since these additional transistors solution. An advanced scheduler algo­
example topology of a 2× inverter, ad­ have gate/drain/source shorted, they rithm, combined with the asymmetric
ditional transistors are placed to the do not add to device leakage or input CPU architecture and an adaptive
left and right of the active transistors loading. This technique is applied to thermal and interactive power man­
to reduce their source resistance. The inverters, buffers, and commonly used agement system, maximize both per­
lowered source resistance and result­ combinational cells. The double-source formance and energy efficiency [1].
ing performance benefit is achieved cells are over 5% faster and 18% lar­­ An important input to the hetero­
by using an MEOL connection to short ger on average than conventional geneous scheduler is the CPU power

32 fa l l 2 0 17 IEEE SOLID-STATE CIRCUITS MAGAZINE


About the Authors
250 Alice Wang (alice.wang@mediatek
+40% Power-Efficiency .com) received her bachelor’s, mas­
Improvement Versus HP ter’s, and Ph.D. degrees in electrical
Power/Performance (%)

200
engineering and computer science
HP-Cluster from the Massachusetts Institute of
150 LP-Cluster Technology, in 1997, 1998, and 2004,
respectively. She wrote the paper “A
ULP-Cluster
180-mV Subthreshold FFT Proces­
100
+44% Power-Efficiency sor Using a Minimum Energy Design
Improvement Versus LP Methodology” with Prof. Anantha
50 Chandrakasan, which inspired a new
0 100 200 300 400 research field in ultra-low voltage
Single-Threaded Performance (%) technology. After her Ph.D., she spent
eight years at Texas Instruments and
Figure 11: CPU power-efficiency curves showing for three CPU types the single-threaded six years at MediaTek developing
performance versus the power. low-power circuit and system tech­
nology for mobile baseband applica­
efficiency curves to allow the sched­ References tion processors and radios. Her work
[1] A. Wang, T.-Y. Lin, S. Ouyang, W.-H. Huang,
uler to make important CPU tradeoffs. J. Wang, S.-H. Chang, S.-P. Chen, C.-H. Hu,
on low-power technology has been
The curves in Figure 11 show the CPU J. C. Tai, K.-S. Tan, M.-N. Tsou, M.-H. Lee, showcased in more than 30 IEEE pub­
G. Gammie, C.-W. Yang, C.-C. Yang, Y.-C.
single-threaded performance versus Chou, S.-H. Lin, W. Kuo, C.-J. Chung, L.-K.
lications, and she has coauthored two
the power per CPU as a function of per­ Yong, C.-W. Wang, K. H. Dia, C.-H. Chien, books. She is a Senior Member of the
Y.-M. Tsao, N. Kumar S., R. Lagerquist,
formance. If the HMP architecture can C.-C. Chen, and U. Ko1 “Heterogeneous
IEEE. Currently, she is an assistant
provide different CPUs, then the soft­ multi-processing quad-core CPS and du­ general manager in high-performance
al-GPU design for optimal performance,
ware can choose the CPU that provides power, and thermal tradeoffs in a 28nm
processor technology at MediaTek.
the lowest possible power for that mobile application processor,” in Proc. Int. She has served on the International
Solid-State Circuits Conf. Dig., 2014, pp.
given performance requirement [4]. 180–181.
Solid-State Circuit Conference Techni­
As the system and software become [2] H. Mair, G. Gammie, A. Wang, S. Gururaja­ cal Program Committee for more than
rao, I. Lin, H. C. Chen, W. Kuo, A. Rajago­
optimized to minimize power/perfor­ palan, W.-Z. Ge, R. Lagerquist, S. Rahman,
ten years and been a guest editor of
mance for a given application, per­ C. J. Chung, S. Wang, L.-K. Wong, Y.-C. IEEE Journal of Solid-State Circuits. She
Zhuang, K. Li, J. Wang, M. Chau, Y. Liu, D.
haps the next optimizations will take Dia, M. Peng, and U. Ko “A highly integrat­
was elected to the Advisory Commit­
place upward at the humanities level, ed smartphone SoC featuring a 2.5GHz tee for the IEEE Solid-State Circuits
octa-core CPU with advanced high-per­
where the human aspect of comput­ formance and low-power techniques,” in
Committee (2017–2019) and heads up
ing might be brought in. New comput­ Proc. Int. Solid-State Circuits Conf. Dig., the IEEE Solid-State Circuit Society
2015, pp. 425–426.
ing models such as deep learning and [3] H. T. Mair, G. Gammie, A. Wang, R. Lager­
Women in Circuits Committee.
brain-inspired computing will help quist, C. J. Chung, S. Gururajarao, P. Kao, Hugh Mair graduated from Glasgow
A. Rajagopalan, A. Saha, A. Jain, E. Wang,
us to further improve the user expe­ S. Ouyang, H. Wen, A. Thippana, H.-C.
University with a degree in electrical
rience and power efficiency of the Chen, S. Rahman, M. Chau, A. Varma, B. and electronics engineering in 1990
Flachs, M. Peng, A. Tsai, V. Lin, U. Fu, W.
devices we all rely on in our every day. Kuo, L.-K. Yong, C. Peng, L. Shieh, J. Wu,
and joined the mixed-signal design
The future is extremely bright and and U. Ko, “A 20nm 2.5GHz ultra-low- group of Texas Instruments, Dallas.
power tri-cluster CPU subsystem with
the opportunity is rich in the area of adaptive power allocation for optimal
His work has focused on high-speed,
mobile computing! mobile SoC performance,” in Proc. Int. low-power mixed-signal and digital
Solid-State Circuits Conf. Dig., 2016.
pp. 76–77.
circuit design, including high-rate/
Acknowledgments [4] H. Mair, E. Wang, A. Wang, P. Kao, Y. Tsai, long-reach SERDES, low-power SRAM,
S. Gururajarao, R. Lagerquist, J. Son, G.
Many thanks to all of the worldwide Gammie, G. Lin, A. Thippana, K. Li, M.
and power management for advanced
engineering teams at MediaTek who Rahman, W. Kuo, D. Yen, Y.-C. Zhuang, U. CMOS. He has authored/coauthored
Fu, H.-W. Wang, M. Peng, C.-Y. Wu, T. Do­
contributed their blood, sweat, and sluoglu, A. Gelman, D. Dia, G. Gurumur­
19 papers and invented/coinvented
tears to this innovative work and deep thy, T. Hsieh, W. X. Lin, R. Tzeng, J. Wu, more than 50 patents. Currently, he
C. H. Wang, and U. Ko, “A 10nm FinFET
appreciation to the management at 2.8GHz tri-gear deca-core CPU complex
is an assistant general manager in
MediaTek to support new and excit­ with optimized power-delivery network high-performance processor technol­
for mobile SoC performance,” in Proc.
ing technology to be introduced to Int. Solid-State Circuits Conf. Dig., 2017,
ogy at MediaTek.
our products. pp. 56–57. 

IEEE SOLID-STATE CIRCUITS MAGAZINE fa l l 2 0 17 33


Alison Burdett

Low-Power
Wireless Systems
for Hospital
Patient Monitoring
The challenges and solutions
Footage Firm, Inc.
for emerging application areas

W
hile mobile, ing to the development of a new ge­­ pressure are essential in identify­
wireless con­ neration of connected products for ing clinical deterioration, and these
n e c t iv it y is patient benefit. This article discusses parameters must be measured and
per vasive in some of the challenges in the im­­ recorded accurately. The existing stan­
most areas of plementation of low-power wireless dard of care in most hospitals is
business and social activities, the connectivity for hospital patient mon­ continuous monitoring in high-depen­
use of wireless technology in the itoring, and describes solutions for dency and intensive care areas, and
field of medical devices is not as two emerging application areas: the intermittent spot-check monitoring
widespread. In this heavily regulated early detection of patient deteriora­ on general wards. Patients in inten­
industry, wireless communication is tion on general care wards and real- sive care units (ICUs) are suffering
often seen as an extra risk that, for time patient monitoring in intensive life-threatening conditions and
some applications, can be unaccept­ care units. can deteriorate very quickly, thus
able. But the benefits that wireless their vital signs are monitored con­
connectivity can bring to medical Hospital Patient Monitoring tinually and in real time by attach­
devices is being recognized, lead­ Vital-sign monitoring is a fundamen­ ing the patients to bedside monitors
tal component of hospital patient using multiple wires and cables.
Digital Object Identifier 10.1109/MSSC.2017.2745718 care. A patient’s heart rate, respi­ Although patients in ICUs are immo­
Date of publication: 16 November 2017 ration rate, temperature, and blood bile and being tethered to the bed

34 fa l l 2 0 17 IEEE SOLID-STATE CIRCUITS MAGAZINE 1943-0582/17©2017IEEE


by wires and cables may not appear These systems must be of a fairly life suitable to last the duration of an
to be a problem, in practice, this high power to achieve a good communi­ average in-patient stay (about three to
“cable clutter” causes a number of cation range from the base station and seven days).
problems. Inadequate cleaning of also due to the relatively high volume of For ICU patients, the volume of
cables can lead to cross-infection, the data being streamed. Existing systems data is higher since typically a 12–15
large number of cables can make it are typically implemented either using lead ECG is measured in real time,
difficult to access the patient to pro­ custom radio-frequency (RF) protocols but the key issue here is the latency
vide care, and cables can become eas­ operating in dedicated hospital telem­ from skin to screen, which needs to be
ily disconnected, leading to loss of etry frequency bands (wireless medi­ lower than 250 ms. In an ICU situation,
signal or false readings. cal telemetry system frequencies) or the patient is immobile and so the
Wired monitoring is not appro­ are based on modifications of existing wireless connection can be a simple
priate for the majority of patients on communication protocols such as Digi­ point-to-point link from the patient to
general wards who are encouraged tal Enhanced Cordless Telecommuni­ bedside monitor. Table 1 summarizes
to be mobile as part of their rehabili­ cations. While telemetry monitoring is the requirements of the wireless com­
tation. These patients are monitored well established, it is not suitable for munication link for these two patient
by nursing staff performing regular either of the patient groups discussed monitoring scenarios.
observation rounds at a frequency previously. For ICU patients, the wire­
dictated by hospital procedure and less telemetry link performance does Performance Requirements
patient status, typically once every not meet the necessary reliability and for Wireless Medical Devices
4–8 h. Between these observation latency requirements needed by this To correctly define and design a wire­
rounds, some patients are at risk of application. For general care applica­ less system for hospital patient moni­
deterioration and can suffer unan­ tions, telemetry is too bulky, costly, toring, it is important to understand
ticipated adverse events. Recent re­­ and, if used on all general care patients, the regulatory requirements when
ports have highlighted that many would provide an overload of real-time deploying wireless technology in
patients do deteriorate between data that the nursing staff would not medical devices. In 2013, the U.S. Food
manual observation rounds, result­ have the capability to process. and Drug Administration (FDA) pub­
ing in significant illness and even lished a guidance document, “Radio
death, which might have been averted Wireless Medical Monitoring Frequency Wireless Technology in
had the deterioration been identified Wireless connectivity potentially Medical Devices” [3]. This document
earlier [1], [2]. However, there is a limit offers a solution for monitoring ICU does not specify which technologies
to the frequency with which vital and general care patients. For ICU should be used and does not pre­
signs can practicably and affordably patients, replacing many of the cables scribe specific ways of operating but
be measured by the nursing staff. with wireless connectivity would instead discusses key considerations
Electronic vital-sign measurement greatly improve workflow and usabil­ that must be addressed and assessed
could provide a solution, provided ity for nursing staff, while also reduc­ for risk when incorporating wireless
that such devices did not impact ing infection risk. For general care technology in medical devices. It spe­
negatively on nursing workflow or patients, the wireless measurement cifically highlights
patient mobility. and transmission of vital signs could
One area where wireless patient provide notification of ­ physiological
monitoring has become part of rou­ deterioration many hours before
tine clinical practice is on telemetry the next set of manual observations
wards. In these areas, patients are typ­ would have been due, allowing for
ically being treated for cardio-respira­ timely intervention. However, the per­
tory disorders, and so a continuous formance requirements of the wire­
measurement of patients’ heart rates less link in these two applications are
and echocardiograms (ECGs) allows quite different.
clinicians to closely track their recov­ For monitoring general care pa­­
ery. Telemetry systems require a rela­ tients, a very “lightweight” telemetry
tively bulky transmitter to be worn solution would be appropriate that
by the patient (typically in a pouch simply transmits a new set of vitals
worn on the shoulder or around the readings at a measurement rate much
neck) that transmits their physiologi­ higher i.e., every few minutes, than the
cal data to dedicated base stations current 4-h observations. The patient-
within the telemetry ward and then worn device should be small and un­­
onto dedicated screens monitored by obtrusive, ideally disposable to avoid
specialist clinical staff. cross-infection issues, with a battery

IEEE SOLID-STATE CIRCUITS MAGAZINE fa l l 2 0 17 35


To correctly define and design a wireless States and 2483.5–2500 MHz in the
European Union] [4].
system for hospital patient monitoring, it
is important to understand the regulatory EMC of Wireless Technology
A wireless medical device must be
requirements when deploying wireless shown to continually function as in­­­­
technology in medical devices. tended in the presence of electro­
magnetic interference (EMI) from
other devices. For medical devices,
■■ the selection and performance of requirements for latency and pro­­­ EMC/EMI testing is moving away from
wireless technology b a bi l it y of loss of i n for m at ion being a prescribed set of tests and
■■ wireless quality of service (drop out). frequencies to an individual prod­
■■ wireless coexistence uct-based risk analysis and testing;
■■ the electromagnetic compatibility Wireless Coexistence that is, the manufacturer must declare
(EMC) of wireless technology This technology must meet the which frequencies his product is most
■■ the security of wireless signals monitoring performance require­ susceptible to and then show by test­
and data. ments in the presence of other ap­­ ing that the risks of this interference
While all these issues should be plications operating in the same have been mitigated.
considered when implementing any frequency spectrum. While interfer­ Regulations to be introduced in
wireless system, when designing a ence mitigation techniques such as 2019 require very high levels of RF
medical device, the burden is on the frequency hopping, forward error- immunity testing for proximity fields
manufacturer to show that the impact correction, and data redundancy from certain wireless transmitters
of any of these issues has been as­­ can be employed, if they are not that are expected to become com­
sessed and appropriate risk control implemented efficiently, these tech­ monplace in hospitals, namely global
measures are in place. niques can have a negative impact system for mobile communications/
on system latency and power con­ code division multiple access/long-
Selection and Performance sumption. Concerns about interfer­ term evolution (LTE) at 00.8–0.9 GHz
of Wireless Technology ence from other devices having a and Bluetooth/wireless local area
Whether a custom protocol or an negative impact on emerging wire­ network/RF identification/LTE at
existing standard, the wireless tech­ less patient monitoring systems has 2.4–2.57 GHz [5]. The EMI testing lev­
nology must be shown as suitable led U.S. and European regulatory els for medical devices are orders of
to meet the monitoring application bodies, the Federal Communications magnitude higher in field strength
requirements, e.g., throughput, num­ Commissions a nd the Europea n and closer in frequency than RF
ber of nodes supported, and com­ Telecommunications Standards immunity testing required for con­
munication range. In­stitute, to allocate dedicated fre­ sumer products, and it is very likely
quency spectrum for wearable wire­ that low-power RF communication
Wireless Quality of Service less monitoring devices [the Medical systems will face tough challenges
The chosen wireless technology must body area networks (MBANS) spec­ in meeting these requirements. For
meet the monitoring performance trum at 2360–2400 MHz in the United medical devices, this will neces­
sitate the careful system design and
mitigation at RF protocol and ap­­
Table 1. Wireless Patient Monitoring Application Requirements. p l i cation levels to ensure robust
op­eration in presence of these high-
General Care Patients ICU Patients
level interferers.
Date type Intermittent, processed vital signs Continuous, up to 12-lead ECG
Data rate Low, few b/s Up to 120 kb/s Security of Wireless Signals
Range ~30 m ~3 m and Data
Concern about the vulnerability of
Latency Few minutes < 250 ms
wireless medical devices to hacking
Number of nodes 100 s 1
led to the FDA issuing a new guidance
Network topology Ad-hoc star Point to point note in 2014, “Management of Cyber
Network priority Low High Security in Medical Devices” [6], which
Security High High highlighted t wo major concerns:
device hijacking and denial of ser­
Lifetime Few days Few days
vice. Device hijacking occurs when
Cost Low Medium an attacking device discovers the

36 fa l l 2 0 17 IEEE SOLID-STATE CIRCUITS MAGAZINE


communication protocol and is able peak transceiver current consump­ control functions in efficient low-power
to listen in (eavesdrop) or exchange tion of 5 mW was achieved to allow hard­­­ware, including RF channel selec­
data with the medical device to mod­ additional overhead for vital-sign tion, channel access, link establish­­
ify its behavior (man in the middle). sensing, digitization and process­ ment, forward error correction, data
The solution for wireless devices is ing, and other system housekeeping transfer, and sleep management [13]. In
to implement encryption and authen­ functions. The transceiver operates many other transceivers, such func­
tication with secure key exchange. in the 868/915 MHz ISM bands as tions would be run at a higher layer
But again such techniques can be chal­ this gives a good tradeoff between in software, allowing for greater flex­
lenging under low power constraints, antenna size, range, and power con­ ibility but with a resulting higher
and further advances in this area sumption [12] and allows a 30-m range power usage.
are needed. Under a denial of service with a transmit power of ~100 uW. Since the development of this SoC
attack, the wireless medical device is Key to robust wireless system opera­ and system, Bluetooth low energy
prevented from communicating either tion at very low power levels is a custom (BTLE) has emerged as a popular low-
by RF jamming or by flooding the net­ hardware medium access control­ power wireless technology for wire­
work with legitimate connection/data ler, implementing many of the key less sensing and the Internet of
transmission requests. Sophisticated
jamming techniques that exploit pro­­
tocol features or weaknesses can
SensiumVitals Patch
be difficult to detect and have been
demonstrated for wireless medical
systems based on Wi-Fi and Zigbee
[8]. This presents an argument for
wireless medical monitoring devices
to use modified or custom protocols
SensiumVitals Bridge
that cannot be so easily understood
and replicated.

A Wireless Solution for


General Care Patient Monitoring
Low-power, wearable, and wireless
vital-sign monitors for the early de­­
tection of patient deterioration on
general wards are available on the MG Service Application
Server
market. One of the first such devices Monitoring
Gateway Service (Provides MAS
to become commercially available as and MG Services)
Controls Bridges
a CE-marked and FDA 510K-cleared Tracks Patches
medical device is the SensiumVi­ No Patient Names
MAS Service
tals system, as shown in Figure 1
Monitoring
[9]. At the heart of this system is a Application Service
single-use wearable wireless patch Database Links Patient ID
that is attached to the patient’s torso Server to Patch
Notifications Sent
and monitors heart rate, respiratory
Via E-mail
rate, and temperature and transmits User Interface
up ­­­d ated readings to wall-mounted (Using https)
bridges every 2 min.
This patch is based on a dedicated
system-on-chip (SoC) incorporating
a custom wireless protocol designed
to minimize power consumption and
cost and obtain a five-day battery life
from a single CR2032 coin cell [10].
To maximize battery life, the peak Connects to ADT Wireless Monitoring Notifications Sent to
current drain must be lower than and EMR System Application Screen Handheld Devices
10 mA [11]. In this SoC, peak current
consumption is dominated by the Figure 1: A wireless patient monitoring system for the early detection of deterioration on
transceiver when active, and thus a general care wards. (From [9], used with permission.)

IEEE SOLID-STATE CIRCUITS MAGAZINE fa l l 2 0 17 37


Things [14]. While not yet able to Wireless Communication waveform and rate and photo ple­­
reach the very low peak power con­ for Intensive Care Monitoring thysmography waveform for blood
sumption (<10 mA) and extended Replacing wired cables in the ICU with oxygen saturation, gave an appli­
range (>10 m) of a custom protocol, wireless communication presents a cation data rate requirement of
wearable wireless patient monitoring significant challenge at low power 166 kb/s.
patches based on BTLE have started consumption, which, in this applica­ Equally important for ICU stream­
to become commercially available, tion, is also highly desirable to mini­ ing are the wireless QoS parameters
e.g., [15] and [16]. Moreover, recent mize size and maximize battery life. that need to be achieved. In addition
publications have demonstrated For ICU monitoring, the required to the data throughput of 166 kb/s,
BTLE transceivers operating at a data rate is dominated by the need the application requires a latency of
lower than 10 mA peak current, and to stream multiple leads ECG, which <250 ms with a reliability of <25 ms
while not yet commercially avail­ for a 15-lead ECG requires around data drop out in any 10-min period
able, such products can be expected 120 kb/s. Adding more capability (i.e., data loss lower than 50 parts
to appear on the market in the near to support the monitoring of other per million). Such a high reliability
future [17]–[19]. vital signs, including respirator y is usually achieved using strong for­
ward error correction combined with
message acknowledgment and retry.
But in our application, this would
300 lead to an unacceptable latency from
patient measurement to display.
250
Effective Througput (kb/s)

Therefore, we chose to implement


200 redundancy through frequency di­ ­
versity; with a data throughput of
150 166 kb/s per frequency channel
1 Packet/Event 6 Packet/Event and three time the frequency diver­
100 2 Packet/Event 7 Packet/Event sity, we require an application data
3 Packet/Event 8 Packet/Event throughput of 498 kb/s.
50 4 Packet/Event 9 Packet/Event
To select an appropriate wire­
5 Packet/Event 10 Packet/Event
0 less technology for this monitor­
ing application, simulations were
16
24
32
40
48
56
64
72
80
88
96
104
112
120
128
136
144
152
160
168
176
184
192
200
208
216
224
232
240
248
256

Packet Size (bytes) performed using models of many


different wireless protocols with the
Figure 2: Effective data throughput versus packet size for BTLE 4.2. potential to operate in the dedicated
MBANS frequency bands at ~2.4 GHz.
The protocols selected were BTLE
(1 Mb/s raw data rate), Bluetooth-
700 extended data rate (EDR) [differen­
Target Application Throughput (kb/s) 606 tial quadrature phase-shift keying
600 590
Effective Application Throughput (kb/s) (DQPSK) and 8PSK modes giving 2
528
498 498 498 498 498 498 498 and 3 Mb/s data rates, respectively],
500
450 and IEEE 802.15.6.
The IEEE 802.15.6 protocol was
400
developed specifically for the imple­
300 mentation of wireless body area
248 networks, including medical device
200 applications, and thus has many
148 security and reliability features built
100 in while still supporting low-power
44 implementation [20]. The 802.15.6
0 protocol was simulated for RATE3 and
BT4.0
(BER = 1E–3)

BT4.0
(BER = 1E–4)

BT4.2
(BER=1E–4)

802.15.6
RATE3

802.15.6
RATE4

BT-EDR
(2 Mb/s)

BT-EDR
(3 Mb/s)

RATE4 modes giving raw data rates of


600 kb/s and 1.2 Mb/s, respectively.
Simulations were carried out for each
protocol, varying the packet size from
the minimum to maximum allowed
Figure 3: The relative effective throughput of different wireless technologies. and adjusting the number of packets

38 fa l l 2 0 17 IEEE SOLID-STATE CIRCUITS MAGAZINE


needed for each payload event to be beginning of 2017, BT 5 was released to support this application, we pro­
transmitted, to determine the effective with a doubling of the maximum ceeded with a design based on IEEE
throughput. From these plots, the data rate to 2 Mb/s. This should theo­ 802.15.6.
maximum effective throughput was retically allow a doubling of through­­ To achieve the latency requirement,
established, as shown in Figure 2 for put to 496 kb/s, very close to the samples must be acquired, received,
BTLE 4.2. application’s requirements.) The and displayed at the bedside device
The results of this exercise are 802.15.6 MODE 4 protocol and both fewer than 250 ms later, as illustrated
shown in Figure 3. The better re­­ BT-EDR modes are able to meet the in Figure 4. Allowing three attempts
ceiver sensitivity and increased application data throughput re­ ­ at transmission at three ­d ifferent
maximum packet length for BTLE quirements; however the typically frequencies gives a frame length of
introduced in BT 4.2 greatly improve current consumption of a BT-EDR 40 ms. If the data is successfully trans­­
the maximum throughput compared (classic Bluetooth) device is typically mitted in the first frame (a block
to BT 4.0 but still fall short of what ~30 mA, which would not allow for acknowledgement is received), the
is needed for this application. (At the use with a small coin cell. Therefore, transceiver can sleep for the next

120 ms

Sample 1 Sample 2 Sample 3 Sample 4 Sample 5 Sensor


Tx Set 1 Tx Set 2 Tx Set 3 Tx Set 4 Node
Rx Set 1 Rx Set 2 Rx Set 3 Rx Set 4 Hub

< 250 ms

Tx Set 1–1 Tx Set 1–2 Tx Set 1–3

40 ms

ECG SPO2

Figure 4: A transmission frame structure to meet quality of service demands.

0.14
0.12
0.1
Probability

0.08
0.06
0.04
0.02
0
0 10 20 30 40 50
Packets in Error 1.00E–05

8.00E–06
Probability

6.00E–06

4.00E–06

2.00E–06

0.00E+00
25 30 35
Packets in Error

Figure 5: Transmission link reliability.

IEEE SOLID-STATE CIRCUITS MAGAZINE fa l l 2 0 17 39


two frames until the next data set is Acknowledgments Haanstra, E. Opbroek, S. Rievers, P. Seesi­
nk, J. van Gorse, H. Woering, and Chris
ready. However if the sensor fails to The author acknowledges the input Smit, et al., “A 10mW Bluetooth low-ener­
transmit its data, there are two fur­ of all colleagues at Toumaz and gy transceiver with on-chip matching,” in
Proc. IEEE Conf. Solid-State Circuits, 2015,
ther attempts to transmit before the Sensium Healthcare, in particular pp. 238–239.
packet needs to be dropped since new Okundu Omeni for work on system [18] M. Babaie, F.-W. Kuo, H.-N. R. Chen, L.-C.
Cho, C.-P. Jou, F.-L. Hsueh, M. Shahmo­
data is available. simulations. hammadi, and R. B. Staszewski, “A fully
The data payload was divided integrated Bluetooth low-energy trans­

into 15 packets; with three times References mitter in 28 nm CMOS with 36% system
efficiency at 3 dBm,” IEEE J. Solid-State Cir-
[1] National Confidential Enquiry into Patient
the redundancy, we have the oppor­ Outcome and Death. (2005). An acute cuits, vol. 51, no. 7, pp. 1547–1564, 2016.
problem. [Online]. Available: http://www [19] Y.-H. Liu, X. Huang, M. Vidojkovic, A. Ba,
tunity to transmit 45 packets, of .ncepod.org.uk/2005aap.html P. Harpe, G. Dolmans, and H. de Groot, “A
which only 15 need to be received [2] National Institute for Health and Clinical 1.9nJ/b 2.4GHz multistandard (Bluetooth
Excellence. (2007). Acutely ill patients in low energy/zigbee/IEEE802.15.6) trans­
successfully. Running a simulation hospital: Recognition of and response ceiver for personal/body-area networks,”
of link reliability using a model of to acute illness in adults in hospital. Na­ Proc. IEEE Int. Solid-State Circuits Conf. Di-
tional Institute for Health and Clinical gest Technical Papers, pp. 446–447.
the 802.15.6 protocol and assum­ E xcellence. [Online]. Available: http://
­ [20] IEEE Standards (2016). [Online]. Available:
ing a bit error rate of 1E-3 gives the www.nice.org.uk/Guidance/CG50/Nice­ https://standards.ieee.org/findstds/
Guidance/pdf standard/802.15.6-2012.html
results shown in Figure 5. The total [3] U.S. Food and Drug Administration. (2013). [21] A. C. W. Wong, M. Dawkins, G. Devita, N.
probability of dropping more than Radio frequency wireless communi­ Kasparidis, A. Katsiamis, O. King, F. Lau­
c ations in medical devices. [Online]. ria, J. Schiff, and A. J. Burdett, “A 1 V 5 mA multi­
30 packets is lower than 2E-6, and Available: http://www.fda.gov/Regulatory mode IEEE 802.15.6/Bluetooth low-energy
this is well within our reliability tar­ Information/Guidances/ucm077210.htm WBAN transceiver for biotelemetry appli­
[4] [Online]. Available: http://www.fcc.gov; cations,” IEEE J. Solid-State Circuits, vol. 8,
get of 50 E-6. http://www.etsi.org. no. 1, pp. 186–198, 2013
To validate this application in sili­ [5] Medical Electrical Equipment–Part 1: Gen-
eral Requirements for Safety: Collateral
con, we implemented a custom SoC Standard: Electromagnetic Compatibil-
About the Author
in 65-nm CMOS with a peak power ity—Requirements and Tests, IEC 60601-1- Alison Burdett (alison.burdett@
2. 2012.
consumption of <15 mW in transmit [6] U.S. Food and Dr ug Administration.
sensium-healthcare.com) has more
mode and <10 mW in receive mode; (2014). Management of cyber security than 30 years of experience in elec­
in medical devices. [Online]. Available:
for further details, see [21]. Although http://www.fda.gov/RegulatoryInforma­
tronic engineering and semiconduc­
this work currently remains a re­­ tion/Guidances/ucm077812.htm tor design, particularly in the field of
[7] K. Pelechrinis, M. Iliofotou, and S. Krish­
search project, it illustrates the pos­ namurthy, “Denial of service attacks in
ultra-low power wireless communi­
sibility of deploying low-power and wireless networks: The case of jammers,” cation for medical applications. She
IEEE Commun. Surveys Tutorials, vol. 13,
high-reliability links in demanding no. 2, pp. 245, 2011.
joined Toumaz in 2001 as technical
wireless medical monitoring appli­ [8] P. A. H. Williams and A. J. Woodward, director and became chief technical
“Cybersecurity vulnerabilities in medi­
cations through the close coupling cal devices: a complex environment and
officer in 2006. In 2016, the health-
of protocol design and low-power multifaceted problem,” Medical Devices: care business unit of Toumaz, Sen­
­Evidence Res., vol. 8, pp. 305–316, July
hardware implementation. 2015.
sium Healthcare, became part of
[9] Sensium Healthcare (2017). [Online]. The Surgical Group, and she joined
Available: http://www.sensium.co.uk
Conclusions [10] A. Wong, et al., “A 1 V wireless transceiver
Sensium, where she serves as chief
Although the medical device industry for an ultra-low-power SoC for biote­ scientific officer. Prior to joining Tou­­­
lemetry applications,” IEEE J. Solid State
is slow to replace wired by wireless ­Circuits, vol. 43, no. 7, pp. 1511–1521,
maz, she spent time in industry as
connectivity, the convenience and 2008. an IC designer and in academia as a
[11] J. Tyzzer. (2017). Extending battery life
other benefits that wireless can bring in ultra low power wireless applications.
senior lecturer in analog IC design
means that new applications for wire­ [Online]. Available: http://w w w.low- at Imperial College London. She is a
powerdesign.com/121312-article-extending-
less patient monitoring are starting battery-life.htm
chartered engineer, a fellow of the
to emerge. Since the development of [12] D. C. Yates, A. S. Holmes, and A. J. Burdett, Institute of Engineering and Tech­
“Optimal transmission frequency for
low-power standards is mainly driven ultralow-power short-range radio links,”
nology, and a Senior Member of the
by consumer and other large markets, IEEE Trans. Circuits Syst. I, vol. 51, no. 7, IEEE. She is chair of the Technical
pp. 1405–1413, 2004
it is inevitable that such standards [13] O. C. Omeni, O. Eljamaly, and A. J. Bur­
Program Committee for the Interna­
do not currently prioritize the high dett, “Energy efficient medium access tional Solid-State Circuits Confer­
protocol for wireless medical body area
reliability and security requirements sensor networks,” IEEE Trans. Biomed.
ence. She is a member of the U.K.
needed by medical devices. However, ­Circuits Syst., vol. 2, no. 4, pp. 251–259, Engineering and Physical Sciences
2008.
as the market keeps developing, we [14] Bluetooth. (2017). [Online]. Available:
Research Council Strategic Advisory
anticipate that the needs currently http://www.Bluetooth.com Network, and a visiting researcher
[15] Vitalconnect. (2017). [Online]. Available:
addressed by custom or niche wire­ http://vitalconnect.com.
at the Institute of Biomedical Engi­
less protocols and SoCs may begin [16] Isansys (2017). [Online]. Available: http:// neering, Imperial College.
www.isansys.com
to be met by widely available off-the- [17] J. Prummel, M. Papamichail, J. Willms,
shelf devices. R . Todi, W. Aartsen, W. Kruiskamp, J. 

40 fa l l 2 0 17 IEEE SOLID-STATE CIRCUITS MAGAZINE


footage firm, inc.
Ingrid Verbauwhede

Security Adds an Extra


Dimension to IC Design
Future IC design must focus on security in addition
to low power and energy

I
nternet of Things or scavenged energy: thus, optimiz­ efficient but, at the same time, re­­
(IoT) devices, in­­ ing for power and/or energy is of sistant to physical attacks and leak­
clud ing med ic a l the utmost importance. However, to age of sensitive information from
impla nts, s e n s o r protect privacy, authenticate data or the device during computations.
nodes, wea rables, sources of information, and provide Adding countermeasures increases
automotive elements, and more, are resistance to physical manipulation, the overall cost and energy budget
typically immersed in the environ­ we must add security, cryptographic and adds an extra design dimen­
ment and extremely resource con­­st­ capabilities, and other countermea­ sion. Attackers will always go for
rai­ned. They operate using batteries sures to IC design. t he weakest link. Thus, security
The implementation of these cry­ needs to be considered at every de­­
Digital Object Identifier 10.1109/MSSC.2017.2745799 ptographic a lgo r it h m s and pro­ sign step and requires its own test­
Date of publication: 16 November 2017 tocols must be compact and energy ing strategies.

1943-0582/17©2017IEEE IEEE SOLID-STATE CIRCUITS MAGAZINE fa l l 2 0 17 41


The implementation of cryptographic model is based purely on the compu­
tational complexity of the underly­
algorithms and protocols must be compact ing cryptographic algorithms. If Eve
and energy efficient but resistant to physical succeeds in guessing the key more
quickly than brute force (i.e., faster
attacks and leakage of sensitive information. than trying all possible keys), then
the cryptographic community consid­
This short article was motivated A d le man (RSA) algorithm. These ers the algorithm broken.
by a recognition of the need for secu­ developments appeared in conjunc­ In this context, Moore’s law is im­ ­
rity in embedded devices. It begins tion with novel electronic communi­ portant for the algorithm designer.
with the attacker model, discusses cation means and were spurred by Indeed, Moore’s law helps the attacker,
associated design and test methods, the electronics revolution. as it gives him/her more computa­
and offers several illustrative ex­­ The DES algorithm was developed tional power for brute-forcing crypto­
amples. The main focus is on digital with efficient hardware implemen­ graphic algorithms. The consequence
hardware implementations for cryp­ tation in mind. Indeed, it performs is that key lengths for cryptographic
tographic algorithms, protocols, and poorly in software. Cryptography, algorithms keep growing. First, the
security devices; the article does not in this case, is applied to protect the European network ECRYPT and later
address hardware solutions to pro­ information flow between two com­ the European Union Agency for Net­
tect against software attacks. municating parties. For the attacker work and Information Security pub­
It is difficult to measure security model, we assume that the devices lished documents regarding required
as there are no commonly agreed owned by Alice and Bob (the two main key lengths for near- and long-term
upon units for such measurement. “characters” in every cryptographic security [3]. For long-term security, the
Therefore, security is based on an protocol) operate in black boxes, mean­ suggested key lengths are 256 for
attacker model and evaluated against ing that only the cryptographic inputs the symmetric key size, 512 for the
the assumptions made in this at­­ and outputs of the devices can be hash output size, 15,360 for the RSA
tacker model. For typical digital cir­ observed by Eve, the attacker. This modulus size, and 512 for bit ellip­
cuit design, we distinguish among is illustrated in Figure 1, where each tic curves.
the following broad categories: the device has a root of trust, indicat­ As a result, the digital hardware
black box model (used mostly in the ­ed by the green dots. Examples are designer often has to design for very
past), the gray box model of current computers and servers in computer large word lengths in combination with
designs, and the immersed model of rooms or offices. unusual arithmetic. The most critical
the future. Also, white box cryptog­ Typical attacks are performed on component of secret key algorithms
raphy exists. the network connections between such as DES or the Advanced Encryp­
devices, e.g., a local area network, the tion Standard (AES) are the “substitu­
Black Box Attacker Model: The Past Internet, or any wireless link between tion boxes,” or Sboxes. Special effort
Modern cryptography began in the devices. In the black box model, is expended to make these either com­
1970s with the first U.S. standard Eve collects input/output pairs (i.e., pact or very fast [11]. The most critical
for symmetric key encryption, the plaintext/ciphertext pairs), which components of public key algorithms
Data Encryption Standard (DES); she uses to guess the secret key. She such as RSA or elliptic curve-based
the invention of public key cryp­ is also allowed to modify input data cryptography rely on the implementa­
tog raphy; and the development or adaptively supply her own input tion of finite-field arithmetic and, more
of the public key Rivest–Shamir– data. The security strength in this specifically exponentation algorithms
and finite-field multipliers. The focus
of digital hardware designers in the
black box context is thus efficiency:
small area, high throughput, low po­ ­
wer, and low energy. In this sense,
the IC design process is no different
from optimizations in other fields,
such as image, video, or communica­
tions applications.
Testing of black box security cir­
cuits focuses, therefore, on functional
correctness, as well as on measur­
FIGURE 1: An illustration of the black box attacker model, with the green dots representing ing throughput, power, and energy.
the devices’ roots of trust. Care must be taken to ensure that

42 fa l l 2 0 17 IEEE SOLID-STATE CIRCUITS MAGAZINE


sensitive registers (such as key reg­ For typical digital circuit design, we distinguish
isters) a re not included on sca n
chains or that these scan chains are among the black box model (used mostly in the
disconnected before shipment. For­ past), the gray box model of current designs,
tunately, because cryptographic algo­
rithms aim at maximum confusion
and the immersed model of the future.
and diffusion, fault coverage is typi­
cally very high for testing crypto­ Public key algorithms, such as RSA or Side-Channel Attacks:
graphic algorithms. elliptic-curve public key schemes, typi­ Simple and ­Differential
cally scan the key bits in a bit-serial Similarly, data-dependent variations
Gray Box Attacker Model: fashion. A sequential implementation in power consumption or electro­
The ­Present that contains key-dependent if-then- magnetic (EM) radiation can reveal
Today, electronic devices and compu­ else structures will leak information: sensitive data. Collectively, these
tations are more dispersed and distri­ when the if branch takes a different are called side- channel attacks.
buted. In the IoT context, we assume execution time than the else branch, These require that the attacker is in
that electronics are in the hands of the difference in execution time again close proximity to the device and can
the user, e.g., mobile devices, smart leaks information concerning the key. monitor the power consumption or
cards, game consoles, and other gad­ Therefore, huge effort is spent to make pick up the EM radiation. Such attacks
gets. Sensor nodes are distributed in sure that a cryptographic implementa­ are passive: they monitor the device
the environment, and medical devices tion in hardware or software runs in without disturbing its normal opera­
are implanted into our bodies. Elec­ constant time. tion. The danger of passive attacks
tronics are everywhere in our cars: For instance, it is crucial that finite- is that the device itself might not
to monitor sensors and drive actua­ state machines consume exactly the be aware that it is being monitored,
tors, provide an entertainment sys­ same amount of cycles, independently which is further evidence of the need
tem, enable autonomous driving, and of the data being processed. Unfor­ to develop circuits that can detect
more. Security, reliability, and safety tunately, most hardware optimiza­ observation [4].
are of the utmost importance. tion and synthesis tools (and also Simple power attacks (SPAs) rely
In this context, we assume an at­­ software compilers) will remove the on one or, perhaps, a few power or
tacker model in which the attacker dummy code or logic that was added EM measurements to obtain the secret
has access to both the communication to make a circuit or implementation key. Template attacks are one exam­
channel and the devices themselves, constant-time, because it is consid­ ple of an SPA; here, a template of
as illustrated in Figure 2. Instead of ered redundant or dead code. Hence, the device under attack is available.
using only input/output plaintext/ there is a need for tools that check Creating the template could take a
ciphertext pairs, the attacker obtains or create constant-time implementa­ huge number of measurements, but
extra information by observing (a pas­ tions [7]. Note that timing attacks the attack on the actual device might
sive attack) or manipulating (an active can also be executed remotely; thus, take only one or, at most, a few such
attack) one IC while it is performing they are also a concern for cloud and measurements. (The term SPA is
the calculations on the embedded server implementations. somewhat misleading because, in
device. This extra side-channel infor­
mation can take many forms.

Timing Attacks
In a timing attack, the attacker will
observe differences in execution time,
depending on the values of the key or
other sensitive data. Cache attacks are
a well-known example. They are effec­
tive for table-based implementations
of the Sboxes of symmetric key algo­
rithms: if data in the cache depend on
the key, then timing differences leak
information [1].
In reaction, native AES instructions
were added to high-end x86 proces­
sors: these both improve performance FIGURE 2: An example of the gray box attacker model, with the green dot representing the
and run in data-independent time [10]. device’s root of trust.

IEEE SOLID-STATE CIRCUITS MAGAZINE fa l l 2 0 17 43


In the future, we expect that the capabilities complexity of a brute-force attack.
As an example, the popular correla­
of attackers will improve and that we will tion power attack reduces the effort
evolve from a gray testing box model toward of attacking an AES key from 2128
to the size of the AES Sbox, 28 (the
an immersed model. input data to the Sbox being cor­
related to the key). The attacker makes
256 possible Ha m m ing dist a nce
general, SPA attacks are not that sim­ effects can be reduced by increasing power models and looks for the model
ple to mount.) the number of measurements. that results in a ma ximum corre­
Differential power attacks (DPAs) To protect symmetric key algori­ lation w it h t he me a sur ed power
and EM attacks typically require mul­ thms such as AES, two main classes of t r a c e s . This is then repeated for
tiple (in the hundreds or, sometimes, countermeasures have been devel­ every key byte. Hence, a correlation
millions) power or EM traces to guess oped. One is a set of circuit styles attack is a divide-and-conquer attack:
cryptographic secrets. In a typical that make the switching activity of it combines side-channel informa­
setup, the attacker creates a model gates independent of the data being tion with brute-forcing 256 possible
for the power consumption behav­ processed: it is based on dynamic key byte guesses.
ior of the device. For example, the differential logic styles [12]. Masking
attacker assumes that the power con­ techniques are a second major class Fault Attacks
sumption is related to the Hamming of countermeasures: these are based Fault attacks are an active attack
distance between current and previ­ on randomly splitting sensitive data but not necessarily an invasive one.
ous data values stored in flip-flops into multiple shares and obtaining Faults can be triggered by playing
or registers. Indeed, this closely a subset of shares that do not dis­ with the power supply, introducing
corresponds to the dy­­namic power close those actual data [8]. Public key clock glitches, freezing or heating
consumption profile in standard algorithms are usually protected at the device (typically also applied
complementary–metal-oxide-semi­ the algorithm level (by adding ran­ to random number generators), or
conductor designs, where power is domness to the calculations) with any other means that triggers faulty
consumed only when the state of the techniques such as key or scalar results [5].
flip-flop changes. Up until now, we blinding or the use of projective IC designers have developed many
have typically ignored leakage cur­ coordinates, making it difficult for test strategies to address random
rent and other noisy effects because the attacker to obtain multiple power faults in ICs, including those based
dynamic power is still dominant. or EM measurements. on scan chains, built-in-self-tests,
DPA attacks are quite robust and re­­ In practice, side-channel information and more. Many countermeasures,
silient to noise: noisy uncorrelated is used to reduce the computational such as adding redundancy, could be
reused to detect fault attacks. There
are, however, two main differenc­
­e s between an attacker aiming to
inject faults and a designer testing for
random faults. The first is the simple
fact that knowing whether a fault
occurred (yes or no) could in itself
disclose sensitive information and so
needs to be avoided [2]. The second
is that the designer must avoid hav­
ing key registers and other sensitive
data sitting on the scan chains. This
would otherwise provide a very nice
back door.

Side Note on
White Box ­Cryptography
White box cryptography is used in
the context of secure software dis­
tribution, where a cr y ptog r aph ic
algorithm with an associated key
FIGURE 3: A graphic depicting an immersed model. is conver ted into a key-specific,

44 fa l l 2 0 17 IEEE SOLID-STATE CIRCUITS MAGAZINE


tamper-resistant piece of software. In the immersed model, we assume that
It is used when no hardware crypto­
graphic module or trusted element multiple nodes supported by malicious servers
is available. Mathematically, most or botnets can conspire to attack one poor
white box schemes are broken, but
specific applications such as digital
IoT device.
rights management still make use of
white box cryptography. However, control flow integrity, software integ­ [7] O. Reparaz, J. Balasch, and I. Verbau­
whede, “Dude, is my code constant time?”
this topic will not be further dis­ rity, remote attestation, and so on. A in Proc. Conf. Design, Automation and Test
cussed here. third challenge is the development of in Europe, 2017, p. 6.
[8] O. Reparaz, B. Bilgin, B. Gierlichs, S. Niko­
quantum computers. Major classes of va, and I. Verbauwhede, “Consolidating
Future Attacker Models current public key algorithms based masking schemes,” in Advances in Cryp-
tology, Lecture Notes in Computer Science,
In the future, we expect that the capa­ on RSA and elliptic curves will be vol. 9215, R. Gennaro, and M. J. Robshaw,
bilities of attackers will improve and broken if/when quantum computers Eds. New York: Springer-Verlag, 2015, pp.
764–783.
that we will evolve from a gray box appear. Mathematicians are develop­ [9] V. Rozic, O. Reparaz, and I. Verbauwhede,
testing model toward an immersed ing a new generation of algorithms, “A 5.1μJ per point-multiplication elliptic
curve cryptographic processor,” Int. J.
model, as shown in Figure. 3. The IoT but the challenge for the digital hard­ Circuit Theory Applicat. vol. 45, no. 2, pp.
is a complex system with lightweight, ware designer is to implement them, 170–187, 2016.
[10] S. Gueron. (2012, Aug. 2). Intel advanced
resource-constrained devices at the including all current software, side- encryption standard (Intel AES) instruc­
edge. These devices communicate channel, and fault attack counter­ tions set—Rev 3.01. [Online]. Available:
https://soft ware.intel.com/en-us/ar­
with each other and with mobile por­ measures. Also lacking currently is ticles/intel-advanced-encryption-stan­
table devices, such as phones, per­ support for security by design meth­ dard-aes-instructions-set
[11] S. Satpathy, S. Mathew, V. Suresh, M.
sonal computers, lap tops, etc. At the ods and tools. Anders, H. Kaul, A. Agarwal, S. Hsu,
center, cloud computing and serv­ To conclude, the future of secure G. K. Chen, and R. Krishnamurthy,
“250mV-950mV 1.1Tbps/W double-affine
ers provide extraordinary compute digital hardware design will require mapped Sbox based composite-field
power to all. a complex interaction among digital SMS4 encrypt/decrypt accelerator in
14nm tri-gate CMOS,” in Proc. VLSI Cir-
So far, in the gray box model, we circuit design, computer arithmetic, cuits, 2016.
assume that an attacker observes one cryptography, and evolving technol­ [12] K. Tiri and I. Verbauwhede, “A digital de­
sign flow for secure integrated circuits,”
device or one communication link at ogy. And, while in the past, we wor­ IEEE Trans. Computer-Aided Design In-
a time. In the immersed model, we ried only about energy and power tegrated Circuits Syst., vol. 25, no. 7, pp.
1197–1208, 2006.
assume that multiple nodes supported minimization, we now have to face
by malicious servers or botnets can the additional constraint that our cir­
conspire to attack one poor IoT device. cuits need to be resistant to a wide About the Author
Thus, besides providing protection range of attacks. Ingrid Verbauwhede (ingrid.verbau­
with strong cryptographic algorithms whede@esat.kuleuven.be) heads the
and strong physical defenses against References embedded systems and hardware
side-channel attacks, we also need [1] D. J. Bernstein. (2004). Cache-timing at­ team of the research group COSIC at
tacks on AES. [Online]. Available: https://
strong cryptographic protocols that cr.yp.to/papers.html#cachetiming
the KU Leuven in Belgium. She is an
protect a system even if individual [2] D. Boneh, R. DeMillo, and R. Lipton, “On adjunct professor in the Electrical
the importance of eliminating errors in
nodes fail. In this context, we see cryptographic computations,” J. Cryptol.,
Engineering Department at the Uni­
many interesting research challenges. vol. 14, no. 2, pp. 101–119, Mar. 2001. versity of California, Los Angeles,
[3] N. P. Smart, Ed. ENISA. (2014). Algorithms,
Because electronics are immersed key size and parameters report. [Online].
and a member of the Royal Academy
into the environment, we need strong Available: https://www.enisa.europa.eu/ of Belgium for Science and the Arts.
publications/algorithms-key-size-and-
roots of trust. These roots of trust will parameters-report-2014
She received a European Research
be in hardware, they need to be mini­ [4] N. Homma, Y. Hayashi, N. Miura, D. Fu­ Council Advanced grant in 2016, as
jimoto, M. Nagata, and T. Aoki, “Design
mal in size, and there should be some methodology and validity verification
well as the 2017 IEEE Computer Soci­
explicit proof that one can trust them. for a reactive countermeasure against ety Technical Achievement Award.
em attacks,” J. Cryptol., vol. 30, no. 2, pp.
Included in this set will be physi­ 373–391, 2017.
She is a pioneer in the field of effi­
cally unclonable functions to derive [5] D. Karaklajic, J. Schmidt, and I. Verbau­ cient and secure implementations of
whede, “Hardware designer’s guide to
device-specific keys and embedded fault attacks,” IEEE Trans. Very Large Scale
cryptographic algorithms in embed­
true-random-number generators that Integration Syst., vol. 21, no. 12, pp. 2295– ded contexts on application-specific
2306, 2013.
can resist a wide range of attacks. Sec­ [6] P. Maene, J. Goetzfried, R. de Clercq, T.
ICs, field-programmable gate arrays,
ond, there is a need to develop more Mueller, F. Freiling, and I. Verbauwhede, and embedded software.
“Hardware-based trusted computing archi­
hardware circuits to support software tectures for isolation and attestation,” IEEE
security and provide, for instance, Trans. Computers, 2017, to be published. 

IEEE SOLID-STATE CIRCUITS MAGAZINE fa l l 2 0 17 45


Vivienne Sze

Designing Hardware
for Machine Learning
The important role played by circuit designers

M
achine learn­ing is becoming in­­ cars, and smart Internet of Things). In many applications,
creasingly important in this era embedded processing near the sensor is preferred over the
of big data. It enables us to extract cloud due to privacy or latency concerns or limitations in
meaningful information from the the communication bandwidth. However, sensor devices
overwhelming amount of data being often have stringent constraints on energy consumption
generated and collected every day. This information can be and cost in addition to throughput and accuracy require­
used to analyze and understand the data to identify trends ments. Circuit designers can play an important role in
(e.g., surveillance and portable/wearable electronics) or to addressing these challenges by developing energy-effi­
take immediate action (e.g., robotics/drones, self-driving cient platforms to perform the necessary processing for
machine learning. In this article, we will give a short over­
Digital Object Identifier 10.1109/MSSC.2017.2745798 view of the key concepts in machine learning, discuss
Date of publication: 16 November 2017 its challenges particularly in the embedded space, and

footage firm, INc.

46 fa l l 2 0 17 IEEE SOLID-STATE CIRCUITS MAGAZINE 1943-0582/17©2017IEEE


highlight various opportunities where We will give a short overview of the key
circuit designers can help to address
these challenges. concepts in machine learning, discuss its
challenges particularly in the embedded
Introduction space, and highlight various opportunities
Machine learning is needed to extract
meaningful, and ideally actionab­ where circuit designers can help to address
­le, information from the enormous these challenges.
amount of data that is being generated
and collected every day. Given the
sheer volume of data, the high energy in stores and traffic patterns), it is Another important application is
cost of communication, and the often desirable to extract the meaning­ speech recognition, which enables
limited communication bandwidth, ful information from the video at seamless interaction with electronic
there is an increasing need to perform the image sensor rather than in the devices, such as smartphones. Speech
the analysis locally near the sensor cloud to reduce the communication recognition is the first step before many
rather than sending the raw data to cost. For other applications such as other tasks such as machine translation
the cloud. Enabling machine learning autonomous vehicles, drone naviga­ and natural language processing. Low-
at the edge also addresses important tion, and robotics, local processing is power hardware for speech recognition
concerns related to privacy, latency, desired since the latency and security is explored in [3] and [4].
and security. Accordingly, embedded risk of relying on the cloud are too In the medical field, there is a clinical
machine learning has shown to be high. However, video involves high- need to collect long-term data to help
beneficial for many applications such dimensional data, which is compu­ detect/diagnose various diwseases
as those in the multimedia and medi­ tationally expensive to process; thus, or monitor treatment. For instance,
cal fields. low-cost hardware to analyze video the constant monitoring of electro­
For instance, computer vision is is challenging yet critical to enabl­ ­ cardiogram or electroencephalogram
a form of machine learning that ing these applications. While there signals can identify cardiovascular
extracts information from images is a wide range of computer vision diseases or detect the onset of a sei­
and videos, which are arguably the tasks [2], in this article, we will focus zure for epilepsy patients, respec­
largest portion of big data as they on image classification as a driv­ tively. In many cases, these devices
account for ove r 70 % of today ’s ing example, where the task is to are either wearable or implantable,
Internet traffic [1]. In many appli­ determine the class of the object in an and thus the energy consumption
cations (e.g., measuring wait times image (Figure 1). must be kept to a minimum. The

IEEE SOLID-STATE CIRCUITS MAGAZINE fa l l 2 0 17 47


Machine learning is needed to extract Feature Extraction
Feature extraction is used to transform
meaningful, and ideally actionable, information the raw data into meaningful represen­
from the overwhelming amount of data that is tations for the given task. Tradition­
ally, feature extraction was designed
being generated and collected every day. through a handcrafted process by ex­­­­­
perts in a given field. For instance, it
was observed that humans are sen­
use of embedded machine learning the task is performed on new data sitive to edges (i.e., gradients) in an
to extract meaningful physiological through a process called inference. image. As a result, many well-known
signals and process them locally is Machine learning is particularly useful computer vision algorithms use image
explored in [5] and [6]. for applications where the data is dif­ gradient-based features such as histo­
ficult to model analytically. gram of oriented gradients (HOG) [7]
Machine Learning Basics A typical machine learning pi­­ and scale invariant feature transform
Machine learning is a form of artificial peline for inference can be broken [8]. The challenge in designing these
intelligence that can perform a task with­ dow n into two steps as shown in features is to make them robust to varia­
out being specifically programmed. Figure 2: feature extraction and clas- tions in illumination and noise.
Instead, it learns from previous exam­ sification. Approaches such as deep
ples of the given task during a pro­ neural networks (DNN) blur the dis­ Classification
cess called training. After learning, tinction between these steps. The output of feature extraction is
re­­presented by a vector (x in Fig­
ure 2), which is mapped to a score of
confidence using a classifier. Depend­
Dog (0.7) ing on the application, the score is
either compared to a threshold to deter­
Cat (0.1)
Machine mine if an object is present or com­
Learning Bike (0.02) pared to the other scores to determine
(Inference) Car (0.02) the object class.
Plane (0.02) Techniques for classification in­ ­
clude linear methods such as support
House (0.04)
vector machine (SVM) [9] and Softmax
and nonlinear methods such as ker­
FIGURE 1: An example of an image classification task. The machine learning platform takes nel-SVM [9] and Adaboost [10]. Many
in an image and outputs the confidence scores for a predefined set of classes. of these classifiers compute the score

Image
Trained Weights (w )

Pixels Feature Features (x ) Classification Scores


Extraction (w Tx )
Scores Per Class
(Select Class Based
on Max or Threshold)

Handcrafted Features Learned Features


(e.g., HOG) (e.g., DNN)

FIGURE 2: The inference pipeline.

48 fa l l 2 0 17 IEEE SOLID-STATE CIRCUITS MAGAZINE


using a dot product of the features higher energy consumption than hand­ The output of the final CONV layer
(xv ) and a set of weights (w v ) (i.e., crafted approaches [12]. is typically processed by fully con­
/ i i i As a result, machine learn­
w x ). There are many forms of DNNs nected (FC) layers for classification.
ing hardware research tends to focus (e.g., convolutional neural networks In FC layers, the filter and input fea­
on reducing the cost of a multiply and and recurrent neural networks). For ture map are the same size so that
accum u late (MAC) operation. computer vision applications, DNNs there is a unique weight for each input
Training involves learning these are often composed of mu lt iple feature value. In between CON V and
weights from a dataset. Inference con­volutional (CONV) layers [13] as FC layers, additional functions can
in­­volves performing a given task us­­ shown in Figure 3; each layer involves be added, such as pooling and nor­
ing the trained weights. In most cases, the application of multiple high- malization [14]. In addition, a nonlin­
training is done in the cloud, while infer­ dimensional filters to the incoming ear function, such as a rectified linear
ence can happen in the cloud or locally data. With each layer, a higher-level unit (ReLU) [15], is applied after each
on a device near the sensor. In latter abstraction of the input data, called CONV and FC layer. Overall, convo­
case, the trained weights are down­ a fe at ur e m ap, i s extracted that lutions account for over 90% of the
loaded from the cloud and stored on preserves essential yet unique in­­ run time and energy consumption in
the device. Thus, the device needs to formation. Modern DNNs are able modern DNNs for computer vision.
be programmable in order to support to achieve superior performance Table 1 compares modern DNNs,
a reasonable range of tasks. by employing a very deep hierar­ with a popular neural net from the
chy of layers on the order of tens 1990s, LeNet-5 [16]. Today’s DNNs
Deep Neural Networks to hundreds. use more layers (i.e., deeper) and are
Rather than using handcrafted fea­
tures, the features can be directly
learned from the data, similar to
Modern DNNs: 5–1,000 Layers 1–3 Layers
the weights in the classifier, such
that the entire system is trained end
to end. These learned features are Low-Level High-Level
CONV Features CONV Features FC Classes
used in a popular form of machine Layer Layer Layer
learning called called Deep Neu­
ral Networks (DNNs), also known
as deep learning [11]. DNNs deliver
higher accuracy than handcrafted
features, sometimes even better
Convolution Nonlinearity Normalization Pooling
than human-level accuracy, on a
variety of tasks by mapping inputs
to a high-dimensional represen­ ×
tation; however, it comes at the cost
of high-computational complexity,
resulting in orders of magnitude FIGURE 3: DNNs are composed of several CONV layers followed by FC layers.

Table 1. A Summary of popular DNNs [16], [18]–[21]. Accuracy Is measured based on The top-Five errors on
ImageNet [22].

Metrics LeNet 5 AlexNet VGG-16 GoogLeNet (v1) ResNet-50

Accuracy n/a 16.4 7.4 6.7 5.3


CONV layers 2 5 16 21 49
Weights 2.6 thousand 2.3 million 14.7 million 6 million 23.5 million
MACs 283 thousand 6.66 billion 15.3 billion 1.43 billion 3.86 billion
FC layers 2 3 3 1 1
Weights 58 thousand 58.6 million 124 million 1 million 2 million
MACs 58 thousand 58.6 million 124 million 1 million 2 million
Total weights 60 thousand 61 million 138 million 7 million 25.5 million
Total MACs 341 thousand 724 million 15.5 billion 1.43 billion 3.9 billion

IEEE SOLID-STATE CIRCUITS MAGAZINE fa l l 2 0 17 49


several orders of magnitude larger in the ImageNet data set [22] (Fig­ As previously discussed, the ac­ ­
in terms of compute and storage. A ure 4). Accordingly, LeNet-5, which curacy of the machine le a r n i ng
more detailed discussion on DNNs is designed for digit classification, algorithm should be measured for
can be found in [17]. requires much less storage and com­ a well-defined task on a sufficiently
pute than the larger DNNs in Table 1, large dataset (e.g., ImageNet). Energy
Impact of Difficulty of Task which are designed for the 1,000- consumption is often dominated by
on ­Complexity class image classification task. Thus, data movement as memory access
The difficulty of the task must be hardware platforms should only be consumes significantly more energy
considered when comparing differ­ compared when performing machine than computation [24]. This is par­
ent hardware platforms for machine learning tasks of similar difficulty ticularly challenging for machine
learning as the size of the classifier or and accuracy, ideally, the same task learning as the high-dimensional
network (i.e., number of weights) and with the same accuracy. representation and filters increase
the number of MACs tend to be larger the amount of data generated, and
for more difficult tasks and thus Challenges the programmability needed to sup­
require more energy. For instance, The key metrics for embedded machine port different applications, tasks,
the task of classifying handwritten learning are accuracy, energy con­ and networks means that the weights
digits from the MNIST dataset [23] sumption, throughput, and cost. The also need to be read and stored. In
is much simpler than classifying an challenge is to address all these re­
­ this article, we will discuss various
object into one of a 1,000 classes quirements concurrently. methods that reduce data movement
to minimize energy consumption.
The throughput is dictated by the
amount of computation, which also
MNIST ImageNet increases with the dimensionality of
the data. In this article, we will dis­
cuss various transforms that can be
applied to the data to reduce the num­
ber of required operations.
The cost is dictated by the amount
of storage required on the chip. In
this article, we will discuss various
methods to reduce storage costs such
FIGURE 4: The MNIST (ten classes, 60,000 training, and 10,000 testing) [23] versus Ima- that the area of the chip is reduced,
geNet (1,000 classes, 1.3 million training, and 100,000 testing) [22] dataset. while maintaining low off-chip mem­
ory bandwidth.
Currently, state-of-the-art DNNs
consume orders of magnitude higher
energy than other forms of embedded
Temporal Architecture Spatial Architecture
(SIMD/SIMT) (Data Flow Processing) processing (e.g., video compression)
[12]. We must exploit opportunities
Memory Hierarchy Memory Hierarchy
at multiple levels of hardware design
Register File to address all these challenges and
close this energy gap.
ALU ALU ALU ALU
ALU ALU ALU ALU
Opportunities in Architectures
The MAC operations in both the fea­
ALU ALU ALU ALU ALU ALU ALU ALU
ture extraction (CONV layers in a
DNN) and classification (for both
ALU ALU ALU ALU DNN and handcrafted features) can
ALU ALU ALU ALU be easily parallelized. Two com­
ALU ALU ALU ALU
mon highly parallel compute para­
digms that can be used are shown
ALU ALU ALU ALU in Figure 5.
Control

CPU and GPU Platforms


Central processing units (CPUs) and
FIGURE 5: Highly parallel compute paradigms with multiple ALUs. graphics processing units (GPUs)

50 fa l l 2 0 17 IEEE SOLID-STATE CIRCUITS MAGAZINE


use temporal architectures such as The key metrics for embedded machine learning
SIMD or SIMT to perform the MACs
in parallel. All the arithmetic logic are accuracy, energy consumption, throughput,
units (ALUs) share the same control and cost.
and memory (register file). On these
platforms, all classifications are
represented by a matrix multiplica­
tion. The CONV layer in a DNN can compared to the other data flows for more significant changes to the net­
also be mapped to a matrix multi­ the CONV layers [41]. work, it is possible to reduce the bit
plication using the Toeplitz matrix. width of DNNs to 1-b at the cost of
Software libraries that optimize for Opportunities in Joint Algorithm reduced accuracy [46], [47].
matrix multiplications can be used and Hardware Design
to accelerate processing on CPUs The machine learning algorithms Sparsity
(e.g., OpenBLAS and Intel MKL) and c a n b e m o d if ie d to m a ke t h e m Increasing sparsity in the data reduces
GPUs (e.g., cuBL A S and cuDNN). more hardware friendly by reducing storage and computation cost. For
The matr i x multiplications c a n com­­putation, data movement, and SVM classification, the weights can
be further sped up by applying storage requirements, while main­ be projected onto a basis such that
transforms such as fast Fourier trans­­ taining accuracy. the resulting weights are sparse for a
form [25], [26] and Winograd [27] 2× reduction in number of multiplica­
to the data to reduce the number Reduce Precision tions [42]. For feature extraction, the
of ­multiplications. GPUs and CPUs commonly use a 32-b input image can be made sparse by
floating point as the default repre­ preprocessing for a 24% reduction in
Specialized Hardware sentation. For inference, it is possible power consumption [48].
Specialized hardware provides an to use fixed point with reduced bit For DNNs, the number of MACs and
opportunity to optimize the data width for energy and area savings, weights can be reduced by re­­­moving
movement (i.e., data flow) to mini­ and increased throughput, without weights through a process called prun­
mize accesses from the expensive affecting accuracy. ing. This was first explored in [49]
levels of the memory hierarchy and For instance, for object detec­ where weights with minimal impact
maximize data reuse at the low-cost tion using handcrafted HOG features, on the output were removed. In [50],
levels of the memory hierarchy. Fig­ only 11 bits are required per fea­ pruning is applied to modern DNNs
ure 6 shows the memory hierarchy of ture vector and only 5 bits per SVM by removing small weights. However,
the spatial architecture in Figure 5, weight [42]. For DNN inference, recent removing weights does not necessar­
where each ALU processing element commercial hardware uses 8-b inte­ ily lead to lower energy. Accordingly,
(PE) has a local memory (register file) ger operations [43]. Custom hard­ in [51], weights are removed based
on the order of several kilobytes and ware can be used to exploit the fact on an energy model [52] to directly
a shared memory (global buffer) on that the minimum bit widths varies minimize en­­er­g y consumption.
the order of several hundred k ilo ­ per layer for energy savings [44] Specialized hardware in [42] and
bytes. The global buffer communi­ or increased throughput [45]. With [53]–[55] exploits sparse weights for
cates with the off-chip memory (e.g.,
DRAM). Data movement is allowed
between the PEs using an on-chip net­
work to reduce accesses to the global PE PE
Global
buffer and the off-chip memory. DRAM
Buffer
The data flows of all three types PE Fetch Data to Run
ALU
a MAC Here
of data (feature map, filter weights,
and partial sums) affect energy con­ Normalized Energy Cost
sumption. Various data flows have
been demonstrated in recent works ALU 1× (Reference)
[28]–[39], which differ in terms of 0.5–1.0 kB RF ALU 1×
the type of data that moves and the
type of data that remains station­ NoC: 200–1,000 PEs PE ALU 2×
ary in the register file of the PE [40]. 100–500 kB Buffer ALU 6×
The row stationary data flow, which
considers the energy consumption DRAM ALU 200×
of all three data types, reduces the
energy consumption by 1.4× to 2.5× FIGURE 6: Memory hierarchy and data movement energy for a spatial architecture [41].

IEEE SOLID-STATE CIRCUITS MAGAZINE fa l l 2 0 17 51


Recent work has also explored
the use of mixed-signal circuits to
WLDAC
Code reduce the computation cost of the
WL MAC. It was shown in [59] that per­
V1 forming the MAC using switched
IBC
MA capacitors can potentially be more
G1
MD energy efficient than digital circuits
∆VBL
∆V
at low bit widths despite ADC and
BL BLB I1 = V1 × G1
DAC overhead. In [60] and [61], the
Ideal Transfer Curve V2 matrix multiplication (with bit widths
Standard Deviation less than or equal to 8 bits) is inte­
G2
0.06 (from Monte Carlo grated into the ADC; this also moves
Simulations) the computation closer to the sensor
∆VBL(V)

I2 = V2 × G2
0.04 and reduces the number of ADC con­
versions by 21×.
0.02
To further reduce the data move­
Nominal Transfer Curve
I = l1 + l2 ment from the sensor, [62] proposed
0
5 10 15 20 25 30 35 = V1 × G1 + V2 × G2 performing the entire CONV layer
WLDAC Code in the analog domain at the sensor.
(a) Multiplication Performed by (b) Gi Is Conductance of Resistive Similarly, in [63], the entire HOG
Bit Cell (Figure from [57]) Memory (Figure from [58]) feature is computed in the analog
domain to reduce the sensor band­
FIGURE 7: Analog computation by (a) a SRAM bit-cell and (b) nonvolatile resistive memory. width by 96.5%.

Opportunities in
increased speed or reduced energy between the memory and PE and also Advanced ­Technologies
consumption. In Eyeriss [53], the PEs the sensor and PE. However, circuit Advanced technologies can also be
are designed to skip reads and MACs nonidealities should be factored into used to reduce data movement by
when the inputs are zero, resulting the algorithm design, for instance, moving the processing and mem­
in a 45% energy reduction. In [42], by reducing precision as discussed ory closer together. For instance,
specialized hardware is designed to in the “Opportunities in Joint Algo­ embedded DRAM (eDRAM) and hyper
avoid computation and storage of zero- rithm and Hardware Design” section. memory cube (HMC) are explored
valued weights, which reduces the In addition, since the training often in [39] and [64], respectively, to
energy and storage cost by 43% and occurs in the digital domain, the reduce the energy access cost of the
34%, respectively. analog-to-digital converter (ADC) and weights in DNNs. The multiplication
the digital-to-analog converter (DAC) can also be directly integrated into
Compression overhead should also be accounted advanced nonvolatile memories [65]
L ight weight compression can be for when evaluating the system. by using them as resistive elements
ap­­­­p lied to exploit data statistics While spatial architectures bring [Figure 7(b)]. Specifically, the multi­
(e.g., sparsity) to further reduce data the memory closer to the computa­ plications are performed where the
movement and storage cost. Lossless tion (i.e., into the PE), there have also conductance is the weight, the volt­
compression can reduce the trans­ been efforts to integrate the compu­ age is the input, and the current is the
fer of data on and off chip by around tation into the memory itself. For output; the addition is done by sum­
2× as shown in [5], [44], and [55]. Lossy instance, in [57] the classification is ming the current using Kirchhoff’s
compression such as vector quantiza­ embedded in the SRAM [Figure 7(a)], current law. Similar to the mixed-sig­
tion can also be used on feature vec­ where the bit-cell current is effec­ nal circuits, the precision is limited,
tors [42] and weights [3], [6], [56] such tively a product of the value of the and the ADC and DAC overhead must
that they can be stored on chip at low 5-b feature vector (WLDAC) that be considered in the overall cost.
cost. Note that when lossy compres­ drives the word line (WL), and the DNN processing using memristors is
sion is used, it is also important to value of the binary weight stored demonstrated in [58] and [66], where
evaluate the impact on accuracy. in the bit cell. The currents from the bit width of the memristors is
bit cells in the column are added restricted to between 2 to 4 bits.
Opportunities in together to discharge the bit line The computation can also be em­­­
Mixed-Signal Circuits (BL) by TVBL. This approach gives bedded into the sensors. For instance,
Mixed-signal circuit design can be 12× energy savings over reading the an angle sensitive pixels sensor can
used to address the data movement 1-b weights from the SRAM. be used to compute the gradient of

52 fa l l 2 0 17 IEEE SOLID-STATE CIRCUITS MAGAZINE


the image input, which, along with Machine learning is an important area of
compression, reduces the sensor
bandwidth by 10× [67]. Such a sen­ research with many promising applications and
sor can also reduce the computation opportunities for innovation at various levels
and energy consumption of the sub­
sequent processing engine [48], [68].
of hardware design.

Summary Chen, Joel Emer, Amr Suleiman, Tien- document recognition,” Proc. IEEE, vol.
86, no. 11, pp. 2278–2324, Nov. 1998.
Machine learning is an important Ju Yang, and Zhengdong Zhang. [17] V. Sze, Y.-H. Chen, T.-J. Yang, and J. Emer,
area of research with many prom­ “Efficient processing of deep neural net­
works: a tutorial and survey,” arXiv Pre­
ising applications and opportuni­ References print, arXiv:1703.09039, 2017.
[1] “Complete visual networking index (VNI)
ties for innovation at various levels [18] A. Krizhevsky, I. Sutskever, and G. E. Hin­
forecast,” Cisco, June 2016. ton, “ImageNet classification with deep
of hardware design. The challenge [2] R. Szeliski, Computer Vision: Algorithms convolutional neural networks,” in Proc.
is to balance the accuracy, energy, and Applications. Springer Science & Busi­ Neural Information Processing Systems
ness Media, 2010. Conf., 2012, pp. 1097–1105.
throughput, and cost requirements. [3] M. Price, J. Glass, and A. P. Chandrakasan, [19] K. Simonyan and A. Zisserman, “Very
Since data movement dominates “A 6 mW, 5,000-word real-time speech deep convolutional networks for large-
recognizer using WFST models,” IEEE scale image recognition,” in Proc. Int.
energy consumption, the primary J. Solid-State Circuits, vol. 50, no. 1, pp. Conf. Learning Representations, 2015.
focus of recent research has been 102–112, 2015. [20] C. Szegedy, et al. “Going deeper with
[4] R. Yazdani, A. Segura, J.-M. Arnau, and convolutions,” in Proc. Conf. Computer
to reduce the data movement while A. Gonzalez, “An ultra low-power hard­ Vision and Pattern Recognition, 2015,
maintaining accuracy, throughput, ware accelerator for automatic speech pp 1–9.
recognition,” in Proc. MICRO, 2016, pp. [21] K. He, X. Zhang, S. Ren, and J. Sun, “Deep
and cost. This means selecting archi­ 1–12. residual learning for image recognition,”
tectures with favorable memory hier­ [5] T.-C. Chen, T.-H. Lee, Y.-H. Chen, T.-C. in Proc. Conf. Computer Vision and Pattern
Ma, T.-D. Chuang, C.-J. Chou, C.-H. Yang, Recognition, 2016, pp. 770–778.
archies like a spatial architecture and T.-H. Lin, and L.-G. Chen, “1.4μW/chan­ [22] O. Russakovsky, J. Deng, H. Su, J.
developing data flows that increase nel 16-channel EEG/ECoG processor for Krause, S. Satheesh, S. Ma, Z. Huang,
smart brain sensor SoC,” in Proc. Symp. A. Karpathy, A. Khosla, M. Bernstein, A.
data reuse at the low-cost levels of VLSI, 2010, pp. 21–22. C. Berg, and L. Fei-Fei, “ImageNet large
the memory hierarchy. Joint design [6] K. H. Lee and N. Verma, “A low-power scale visual recognition challenge,” Int.
processor with configurable embedded J. Computer Vision, vol. 115, no. 3, pp.
of algorithms and hardware can be machine-learning accelerators for high- 211–252, 2015.
used to reduce bit-width precision, order and adaptive analysis of medical- [23] C. J. B. Yann LeCun and C. Cortes. The
sensor signals,” IEEE J. Solid-State Circuits, MNIST database of handwritten digits.
increase sparsity, and compression vol. 48, no. 7, pp. 1625–1637, 2013. [Online]. Available: http://yann.lecun
to further reduce the data movement [7] N. Dalal and B. Triggs, “Histograms of ori­ .com/exdb/mnist/
ented gradients for human detection,” in [24] M. Horowitz, “Computing’s energy prob­
requirements. Mixed-signal circuit Proc. Conf. Computer Vision and Pattern lem (and what we can do about it),” in
design and advanced technologies Recognition, 2005, pp. 886–893. Proc. IEEE Int. Solid-State Circuits Conf.,
[8] D. G. Lowe, “Object recognition from lo­ 2014, pp. 10–14.
can be used to move the computa­ cal scale-invariant features,” in Proc. Int. [25] M. Mathieu, M. Henaff, and Y. LeCun,
tion closer to the source by embed­ Conf. Computer Vision, 1999, pp. 1150– “Fast training of convolutional networks
1157. through FFTs,” in Proc. Int. Conf. Learning
ding computation near or within the [9] N. Cristianini and J. Shawe-Taylor, An In- Representations, 2014,
sensor and in the memories. troduction to Support Vector Machines [26] C. Dubout and F. Fleuret, “Exact accelera­
and Other Kernel-Based Learning Meth- tion of linear object detectors,” in Proc.
Finally, designers should also con­ ods. Cambridge, U.K.: Cambridge Univ. European Conf. Computer Vision, 2012,
sider the interactions between the Press, 2000. pp. 301–311.
[10] R. E. Schapire and Y. Freund, Boosting: [27] A. Lavin and S. Gray, “Fast algorithms for
different levels of design. For instan­ Foundations and Algorithms. Cambridge, convolutional neural networks,” in Proc.
­ce, reducing the bit width through MA: MIT Press, 2012. Conf. Computer Vision and Pattern Recog-
[11] Y. LeCun, Y. Bengio, and G. Hinton, “Deep nition, 2016, pp. 4013–4021.
hardware-friendly algorithm design learning,” Nature, vol. 521, no. 7553, pp. [28] M. Sankaradas, V. Jakkula, S. Cadambi,
enables reduced precision process­ 436–444, May 2015. S. Chakradhar, I. Durdanovic, E. Cosat­
[12] A. Suleiman, Y.-H. Chen, J. Emer, and to, and H. P. Graf, “A massively paral­
ing with mixed-signal circuits and V. Sze, “Towards closing the energy lel coprocessor for convolutional neu­
nonvolatile memories. Reducing the gap between HOG and CNN features ral networks,” in Proc. ASAP, 2009, pp.
for embedded vision,” in Proc. IEEE Int. 53–60.
cost of memory access with advanced Symp. Circuits and Systems, 2017, pp. [29] V. Sriram, D. Cox, K. H. Tsoi, and W. Luk,
technologies could also result in more 444–447. “Towards an embedded biologically-in­
[13] Y. LeCun, K. Kavukcuoglu, and C. Farabet, spired machine vision processor,” in Proc.
energy-efficient data flows. “Convolutional networks and applica­ Field-Programmable Technology, 2010, pp.
tions in vision,” in Proc. IEEE Int. Symp. 273–278.
Circuits and Systems, 2010. [30] S. Chakradhar, M. Sankaradas, V. Jak­
Acknowledgments [14] S. Ioffe and C. Szegedy, “Batch normaliza­ kula, and S. Cadambi, “A dynamically
Portions of this article contains ex­­­­ tion: Accelerating deep network training configurable coprocessor for convolu­
by reducing internal covariate shift,” in tional neural networks,” in Proc. IEEE Int.
cerpts from the invited paper “Hard­ Proc. Int. Conf. Machine Learning, 2015, Symp. Computer Architecture, 2010. pp.
ware for Machine Learning: Challenges pp. 448–456. 247–257.
[15] V. Nair and G. E. Hinton, “Rectified linear [31] V. Gokhale, J. Jin, A. Dundar, B. Martini,
and Opportunities” that appeared at units improve restricted boltzmann ma­ and E. Culurciello, “A 240 G-ops/s mobile
the 2017 IEEE Custom Integrated Cir­ chines,” in Proc. Int. Conf. Machine Learn- coprocessor for deep neural networks,”
ing, 2010, pp. 807–814. in Proc. Conf. Computer Vision and Pat-
cuits Conference [69]. The work was [16] Y. LeCun, L. Bottou, Y. Bengio, and P. Haff­ tern Recognition Workshop, 2014. pp.
done in collaboration with Yu-Hsin ner, “Gradient-based learning applied to 682–687.

IEEE SOLID-STATE CIRCUITS MAGAZINE fa l l 2 0 17 53


[32] S. Park, K. Bong, D. Shin, J. Lee, S. Choi, [48] A. Suleiman and V. Sze, “Energy-efficient [64] D. Kim, J. Kung, S. Chai, S. Yalamanchili,
and H.-J. Yoo, “A 1.93TOPS/W scalable HOG-based object detection at 1080HD and S. Mukhopadhyay, “Neurocube: A pro­
deep learning/inference processor with 60 fps with multi-scale support,” in grammable digital neuromorphic archi­
tetra-parallel MIMD architecture for big- Proc. Signal Processing Systems, 2014, tecture with high-density, 3D memory,” in
data applications,” in Proc. IEEE Int. Solid- pp. 1–6. Proc. IEEE Int. Symp. Computer Architec-
State Circuits Conf., 2015, pp. 1–3. [49] Y. LeCun, J. S. Denker, and S. A. Solla, “Op­ ture, 2016, pp. 380–392.
[33] L. Cavigelli, D. Gschwend, C. Mayer, S. timal brain damage,” in Proc. Conf. Neural [65] S. Yu and P.-Y. Chen, “Emerging memory
Willi, B. Muheim, and L. Benini, “Origami: Information Processing System, 1990, pp. technologies: Recent trends and pros­
A convolutional network accelerator,” in 598–605. pects,” IEEE Solid-State Circuits Mag., vol.
Great Lakes Symp. VLSI, 2015, pp. 199– [50] S. Han, J. Pool, J. Tran, and W. Dally, 8, no. 2, pp. 43–56, 2016.
204. “Learning both weights and connections [66] P. Chi, S. Li, Z. Qi, P. Gu, C. Xu, T. Zhang, J.
[34] S. Gupta, A. Agrawal, K. Gopalakrishnan, for efficient neural network,” in Proc. Zhao, Y. Liu, Y. Wang, and Y. Xie, “PRIME:
and P. Narayanan, “Deep learning with Conf. Neural Information Processing Sys- A novel, processing-in-memory architec­
limited numerical precision,” in Proc. Int. tem, 2015, pp. 1135–1143. ture for neural network computation in
Conf. Machine Learning, 2015, pp. 1737– [51] T.-J. Yang, Y.-H. Chen, and V. Sze, “Design­ ReRAM-based main memory,” in Proc. IEEE
1746. ing energy-efficient convolutional neural Int. Symp. Computer Architecture, 2016,
[35] Z. Dand, et al. “ShiDianNao: Shifting vi­ networks using energy-aware pruning,” in pp. 27–39.
sion processing closer to, the sensor,” in Proc. Conf. Computer Vision and Pattern [67] A. Wang, S. Sivaramakrishnan, and A.
Proc. IEEE Int. Symp. Computer Architec- Recognition, 2017. Molnar, “A 180nm CMOS image sensor
ture, 2015, pp. 92–104. [52] T.-J. Yang, Y.-H. Chen, and V. Sze. DNN with on-chip optoelectronic image com­
[36] M. Peemen, A. A. A. Setio, B. Mesman, and energy estimation. [Online]. Available: pression,” in Proc. IEEE Custom Integrated
H. Corporaal, “Memory-centric accelera­ http://eyeriss.mit.edu/energy.html Circuits Conf., 2012, pp. 1–4.
tor design for convolutional neural net­ [53] Y.-H. Chen, T. Krishna, J. Emer, and V. Sze, [68] H. Chen, S. Jayasuriya, J. Yang, J. Stephen,
works,” in Proc. IEEE Int. Conf. Computer “Eyeriss: An energy-efficient reconfigu­ S. Sivaramakrishnan, A. Veeraraghavan,
Design, 2013, pp. 13–19. rable accelerator for deep convolutional and A. Molnar, “ASP Vision: Optically com­
[37] C. Zhang, P. Li, G. Sun, Y. Guan, B. Xiao, neural networks,” IEEE J. Solid State Circ., puting the first layer of convolutional
and J. Cong, “Optimizing FPGA-based ac­ vol. 52, no. 1, pp. 127–138, Jan. 2017. neural networks using angle sensitive
celerator design for deep convolutional [54] J. Albericio, P. Judd, T. Hetherington, T. pixels,” in Proc. Conf. Computer Vision and
neural networks,” in Proc. ACM/SIGDA Int, Aamodt, N. E. Jerger, and A. Moshovos, Pattern Recognition, 2016, pp. 903–912.
Symp, Field-Programmable Gate Arrays, “Cnvlutin: Ineffectual-neuron-free deep [69] V. Sze, Y.-H. Chen, J. Emer, A. Suleiman,
2015, pp. 161–170. neural network computing,” in Proc. IEEE and Z. Zhang, “Hardware for machine
[38] T. Chen, Z. Du, N. Sun, J. Wang, C. Wu, Y. Int. Symp. Computer Architecture, 2016, learning: Challenges and opportunities,”
Chen, and O. Temam, “DianNao: A small- pp. 1–13. in Proc. IEEE Custom Integrated Circuits
footprint high-throughput accelerator for [55] S. Han, X. Liu, H. Mao, J. Pu, A. Pedram, Conf., 2017, pp. 1–8.
ubiquitous machine, learning,” in Proc. M. A. Horowitz, and W. J. Dally, “EIE: Ef­
Int. Conf. Architectural Support for Pro- ficient inference engine on compressed,
gramming Languages and Operating Sys- deep neural network,” in Proc. IEEE Int. About the Author
tems, 2014, pp. 269–284. Symp. Computer Architecture, 2016, pp.
[39] Y. Chen, T. Luo, S. Liu, S. Zhang, L. He, J. 243–254. Vivienne Sze (sze@mit.edu) is an
Wang, and O. Temam, “DaDianNao: A ma­ [56] S. Han, H. Mao, and W. J. Dally, “Deep associate professor in the Electrical
chine-learning supercomputer,” in Proc. Compression: compressing deep neural
MICRO, 2014, pp. 609–622. network with pruning, trained quantiza­ Engineering and Computer Science
[40] Y.-H. Chen, J. Emer, and V. Sze, “Using tion and huffman coding,” in Proc. Int. Department at the Massachusetts
dataflow to optimize energy efficiency of Conf. Learning Representations, 2016.
deep neural network accelerators,” IEEE [57] J. Zhang, Z. Wang, and N. Verma, “A ma­ Institute of Technology (MIT). Her
Micro, vol. 37, no. 3, pp. 12–21, 2017. chine-learning classifier implemented in research interests include energy-
[41] Y.-H. Chen, J. Emer, and V. Sze, “Eyeriss: a standard 6T SRAM array,” in Proc. Symp.
A spatial architecture for energy-efficient VLSI, 2016, pp. 1–2. aware signal processing algorithms
dataflow for convolutional neural net­ [58] A. Shafiee, A. Nag, N. Muralimanohar, R. and low-power circuit and system
works,” in Proc. IEEE Int. Symp. Computer Balasubramonian, J. P. Strachan, M. Hu,
Architecture, 2016, pp. 367–379. R. S. Williams, and V. Srikumar, “ISAAC: A design for multimedia applications
[42] A. Suleiman, Z. Zhang, and V. Sze, “A convolutional neural network accelerator such as machine learning, computer
58.6 mW real-time programmable object with in-situ analog arithmetic in cross­
detector with multi-scale multi-object bars,” in Proc. IEEE Int. Symp. Computer vision, and video coding. Prior to
support using deformable parts model on Architecture, 2016, pp. 14–26. joining MIT, she was with Texas
1920× 1080 video at 30fps,” in Proc. Symp. [59] B. Murmann, D. Bankman, E. Chai, D. Mi­
VLSI, 2016, pp. 1–2. yashita, and L. Yang, “Mixed-signal cir­ Instruments, where she developed
[43] N. P. Jouppi, C. Young, N. Patil, D. Patter­ cuits for embedded machine-learning ap­ algorithms and hardware for the lat­
son, G. Agrawal, R. Bajwa, S. Bates, S. ­Bhatia, plications,” in Proc. Asilomar Conf., 2015,
N. Boden, A. Borchers, et al. “In-datacenter pp. 1341–1345. est video coding standard H.265/
performance analysis of a tensor process­ [60] J. Zhang, Z. Wang, and N. Verma, “A ma­ HEVC. She received the B.A.Sc. degree
ing unit,” in Proc. IEEE Int. Symp. Comput- trix-multiplying ADC implementing a
er Architecture, 2017, pp. 1–12. machine-learning classifier directly with from the University of Toronto in
[44] B. Moons and M. Verhelst, “A 0.3–2.6 data conversion,” in Proc. IEEE Int. Solid- 2004 and the S.M. and Ph.D. degrees
TOPS/W precision-scalable processor for State Circuits Conf., 2015, pp. 1–3.
real-time large-scale ConvNets,” in Proc. [61] E. H. Lee and S. S. Wong, “A 2.5 GHz 7.7 from MIT in 2006 and 2010, respec­
Symp. VLSI, 2016, pp. 1–2. TOPS/W switched-capacitor matrix mul­ tively. She is a recipient of several
[45] P. Judd, J. Albericio, and A. Moshovos, tiplier with co-designed local memory in
“Stripes: Bit-serial deep neural network 40nm,” in Proc. IEEE Int. Solid-State Cir- awards, including the Google Faculty
computing,” IEEE Computer Architecture cuits Conf., 2016, pp. 418–419. Research Award, the AFOSR Young
Lett., vol. 16, no. 1, pp. 80–83, Jan.-June [62] R. LiKamWa, Y. Hou, J. Gao, M. Polansky,
1 2017. and L. Zhong, “RedEye: Analog ConvNet Investigator Award, the DARPA Young
[46] M. Courbariaux and Y. Bengio, “Binarynet: image sensor architecture for continu­ Faculty Award, and the Jin-Au Kong
Training deep neural networks with ous mobile vision,” in Proc. IEEE Int.
weights and activations constrained to Symp. Computer Architecture, 2016, pp. Outstanding Doctoral Thesis Prize.
+ 1 or –1,” arXiv Preprint, arXiv:1602.02830, 255–266. She currently serves on the Technical
2016. [63] J. Choi, S. Park, J. Cho, and E. Yoon, “A
[47] M. Rastegari, V. Ordonez, J. Redmon, and 3.4-μW object-adaptive CMOS image sen­ Program Committee of VLSI Sympo­
A. Farhadi, “XNOR-Net: ImageNet classifi­ sor with embedded feature extraction sium and MICRO.
cation using binary convolutional neural algorithm for motion-triggered object-
networks,” in Proc. European Conf. Com- of-interest imaging,” IEEE J. Solid-State
puter Vision, 2016, pp. 525–542. Circuits, vol. 49, no. 1, pp. 289–300, 2014. 

54 fa l l 2 0 17 IEEE SOLID-STATE CIRCUITS MAGAZINE


Embedded Deep Neural
Network Processing
Algorithmic and processor techniques
bring deep learning to IoT and edge devices

background—footage firm, inc.


Marian Verhelst and Bert Moons

D
eep learning has networks in edge devices: mobiles, and shows how implementation-
recently become wearables, and Internet of Things driven a lgor ithm ic innov ations,
im-mensely pop­ (IoT) nodes. This would enable us together with customized yet flex-
ular for image rec­­ to analyze data locally in real time, ible processing architectures, can
ognition, as well as which is not only favorable in terms be t r ue g a m e c h a n g e r s . To h e lp
for other recognition and pattern match­­ of latency but also mitigates privacy readers fully understand the im-
ing tasks in, e.g., speech processing, issues. Yet evaluating the powerful plementation challenges as well as
natural language processing, and so but large deep neural networks with opportunities for deep neural net-
forth. The online evaluation of deep power budgets in the milliwatt or even work algorithms, we start by briefly
neural networks, however, comes with microwatt range requires a signifi- summarizing the basic concept of
significant com­­putational complex- cant improvement in processing en- deep neural networks.
ity, making it, until recently, feasible ergy efficiency.
only on power-hungry server plat- To enable such efficient evalua- The Birth of Deep Learning
forms in the cloud. In recent years, tion of deep neural networks, optimi- Deep learning [1] can be traced back
we see an emerging trend toward em- zations at both the algorithmic and to neural networks, which have been
bedded processing of deep learning hardware level are required. This around for many decades and were
article surveys such tightly interwo- already gaining popularity in the
Digital Object Identifier 10.1109/MSSC.2017.2745818 ven hardware-software process- early 1960s. A neural network is a
Date of publication: 16 November 2017 ing techniques for energy efficiency brain-inspired computing system,

1943-0582/17©2017IEEE IEEE SOLID-STATE CIRCUITS MAGAZINE fa l l 2 0 17 55


typically trained through supervised The trained classification model illustrated in Figure 1. The output
learning, whereby a machine learns in such neural networks consists of of the network indicates the prob-
a generalized model from many several layers of neurons, wherein ability that a certain object class is
training examples, enabling it to each neuron of one layer connects observed at the network’s input. In
classify new items. to each neuron of the next layer, as such a network, every individual neu-
ron creates one output o, which is a
weighted sum of its inputs i. For the
i11
nth neuron, of layer l, this can be for-
o11 o21 o31 Car? malized as
i12
o12 o22 o32
i13 House? o ln = v c /w lmn . i lm + b ln m . (1)
i14 m
o33 Dog?
i15 o13 o23
The weights w lmn and biases b ln are
b11 the flexible parameters of the net-
i11 × w111 work that enable it to represent a
i11 o11
i12 o11 particular desired input/output map-
i12 × w112 +
i13 ping for the targeted classification.
i13 × w113 σ They are trained with supervised
training examples in an initial off-
Oln = σ (∑wlmn × ilm + bln) line training phase, after which the
m network can classify new examples
presented to its inputs, a process typi-
Figure 1: A traditional fully connected neural network is made up of layers of neurons. cally referred to as inference.
­Every neuron makes a weighted sum of all its inputs, followed by a nonlinear ­transformation. Such neural networks have been
used for decades in several applica-
tion domains. In a classical pattern-
Edges recognition pipeline [Figure 2(a)],


Gradients
Neural features are generated from an input
Corners “House”
Network image by an application-specific fea-
HOG

ture extractor, hand-designed by an
expert engineer. This preliminary
Image Designed Trained Class
Feature Classifier Label feature extraction step was necessary
Extraction because, at that time, one could use
(a) only small neural networks with a
limited number of layers that did not


have the modeling capacity required
… Neural “House” for complex feature extraction from
network
… raw data. Larger neural networks were
impossible to train due to noncon-
Image Trained Trained Class vergence issues, lack of sufficiently
Feature Classifier Label large data sets, and insufficient com-
Extraction
(b) pute power.
Yet, after a long winter for neural
networks in the 1970s and 1980s,

 “House”
they regained momentum in the
1990s and again in the 2010s. The
incr e a sing av a ilabilit y of pow-
Image Trained Trained Class erful compute servers and graph-
Feature Classifier Label ics processing units (GPUs), the
Extraction abundance of digital data sources,
(c)
and innovations in training mecha-
nisms allowed training deeper and
Figure 2: (a) Traditionally, machine learning classifiers were trained and applied on hand-
deeper networks, with many layers of
crafted features. (b) The advent of deep learning allowed the network to learn and extract the
optimal feature sets. (c) Such a network trains itself to extract very coarse, low-level features neurons. This meant the start of a new
in its first layers, then finer, higher-level features in its intermediate layers, and, finally, targets era for classification, as it allow­­
full objects in the last layers. HOG: histogram of oriented gradients. ed training networks with enough

56 fa l l 2 0 17 IEEE SOLID-STATE CIRCUITS MAGAZINE


modeling capacity to operate directly To enable efficient evaluation of deep
on raw data. [Figure 2(b)]. Such “deep
learning networks” thus fulfilled neural networks, optimizations at both the
the role of both feature extractor algorithmic and hardware level are required.
and classifier.
A deeper network can automati-
cally learn the best possible features The first part of the network con- size H # H # C ) into a 3-D output ten-
during its training phase, instead of sists out of a sequence of convo- sor I (of size M # M # F ).
relying on features hand-crafted by lutional layers and pooling layers, As illustrated in Figure 4, each
humans. When inspecting trained replacing the traditional fully con- element of the output tensor O does
networks, one can see that a deep nected layers. A convolutional layer not need all elements of the input
neural network trains itself to extract transforms a 3-D input tensor O (of tensor I to be computed. Instead, it
very coarse, low-level features in its
first layers and finer, higher-level
features in its intermediate layers
Hand-Crafted Deep ImageNet Challenge:
and then targets full objects in the Features Learning
last layers [Figure 2(c)]. 1,000 Classes
28.2
25.8 1.3 M Training Images/50 k
A network’s ability to learn the
Validation/100-k Testing
most optimal features significantly
boosted the classification accuracy Eight Layers Top 5 Classification Errors (%)
of such networks, resulting in their
16.4 Eight Layers
true breakthrough: deep learning was
19 Layers
born. Over the last decade, deep learn- 11.7
ing has, as such, been able to move to 22 Layers
Human 7.7
deeper and deeper network architec- 6.7 152 Layers
5.1%
tures, enabling tremendous improve- 3.57
ments in achievable classification
accuracy, as illustrated by the results
0

N 2

VG ’14

eN 4

N 5
from the yearly ImageNet challenge
’1

’1

ex ’1

’1

gl C’1

es ’1
et

et

et
C

Al RC

R RC
R

oo R
(Figure 3) [2].
SV

SV

SV

SV

SV

G V

SV
S
IL

IL

IL

IL

IL

IL

IL
Deep Neural Network Topologies
Figure 3: The classification results of the ImageNet challenge have seen enormous boosts
Another crucial factor in the break- in accuracy since the appearance of deep learning submissions. (Data from [2].) ILSVRC: Ima-
through of deep learning technol- geNet Large-Scale Visual Recognition Challenge; AlexNet: a CNN named for Alex Krizhevsky;
ogy is the advent of new network VGG: a network from the Visual Geometry Group at Oxford University; ResNet: Residual Net.
topologies. Classical neural networks—
which rely on so-called fully con-
nected layers, with each neuron of
Trained Feature Extraction Classification
one layer connected to each neuron
C
of the next layer (Figure 1)­­— suffer F
from a very large number of training
parameters. For a network with L K
layers of N neurons each, L. (N 2 + N) K
H
parameters must be trained. Know- M
ReLU ReLU Fully Connected
ing that N can easily reach the
order of a million (e.g., for images Convolutional Max-Pooling Convolutional Max-Pooling Classification
with a million pixels), this large
pa­­­rameter set becomes unpractical for (int f = 0; f < F; f++) Per Output Pixel of a Layer:
and untrainable. for (int mx = 0; mx < M; my++) • Load C.K 2 Weights
For many tasks (mainly in image for (int my = 0; my < M; mx++) • Load C.K 2 Inputs
processing and computer vision), for (int c = 0; c < C; c++) • Do C.K 2 MACs
for (int kx = 0; kx < K; kx++) • One Output Store
convolutional neural networks (CNNs)
for (int ky = 0; ky < K; ky++) Repeat F.M 2 Times Per Layer
are more efficient. These CNNs, in­­ o [c ][mx][my] += w [f ][c ][kx][ky] . i [c ][mx + kx][my + ky]);
spired by visual neuroscience, orga-
nize the data in every network layer Figure 4: The topology and pseudocode of one layer of a typical CNN. The psuedocode is
as three-dimensional (3-D) tensors. for one layer of the network. MACs: multiply accumulation.

IEEE SOLID-STATE CIRCUITS MAGAZINE fa l l 2 0 17 57


A deeper network can automatically learn parameters. With K typically rang-
ing between one and seven and F and
the best possible features during its training C on the order of tens or hundreds,
phase, instead of relying on features hand- this method allows the creation of
very large networks while keeping
crafted by humans. the number of trainable parameters
under control—all of which gave
is connected only locally to a patch maximum of a local patch (typically deep learning its significant boost.
of the input tensor of size (K # K # C ) 2 × 2 or 3 × 3) of output units to the The majority of recent state-
through a trainable 3-D kernel W (of next layer. This thereby reduces the of-the-art deep learning networks
size K # K # C ) and a bias B. A formal dimension of the feature representa- rely on such CNNs. The optimal net-
mathematical description to com- tion and creates invariance to small work architecture, characterized
pute the outputs of a convolution shifts and distortions in the inputs. by the number of cascading stages
layer, l, is given as A modern CNN consists of tens [3] and the values of model param-
to hundreds [4] of such alternating eters F, H, C, K, and M, varies for
C K K
O lfxy = / / / I lc^x +i h^y +j h .W lfcij + B lf . convolutional and max-pooling lay- each specific application. Over the
c =0 i =0 j =0 ers, typically followed by one to last few years, various alterations
three classification layers, imple- have been proposed to this stan-
The result of the local sum com- mented using the traditional fully dard topology, such as, e.g., introduc-
puted in this filter bank is then connected neurons (Figure 4). ing feed-through connections in
passed through a nonlinearity layer, It is important to note that the ResNets [4], concatenating very small
typically a rectified linear unit (ReLU), same convolution kernel W and bias convolutions in inception networks
using the nonlinear activation func- B are used to compute all (M X M) [5], stacking depthwise and pointwise
tion f ^u h = max ^0, u h. This output outputs of one slice in the output ten- convolutions in Xception networks
can finally be processed by a max- sor. As such, every layer of the net- [6], extracting full-image dense mul-
pooling layer, which outputs only the work needs only F x ^K # K # C + 1h tiscale features using DenseNets
[7], or recurrent connections in RNNs
or long short-term memories [8].
These, however, lie beyond the scope
Embedded Device: Tx/Rx Latency Cloud: Training + Inference
of this tutorial.
Privacy
Tx Energy
Challenges for Embedded
Deep Inference
Both the training of a deep network
Raw Data and its own inferences to perform
new classifications are now typically
executed on power-hungry serv-
Classification
ers and GPUs [Figure 5(a)]. There is,
Result
Scarce Resources Infinite Resources however, a strong demand to move
(a) the inference step, in particular, out
of the cloud and into mobiles and
Embedded Device: Inference Latency Cloud: Training wearables to improve latency and
Privacy privacy issues [Figure 5(b)]. How-
Tx Energy
uP Energy
ever, current devices lack the capa-
bilities to enable deep inferences for
real-life applications.
Training Recent neural networks for image
Information
or speech processing easily require
more than 100 giga-operations (GOP)/s
Network to 1 tera-operations (TOP)/s, as well
Parameters
Scarce Resources Infinite Resources as the ability to fetch millions of
network parameters (kernel weights
(b)
and biases) per network evaluation.
Figure 5: Concerns regarding user privacy, recognition latency, and energy wasted on raw The energy consumed in these numer-
data transmission push deep learning inferences from (a) the cloud to (b) the embedded device. ous operations and data fetches is
Tx/Rx: transmitter/receiver; uP = microprocessor. the main bottleneck for embedded

58 fa l l 2 0 17 IEEE SOLID-STATE CIRCUITS MAGAZINE


inference in energy-scarce milliwatt or CNNs, inspired by visual neuroscience,
microwatt devices. Currently, micro-
controllers and embedded GPUs organize the data in every network layer
are limited to efficiencies of a few as 3-D tensors.
tens to hundreds of GOP/W, while
embedded inference will only be
fully enabled with efficiencies well be manipulated when training the techniques highlighted in this article
beyond 1 TOP/W. Overcoming this network, allowing it to find the are summarized in Figure 6.
bottleneck is possible yet requires best tradeoff between a low com-
a tight interplay between algorith- plexity and a robust network. Enhancing and Exploiting
mic optimization (modifying the 3) Deep learning networks dem- Network Structure
network topology) and hardware onstrate large sparsity. Many In many application areas, designers
optimization (modifying the process- parameters become very small, have improved the energy efficiency
ing architectures). even equal to zero, after network of embedded network evaluation by
The following section elaborates on training. Also, many data values moving away from general-purpose
the most promising optimizations cur- propagated with the network processors and developing custom-
rently being explored toward energy-ef- during evaluation become zero. ized hardware accelerators. Such
ficient, embedded deep in­­­­ference. The This can be exploited to reduce accelerators can exploit the known
focus here is on the energy-efficient operations and memory fetches data flows within the algorithm to
execution of convolutional layers, in hardware yet can also be stim- 1) enhance the parallel execution of
which form the bulk of the workload ulated further with innovative the algorithm as well as 2) minimize
during inference. However, several tech- training techniques. the number of data movements (Fig-
niques can also be applied to fully con- We will show how, for each of these ure 7). Descriptions of several app­­
nected layers. three aspects, hardware can benefit lication-specific integrated circuits
from the network’s characteristics targeting the efficient execution of
Algorithmic and Architectural but also how, during the algorith- convolutional and fully connected
Techniques for Energy Efficiency mic training phase of the network, layers have recently been published.
GPUs and central processing units it is possible to additionally opti- All solutions exhibit a very large
(CPUs) are extremely flexible, general- mize the particular characteristic to degree of parallelization, far beyond
purpose machines. While this makes reach even greater efficiency gains. CPU parallelism. This easily demon-
them widely deployable and easy to use As such, it is clear that the hardware strates itself in a data path contain-
and program, it also limits their effi- and algorithmic level need to closely ing a few hundred to thousands of
ciency because they cannot exploit cooperate not only to exploit but also multiply accumulators (MACs), with
several computational aspects of to enhance the network’s character- Google’s recent tensor processing
deep inference networks, resulting istics toward the most efficient hard- unit as an extreme example (64,000
in both a memory bottleneck and a ware-software realization. All of the MACs) [9].
computational bottleneck. More spe-
cifically, deep inference networks
have three typical characteristics
that can be exploited—or further
enhanced—to improve execution en­­ Solving the Memory Bottleneck Solving Computational Bottleneck
ergy efficiency: A) Enhancing and Exploiting Network Structure
1) Deep learning networks exhibit a Algorithmic • Spatial Data Reuse • Highly Parallel Architectures
very particular data flow with a Techniques • Hierarchical Memory • Distributed Processing
large amount of potential paral- Exploiting Data Locality
lelism and data reuse. This can, B) Enhancing and Exploiting Fault Tolerance
Tightly • Quantized Training • (Dynamic) Fixed Point
moreover, be manipulated dur-
Linked • Stochastic Memories • Analog and Statistical
ing network training by playing Processing
with the F, H, C, K, and M pa- C) Enhancing and Exploiting Network Sparsity
rameters of the network. Processor • Network Pruning • Memory and Computational
2) Deep learning networks prove Architecture • Network Compression Gating
to be quite robust to approxima- Techniques and Weight Sharing • Compressed Computing
tions or fault introductions. This
is exploited in various reduced-
precision hardware implementa- Figure 6: An overview of the algorithmic and processor architecture techniques discussed to
tions. Also, this characteristic can increase efficiency and enable the inference of deep neural networks in embedded devices.

IEEE SOLID-STATE CIRCUITS MAGAZINE fa l l 2 0 17 59


Providing data to all these func- Data reuse can be exploited by requiring intermediate accumulation
tional units in parallel would be near­ reusing the same data across multi- results o to be pushed into mem-
­ly impossible if the temporal and ple parallel execution units or, equiv- ory and refetched later, strongly im-
spatial locality of the data was not alently, across multiple time steps on pacting the input/output memory
exploited. Indeed, many computa- the same execution unit. In this topol- bandwidth. A similar scheme fetches
tions within one network layer share ogy, three extreme cases can be dis- every weight once and multiplies it
common inputs. More specifically, as tinguished, as shown in Figure 8. with many input values. This “weight
highlighted in the pseudocode shown The first multiplies the same input stationarity” or “input parallelism”
in Figure 4, every weight parameter data value with several weights of improves the weight memory band-
is reused approximately M 2 times a layer’s different output channels. width, yet at the expense of the in-
across multiple convolutions of the This is also called weight parallel or put memory bandwidth. Finally, the
same slice in the output tensor, and input stationary. In this implementa- output stationary scheme reloads
every input data point is reused tion, every input will ideally be loaded new weights and inputs every single
across F different slices of the out- into the system only once. This, how- clock cycle and yet is able to accumu-
put tensor. Moreover, the intermedi- ever, has negative repercussions on late the intermediate results locally
ate accumulation results o have to be the weight memory bandwidth, as within the MAC unit across different
accumulated C.K 2 times. This can, in the weights must be reloaded fre- clock cycles, to the benefit of the out-
a custom accelerator, be exploited in quently (every time a new input is put memory bandwidth.
several ways to further boost efficien- applied). Moreover, the accumula- All these optimizations can be
cies beyond the highly parallel, yet tion of the output o cannot be per- seen as a reshuffling of the nested
not data-flow-optimized, GPUs. formed across different clock cycles, loops in the pseudocode of Figure 4.
Of course, in practice, most realiza-
tions implement a hybrid form of
the three presented extreme cases.
MAC Array
Examples include [23] and [24], where
Weight Memory × × × ×
a two-dimensional (2-D) data path
+ + + +
FSM or multiplies every input with several
× × × × Processor weights, while every weight is also
+ + + + Controlled
multiplied with several inputs, and
Input/Output Memory × × × × [10], where the input and output
+ + + + stationarities are optimized to mini-
mize the chip input/output band-
Minimize Data Maximize Maintain width. Which parallelization scheme
Movements Parallelism Flexibility
is optimal depends strongly on the
Figure 7: Custom deep neural network processors gain efficiency by minimizing data move-
network’s dimensions; the parame-
ments and maximizing parallelism. Still, it is crucial not to lose all flexibility in mapping a ters F, H, C, K, and M, which allow
wide variety of networks. FSM: final state machine. cooptimization of the hardware; and

Weights Weight Weights Weights

× × × × + × + × × × × ×
+
Outputs
Outputs

× + ×
Inputs
Inputs

+ + × × × ×
Input

Input

+ × + × × × × ×
× + + × × × ×
+ ×

Input Stationary Weight Stationary Output Stationary Hybrids


(Weight Parallel) (Input Parallel)

Input BW Low High High Medium


Weight BW High Low High Medium
Output BW High High Low Medium

Figure 8: Different architectural topologies allow data reuse to be maximized, reusing either inputs, weights, intermediate results, or a
combination of the three. BW: bandwidth

60 fa l l 2 0 17 IEEE SOLID-STATE CIRCUITS MAGAZINE


the network itself. A more elaborate In the systolic processing concept, a 2-D array
overview of the different paralleliza-
tion schemes can be found in [11] of functional units processes data locally and
and [12], along with an assessment of passes inputs and intermediate results from
their merits.
A complementary way to reduce
unit to unit instead of to/from global memory.
the energy burden of continuous
data fetches is not to minimize the in [14]) or even just registers (as in [9]) low-resolution operations with very lim-
number of data fetches but rather to to store data locally and maximize ited kernel and network sizes.
reduce the energy cost of every data data reuse within the array. Process- While all the aforementioned tech-
fetch by exploiting temporal data ing happens as a systolic wavefront niques can dramatically boost the
locality. Most realistic deep networks through the array, wherein weight system’s throughput and energy effi-
require so much weight and input/out- coefficients can be kept stationary in ciency, it is important to keep an eye
put memory (megabytes to gigabytes) the functional units, input data are on their impact on the design’s pro-
that it is impossible to fit them in on shifted in one direction through the grammability and flexibility. Espe-
a chip memory, thus requiring fetches array, and output data accumulate in cially in the fast-paced area of deep
from energy-costly external dynamic the orthogonal direction. This allows learning, it is of the utmost impor-
random-access memory (DRAM). Simi- the performance of a very large num- tance to maintain sufficient flexibility
lar to traditional processors, this can, ber of computations for convolution toward alternative network dimensions
however, be mitigated by a memory or matrix multiplication in parallel and novel network topologies. Most
hierarchy having one or more levels of by keeping all systolic elements busy accelerators, however, succeed in this
on-chip static RAM (SRAM) or register without burdening the memory band- by enabling the acceleration of matrix
files. Frequently accessed data can, as width. Interested readers are pointed multiplications (for the fully con-
such, be stored locally to reduce its to [15] and [9] for more details. nected layers) and convolutions (for
fetching cost (Figure 9). Such systolic operation opens the the convolution layers) of any size,
An important difference with gen- door to in-memory computing, where yet with maximal efficiency for a sub-
eral-purpose solutions, however, is the computation is integrated inside set of sizes.
that the sizes of the memories in the the memory array. While this is also
hierarchy can be optimized toward pursued in traditional memory archi- Enhancing and Exploiting
the network’s structure, e.g., foresee- tectures, the results look especially Fault ­Tolerance
ing a local memory capable of cach- promising for emerging nonvolatile A second important aspect of deep
ing exactly one weight tensor, or one memory arrays. For example, in resistive neural networks that can be exploited
of the tensor [11]. Even more impor- memory technologies, a multiplication in custom processor designs is their
tantly, the networks can be trained can be implemented by exploiting fault tolerance. Many studies observe
with the processor’s memory hierar- the memory cell’s conductance as the the robustness of CNNs and other
chy in mind. As such, networks have, kernel weight, while accumulating cur- networks to perturbations on their
e.g., been explicitly trained to com- rent from different elements to imple- weight parameters and intermediate
pletely fit in on-chip memory. This ment the convolution’s accumulation computational results [17], [18]. This
optimization is, of course, highly operation [16]. However, this technol- can be exploited both at the hard-
interwoven with the parallelization ogy currently still suffers from large ware as well as the algorithmic level
scheme. By jointly optimizing these, variability, limiting applications to very in several ways.
one can adjust the degree of parallel-
ization to the memory hierarchy and
minimize the product of the number
of memory accesses with the cost of
every memory access [13]. MAC Array
Distributed and systolic process- × × × ×
Registers

Local + + + +
ing can be seen as an extreme type Off-Chip On-Chip SRAM
of such hierarchical memories. In the DRAM SRAM × × × ×
kB + + + +
systolic processing concept, a 2-D pJ/Word
array of functional units processes MB × × × ×
Tens of pJ/Word B + + + +
data locally and passes inputs and GB <pJ/Word
intermediate results from unit to Hundreds of pJ/Word
unit instead of to/from global mem-
ory. These functional units are each Figure 9: A well-designed memory hierarchy avoids drawing all weights and input data
equipped with a very small SRAM (as from the costly DRAM interface and stores frequently accessed data locally. pJ: picojoule.

IEEE SOLID-STATE CIRCUITS MAGAZINE fa l l 2 0 17 61


A straightforward way to ben- weights and intermediate results. bit-width implementations all exploit
efit from the network’s fault toler- Moreover, for very low bit widths, the deep network’s tolerance to faults
ance is to perform the computations this even allows the replacement of in a deterministic way.
at reduced computational accuracy multipliers that have several data Another school of thought targets
with limited recognition loss. Typi- values with a common weight factor energy savings through tolerating
cal benchmarks can be run at a 1–9-b via preloaded lookup tables [10]. As nondeterministic statistical errors.
fixed point rather than a 32-b floating a result, all custom CNN accelerators This can be accomplished by execut-
point at lower than 1% accuracy loss operate in fixed point. While most ing the convolutional kernels in the
[18]. This is possible by quantizing processors operate at constant 16-, noisy analog domain [26]. Alterna-
all weights of a floating-point-trained 12-, or 8-b word lengths, some recent tively, in the digital domain, stochas-
network before execution. Improved implementations support variable tic fault tolerance can be exploited
results can be obtained when intro- word-length computations, wherein by operating the circuits [27] and/
ducing quantization during the train- the processor can change the used or memory [28] in the energy-effi-
ing step itself [19], [38], resulting in computational precision from opera- cient near-threshold regions. In this
smaller or lower-precision networks tion to operation [23], [10], [24]. This region, circuit delays as well as
for the same application accuracy. As accommodates for the observation memory failures suffer from large
an extreme example, networks have that the optimal word length for a variation. Yet the networks can tol-
been specifically trained to oper- deep network strongly varies from erate such stochastic behavior up to
ate with only 1-b representations of application to application and is a certain limit. Such circuits are com-
weights alone [20] as well as with even shown to differ across various bined with circuit monitors that con-
both weights and activations [20], layers of a single deep network [18] stantly assess and control the circuit’s
[21] wherein all multiplications can [Figure 10(a)]. fault rate [28].
be replaced by efficient XNOR opera- Energy-efficient variable-resolu- Finally, the operational circum-
tions [22]. In [20], a binary-weight tion processors have been realized stances can strongly influence the net-
version of ImageNet is only 2.9% less using a technique termed dynamic work’s tolerance to approximations. In
accurate (in top-1 accuracy) than the voltage-accuracy-frequency scaling a given classification application, the
full-precision AlexNet [3]. [25] to jointly reduce the switching quality of the inputs might change
This observation can lead to major activity, supply voltage, and par- dynamically, or some classes might be
energy savings, as current CPU and allelization scheme when computa- easier to observe than others. If one
GPU architectures operate using tional resolution drops [Figure 10(c)]. tries to train one common network
32–16-b floating-point number for- This results in a scaling of the sys- that performs acceptably under all
mats. Reducing precision from 32-b tem’s energy consumption, which is possible circumstances and classes,
floating point to low precision not super-linear with the computational a large, complex, energ y-hungr y
only reduces computational energy resolution [Figure 10(b)], thus allow- network topology would be needed.
but also minimizes the storage and ing every network layer to run at its Recent work, however, promotes the
data-fetching cost needed for network own minimal energy point. Reduced training of hierarchical or staged

AlexNet on ImageNet
y3 y 2 y 1/0 y 0/0
10 x 0/0
0
10 33× Gain
Quantization (Bits)

8 16 Bit at 1% RMSE
Relative Power

x 1/0
p 0/0
6
x2 p 1/0
10–2 6 Bit
4
Uniform at 100% x3
2 p 2/0
Nonuniform at 99%
10–4 1 Bit p 3/0
0
2 4 6 8 10–6 10–4 10–2 100
Layer Number Computational Precision p7 p6 p5 p4
(a) (b) (c)

Figure 10: (a) When quantizing all weight and data values in a floating point AlexNet uniformly, the network can run at 9-b precision.
Lower precision can be achieved without significant classification accuracy loss by running every layer at its own optimal precision. This allows
(b) saving power in the function of computational precision and (c) building multipliers whose energy consumption scales drastically with com-
putational precision, through reduced activity factor and critical path length.

62 fa l l 2 0 17 IEEE SOLID-STATE CIRCUITS MAGAZINE


networks [29] that perform classifica- An important difference with general-purpose
tions in several optional stages. At
each stage, only a few layers of the net- solutions, however, is that the sizes of the
work are executed, after which a clas- memories in the hierarchy can be optimized
sification layer tries to guess the class
from the current outputs. Additional
toward the network’s structure.
network layers and classifiers are run
only if the obtained probabilities are compressing the on/off chip data model of the hardware into account
not outspoken enough, until a classi- stream using, e.g., Huffman or other and start pruning the layers that con-
fication with distinct probabilities is types of encoding. Several hardware sume the most energy, to maximize
obtained. Such dynamic evaluations implementations exploit these CNN pruning efficacy [33]. This easily
can be performed on any hardware characteristics. The authors of [24] allows the pruning of 70–90% of the
platform but, again, benefit signifi- and [11] skip all unnecessary sparse weights and saves up to 70% of ener­
cantly from implementation-aware operations by gating the inputs to ­g y consumption.
training techniques or topology- their arithmetic units if the input data Interestingly enough, networks
opt imized implementations. Infer- is zero, as a multiply-accumulate with have more compression capabili-
ence on the ImageNet data set [29] zero does not change the internal ties beyond simply that of pruning
required up to 2.6 times fewer opera- accumulation result. Both implemen- low-valued weights. After pruning
tions than state-of-the-art networks at tations also compress off-chip data and quantizing a network, it turns
equivalent accuracy. streams, either through run-length out that the resulting weight values
encoding [14] or through a simpli- are highly clustered. This allows, e.g.,
Enhancing and Exploiting Sparsity fied Huffman scheme [23]. The archi- the clustering of 8-b weights in only
Deep neural networks exhibit extreme tectures presented in [30] and [31], 16 (24) different weight clusters, each
sparsity, i.e., many of the weight val- on the other hand, allow speeding up of which can share a common weight
ues, as well as intermediate data val- sparse network evaluation s by only value expressed by a 4-b label. For
ues, are zero. Figure 11(a) shows the scheduling non-zero operations for every weight value, only the 4-b labels
sparsity of an AlexNet in function execution, improving computational are stored, and these are expanded
of the used fixed-point word length throughput up to 1.52 and 5.2 times, online to their original 8-b value using
within the network. As can be seen, respectively. a small embedded lookup table.
even for large word lengths, more More powerful opportunities arise, Recent work has shown that the
than 70% of the activations are zero. again, when the hardware and algo- combination of pruning, weight shar-
At reduced bit-width computations, rithmic plane are jointly involved. ing, and Huffman compression com-
also many weight values are quan- Deep network training algorithms presses state-of-the-art networks by
tized to zero. This opens up many can be modified to enhance the net- 50 times in memory size (deep com-
opportunities. work’s sparsity by iteratively pruning pression [32]). Traditional accelerators
On the hardware side, this can be the smallest weight values (quantiz- can benefit from such compression
exploited by preventing any MAC with ing them to zero) and retraining the but only in terms of a reduction
a zero-valued input [see Figure 11(b)], network [32]. Going one step further, in memory size and the amount of
by not even fetching zero-valued data energy-aware pruning techniques memory accessed. To execute convo­
values from memory, and by strongly even take the energy consumption lutional operations, they must still

100 AlexNet MAC Array


Mean Sparsity (%)

Weight
× × × ×
0 Memory
+ + + +
00
0 50 DRAM × × × ×
+ + + +
00 Input/Output
0 Layer Inputs × × × ×
Memory
Weights + + + +
0
2 4 6 8 10 Compress Prevent Fetching Prevent Executing
Fixed Point Precision (bits) Off-Chip Zero-Valued Zero-Input
Communication Data MACs
(a) (b)

Figure 11: (a) The sparsity of input and weight values of a typical network in function of computational precision at which the network is
evaluated. (b) This sparsity allows energy to be saved in the processor’s input/output interface, on-chip memories, and data path.

IEEE SOLID-STATE CIRCUITS MAGAZINE fa l l 2 0 17 63


Recent work has shown that the combination integrating the deep-inference chips
in complete vision-processing pipe-
of pruning, weight sharing, and Huffman lines mapping real-life applications.
compression compresses state-of-the-art This requires not only an efficient
execution of the inference kernel itself
networks by 50 times in memory size. but also efficient image slicing, data
transfer, and results interpretation.
decompress the data and, at best, offers only limited compression capa- A second interesting challenge
remain idle during zero-valued op­­ bilities, ranging typically up to only lies in the learning process. So far, most
erations. The efficient-inference engine five times compression [36]. chips focus on the inference part,
[35], however, demonstrates that it where pretrained models are efficiently
is also possible and highly benefi- Outlook executed on-chip. In the future, how-
cial to operate directly on the com- In this short tutorial, we have pre- ever, the desire for more privacy and
pressed data by adapting the data sented a selection of very promising user customization will stimulate chips
path and memory interface to the hardware and algorithmic techniques capable of executing the training phase
compressed data format. from the rapidly expanding and as well. This, however, comes with new
A network compression technique growing field of deep learning. Each computational challenges and the
that does enable straightforward exploits and/or enhances the unique need for a careful algorithm–archi-
network execution in the complex features of deep networks to improve tecture cooptimization.
domain without any hardware adapta- the energy efficiency of their execu- It is, thus, very clear that, more
tion uses singular value decomposi- tion. Together, they have allowed the than ever, the hardware and algo-
tion (SVD) [36]. By performing SVD on achievement of tremendous energy rithmic layer must be optimized
a sparse weight matrix of a fully con- savings compared to traditional jointly, grasping the various cross-
nected network layer, the matrix can CPU- and GPU-based compute plat- layer opportunities of deep neural
be decomposed into two matrices, forms. As can be seen in Figure 12 networks. This is also apparent from
the rows and columns of which are [37], this recent wave of innovations the interest of many traditionally
ordered by the function of the most breaks the barrier for embedded software-oriented companies (like
significant network parameters. By deep inference in mobile devices. Google, Amazon, and Microsoft) in
simply removing the nonsignificant Implementations far surpassing the the development of new proprietary
sections of the matrix, one is left with efficiencies of 1-TOP/W have recently hardware for deep learning.
a strongly compressed representa- been demonstrated, while computa- This field is so vibrant that every
tion of the original network layer. The tional throughput is boosted to sev- single week new ideas pop up. Of
result can be executed on any regu- eral 100 GOP. course, space does not allow us to
lar neural network accelerator, as it Still, challenges remain to effec- cover all of the exciting ideas going
is identical to the execution of two tively bring deep learning to IoT and around in the embedded deep learn-
(much smaller) fully connected layers. edge devices. First, few (if any) com- ing space at the moment. Yet we hope
While this method is more straightfor- plete end-to-end solutions have been that we were able to spark readers’
ward from a hardware point of view, it demonstrated. Doing so involves interest and stimulate further explo-
ration of this lively field.

10
References
[1] Y. LeCun, Y. Bengio, and G. Hinton, “Deep
100 GOPs

10-f/s ResNet at 30 mW
Energy-Efficiency (TOPs/W)

learning,” Nature, vol. 521, no. 7553, pp


436–444 2015.
[2] O. Russakovsky, J. Deng, H. Su, J. Krause,
4b S. Satheesh, S. Ma, Z. Huang, A. Karpathy,
A. Khosla, M. Bernstein, A. C. Berg, and
4-b Sparse L. Fei-Fei, “ImageNet large scale visual
1
1 TOPs/W 8b recognition challenge,” Int. J.Computer
16 b Vision, vol. 115, no. 3, pp. 211–252,
2015.
Minimum Energy [3] A. Krizhevsky, I. Sutskever, and G. Hinton,
GPU Peak Performance “ImageNet classification with deep convo-
CPU 2016 References lutional neural networks,” in Proc. Conf.
0.1 Neural Information Processing Systems,
1 10 100 1,000 2012, pp. 1097–1105.
[4] K. He, X. Zhang, S. Ren, and J. Sun, “Deep
Throughput (GOPs) residual learning for image recogni-
tion,” arXiv Preprint, arXiv:1512.03385,
2015.
Figure 12: An overview of the reported performance of the deep neural network processors
[5] C. Szegedy, W. Liu, Y. Jia, P. Sermanet, S.
published at the International Solid-State Circuits Conference in 2016 and 2017. Performances Reed, D. Anguelov, and A. Rabinovich,
beyond 100 GOP and 1 TOP/W will be a game changer for deep inference in embedded devices. “Going deeper with convolutions,” in Proc.

64 fa l l 2 0 17 IEEE SOLID-STATE CIRCUITS MAGAZINE


IEEE Conf. Computer Vision and Pattern lutional neural network accelerator based www.esat.kuleuven.be/~mverhels/DLIC-
Recognition, 2015, pp. 1–9. on binary weights,” in Proc. IEEE VLSI survey.html
[6] F. Chollet, “Xception: Deep learning with Computer Society Annu. Symp., July 2016, [38] S. Zhou, Y. Wu, Z. Ni, X. Zhou, H. Wen, and
depthwise separable convolutions,” arXiv pp. 236–241. Y. Zou, “Dorefa-net: Training low bitwidth
Preprint, arXiv:1610.02357, 2016. [23] B. Moons and M. Verhelst, “A 0.3–2.6 TOPS/W convolutional neural networks with low
[7] F. Iandola, M. Moskewicz, S. Karayev, precision-scalable processor for real-time bitwidth gradients,” arXiv preprint, arX-
R. Girshick, T. Darrell, and K. Keutzer, large-scale ConvNets,” in Proc. IEEE Symp. iv:1606.06160.
“Densenet: Implementing efficient con- VLSI Circuits, 2016, pp. 1–2.
vnet descriptor pyramids,” arXiv Preprint, [24] B. Moons, et al. “Envision: A 0.26-to-10
arXiv:1404.1869, 2014.
[8] F. A. Gers, J. Schmidhuber, and F. Cum-
TOPS/W subword-parallel dynamic-volt-
age-accuracy-frequency-scalable convo-
About the Authors
mins, “Learning to forget: Continual pre- lutional neural network processor in 28 Marian Verhelst (marian.verhelst@
diction with LSTM,” Neural Comput., vol. nm FDSOI,” in Proc. IEEE Int. Solid-State kuleuven.be) has been an assistant
12, no. 10, pp. 2451–2471, 2000. Circuits Conf., 2017, pp. 246–257.
[9] N. P. Jouppi, et al. “In-datacenter perfor- [25] B. Moons, R. Uytterhoeven, W. Dehaene, professor at the Micro-Electronics and
mance analysis of a tensor processing and M. Verhelst, “DVAFS: Trading com- Sensors Laboratories of the Electrical
unit,” arXiv Preprint, arXiv:1704.04760, putational accuracy for energy through
2017. dynamic-voltage-accuracy-frequency- Engineering Department at KU Leu-
[10] D. Shin, J. Lee, J. Lee, and H.-J. Yoo, “DNPU: scaling,” in Proc. Conf. Design, Automa- ven, Belgium, since 2012. Her research
An 8.1 TOPS/W reconfigurable CNN-RNN tion and Test in Europe, Lausanne, 2017,
processor for general-purpose deep neu- pp. 488–493. focuses on self-adaptive circuits and
ral networks,” in Proc. IEEE Int. Solid-State [26] L. Fick, D. Blaauw, D. Sylvester, S. Skrzyn- systems, embedded machine learn-
Circuits Conf., 2017, pp. 240–241. iarz, M. Parikh, and D. Fick, “Analog in-
[11] Y.-H. Chen, J. Emer, and V. Sze, “Eyeriss: memory subthreshold deep neural net- ing, and low-power sensing and pro-
A spatial architecture for energy-effi- work accelerator,” in Proc. IEEE Custom cessing for the Internet of Things. She
cient dataflow for convolutional neural Integrated Circuits Conf., Austin, TX, 2017,
networks,” in Proc. IEEE Annu. Int. Symp. pp. 1–4. received a Ph.D. degree from KU Leu-
Computer Architecture, 2016, pp. 367– [27] Y. Lin, S. Zhang, and N. R. Shanbhag. ven (cum ultima laude) in 2008. She
379. “Variation-tolerant architectures for con-
[12] M. Peemen, et al. “Memory-centric accel- volutional neural networks in the near was a visiting scholar at the Berke-
erator design for convolutional neural threshold voltage regime,” in Proc. IEEE ley Wireless Research Center of the
networks,” in Proc. IEEE 31st Int. Conf. Int. Workshop Signal Processing Systems,
Computer Design, 2013, pp. 13–19. 2016, pp. 17–22. University of California, Berkeley, in
[13] L. Cecconi, S. Smets, L. Benini, and M. [28] P. Whatmough, S. Kyu Lee, H. Lee, S. Rama, 2005. From 2008 to 2011, she worked
Verhelst, “Optimal tiling strategy for D. Brooks, and G.-Y. Wei, “A 28nm SoC
memory bandwidth reduction for Cnns: with a 1.2GHz 568nJ/pred sparse deep in the Radio Integration Research
Advanced concepts for intelligent vision neural network engine with >0.1 timing Lab of Intel Laboratories, Hillsboro,
systems,” Ph.D. dissertation, Univ. Bolo- error rate tolerance for IoT applications,”
gna 2017. in Proc. IEEE Int. Solid-State Circuits Conf., Oregon. She is an IEEE Solid-State Cir-
[14] Y.-H. Chen, T. Krishna, J. Emer, and V. Sze. 2017, pp. 242–243. cuits Society Distinguished Lecturer
“Eyeriss: An energy-efficient reconfigu- [29] G. Huang, et al. “Multi-scale dense con-
rable accelerator for deep convolutional volutional networks for efficient predic- and a member of the Young Academy
neural networks,” in Proc. IEEE Int. Solid- tion,” arXiv Preprint, arXiv:1703.09844, of Belgium and has published over
State Circuits Conf., 2016, pp. 262–263. 2017.
[15] H. T. Kung, “Systolic algorithms for the [30] J. Albericio, P. Judd, T. Hetherington, T. 100 papers in conferences and jour-
CMU WARP processor,” Research Show- Aamodt, N. E. Jerger, and A. Moshovos, nals. She is a member of the Interna-
case @ CMU, 1984. “Cnvlutin: Ineffectual-neuron-free deep
[16] A. Shafiee, A. Nag, N. Muralimanohar, R. neural network computing,” in Proc. ACM/ tional Solid-State Circuits Conference
Balasubramonian, J. P. Strachan, M. Hu, IEEE 43rd Annu. Int. Symp. Computer (ISSCC) Technical Program Committee
R. S. Williams, and V. Srikumar, “ISAAC: A ­Architecture, June 2016, pp. 1–13.
convolutional neural network accelerator [31] D. Kim, J. Ahn, and S. Yoo, “A novel zero and the Design, Automation, and Test
with in-situ analog arithmetic in cross- weight/activation-aware hardware archi- in Europe (DATE) and ISSCC Executive
bars,” in Proc. 43rd Int. Symp. Computer tecture of convolutional neural network,”
Architecture, 2016, pp.14–26. in Proc. IEEE Design, Automation & Test in Committees. She was associate edi-
[17] P Gysel, M. Motamedi, and S. Ghiasi, Europe Conf. & Exhibition, 2017, pp. 1462– tor for IEEE Transactions on Circuits
“Hardware-oriented approximation of 1467.
convolutional neural networks,” in Proc. [32] S. Han, J. Pool, J. Tran, and W. Dally. and Systems II and currently serves in
Workshop Contribution to Int. Conf. Learn- “Learning both weights and connections the same capacity for IEEE Journal of
ing Representations, 2016. for efficient neural network,” in Proc. Ad-
[18] B. Moons, B. De Brabandere, L. Van Gool, vances in Neural Information Processing Solid-State Circuits.
and M. Verhelst, “Energy-efficient Con- Systems, 2015, pp. 1135–1143. Bert Moons received his B.S. and
vNets through approximate computing,” [33] V. Sze, T.-J. Yang, and Y.-H. Chen, “Design-
in Proc. IEEE Winter Conf. Applications ing energy-efficient convolutional neural M.S. degrees in electrical engineering
Computer Vision, 2016, pp. 1–8. networks using energy-aware pruning,” from KU Leuven, Belgium, in 2011 and
[19] I. Hubara, M. Courbariaux, D. Soudry, R. in Proc. Conf. Computer Vision and Pat-
El-Yaniv, and Y. Bengio, “Quantized neu- tern Recognition, Honolulu, Hawaii, July 2013, respectively. In 2013, he joined
ral networks: Training neural networks 21–26, 2017, pp. 5687–5695. the Micro-Electronics and Sensors Lab-
with low precision weights and activa- [34] S. Han, H. Mao, and W. J. Dally, “Deep
tions,” arXiv preprint, arXiv:1609.07061, compression: Compressing deep neural oratories of KU Leuven as a research
2016. networks with pruning, trained quantiza- assistant, funded through an indi-
[20] M. Rastegari, V. Ordonez, J. Redmon, and tion and Huffman coding,” arXiv Preprint,
A. Farhadi, “XNOR-Net: Imagenet classifi- arXiv:1510.00149, 2015. vidual grant from the Research Foun-
cation using binary convolutional neural [35] S. Han, X. Liu, H. Mao, J. Pu, A. Pedram, dation of Flanders. In 2016, he was a
networks,” in Proc. European Conf. Com- M. A. Horowitz, and W. J. Dally, “EIE: Ef-
puter Vision, 2016, pp. 525–542. ficient inference engine on compressed visiting research student at Stanford
[21] I. Hubara, M. Courbariaux, D. Soudry, R. deep neural network,” arXiv Preprint, University, California, in the Murmann
El-Yaniv, and Y. Bengio, “Binarized Neural arXiv:1602.01528, 2016.
networks in advances” in Neural Informa- [36] J. Xue, J. Li, and Y. Gong, “Restructuring Mixed-Signal Group. Currently, he is
tion Processing Systems 29, D. D. Lee, M. of deep neural network acoustic models working toward the Ph.D. degree on
Sugiyama, U. V. Luxburg, I. Guyon, and R. with singular value decomposition,” in
Garnett, Eds. Curran Assoc., Inc. 2016, pp. Proc. Interspeech Conf., 2013, pp. 2365– energy-scalable and run-time adapt-
4107–4115. 2369. able digital circuits for embedded
[22] R. Andri, L. Cavigelli, D. Rossi, and L. Be- [37] M. Verhelst. (2017). Deep learning pro-
nini, “YodaNN: An ultra-low power convo- cessor survey. [Online]. Available: http:// deep learning applications.

IEEE SOLID-STATE CIRCUITS MAGAZINE fa l l 2 0 17 65


Terri S. Fiez, Mar Hershenson, Lucy Sanders,
and Catherine Ashcraft

Innovation, Startups,
and Funding in the
Age of Accelerations
A survey of the evolving landscape

T
homas Friedman’s recent book, Thank
You for Being Late: An Optimist’s Guide to
Thriving in the Age of Accelerations, traces
how the technology revolution, fueled by
the ac­­celeration predicted in Moore’s law, has
transformed our lives [1]. Friedman celebrates the remarkable
advances that have occurred over the past several decades
and describes, in layman’s terms, the ways in which the solid-
state circuits community is transforming diverse fields rang-
ing from computing to transportation, communications to
robotics, and entertainment to energy.
It all began with the invention of the IC. Now, some 50 years
later, we take for granted the many innovations with which
we interact every day and their various paths to market. It
was hard to imagine 20 years ago that today we would have
available a palm-sized cell phone with numerous integrated
© NCWIT, used with permission. background—footage firm, inc.

wireless radios, multiple microprocessor cores, an intelligent


global positioning system, high-resolution cameras, sensor
connectivity, cloud-based storage, and the ability to connect
around the world. And this is just the tip of the iceberg in
terms of the innovations spurred by the IC revolution.
In 2016, the IEEE Solid-State Circuits Society celebrated
the 50th anniversary of IEEE Journal of Solid-State Circuits.
The most highly cited papers in each decade demonstrate the
advances that have brought us to today [2]. From the mid-1960s

Digital Object Identifier 10.1109/MSSC.2017.2745778


Date of publication: 16 November 2017

66 fa l l 2 0 17 IEEE SOLID-STATE CIRCUITS MAGAZINE 1943-0582/17©2017IEEE


through the 1970s, basic integrated make this change and what impact of universities. During the acade­­
devices and processes along with do you hope to make? mic year, we run a program, Garage,
simple analog ICs were inventions Mar: I became a venture capitalist where we work closely with a group
crucial to kicking off the solid-state almost by accident. Pejman Nozad, an of 20 engineering students as they
revolution. Over the following two angel investor in my second company, are thinking of starting a company.
decades, further process scaling and Sabio Labs, approached me about We connect them with mentors in our
circuit ingenuity fueled sophisticated building a firm together. Initially, community, have regular check-ins,
analog-to-digital converters and pro- I was reluctant. But, after al­­most offer them resources and personal
cessors, making the personal com- four years of courtship and some guidance. During the summer, we
puter as ubiquitous as telephones and angel investing, I decided to take the run a second program, Pear Sum-
supporting high-fidelity music played plunge. I wanted to build a firm that mer, where we invest in 15 com-
on compact discs. From the mid-1990s would provide the help to founders panies started by recent graduates,
to 2015, high levels of integration in­­ that I would have liked to have access practically all of whom graduated
cluding radio frequency (RF), analog, to when I was starting my own com- that same year. My background as a
processors, and sensors advanced pany. For me, that meant building a founder right after completing grad-
the convergence of communications, support layer for founders that was uate school makes me very attuned
computation, and the Internet of available and effective when they to their needs. I am convinced that
Things (IoT). most needed it. we can teach a recent Ph.D. degree
These innovations have provided To build this support layer, we graduate the principles of becoming
the catalyst for a plethora of start- have invested in two key initia- a great founder. Many of them are
ups and served as the foundation tives. First, we have built a strong mystified by the process of selling or
for large, established companies to and vibrant community of founders, marketing, when it is something they
generate in excess of trillions of dol- advisors, executives, and investors. can learn if they put enough effort
lars annually. Friedman observes We believe that we are more powerful behind it.
that, around 2007, we hit a point of when our community is effectively My personal goal is to help as
“dislocation,” which he defines as a connected. For example, a founder many founders as possible in build-
time “when the whole environment is who has questions on hiring will ben- ing impactful companies. In the end,
being altered so quickly that every- efit greatly from connecting to other it is founders that make companies
one starts to feel they can’t keep up.” founders who have just hired rather succeed, not any of us: we are here to
By hitting this acceleration point, than just listening to us on best support and enable.
with change occurring so quickly, hiring practices. To foster commu-
will start-up companies and IC inno- nity, we have very specific activities Q: How is Pear VC approaching
vations continue to play a vital role such as small chief executive offi- investing, and why are you taking
in creating and advancing the next cer (CEO) dinners, educational work- this approach?
big thing? shops, social events, a distinguished Mar: Venture investment has
To help understand the changes in speaker series, an online community, changed a lot in the last 15 years.
start-ups, venture funding, and what it and a strong mentorship program. Back in 2000, one could raise an ini-
takes to create a successful enterprise Second, we have developed spe- tial round of financing of US$3–5 mil-
in an environment characterized by cial programs targeted at first-time lion from the larger series A funds on
dislocation, Terri Fiez posed a series founders, especially those coming out Sand Hill Road with a PowerPoint pre-
of questions to Mar Her- sentation. Today, two things
shenson of Pear Venture have happened. One is that,
Capital (VC) and to Lucy Number of Active Seed Funds to raise series A, a company
Sanders and Catherine Ash- needs to show significant
craft of the National Center 236 traction. Two is that one
for Women and Information does not need US$5 million
Technology. Their responses to get things started. Many
150
provide some important companies get started with
insight into this changing 112 small cash infusions of
landscape, as well as keys 65 US$2 million or less. As a
for success. 33 42 result, there is a new type
of venture firms, called seed
Q: Mar, you have been a 2010 2011 2012 2013 2014 2015 funds or micro VCs. In the
serial en­­trepreneur and last ten years, the number of
have now become a ven- Figure 1: The number of active seed funds per year. (Source: CB seed funds has grown from
ture capitalist. Why did you Insights.) zero to almost 300 (Figure 1).

IEEE SOLID-STATE CIRCUITS MAGAZINE fa l l 2 0 17 67


”In the end, it is founders that make state-of-the art chip (~US$80–100 mil-
lion), combined with the lack of sig-
companies succeed, not any of us: we are nificant exits, made semiconductor
here to support and enable.” investments very unattractive [5].
Venture investment hit a low point in
2012–2013 [6], when fewer than five
Additionally, there are many more Barefoot networks, a company pro­­ companies were raising series A per
angel investors than there have ever ducing programmable net work year. Luckily, there has been some
been. Figure 2 shows the growth of switches, raised US$1.35 million seed modest growth since 2013. What has
angel investors in the popular fund- before raising a US$24 million series pushed the recovery?
raising platform Angel List. A. In other cases, companies de-risk It has not been better perfor-
Pear VC is a seed VC that is lead- the initial venture investment by mance on old applications but rather
ing initial companies’ investments raising nondilutive capital (e.g., the rise of new applications. One key
across disciplines. We typically write SBIRs [funding from the U.S. govern- application is the explosion of artifi-
the first institutional check. We are ment’s Small Business Innovation cial intelligence (AI) applications [5].
focused on finding great founders Research program]) and/or corpo- Since 2012, when Alex Krizhevsky
with the ambition to build large rate financing. used two NVIDIA graphics processing
companies. We are not looking for units (GPUs) to win the 2012 ImageNet
specific operational traction but Q: Is there a future for start-ups computer image recognition com-
rather an understanding of how it that include ICs as part of their petition, several major corporations
could be possible for building and core technology? and a large number of start-ups have
defining a company. Mar: Absolutely. After a decade of been racing to build AI applications
In the case of semiconductors, drought, we are seeing investments (see Figure 3 for a graph showing the
one could argue that seed financing in the semiconductor industry from increase in the number of start-ups
is not viable. Semiconductor compa- top-tier VC firms. Of course, it is not with “AI” in their company descrip-
nies still require large amounts of the panacea of the late 1990s and tions). In 2016, Google announced
capital, so small seed financing is not early 2000s, when early-stage fab- the first-generation tensor flow pro-
possible. While it is true that more less semiconductor start-ups were get- cessing units (TPUs), which are cus-
capital is needed to truly proof the ting bought by public companies for tom ASICs designed to run Google’s
business, it is possible to raise seed hundreds of millions of dollars, even tensor flow machine-learning frame-
funding from the more widely avail- billions. Remember Broadcom pur- work more efficiently. In 2017, the
able sources and focus on de-risking chasing MIPS Technology’s core pro- company announced the second
some of the investment hypothesis. vider SiByte in a US$2 billion stock generation of TPUs, which boast
How are companies doing this? In deal in 2000 or purchasing RF trans- 15–30 times performance improve-
some cases, semiconductor com- ceiver provider Innovent in a US$440 ments versus general purpose CPUs
panies can de-risk the investment million stock deal, also in 2000? [central processing units] and GPUs
early on by building an initial pro- Unfortunately, starting in the early to [6]. The success of TPUs has pushed
totype on a field-programmable mid-2000s, venture investments in a new breed of companies to develop
gate array, plus basic software, and semiconductors declined dramatically. custom ASICs for specific data appli-
shipping that to early customers. The increased cost of developing a cations. One example is Nervana,
Initial customer validation, which was sold to Intel for
makes it much easier for US$350 million in 2016
them to raise a large series Investors Registered on Angel List after two-and-a-half years
A that will fund their custom in business and raising less
application-specific IC (ASIC) than US$25 million. There
30,057
design. There are several is another suite of recent
examples of seed rounds start-ups specifically pow-
18,000
for current successful semicon- ering higher-performance
ductor companies: Nervana, data centers: for example.
a compa ny developing Innovium (found­­ed in 2014,
custom ASICs for machine- 2,500 raised US$88 million) and
50
learning applications, raised Barefoot Networks (founded
a US$600,000 seed round 02/2010 12/2011 06/2013 11/2016 in 2013, raised US$153 mil-
followed up by a US$3.3 mil- lion) [7].
lion series A and a larger Figure 2: The number of active angel investors on Angel List. AI and data center ap-
US$20 million series A-II; (Sources: Angel List, Techcrunch, and Harvard Business Review.) plications are not the only

68 fa l l 2 0 17 IEEE SOLID-STATE CIRCUITS MAGAZINE


new applications to require innova- “The success of TPUs has pushed a new breed
tive silicon solutions. Other applica-
tions include those for the IoT [8], of companies to develop custom ASICs for
autonomous driving [9], biotechnol- specific data applications.”
ogy, and mobile devices. These sili-
con solutions result in more analog/
RF and microelectromechanical sys- is important to measure progress founder needs to focus on those
tem (MEMS) chips. Some recent suc- on the plan in a quantitative man- hard questions and put all his/her
cesses include the silicon-based DNA ner and make adjustments based energy on addressing them.
synthesis platform Twist Bioscience on new information. Finally, an ■■ Be paranoid. As Andy Grove said,
(founded in 2013, raised US$193 mil- operating plan is not just for the “Only the paranoid survive.” Tech-
lion), custom LIDAR [light detection founder and the investors. It is nology cycles are becoming shorter
and ranging] Luminar Technologies something the entire team needs and shorter, so a founder needs
(founded in 2012, raised US$36 mil- to be behind. For that reason, we to be ready for change, aware that
lion), MEMS-based force-sensing so- recommend absolute transparen- standing still is not an option. Past
lutions NextInput (founded in 2012, cy, sharing objectives and prog- and current success is no indica-
raised US$15 million), vision sensor ress with the team frequently. One tion of future success.
developer Chronocam (founded in of our founders displays progress ■■ Work on yourself. This is especially
2014, raised US$18 million), and myr- on its plan on TV screens around true for first-time founders. I have
iad other young start-ups. the office. It keeps everybody fo- witnessed first-time founders become
At Pear VC, after having done no cused on goals, motivated when great CEOs, but it does not happen by
semiconductor investments in three things go well, and ready to rally accident. All of these CEOs have some-
years, we have completed two ear- when there are setbacks. thing in common—an innate desire
ly stealth-stage seed investments in ■■ Get the hard things done first. You for self-growth. They read a lot, they
semiconductor start-ups, one address- can always be busy at a start-up, ask questions, and they surround
ing a specific use of AI and the other but it is absolutely critical to solve themselves with better people.
for health-care applications. The semi- the important problems first. I can
conductor venture investment world explain this with the “standard- Q: How are large companies en­­
is looking good. ized test” example. Many of us suring they are capturing the new-
are expert test takers. On a stan- est and most innovative ideas? Is
Q: What would be your best advice dardized test, we solve the easy it working?
for a founder today? questions first and leave the hard Mar: Nvidia is a very innovative
Mar: I have found that the “best ad- ones until the end, since they are company at fostering innovation.
vice” is highly dependent on the all worth the same points. In the For example, this past May, Nvidia put
founder to whom the advice is target- start-up world, it is the opposite: together its first “AI Day for VCs.”
ed. With this in mind, I can think of a you don’t pass the test unless you They are actively trying to partner
few things that may be more appro- solve the hardest question. Thus, a with the venture community to foster
priate today.
■■ Absolute focus on execution. Today,

we live in a world flooded with VC,


where the speed at which we are 80
seeing ideas proliferate and being
replicated is staggering. It is not 70
enough to have a differentiated 60
technology, an illustrious team, or
AI Instances

50
a lot of capital. The teams that win
are able to deliver a product that 40
customers are ready to buy in an 30
efficient manner. One of the most
20
crucial elements of flawless execu-
tion is excelling in the art of plan- 10
ning. We work with our founders
0
to put together an operating plan
2000
2001
2002
2003
2004
2005
2006
2007
2008
2009
2010
2011
2012
2013
2014
2015
2016

with a very clear set of objectives


from day one, even when they have
just raised a small seed round. It Figure 3: AI instances per year, based on Crunchbase descriptions. (Source: Crunchbase.)

IEEE SOLID-STATE CIRCUITS MAGAZINE fa l l 2 0 17 69


“Over the past decade, an increasing number Universities are also recogniz-
ing the importance of partnerships
of studies have documented the benefits and collaboration across disciplines.
associated with diverse work teams, with some For example, Harvard University
is planning to open a state-of-the-
of these studies being specific to start-ups.” art engineering and applied sci-
ences building right across from
the Harvard Business School and
the creation of more AI companies. access to free working space, a net- Harvard Innovation Labs in 2020.
They understand that their GPUs are work of alumni, and access to in- Prior to this initiative, the business
powering a large number of these vestors. For example, there are the school campus and the college cam-
companies, and it is in their interest Skydeck incubator at the University pus were physically separated. At
to support the ecosystem. Addition- of California, Berkeley (2014), Delta V Stanford, the biodesign program
ally, the Nvidia GPU Ventures Pro- accelerator at the Massachusetts In- puts special emphasis on recruiting
gram is providing financial support stitute of Technology (2012), Venture multidisciplinary teams that include
to start-ups that build their business Studio at Stanford University (2013), members with clinical, engineering,
around GPU-based platforms. Viterbi Startup Garage at the Univer- computer science, design, and/or
Intel has recognized the impor- sity of Southern California (2013), and business backgrounds.
tance of investing capital in start-ups many others. There has been a proliferation of
aligned with Intel’s mis- classes targeted at stu-
sion. Last year, Intel Capi- dents starting companies.
tal switched its investment For e x a mple, St a nford
philosophy from focusing offers over 100 courses
solely on financial returns geared at entrepreneur-
to investing in start-ups ship within the business
that align with its mission. schools, law school, and
In late 2016, Intel Capital engineering school. These
committed US$250 million classes are often taught by
to auto tech investments or cotaught with industry
and created a new Autono- experts, bringing direct
mous Driving Group inter- practical knowledge to
nally. Intel has also been the students.
acquiring start-ups at a There are many other
fast pace; 2016 was their signs of interest from the
m o s t a cq u i s it i v e y e a r academic community such
ever, with nine significant as specific efforts at eas-
acquisitions [5]. ing university technology
transfer to students, busi-
Q: How do you see uni- ness plan competitions
versities fueling the in­­ with significant monetary
novation economy? prices, campus hackathons,
Mar: Over the last five and so on.
years, there has been a sig-
nificant increase in activity Q: Diversity in start-up
by and investment from uni- companies and VC firms
versities in innovation pro- has become a very vi­­­­­si­­­
grams targeted at fostering ble issue in the last cou-
a stronger entrepreneurial ple of years. We often hear
community and facilitating the mantra that diver-
the creation of new start- sity benefits the bottom
ups. This increased activity line, but what does the
can be seen in a variety of research specifically
initiatives. tell us?
Some of them are creat- Figure 4: A graphic showing the positive outcomes for companies Catherine and Lucy:
ing their own incubators, with diverse teams. (Source: https://www.ncwit.org/resources/wom- Over the past decade, an in­­
which typically include en-it-facts-infographic-2015-update, © NCWIT, used with permission.) creasing number of studies

70 fa l l 2 0 17 IEEE SOLID-STATE CIRCUITS MAGAZINE


have documented the benefits asso- “Additional studies have found that higher levels
ciated with diverse work teams, with
some of these studies being specific of racial and gender diversity are associated with
to start-ups (Figure 4). For example, increased sales revenue, more customers, greater
a 2011 analysis by Dow Jones and
VentureSource of more than 20,000
market share, and greater relative profits.”
venture-backed companies showed
that successful start-ups have twice
as many women in senior positions kinds of technical roles women and Catherine and Lucy: It’s critical
as unsuccessful companies. Similar members of other underrepresented that companies address diversity dur-
studies show that women-led com- groups hold, in addition to looking ing the early phases of establishing
panies launch, on average, with less at overall representation numbers. their culture. Organizational cultures
capital but produce higher revenues Underrepresented groups are fre- emerge either by design or default,
and are more likely to survive the quently channeled into execution and, when by default, the culture is
transition to an established com- or project management roles that likely to reflect the status quo. Acting
pany [10]. In addition, in a study of support those who are creating new with intention from the beginning is far
all investments made between 2000 technology. While technical support easier and more likely to be successful
and 2010 by U.S.-based VC firms (in roles are critical, there is a need for than undoing damage down the road.
U.S.-based companies), VC firms that diversity in all aspects of the techni- Companies should treat inclusiv-
invested in women-led businesses cal invention process. ity the way they would any other busi-
saw an improvement in their VC firm’s ness issue. Too often, diversity efforts
performance [11]. Q: The IC field is dominated by are under-resourced and undervalued.
Additional studies have found men, so how do start-ups, large Instead, top leadership support and
that higher levels of racial and gen- companies, or venture firms in­­ accountability need to be established,
der diversity are associated with crease the participation of women with a commitment to allocating suffi-
increased sales revenue, more cus- or minorities, and what can they cient resources to the implementation of
tomers, greater market share, and expect when they do? research-based practices, goal setting,
greater relative profits and measuring progress. It’s
[12]. Simila rly, another also important to establish a
st udy found that work shared language and under-
teams with equal num- standing among key corporate
bers of women and men stakeholders as to the criti-
were m o r e innova­t ive cal business imperatives that
a n d pr o duc t ive t h a n depend on diversity and inclu-
teams of any other com- sion and how subtle biases and
position [ 1 3 ] . Finally, institutional barriers impede
research about work teams progress. But just raising aware-
found that the intelligence ness about bias is not enough—
level of individual team this un­­derstanding needs to be
members was not a pre- op­­erationalized into everyday
dictor of the collective practice and leadership norms
intelli­gence of the team in systemic ways, including
[14]. However, the collec- 1) h elping managers lead
tive intelli­­g e n c e of a produc­t ive team meet-
team was associated with ings where everyone can
having a higher number contribute
of women on the team. 2) examining subtle biases in
In light of this and task assignment, perfor-
a d d i t i o n a l r ese a r ch , mance evaluation process-
start-ups would do well es, and criteria for identify-
to capitalize on the ben- ing “high-potential” talent
efits diversity can bring 3) examining flexible work poli-
to technical invention cies, as the informal stigmas
[15]. To reap these ben- Figure 5: A graphic detailing the benefits of diversity on compa- or penalties that make these
efits, it’s important to nies’ bottom lines. (Source: https://www.ncwit.org/resources/wom- policies difficult to use, even
pay attention to what en-it-facts-infographic-2015-update, © NCWIT, used with permission.) when technically allowed.

IEEE SOLID-STATE CIRCUITS MAGAZINE fa l l 2 0 17 71


“Universities are recognizing the importance as an entrepreneur by cofounding
three companies: Barcelona Design,
of partnerships and collaboration across Sabio Labs, and Revel Touch. After
disciplines.” the acquisition of Sabio Labs, she
served as vice-president of product
development for Magma Design Auto-
Assessing recruiting sources, job ad women-led businesses. [Online]. Avail- mation’s Mixed Signal Division. She
able: https://www.sba.gov/content/ven​
language, physical office space, and ture-capital-social-capital-and-funding- has been honored by, among others,
décor is also important. women-led-businesses the renowned T35 Young Innovator
[12] C. Herring, “Does diversity pay?,” Am. Soc.
Companies should not expect that Rev., vol. 74, no. 2, pp. 213, 2009. Award by the Massachusetts Institute
these changes will occur overnight. [13] Lehman Brothers Center for Women in of Technology, the prestigious Marie
Business. (2008). Innovative potential:
Reforming business processes takes Men and women in teams,” London Busi- R. Pistilli Women in EDA Achievement
time, but the rewards are well worth it. ness School. [Online]. Available: https:// Award in 2010, and the Midas Brink
w w w.lnds.net/ blog/images/2013/09/
Innovation will be enriched, products g r at ton r ep or t in nov at ive _ p otent ia l _ List of Top Tech Investors in 2015.
and services will address a wider range nov_2007.pdf Lucy Sanders is the chief execu-
[14] A. W. Woolley, C. F. Chabris, A. Pentland,
of customer needs, talent pools will be N. Hashmi, and T. W. Malone, “Evidence tive officer and cofounder of the
enlarged, and decision-making will be for a collective intelligence factor in the National Center for Women and Infor-
performance of human groups,” Science,
more robust. Everybody in the com- vol. 330, no. 6004, pp. 686–688, 2010. mation Technology, housed in the
pany will benefit because, when cor- [15] L. Barker, C. Mancha, and C. Ashcra. ATLAS Institute at the University of
(2014). What is the impact of gender di-
porate cultures are improved for one versity on technology business perfor- Colorado, Boulder. She has an exten-
group, everyone benefits (Figure 5). mance? Research summary, National sive industry background, having
Center for Women in Informational Tech-
nology. [Online]. Available: https://www​ worked in research and development
References .ncwit.org/resources/what-impact-gen​ and executive (vice-president) posi-
[1] T. Friedman, Thank You for Being Late: An der-diversity-technology-business-per​
Optimist’s Guide to Thriving in the Age of formance-research-summary tions at AT&T/Lucent Bell Labs. In
Accelerations. New York: Farrar, Straus,
and Giroux, 2016.
1996, She w a s aw a r ded t he B ell
[2] A. Sengupta and D. Hodges, “Top-cited About the Authors Labs Fellow award, the highest tech-
IEEE Journal of Solid-State Circuits pa-
pers,” IEEE Solid State Circuits Mag., vol.
Terri S. Fiez is the vice chancellor nical accomplishment bestowed
8, no. 4, pp. 30–31, 2016. for research and innovation at the at the company. She serves as a
[3] K. Lawler. (2012, May). A new model for
venture investment in semiconductor
University of Colorado, Boulder. Prior trustee for the Center for American
start-ups.” GSA Executive Forum. [On- to September 2015, she was head of Entrepreneurship in Washington,
line]. Available: http://www.gsaglobal.
org/events/2012/0508/docs/siliconven-
the School of Electrical Engineer- D.C., and the International Computer
tureskeynote_webversion.pdf. ing and Computer Science at Oregon Science Institute at the University
[4] I. Akhmetshin. (2014, Aug.). The revival
of semiconductor funding. Techcrunch.
State University, Corvallis. In 2008– of California, Berkeley. She also has
[Online]. Available: https://techcrunch. 2009, she took a leave of absence to six patents.
com/2014/08/29/the-revival-of-semicon-
ductor-funding/
cofound, launch, and serve as chief Catherine Ashcraft is a senior
[5] J. Huang. (2016, Oct.). The intelligent in- executive officer of a solar electron- research scientist with the National
dustrial revolution. Nvidia. https://blogs.
nvidia.com/blog/2016/10/24/intelligent-
ics start-up company. Her scholarly Center for Women and Information
industrial-revolution/. interests focus on analog and mixed- Technolog y at the University of
[6] N.P. Jouppi et. al, “In-datacenter perfor-
mance analysis of a tensor processing unit,”
signal ICs for mobile communica- Colorado, Boulder. For the past
in Proc. 44th Int. Symp. Computer Architec- tions and innovative approaches to 15 years, she has conducted research
ture, Toronto, Canada, June 2017, pp. 1–12.
[7] Crunchbase database.
education. She has been recognized and presented papers nationally and
[8] H. Bauer, M. Patel, and J. Veira, (2015, with the National Science Founda- internationally on issues related to
Oct.) Internet of Things opportunities and
challenges for semiconductor compa-
tion’s Young Investigator award, the gender, diversity, information tech-
nies. Mckinsey Rep. [Online]. Available: 2006 IEEE Educational Activities nology, and education. Her research
https://www.mckinsey.com/industries/
semiconductors/our-insights/internet-
Board’s Innovative Education award, has been published by a number
of-things-opportunities-and-challenges- the 2016 IEEE Undergraduate Teach- of education and interdisciplinary
for-semiconductor-companies
[9] T. Poletti. (2016, Aug.) Want to invest in
ing award, and the 2017 Hewlett- journals such as the American Edu-
self-driving cars? Check out the chips. Packard Harriett B. Rigas Award. She cational Research Journal, Teachers
Marketwatch. [Online]. Available: http://
www.marketwatch.com/story/want-to-
is an IEEE Fellow. College Record, Anthropology & Edu-
invest-in-self-driving-cars-check-out-the- Mar Hershenson is a cofounder cation, and Youth & Society. Her most
chips-2016-08-26
[10] C. Padnos. (2010). High performance en-
and managing partner at Pejman Mar current work includes the recently
trepreneurs: Women in high-tech. Illumi- Ventures, a seed-stage investment released reports Women in Tech: The
nate Ventures. [Online]. Available: http://
www.txwsw.com/pdf/IlluminateWPSum-
firm in Palo Alto, California. She Facts and Male Advocates and Allies:
mary6-10.pdf received her Ph.D. degree in electrical Promoting Gender Diversity in Tech-
[11] Wyckoff Consulting, LLC. (2013). U.S.
small business administration venture
engineering from Stanford Univer- nology Workplaces.
capital, social capital and the funding of sity, California, and made her mark 

72 fa l l 2 0 17 IEEE SOLID-STATE CIRCUITS MAGAZINE


Christian Enz, Francesco Chicco, and Alessandro Pezzotta

Nanoscale
MOSFET Modeling
Part 2: Using the inversion coefficient
as the primary design parameter

T
his article illustrates the use of the inversion coeffi-
cient (IC) as the main design parameter to explore the
various tradeoffs faced in the design of analog circuits.
We start with showing that the same transconductance,
gain-bandwidth (GBW) product, or input-referred ther-
mal noise resistance of a common-source (CS) amplifier can be achieved
with lower current by shifting the IC toward moderate inversion (MI) at
the cost of a slight increase of the transistor aspect ratio and area. In such
case the self-loading gate capacitance cannot be ignored, and accounting
for it introduces a minimum bias current at an IC that lies in the middle of
the MI to achieve a given GBW.
Various figures-of-merit (FoMs) are then introduced starting with the
current or transconductance efficiency G m /I D. It is shown that G m /I D is
maximum in weak inversion (WI) and that because of velocity saturation
(VS) more current is required in strong inversion (SI) to reach the same
transconductance than when VS is absent. The transit frequency Ft is then
derived as a function of the IC, and it is shown that it reaches a maximum
Ftpeak in SI that is inversely proportional to the VS parameter m c . It is also
shown that Ftpeak does not scale as 1/L and only depends on the ratio of
the oxide capacitance per unit area to the total extrinsic gate capacitance
per unit width. Finally the product G m /I D ·Ft FoM is introduced. The lat-
ter reaches a maximum in MI, offering a good tradeoff among gain, noise,
and current consumption. All the presented FoMs can be expressed versus
the IC using simple analytical expressions requiring only four parameters.
They are favorably compared to measurements of short-channel devices
from 40- and 28-nm bulk CMOS technologies and with the BSIM6 compact
model for the 40-nm device, illustrating the effectiveness of using the IC in
the design of analog circuits.

Introduction
The design of analog circuits is the art of finding the right tradeoff
between conflicting constraints or specifications such as power, noise,

image licensed by graphic stock

Digital Object Identifier 10.1109/MSSC.2017.2745838


Date of publication: 16 November 2017

1943-0582/17©2017IEEE IEEE SOLID-STATE CIRCUITS MAGAZINE fa l l 2 0 17 73


In this second part, we will investigate how Enz–Krummenacher–Vittoz (EKV) M O S
field-effect transistor (FET) model
this simplified EKV model can be used to that can be used to model devices in
explore the various tradeoffs faced when saturation even in advanced CMOS
designing analog circuits. processes with only a few param-
eters including the effect of VS. The
model includes simple expressions
linearity, gain, supply voltage, volt- voltages), the overdrive voltage VG - VT0 of the normalized (source) transcon-
age swing, speed and input/output has been used as a key design vari- ductance and the transconductance
impedance, as illustrated by Razavi’s able for a long time. However, with efficiency G m /I D in terms of IC and
analog design octagon, shown in Fig- the down-scaling of complementary the VS parameter m c . Similarly, the
ure 1 [1]. After having found the most MOS (CMOS) technology, the operat- output conductance in saturation for
appropriate system architecture and ing points of MOS transistors have short-channel devices can also be
circuit, the designer finally needs to been progressively pushed toward ex­­­­­­­p ressed in terms of IC and two
select the right drain bias current, moderate or even WI (subthreshold additional parameters m d and v d .
channel width, and length for each region), where the overdrive voltage The model was validated on several
metal–oxide–semiconductor (MOS) is not convenient anymore. To cover advanced bulk and fully depleted
transistor [2]. These three indepen- the whole range of operating regimes silicon on insulator (FDSOI) CMOS
dent degrees of freedom for each from WI to SI, we propose replac- processes [3].
device influence their performance, ing the overdrive voltage by the IC In this second part, we will inves-
including bias voltages, dc gain, band- describing the state of inversion of tigate how this simplified EKV model
width, noise, matching, and linear- the channel from weak via moderate can be used to explore the various
ity and hence have an impact on the to SI. tradeoffs faced when designing ana-
overall circuit performance [2]. The concept of IC was introduced in log circuits. Since much circuit per-
Among all the transistor parame- the first part of this article [3], together formance directly depends on G m
ters (current, width, length, and bias with the simplified charge-based and/or G ds, it can be characterized
over a wide range of bias using the
expressions of G m and G ds.
Noise Linearity
Basic Tradeoffs in Analog IC Design
The transconductance, and hence
the current and area, of a single-
Power
Gain stage amplifier or a differential pair
is often dictated by the require-
ments on gain, GBW product, and
noise [4], [5]. Because the transcon-
I/O Impedance Supply Voltage ductance is proportional to the aspect
ratio W/L and increases with the
current, the same transconductance
Voltage Swing can be achieved for different W/L
Speed
ratios and bias current. Hence, the
designer still has the degree of free-
Figure 1: Razavi’s analog design octagon, illustrating the tradeoffs faced in the design of
dom to choose the appropriate IC at
analog circuits [1].
which the device needs to be biased
to achieve a given transconductance.
It will be shown below that mov-
Ib Ib Ib ing the operating point to MI or WI
actually comes with a reduction of
W/L W/L W/L current at the cost of an increase in
CL W ⋅CW CL0
Vout Vout Vout area to achieve the same transcon-
Vin Vin Vin ductance, GBW, or input-referred
thermal noise [4], [5]. To show this,
(a) (b) (c) we will look at the simple CS stage
shown in Figure 2. We will inves­
Figure 2: CS gain stage schematics for the calculation of (a) constant G m, (b) constant GBW, tigate how the bias current I b and
and (c) constant GBW including self-loading. a sp e c t r a t io W/L of a transistor

74 fa l l 2 0 17 IEEE SOLID-STATE CIRCUITS MAGAZINE


varies with IC for a given G m, GBW, From this perspective, MI turns out to
and input-referred thermal noise
resistance R n. be a good tradeoff between low current
and acceptable area for achieving a given
Constant-Gm
The transconductance of an MOS
transconductance.
transistor such as in the CS gain
stage shown in Figure 2(a) is propor- I D = I spec ·IC = I spec4 · W ·IC.(3) Constant Gain-Bandwidth Product
L
tional to the transistor aspect ratio An important specification that deter-
W/L and depends on the bias cur- Solving (1) and (3) for I D and W/L mines the transconductance of single-
rent I b. The latter can be reduced to results in stage amplifiers as the CS amplifier
lower the power consumption, but shown in Figure 2(b) is the GBW prod-
the aspect ratio has to be increased I D = I b = G m ·nU T ·IC ,(4a) uct GBW or unity-gain frequency
g ms (IC)
to achieve the same transconduc- W = G m ·nU T , (4b) ~ u given by
tance, therefore increasing the tran- L g ms (IC) ·I spec4
sistor area. This tradeoff between ~u =
G m = ~ · W ·g , (6)
L
CL L ms
the bias current and the transistor which can be nor ma lized to the
aspect ratio can be explored by desi r e d t r a n s con duc t a n ce G m where C L is the load capacitance
means of the IC using the defini- according to at the drain of the transistor and
tion of the normalized source trans­­ ~ L _ I spec4 / (nU T C L) is a normaliz-
Ib
conductance g ms (IC ) given in [3]. The ib _ = IC , (5a) ing quantity corresponding actually
G m ·nU T g ms (IC)
gate transconductance G m can be I spec4 to the GBW of a square transistor
AR _ W · = 1 .(5b)
written as L G m ·nU T g ms (IC) biased in WI. If C L is assumed to
be constant, then (6) and (3) can be
I spec4 W
Gm = · ·g (IC ), (1) The normalized bias current i b solved for I D and W/L and normal-
nU T L ms
and aspect ratio AR are plotted in ized to the desired GBW, result-
where W and L are the width and Figure 3 for different values of the ing in
l e n g t h o f t h e t r a n s i s t o r, n i s VS parameter m c . It shows that the
Ib
the slope factor, and U T _ kT/q is same G m can be achieved with lower ib _ · 1 = IC , (7a)
I spec4 X g ms
the thermodynamic voltage [3]. current by shifting IC toward MI
I spec4 _ 2nn 0 C ox U 2T is the specific and WI where i b saturates to unity. AR _ W · 1 = 1 , (7b)
L X g ms
current per square, which is a fun- This is obtained at the cost of a sig-
damental parameter for a given nificant increase of the transistor where X _ ~ u /~ L. Note that this nor-
technology and type of transistor aspect ratio (or of the transistor malization leads to the same expres-
(n- or p-channel), where n 0 is the width W for a fixed length L ) result- sions for i b and AR as in (5a) and
low field mobility in the channel ing in a drastic area increase. From (5b), which are plotted in Figure 3.
region and C ox the oxide capacitance this perspective, MI turns out to be Again, for achieving a given GBW
per unit area [3]. g ms is the normal- a good tradeoff between low current product, current can be saved by
ized source transconductance given and acceptable area for achieving a moving the operating point toward
by [3] given transconductance [4], [5]. MI at the cost of a slight increase in

G ms
g ms _
G spec
100 100
(m c IC + 1) 2 + 4IC - 1
 = n·G m = , λc = 1
Normalized Bias Current ib

G spec m c (m c IC + 1) + 2
(2) λc = 0.3
Normalized W/L

10 λc = 0 10
where G spec _ I spec /U T = 2nn 0 C ox U T
and m c _ L sat /L is the VS param- λc = 1
eter corresponding to the fraction
1 1
of the channel in which the carrier λc = 0.3
drift velocity reaches the saturated
velocity v sat over a portion of the λc = 0
channel length L sat = 2n 0 U T /v sat [3]. 0.1 0.1
0.01 0.1 1 10 100
From the definition of IC given in Inversion Coefficient IC
[3], the drain current in saturation
can be written as Figure 3: The normalized current and W/L ratio versus IC for a constant G m and GBW.

IEEE SOLID-STATE CIRCUITS MAGAZINE fa l l 2 0 17 75


transistor width. Moving it further to the fixed load capacitance. Equa- thermal noise resistance R n given
toward WI does not gain much cur- tions (9a) and (9b) are plotted versus by [9]
rent and costs a lot of area [4], [5]. IC for a constant GBW (X = 0.1) in
The assumption that the load ca­­­ Figure 4(a) without accounting for Rn =
c nD
= nU T ·
c nD
, (11)
Gm I spec4 ·W/L g ms
pacitance remains constant becomes VS (m c = 0) [6], [8]. It shows that the
obviously erroneous as the transis- current accounting for self-loading where c nD _ G m R n is the noise excess
tor gets wider. Indeed, since the (l = 0.3 and l = 1) now reaches a mi­­ factor, which is slightly bias depen-
parasitic capacitance of the transis- nimum for an optimum IC given by dent for a long-channel transistor
tor is proportional to the width W, and is typically comprised between
IC opt = 2lX (1 + lX)
enlarging the transistor to move the  n/2 in WI and 2n/3 in SI. For short-
+ lX (1 + lX) (1 + 2lX) 2 .
operating point to WI makes this channel devices c nD raises quickly
parasitic capacitance contribute sig- (10) in SI due to several short-channel
nificantly to the load capacitance This is because when reducing IC effects but usually stays lower than
at the drain. This can be accounted and at the same time increasing W 3 [9]. It can be approximated as [10]
for as illustrated in Figure 2(c) by leads to an increase of C L and hence
splitting the load capacitance into a a reduction of ~ u that has to be com- c nD , 1 + a c ·IC (12)
constant part C L0 (typically includ- pensated by an increase of the current
ing the wire capacitance and the to maintain ~ u constant. The curves with a c , 0.07 [10]. Solving (11) and
capacitance of the next stage) and in Figure 4(a) are without accounting (3) for I D and W/L and normalizing
a part that scales proportionally to for VS. Figure 4(b) shows i b and AR to the desired R n results in
the transistor width W for l = 0.3 and for different values of
c nD ·IC
m c . As expected, the impact of VS is i b _ I D ·R n = , (13a)
C L = C L0 + C w ·W,(8) nU T g ms
negligible in WI whereas the required
R n ·I spec4 c nD
where C w is the self-loading capacitan­ current to achieve the same GBW in AR _ W · = .(13b)
L nU T g ms
­ e per unit width mostly due to overlap
c SI gets significantly larger with VS.
and fringing field capacitances. Equa- Notice that the optimum IC corre- The normalized bias current i b and
tions (6) and (3) can then be solved for sponding to the minimum current i b aspect ratio AR given by (13) are
i b and W/L accounting for (8), result- when VS is present is no more given plotted versus IC in Figure 5 for
ing in the following normalized cur- by (10) and cannot be solved analyti- different values of m c and a c . It
rent and aspect ratio [6]–[8]: cally, but (10) can still be used as a shows that the required current
first guess since IC opt is actually not for achieving a given R n in SI is sig-
ib _ ID · 1 = IC , (9a)
I spec4 X g ms - lX much affected by VS as shown in Fig- nificantly larger in case of a short
ure 4(b) [7], [8]. channel device where both m c and
AR _ W · 1 = 1 , (9b) a c are different than zero. Moderate
L X g ms - lX
Constant Input-Referred inversion is again a sweet spot for a
where l _ C w L/C L0 is the ratio of Thermal Noise balanced tradeoff between current
the self-loading parasitic capaci- The transconductance can also be consumption and area for achieving
tance of a square transistor (W = L) determined by the input-referred a given input-referred thermal noise.

100 100 100 100


κ = 0.3

κ=1 Ω = 0.1 Ω = 0.1 λc = 1


Normalized Bias Current ib

Normalized Bias Current ib

λc = 0 κ = 0.3
κ=0 λc = 0.3
Normalized W/L

Normalized W/L

10 10 10 10

κ=1 λc = 0
κ = 0.3 λc = 1
1 1 1 1
λc = 0.3
κ=0

λc = 0
0.1 0.1 0.1 0.1
0.01 0.1 1 10 100 0.01 0.1 1 10 100
Inversion Coefficient IC Inversion Coefficient IC
(a) (b)

Figure 4: The normalized current and W/L ratio versus IC for a constant GBW including self-loading capacitance: (a) without VS (m c = 0)
and (b) including VS.

76 fa l l 2 0 17 IEEE SOLID-STATE CIRCUITS MAGAZINE


The next section will show how is to degrade the transconductance approximation of Ft is given by
some FoMs can be used as design efficiency in SI, meaning that more [9], [11]
guidelines using IC as the main va­­ current is required to reach the same
riable to help designers choosing transconductance obtained without Ft = G m , (15)
2rC G
the appropriate region of operation VS. Nevertheless, irrespective of
for reaching their specs at the low- the channel length, G m /I D remains where C G = C Gi + C Ge is the total gate
est power. invariant (i.e., g ms /IC = 1) in WI, capacitance comprising the intrin-
since short-channel effects, includ- sic capacitance C Gi, which is linked
FoMs as Design Guidelines ing VS, have the same effect on G m to the mobile charges in the chan-
than on I D simply because G m is pro- nel, and the extrinsic capacitance
The Transconductance portional to I D in WI. C Ge = C GeW ·W, including the overlap
Efficiency G m /I D and fringing field capacitances as
The transconductance efficiency The Transit Frequency Ft shown in Figure 7, which scale with
G m /I D FoM is one of the most impor- The transit frequency Ft is defined as the transistor width W. Since both
tant performance metrics for analog the frequency at which the extrapo- G m and C Gi, are bias dependent, Ft
circuit design. It is a measure of how lated small-signal current gain of the is bias dependent too. Its variation
much transconductance is produced transistor in CS configuration falls with respect to IC is shown in Fig-
for a given bias current and is a func- to unity [9]. Ft is a widely used met- ure 8. In WI, the mobile charges are
tion of IC. The transconductance ric for characterizing the high-fre- few and the intrinsic gate capacitance
efficiency (or its inverse) appears quency behavior of a MOSFET because is negligible compared to the ex­­
in many expressions related to the many performances, such as the gain trinsic capacitance so that C G , C Ge .
power optimization of analog cir- at RF and the minimum noise factor, The bias dependence in WI is mostly
cuits. We actually already have seen are directly linked to Ft [9]. A good coming from G m. Since in WI G m ? I D
it (or the inverse) in expressions (5),
(7), and (13), derived, respectively,
for constant G m, constant ~ u, or 100 100
constant R n .
λc = 0.3, αγ = 0.07
Normalized Bias Current ib

In the normalized form, the trans-


λc = 0.3, αγ = 0
conductance efficiency is defined as

Normalized W/L
10 λc = 0, αγ = 0 10
the actual gate transconductance
obtained at a given IC with respect
to the maximum transconductance
G m = I D / (nU T ) reached in WI [7] 1 1
λc = 0.3, αγ = 0.07
λc = 0.3, αγ = 0
g ms
= G m ·nU T λc = 0, αγ = 0
IC ID 0.1 0.1
 0.01 0.1 1 10 100
(m c IC + 1) 2 + 4IC - 1 (14)
IC· 6m c · (m c IC + 1) + 2@
= . Inversion Coefficient IC

Figure 5: The normalized current and W/L ratio versus IC for a constant input-referred
The expression in (14), which is con-
thermal noise resistance R n .
tinuous from WI to SI and includes the
effect of VS, is plotted in Figure 6. The
figure shows the behavior of g ms /IC
for long-channel devices in which VS 1
is absent which scales as 1/ IC in SI 0.5
(dashed blue curve). For short-chan-
Gm . n . UT /ID

1/

nel devices subject to VS, the drain 0.2


IC /(λ CI

current becomes a linear function of


1

the gate voltage, independent of the 0.1


C)

transistor length. Hence, the trans- 0.05 Without VS


conductance becomes independent 1/λC 2
With VS 1/λC
of the current and length. Since G m 0.02
becomes independent of I D or IC, 0.001 0.01 0.1 1 10 100
the G m /I D curve scales like 1/ (m c IC) IC
in SI instead of 1/ IC when VS is
absent. In essence, the effect of VS Figure 6: g ms /i d versus IC showing the long and short channel asymptotes.

IEEE SOLID-STATE CIRCUITS MAGAZINE fa l l 2 0 17 77


between IC = 1 and IC = 1/m 2c where defined as the product of the two
CGf Ft follows the SI asymptote IC . formerly defined metrics comes into
Note that once the VS param- the picture. Combining two quanti-
eter is extracted from the G m /I D as ties that have their maxima on the
Cif C described in [3], it is therefore easy to opposite ends of the IC axis, the
ov Cof assess the peak Ft for a given technol- G m /I D ·Ft FoM [13] serves as design
CGo
ogy from Ftspec. It is also interesting guide to locate the optimum IC.
to point out that the denormalized It can be justified from the small-
Figure 7: The extrinsic gate capacitances value of the saturation value of Ft is signal voltage gain of the CS stage
made of the overlap capacitance C G O and given by [12] shown in Figure 9, which is given by
the fringing field capacitance C G f .
Ftspec WC ox v sat DVout Gm ZL ~
Ftpeak = = Av = =- ,- u,
mc 2rC G DVin 1 + ~R S C GS ~
and hence G m ? IC, Ft is therefore   (18)
C ox (17)
also proportional to IC. , v sat · ,
2rC GeW
Similarly to G m /I D, Ft can be no­­
r­ where ~ u = ~ t ·Z L /R S is the unity-
malized as shown in Figure 8 to which shows that, surprisingly, Ftpeak gain frequency and G m /C GS has been
Ftspec defined as the value of Ft on does not scale as 1/L anymore [12]. approximated by the transit frequency
the WI asymptote corresponding to This means that the only way to in­­­ ~ t . It can be shown that the noise fac-
IC = 1 [12]. In this way, the normal- crease Ftpeak is to increase C ox but tor NF, neglecting the noise of the bias
ized transit frequency ft _ Ft /Ftspec without increasing C GeW [12]. This current source, is given by
turns out to be equal to g ms, which observation could explain the recent
c nD
is given by (2). Note that Ftspec scales slow down of the peak transit fre- NF = 1 + . (19)
Gm RS
roughly as 1/L [12] quency progression witnessed in re­­
cent years. An FoM can be defined such that
WLC ox it maximizes the unity gain band-
Ftspec = Fspec ·
CG
The G m /I D ·Ft FoMs w idth ~ u while minimizing the
WLC ox
, Fspec ·  Both G m /I D and Ft are very impor- added noise NF - 1 and the bias cur-
C Ge
I spec4 tant FoMs from an analog/RF design rent I b
= , (16)
2rnU T C GeW L perspective: the former character- ~u
FoM RF _
izes the dc performance of a device (NF - 1) ·I b
G ·~
where Fspec _ 2n 0 U T / (2rL2) . while the latter characterizes its = ZL · m t , (20)
R S ·c nD Ib
As illustrated in Figure 8, in SI high-frequency performance. How-
and under VS (i.e., for 1/m 2c 1 IC ), Ft ever, as is clear from Figures 6 and 8, which is proportional to the prod-
(or ft in normalized form) saturates there exists a fundamental tradeoff uct of G m /I b and Ft . Neglecting the
to Ftspec /m c (or 1/m c in normalized between the two. Aiming for low- bias dependence of c nD, this prod-
form). When increasing the channel power operation by targeting a high uct can be expressed in terms of IC
length, i.e., for lower values of m c, the G m /I D at small values of IC invari- using the normalized G m /I D ·Ft FoM
value of Ft at which VS starts, moves ably means compromising in speed defined as [7]
to higher values and there is a region (bandwidth). This is where the FoM
g ms ·ft
fom rf _ , (21)
IC

Ft (ft ) where ft _ g m /c g is the normalized


IC transit frequency with g m _ G m /G spec
Ft spec 1 ∝
Ft peak = and c g _ C G / (WLC ox). As shown in
λC λC
Ft spec (1)

Ib
IC

RS
M1
CGS Vout Z
IC Vin L
0.01 0.1 1 1 10 1 100
λC λ2C

Figure 8: The transit frequency Ft versus IC showing the definition of Ftspec . The variables in Figure 9: The CS amplifier used for deriva-
parenthesis correspond to the normalized transit frequency. tion of the G m /I D ·Ft FoM.

78 fa l l 2 0 17 IEEE SOLID-STATE CIRCUITS MAGAZINE


Figure 10, fom rf shows a peaking
behavior [13] that makes it useful
10 for locating the optimum IC, which
λC = 0 is due to the asymptotic behavior of
λC = 1/3 two quantities that it incorporates
[7], [14]. This maximum is located
fomrf = gms/id · ft

1
∝ approximately at IC , 1/m 4c /3. What
IC 1/
∝ IC is even more interesting is that this
peak lies at the higher end of the MI
0.1 IC region for the contemporary CMOS

technologies and moves deeper into


the MI region with decreasing chan-
nel lengths, as shown in the bottom
0.01
0.01 0.1 1 10 100 plot of Figures 11 and 12. It is worth
noting that the peaking behavior of
1 1 1 this FoM is caused by the degrada-
2
λC (λC) 4/3 λC tion of G m and G m /I D in SI due to
Inversion Coefficient IC VS [14]. In the absence of VS, in SI,
G m (consequently Ft ) and G m /I D
are respectively proportional to
Figure 10: The normalized FoM fom rf (on a log scale) as a function of IC , along with I D and 1/ I D , implying that the
the WI and SI asymptotes. The peak of the FoM lies at the intersection of IC and 1/ ^ m 2c IC h FoM would simply saturate in this
asymptotes. region as shown by the blue dashed

1
1 W = 108 µm
W = 108 µm ∝1
L = 31 nm IC
L = 40 nm

gms/id

n = 1.46 1/
gms/id

n = 1.48 IC
0.1 Ispecsq = 870 nA
0.1 Ispecsq = 650 nA Ispec = 3.9 mA
Ispec = 2.1 mA
Lsat = 20.2 nm
Lsat = 19.5 nm
λc = 0.6516
λc = 0.4875
0.01 0.01

10 10
ft sat = 1/λc = 2.05 ft sat = 1/λc = 1.5

1 1
Ft spec = 128.4 GHz Ft spec = 226.6 GHz

0.1 0.1 IC
ft


ft

C
0.01 0.01 ∝I
CGeW = 640 pF/m CGeW = 670 pF/m
0.001 0.001
1 1

1/
IC
0.1 0.1 C
∝I
fomrf

fomrf

1/λc = 2.05
1/λc = 1.53
2
0.01 1/λc = 4.21 0.01
2
1/λc = 2.36
4/3 4/3
1/λc = 2.61 1/λc = 1.77
0.001 0.001
0.001 0.01 0.1 1 10 100 0.001 0.01 0.1 1 10 100
Inversion Coefficient IC Inversion Coefficient IC
Measurements BSIM6 Theory
Measurements Theory

Figure 11: g ms /i d , ft , and fom rf versus IC for a 40-nm device [12]. Figure 12: g ms /i d , ft and fom rf versus IC for a 30-nm device [12].

IEEE SOLID-STATE CIRCUITS MAGAZINE fa l l 2 0 17 79


cost of a slight increase of the tran-
This article illustrates the use the IC as the
sistor aspect ratio and area.
main design parameter to explore the various A minimum bias current can
tradeoffs faced in the design of analog circuits. be found at an IC that lies in the
middle of the MI to achieve a given
GBW product when accounting for
the self-loading capacitance at the
drain. This current can be signifi-
1.0 cantly less than the current required
to achieve t h e s a m e t r a n s c o n -
0.8 duc t a nce, GBW or input-referred
thermal noise resistance in SI, par-
at 14 GHz
ticularly under VS. Different FoMs
NFmin (dB)

0.6
are then introduced, starting with
at 10 GHz
0.4 the transconductance or current
Measurements efficiency G m /I D, which tells how
0.2 Analytical Model much transconductance is obtained
BSIM6 for a given current. G m /I D is maxi-
0.0 mum in WI and decreases as 1/ IC
0.1 1 10 100
in SI for long channel devices and as
IC
1/ (m c ·IC) for short-channel transis-
tors because of VS. Another FoM key
Figure 13: Minimum noise figure versus IC [11], [17].
to evaluate the RF performance of a
device is the transit frequency Ft . It
is shown that Ft follows a behavior
line in Figure 10 and there would be the minimum noise figure NFmin, opposite of G m /I D, namely increas-
no maximum. which gives the minimum noise ing with IC to reach the maximum
Note that this FoM was succes­ that can be achieved under proper Ftpeak in SI because of VS. It is shown
sfully used by [15] to design an impedance matching conditions, also that Ftpeak is simply inversely propor-
ultra low-power low-noise ampli- shows a minimum at the higher end tional to the VS parameter m c and
fier (LNA). of MI as shown in Figure 13 [11], [17]. that does not scale as 1/L but is sim-
Another example is used for the de­­ ply proportional to the ratio of the
Experimental Results sign of low-power oscillators. An oxide capacitance per unit area and
The three FoMs presented previ- FoM including the phase noise at a the total extrinsic gate capacitance
ously are plotted versus IC in Fig- given offset frequency, the power per unit width.
ure 11 and in Figure 12 for a 40- and consumption, and the oscillation Another FoM is introduced as the
a 30-nm RF device from a 40- and frequency can be defined. The latter product G m /I D and Ft that helps max-
28-nm bulk CMOS process, respec- has been evaluated for Pierce and imizing the GBW, while minimizing
tively [12]. Despite their simplicity cross-coupled oscillators and shows the added thermal noise at a given
and reduced number of parameters, a maximum also at the edge of MI bias current, which turns out to be
the analytical models fit the experi- and WI [18], [19]. useful for choosing the right operat-
mental data very well over almost ing point of RF circuits such as LNAs.
five decades of IC (current). The Conclusions It is shown that the G m /I D ·Ft FoM
small discrepancy for the last mea- This article illustrates the use the reaches a maximum in MI offering
surement point in SI is due to mobil- IC as the main design parameter to a good tradeoff between gain, noise
ity reduction due to the vertical explore the various tradeoffs faced and current consumption.
field [9], which is not accounted for in the design of analog circuits. It All these FoMs can be expressed
in the simple model. However, this can help the designer to select the versus IC using simple analytical
effect is accounted for in the BSIM6 most appropriate IC setting the cur- expressions that fit experimental data
compact model [16], which perfectly rent and the W/L ratio. This is illus- very well despite requiring only four
fits the measured data in Figure 11, trated by looking at the simple CS parameters: n, I spec4, L sat, and C GeW .
including at high IC. gain stage. It is shown that the same All the presented FoMs are ­favorably
transconductance, GBW, or input- compared to measurements of short-
Other FoMs referred thermal noise resistance channel devices from 40- and 28-nm
Other FoMs can be defined and ex­­ can be achieved with lower current bulk CMOS technologies and with the
pressed in terms of IC. For example, by shifting the IC toward MI at the BSIM6 compact model for the 40-nm

80 fa l l 2 0 17 IEEE SOLID-STATE CIRCUITS MAGAZINE


device, illustrating how powerful the applications,” in Proc. Radio Frequency In- numerous conference presentations
tegrated Circuits Symp., 2011, pp. 1–4.
concept of the IC can be. and advanced engineering courses.
[16] Y. S. Chauhan, S. Venugopalan, M. A.
Chalkiadaki, M. A. U. Karim, H. Agarwal, He is a member of the Swiss Acad-
S. Khandelwal, N. Paydavosi, J. P. Duarte, emy of Engineering Sciences. He
References C. C. Enz, A. M. Niknejad, and C. Hu,
[1] B. Razavi, Design of Analog CMOS Inte- “BSIM6: analog and RF compact model was a member of the IEEE Solid-State
grated Circuits, 2nd ed. Hoboken, NJ: for bulk MOSFET,” IEEE Trans. Electron Circuits Society (SSCS) Administra-
McGraw-Hill, 2017. Devices, vol. 61, no. 2, pp. 234–244, Feb.
[2] D. Binkley, Tradeoffs and Optimization in 2014. tive Committee from 2012 to 2014.
Analog CMOS Design, 1st ed. New York: [17] C. Enz, M. A. Chalkiadaki, and A. Man- He is also the chair of the IEEE SSCS
Wiley, 2008. gla, “Low-power Analog/RF circuit design
based on the inversion coefficient,” in Chapter of Switzerland.
[3] C. Enz, F. Chicco, and A. Pezzotta, “Na-
noscale MOSFET modeling: Part I,” IEEE Proc. European Solid-State Circuits Conf., Francesco Chicco received his
Solid-State Circuits Mag., vol. 9. no. 3, pp. Sept. 2015, pp. 202–208.
B.Sc. degree in physical engineer-
26–35, Summer 2017. [18] F. C h icco, A . Pezzot t a , a nd C . E n z,
[4] W. Sansen, “Minimum power in analog “Analysis of power consumption in LC ing from the Polytechnic University
amplifying blocks: Presenting a design oscillators based on the inversion coef- of Turin, Italy, in 2013 and his M.Sc.
procedure,” IEEE Solid-State Circuits Mag., ficient,” in Proc. IEEE Int. Symp. Circuits
vol. 7, no. 4, pp. 83–89, Fall 2015. and Systems Conf., May 2017, pp. 1514– degree in micro and nanotechnolo-
1517. gies for integrated systems from the
[5] C. Enz and A. Pezzotta, “Nanoscale MOS-
FET modeling for the design of low-power [19] G. Guitton, A. Mangla, M. A. Chalkiadaki,
F. Fadhuile, T. Taris, and C. Enz, “Design Polytechnic University of Turin, Italy,
analog and RF circuits,” in Proc. Int. Conf.
Mixed Design of Integrated Circuits and of ultra low-power RF oscillators based the Grenoble Institute of Technology,
Systems, June 2016, pp. 21–26. on the inversion coefficient methodol-
og y using BSIM6 model,” Int. J. Circuit France, and the Swiss Federal Insti-
[6] T. Melly, “Conception d’un émetteur-ré­­
cepteur à faible consommation intégré
Theory Appl., vol. 44, no. 2, pp. 382–397, tute of Technology (EPFL), Lausanne,
Feb. 2016.
en technologie CMOS,” Ph.D. dissertation, Switzerland, in 2015. Since 2015, he
EPFL, Dissertation 2231, 2000.
has been working toward a Ph.D.
[7] A. Mangla, M. A. Chalkiadaki, F. Fadhuile,
T. Taris, Y. Deval, and C. C. Enz, “Design About the Authors degree as a member of the IC Lab
methodology for ultra low-power ana- Christian Enz (christian.enz@epfl at EPFL. The focus of his work is on
log circuits using next generation BSIM6
MOSFET compact model,” Microelectron- .ch) received his Ph.D. degree from the analysis and design of low-power
ics J., vol. 44, no. 7, pp. 570–575, July the Sw iss Federa l Instit ute of analog and RF integrated circuits for
2013.
[8] A. Mangla, “Modeling nanoscale quasi- Technology (EPFL) in 1989. He is wireless communications.
ballistic MOS transistors,” Ph.D. dis- c u r rently a professor at EPFL, direc- Alessandro Pezzotta received
s e r t at ion , E P F L , D iss e r t at ion 6385,
2014. tor of the Institute of Microengi- his M.Sc. and Ph.D. degrees in solid-
[9] C. C. Enz and E. A. Vittoz, Charge-Based neering, and head of the IC Lab. state physics from the University of
MOS Transistor Modeling—The EKV Model Until April 2013, he was vice presi- Milano-Bicocca, Italy, in 2012 and
for Low-Power and RF IC Design, 1st ed.
Hoboken, NJ: Wiley, 2006. dent at the Swiss Center for Electron- 2015, respectively. During his Ph.D.
[10] M. A. Chalkiadaki, “Characterization ics and Microtechnology (CSEM), study, he developed a mixed-signal
and modeling of nanoscale MOSFET for
ultra-low power RF IC design,” Ph.D. Neuchâtel, Switzerland, where he CMOS front-end ASIC devoted to the gas-
dissertation, EPFL, Dissertation 7030, headed the Integrated and Wireless electron multiplier detector readout,
2016.
Systems Div ision. Before joining employed in neutron beam monitor-
[11] M . A . C h a l k i a d a k i a n d C . C . E n z ,
“R F small-signal and noise modeling C SE M , h e was a pr incipa l senior ing applications. He also participated
including parameter extraction of na-
engineer at Conexant (formerly in R&D activities regarding CMOS
noscale MOSFET from weak to strong
inversion,” IEEE Trans. Microwave The- Rockwell Semiconductor Systems), continuous-time analog filters and
ory Tech., vol. 63, no. 7, pp. 2173–2184,
Newport Beach, California, where sensor analog front ends. In 2016, he
July 2015.
[12] C. Enz and M. A. Chalkiadaki, “Nanoscale he was responsible for the modeling joined the IC Lab at the Swiss Federal
MOSFET modeling for low-power RF de- and characterization of MOS transis- Institute of Technology as a scien-
sign using the inversion coefficient,” in
Proc. Asia-Pacific Microwave Conf., Dec. tors for RF applications. His techni- tist, where he works on semiconduc-
2015, vol. 1, pp. 1–3. cal interests and expertise are in tor device modeling, especially in
[13] A. Shameli and P. Heydari, “Ultra-low pow-
the field of ultralow-power analog design methodologies based on the
er RFIC design using moderately inverted
MOSFETs: an analytical/experimental a n d R F IC desig n , wireless sen- inversion coefficient in terms of dc
study,” in Proc. Radio Frequency Integrat-
sor networks, and semiconductor characteristics, linearity, noise, and
ed Circuits Symp., 2006, p. 4.
[14] A. Mangla, C. C. Enz, and J. M. Sallese, device modeling. Together with E. RF performance, while also targeting
“Figure-of-merit for optimizing the cur- Vittoz and F. Krummenacher, he is radiation-tolerant applications. He
rent-efficiency of low-power RF circuits,”
in Proc. Int. Conf. Mixed Design of Inte- the developer of the EKV MOS tran- has published more than 20 scien-
grated Circuits and Systems, June 2011, sistor model. He is the author and tific papers.
pp. 85–89.
coauthor of more than 250 scien-
[15] T. Taris, J. Begueret, and Y. Deval, “A 60μW
LNA for 2.4 GHz wireless sensors network tific papers and has contributed to 

IEEE SOLID-STATE CIRCUITS MAGAZINE fa l l 2 0 17 81


Payam Heydari

Neutralization
Techniques for
High-Frequency
Amplifiers
An overview

Background art—footage firm, inc.

N
eutralization tech- cathode, an anode, and a grid produces through the condenser 13, upon the grid
niques to miti­gate oscillatory currents in the circuits as­­ from the anode 11 across the capacity
the oscillatory cur- so­­ciated therewith and in some cases 12.” [See Figure 1(a).]
rents/voltages in the oscillatory currents so produced The same phenomenon was later
amplifiers due to interfere with the efficient reception, observed in transistor amplifiers. For
instability goes as far back as the era amplification and detection of the sig- example, the U.S. patent 2,901,558,
of vacuum tube amplifiers. For instan nals to be received.” It then goes on by granted on 25 August 1959 to R.R.
ce, in U.S. patent 1,334,118, granted stating that “in order to compensate for Webster “relates to semiconductor
on 16 March 1920 to C.W. Rice, it was the coupling due to the natural capac- amplifier circuits and more particu-
observed that “under certain conditions, ity between the grid 10 and anode 11 larly to a method of neutralizing the
a device employing an incandescent in Figure 1(a), which is represented by effects of interelectrode capacitance
the dotted condenser 12, an electromo- in semiconductor amplifier devices.”
Digital Object Identifier 10.1109/MSSC.2017.2745858 tive force, equal and opposite to that Next, it introduces “a neutralization
Date of publication: 16 November 2017 impressed, is applied to the grid circuit circuit, where the feedback network

82 fa l l 2 0 17 IEEE SOLID-STATE CIRCUITS MAGAZINE 1943-0582/17©2017IEEE


is connected between a secondary undesired out-of-band interference, nonzero reverse transmission may
coil of the output transformer of the radio-frequency (RF) amplifiers em­­ cause stability issue in a tuned ampli-
amplifier circuit and the primary coil ploy a bandpass filter (BPF) tuned fier. To find out why this is the case,
of the input transformer of the ampli- at the RF signal’s carrier frequency. we study the input admittance of a
fier circuit.” [See Figure 1(b).] Figure 2(a) shows the general block generic tuned amplifier in Figure 2(b).
The reemergence of silicon-based diagram of an RF amplifier with a The core amplifier is represented by
millimeter (mm)-wave ICs in radio BPF load. The input/output match- its two-port model, depicted in Fig-
transceivers due to an ever-increas- ing circuits are used to facilitate ure 2(c), where the voltage across its
ing demand for bandwidth in high maximum power transfer from the input parasitic capacitance controls
data rate wireless applications has source to the amplifier’s input and a voltage-controlled current source,
recreated great interest in neutral- from the amplifier’s output to the G m Vi . The output parasitic capaci-
ization techniques in the context of load, respectively. tance C O of this amplifier is absorbed
low-noise wideband amplifier design. A simple BPF circuit is realized in the load capacitance to constitute
with a lossy LC tank circuit where an overall capacitance, C, as indi-
Tuned Amplifiers the loss is represented by the induc- cated in Figure 2(c). Solving the I-V
The baseband signal associated tors’ Q-factor (Q L = R L / (L~ 0)) . The equations for the input admittance
with a mobile user in wireless trans- core amplifier, in general, is not uni- Ydrv yields
ceivers is bandlimited. Therefore, lateral where the parasitic capacitors
the RF modulated signal has a band- of the transistor(s) contribute to non-
Ydrv ^~h = j~C I + j~C F c
YRLC + G m m
pass characteristic. To amplify this zero reverse transmission. In prac- .
YRLC + j~C F
band-pass signal, while filtering the tice, it is commonly known that this (1)

(a) (b)

Figure 1: Circuit schematics of (a) U.S. patent 1,334,118, “System for Amplification of Small Currents,” and (b) U.S. patent 2,901,558, “Tran-
sistor Amplifier Circuits.”

IEEE SOLID-STATE CIRCUITS MAGAZINE fa l l 2 0 17 83


VDD

|HBPF(ω)| VDD
L, QL C
C = CL+ CO
ω CF
L, QL CL
ωRF +
Vi
BPF – CI GmVi
Ydrv
Core Amp. Core Amp.
(a) (b) (c)

Figure 2: (a) A general block diagram of a tuned RF amplifier. (b) A general schematic of a tuned amplifier with a tank RLC load. (c) The two-
port model of an amplifier with a tuned RLC tank load.

YRLC in (1) represents the admit- the parasitics of the transistor [e.g., base-collector parasitic capacitances
tance of the RLC (resistor, capacitor, C GD in a metal–oxide–semiconduc- cause nonzero reverse transmissions.
and inductor) tank, which is expressed tor (MOS) device or C n in a bipolar Therefore, techniques that neutralize
as YRLC = G L + j (C~ - 1/L~). The sus- transistor]. If an RLC circuit (i.e., the effect of these capacitances in
ceptance associated with the feedback input-matching circuit) is present at the circuit are of great interest. To
capacitance C F appears in the second the input port, it is possible for the help the flow of this article, the fol-
term of the input admittance. The sec- energy provided by the negative input lowing discussion concentrates on
ond term has a real and an imaginary conductance of this amplifier to sup- CMOS amplifiers. However, the same
part, G in = Re [Yin] and B in = Im [Yin], ply all the energy loss associated with principle can also apply to the bipo-
which are calculated to be the loss of the matching circuit. If lar counterparts.
B F (G m + G L) + B RLC G m this happens, a lossless LC circuit will
G in = BF appear at the input port and the cir- Neutralization Techniques
G L2 + (B RLC + B F ) 2
G (G + G ) + B RLC (B RLC + B F ) cuit begins to oscillate. The feedback Neutralization techniques ­primarily
B in = L m 2 L
 B F.
G L + (B RLC + B F ) 2 capacitance thus makes the amplifier ­cancel detrimental effects, described
(2) potentially unstable. in the “Tuned Amplifiers” section,
According to (2), the susceptance Second, an inspection of B in in associated with parasitic feedback
B F of the feedback capacitan­­ce bears (2) reveals that the feedback capa­­ capacitance in an amplifier. If the
two key contributions on the con­­­ citance appears across the input design constraints allow, the most
duc­­tance and susceptance of the in­­ port as an equivalent capacitance straightforward approach is to em­­ploy
put admittance. C eq = M (~) C F . M represents a frequ­ topologies with no direct capacitive
First, we study the B F effect on ency-dependent scaling factor, which is feedback from the input to the output
the conductance, G in . The tank sus- derived to be: of the amplifier. One widely known
ceptance, B RLC , becomes negative for topology is the cascode configuration.
frequencies lower than the tank re­­ M ^~h = 1 + Gm RL , (4) As will be explained in the “Cancella-
1 + (R L C eff ~) 2
sonance frequency ~ 0 (= 1/ LC ). tion of the Capacitive Feedback” sec-
Over this frequency range, if It is noted that M essentially pre­­ tion, an RF cascode amplifier at very
sents the generalized high-frequen­ high frequencies loses its promised
CF 1 G m R L C , (3) ­cy version of Miller coefficient. The advantages. The neutra­lization tech-
G m R L + 1 eff
tank load affects the input reactance niques thus pr ov ide a powerful
where through this Miller capacitance. There- pathway for stabilizing am­­plifiers at
fore, the feedback capacitance couples high frequencies.
C eff = ;`
~ 0 j2
- 1E C, the output load back onto the ampli-
~
fier’s input admittance. The design of Cascode Topology
then the conductance G in will be­­ input matching circuit for conjugate One topology that provides isola-
come a negative quantity. The in­­ matching should thus account for this tion between the input and output,
equality in (3) is easily satisfied at parasitic reactance. thus giving rise to an uncondition-
RF and mm-wave frequencies as the Complementa r y M O S (C M O S ) ally stable amplifier, is the cascode
tank capacitance is typically much common-source or bipolar common- topology. Figure 3(a) shows the basic
larger than the feedback capacitance, emitter amplifiers are obvious exam- schematic of a tuned cascode ampli-
itself predominantly contributed by ples where the gate-drain overlap or fier. If being utilized as a low-noise

84 fa l l 2 0 17 IEEE SOLID-STATE CIRCUITS MAGAZINE


VDD

VDD VDD
RB2 L, Q

RB2 L, Q RB2 L, Q LGG


C
M2

ZP
C C P
CGD1
CP
M.N. M.N.
M1

RB1 RB1
LS

1
Re[ZP] = (1 – LGGCGS2ω 2)
VB1 VB1 gm 2
(a) (b) 1
For ω > Re[ZP] < 0
√LGGCGS2

Figure 3: (a) The general circuit schematic of a tuned cascode amplifier. The input match- Figure 4: The core cascode circuit with para-
ing (M.N.) is shown as a black box driving this amplifier. (b) The circuit schematic of a tuned sitic capacitance CP, the gate-drain capacitance
cascode amplifier with inductive degeneration to provide noise-free input matching. CGD, and the parasitic capacitance LGG included.

amplifier, inductive degeneration is


commonly employed to provide a VDD VDD
noise-free input impedance match-
ing [1], as shown in Figure 3(b). At low
RF frequencies, a cascode topology RB2 L, Q RB2 L, Q
has larger output resistance com-
pared to a common-source configu-
C C
ration, making it possible to achieve M2 M2
higher gain. In addition, the noise
CBY,1 CBY,1
contribution of the common-gate
LC
transistor to the overall noise figure
is negligible because this transis-
tor sees a large source-degenerated LP M1
M1
resistance (i.e., ro1 of the common-
CBY,2
source device [2]).
Unfortunately, all these advan-
tages will fade away at high frequ­
(a) (b)
encies toward mm-wave frequency
range where the external passives
Figure 5: An interstage inductor to lower the noise contribution of the common-gate transis-
are in the range of device parasitics. tor in a cascode stage. (a) Parallel inductor LP and (b) series inductor LC.
For instance, the overall capacitance
C P at the cascode node, shown in One way to alleviate this issue is junctions of the common-source and
Figure 4, starts lowering the overall to place a series [3] or parallel induc- common-gate transistors are shared.
impedance at this node at high fre- tor [4] to partially resonate out C P These shared drain and source junc-
quencies. This means that the noise [cf. Figure 5(a) and (b)]. The paral- tions must inevitably be separated
contribution of the common-gate de­­­ lel inductor in Figure 5(a) creates a apart if the series interstage induc-
vice to overall noise figure is no longer band-limited high impedance at the tor, L C , is to be used. This separa-
assumed to be negligible. In fact, at resonance frequency, whereas the tion of junction regions leads to
near-fmax frequencies (loosely de­­fined series inductor in Figure 5(b) cre- additional parasitics at the inductor’s
as frequencies around and above half- ates a wideband interstage filter, terminals. In addition, when consid-
fmax ), the noise figure of the cascode extending the roll-off at the cascode ering the inductor’s parasitics, the
topology may worsen the noise figure node to higher frequencies. For a CMOS usefulness of L C in extending the
by as much as 3 dB. cascode stage, the drain and source bandwidth and lowering the noise

IEEE SOLID-STATE CIRCUITS MAGAZINE fa l l 2 0 17 85


Node 1 Node 2 –∆V + +
∆V
– +
– + – ∆V – –
V1 V2 – +
CF V1 – ∆V1 +++ CF V1
Cin CF ∆V – ∆V
Cin ∆V1 = ∆V – ∆V1 ∆V1
CF + Cin CX CF
Cin

(a) (b)

Figure 6: The conceptual circuit to demonstrate the underlying idea behind the neutralization technique.

contribution of the common-gate below the resonance frequency of ing through this path destructively
transistor on the overall noise figure this inductor and the equivalent combines with the signal amplified
becomes unclear. capacitance seen from the gate ter- by the common source, as shown in
Moreover, the parasitic induc- minal, as also indicated in Figure 4. Figure 4. The effective gain of this
tance L GG of the bypass capacitor Finally, at high frequencies, the stage is thus compromised. Moreover,
and interconnects (Figure 4) con- parasitic gate-drain capacitance the real part of the output im­­pedance
nected to the gate of a cascode de­­ C GD1 of the common-source transis- of a source-degenerated c a sco de
vice can degrade the stability factor tor in a cascode configuration estab- amplifier becomes smaller than that
of the amplifier. In fact, this induc- lishes a feed-forward path from the of a common-source counterpart
tor induces a negative resistance input of this common-source stage because the intermediate node P
at the cascode node at frequencies to its output. The signal travel- becomes a short at high frequencies.
This, in turn, further lowers the cas-
code power gain.

VDD
VDD Cancellation of the
Capacitive Feedback
L, Q C
L, Q As described above, the cascode
VS topology, while providing unilateral
M.N.
gain, entails several drawbacks at
M.N. C R VS
S RB1 CB high frequencies. This notion calls
RS RB1 VBIAS for techniques that retain the origi-
VB1 nal amplifier topology and surround
VB1 the amplifier with a network which
(a) (b) cancels the feedback capacitance.
To understand the principle of
Figure 7: The use of a mutually coupled inductor for neutralization of the feedback capaci- neutralization technique, consider
tor. The neutralization is realized between (a) the drain and gate and (b) the source and gate the arrangement in Figure 6, where
of a common source amplifier. the floating and grounded capacitors
C F and C in exemplify the feedback
capacitance and the amplifier’s input
VD capacitance, respectively. A negative
CGD voltage drop at node 2 will induce a
(1–k )L (1–k )L CN negative voltage drop at node 1 due
CGD 1:1
to the presence of C F . To keep node 1
(1–k )L (1–k )L
kL CN 1:1 quiet, an opposite charge needs to be
VG created to cancel the delta-charge on
VG kL the upper and left plates of capacitors
L = LT /2 C in and C F , respectively. This can be
L = LT /2
accomplished by sampling the delta-
(a) (b)
voltage across C F and producing its
inverse with the aid of an inverting
Figure 8: The circuit models for neutralized amplifiers in Figure 7(a) and (b). The circuit
model employs a T-section model followed by ideal transformer to model the center-tapped voltage-controlled voltage source and
inductor. (a) The circuit model for the amplifier in Figure 7(a), and (b) the circuit model for the apply this delta-voltage to a capacitor
amplifier in Figure 7(b). C X [see Figure 6(b)]. Assuming equal

86 fa l l 2 0 17 IEEE SOLID-STATE CIRCUITS MAGAZINE


capacitances, C P = C X , the voltage at
node 1 will then remain quiet. VD
We use the aforementioned gen- CGD
eral principle to develop neutral- (1–k )L (1–k )L CN YL
CGD 1:1
izing networks around the main (1–k )L (1–k )L
1:1
amplifier. Noting that the transistor YL k L CN
is essentially a multiterminal com- VG
VG kL
ponent, this neutralizing network L = LT /2 L = LT /2
can be placed between the output
terminal (typically drain or collec- (a) (b)
tor) and any of the remaining two
terminals to cancel the feedback Figure 9: The circuit models for neutralized amplifiers with load admittance YL in Figure 7
parasitic capacitance. Shown in Fig- (a) and (b). (a) The circuit model for the amplifier in Figure 7(a), and (b) the circuit model for
the amplifier in Figure 7(b).
ure 7 are two examples in which the
neutralization is realized by forming
a passive feedback between drain
and gate [5], as in (a), or between the
drain and source [6], as in (b).
CN CN
In both examples, the neutraliz-
ing capacitor is realized using the
VS VS
gate-drain overlap region of an MOS
– +
device to ensure that its structure + –
resembles that of the gate-drain
overlap capacitance of the main
common-source transistor. There-
fore, they exhibit the same behavior
in the presence of process and tem-
perature variations. Also, in both
VDD
circuits, a center-tapped inductor is
employed to generate voltage pha-
sors of opposite signs at its up and L, Q L, Q
bottom terminals. Assuming an ideal
M.N. M.N.
magnetic coupling (i.e., k = 1) and – +
C C
equal loading on both sides of the CN CN
center-tapped inductor, the neutral-
VS VS
izing capacitance should be equal to
the parasitic gate-drain capacitance. + –
M.N. M.N.
However, in practice, the coupling
coefficient, k, is less than unity. k
will get closer to unity at very high
frequencies where a center-tapped ISS
one- or half-turn folded microstrip
line can produce the required induc-
tance. Figure 8(a) and (b) shows the Figure 10: Neutralization in differential amplifiers. A pair of cross-connected capacitors will
equivalent circuits, replacing mutu- neutralize the feedback parasitic capacitance.
ally coupled inductors in the cir-
cuits of Figure 7(a) and (b) with a
T-section equiv a lent model [7 ]. practice, however, the two sides between these two quantities. Using
With equal loadings, if the T-section of the center-tapped inductor a r e the eq­­­uivalent circuit in Figure 8(a)
model is excited from its two sides loaded by the unequal impedances. and (b), straightforward I-V equations
by a differential voltage, the circuit Figure 9(a) and (b) replicates Figure 8(a) reveals that DV1 - DV2 is calculated
will remain balanced for C N = C GD . and (b), while including the load admit- to be
As mentioned earlier, neutraliza- tance YL . Ideally, the voltage changes
tion occurs completely if the termi- DV1 and DV2 should have the same DV1 - DV2 =-~ 2 LC N (1 - k)
nal voltages of the center-tapped magnitude and opposite phase. Nev- N 
# V e j (+NV -+DV ) (5)
inductor r em a in dif fer ential. In ertheless, YL creates phase mismatch DV

IEEE SOLID-STATE CIRCUITS MAGAZINE fa l l 2 0 17 87


VDD = 1.8 V

L Ls = 100 pH
1 : 1.95 CL CBY
L = 320 pH
LGG = 450 pH
RL = 50 Ω
(W/L)1 = 28.8 µm/180 nm
CBY LGG 0.89 : 1
(W/L)2 = 28.8 µm/180 nm
Ideal CL = 19.4 fF
RS = 50 Ω RB1 Transformer
LS RB1 = 1 MΩ
All Q’s = 12
Ideal VB1 = 0.9 V
Transformer
(a)

VDD = 1.8 V

LT Ls = 100 pH
LT = 640 pH
1 : 1.2 CL CBY
VS LGG = 810 pH
CBY LGG 1.3 : 1
(W/L)1 = 28.8 µm/180 nm
RL = 50 Ω
RB1 CL = 46.5 fF
RS = 50 Ω LS
RB1 = 1 MΩ
Ideal All Q’s = 12
Ideal Transformer
VB1
Transformer
(b)

Figure 11: (a) The circuit schematic of a cascode amplifier with inductive degeneration designed and simulated in a CMOS 180-nm process.
(b) The circuit schematic of a CGD -neutralized common-source amplifier. Both amplifiers have been designed to operate at a 28-GHz center
frequency. All component values have been included.

and see the equation at the bottom center-tapped inductor are perfectly between the input and the com-
of the page where G eq and B eq are coupled (k = 1) or 2) for partially mon terminal, forcing them to be
the conductance and susceptance coupled inductors (k 1 1) and when in opposite phase. This means that
of t he equiv a lent a d m i t t a n c e there is no mismatch between the ideally the transconductance will
Yeq (= YL + j~C GD f o r F i g u r e 9 (a ), loadings of two sides. be boosted by 6 dB [6]. However,
= YL + j~C N for Figure 9(b), respec- For the circuit of Figure 7(a), this g m-boosting is mainly used to
tively. Equation (5) verifies that, the neutralizing network is placed compensate for the resistive source
for two important special cases, between the input and output ter- degeneration associated with the
the gain and phase offset between minals. The inductor would also be loss of the center-tapped inductor.
DV1 and DV2 is zero, namely, 1) part of the output tank circuit. On One additional drawback regard-
for any arbitrary load admittance, the other hand, the center-tapped ing the neutralized amplifier in Fig-
YL, and when the two sides of the inductor in Fig ure 7(b) is placed ure 7(b) is that the presence of large
bypass capacitance contributes to
significant phase error between the
two terminals of the inductor. This
~L ^k + 1h G eq makes the design of a perfect neu-
\N V - \D V = tan -1 - tan -1
2 - ~L ^k + 1h^~C N + B eqh tralizing network extremely chal-
~L 61 - ~ 2 LC N ^1 - k 2h@ G eq lenging as this phase error needs to
1 - ~ LC N - ~L 61 - ~ 2 LC N ^1 - k 2h@ B eq
# 2
be accounted for during the design
R V1/2 of the center tapped inductor.
NV S ^2 - ~L ^k + 1 h^~C N + B eqhh2 + (~L (k + 1) G eq) 2 W On the other hand, neutralization
=S W
DV 1 2 2
Se - ~ LC N - ~L
o + ^~L 61 - ~ 2 LC N ^1 - k 2h@^G eqh ) W
2 in differential amplifiers can be done
S 61 - ~ 2 LC N ^1 - k 2h@ B eq W
SS WW with no explicit use of inductors. In
T X fact, the output terminals of opposite
polarity readily exist in a differential

88 fa l l 2 0 17 IEEE SOLID-STATE CIRCUITS MAGAZINE


topology. Figure 10 shows the core
The general concept of amplifier neutralization
differential circuit incorporating the
capacitive neutralization technique. to overcome amplifier instability has been
Capacitive neutralization of differ- around for many years, dating back to the
ential amplifiers is a wideband tech-
nique that insures the stability of the
early part of the 20th century.
amplifier. Conse­q uently, differen-
tial front-end mm-wave po­­wer ampli- frequ­ency is close to fmax of the device common-source amplifier, in a 180-nm
fiers on the transmitter side and in this process, which is around 55 GHz, CMOS process and for carrier frequency
low-noise amplifiers on the receiver the common-gate transistor contri­ of 28 GHz were presented. The common-
side use this technique to achieve butes considerably to the overall source amplifier shows higher power
wideband performance improve- noise figure. The noise figure of the cas- gain and lower noise figure compared to
ment. In addition, this technique can code amplifier is higher than that of the the cascode amplifier, as was anticipated
be used at near-fmax frequencies to neutralized common-source ampli- from the study of this article.
boost the amplifier’s power gain. fier, which is also verified with noise fig-
One important observation is that, ure simulation of these two stages [see Acknowledgments
besides stabilizing the amplifier, neu- Figure 12(b)]. This work was supported in part by
tralization essentially increases the a grant from the Samsung Advanced
maximum allowable matching band- Conclusions Institute of Technology (SAIT) Global
width of the amplifier, predicted by The general concept of amplifier Research Outreach (GRO) Program
the Bode–Fano limit [1]. This notion neutralization to overcome amplifier and NSF Award ECCS-1611575.
will be used in wideband RF or mm- instability has been around for many
wave applications. years, dating back to the early part of the References
[1] T. H. Lee, Planar Microwave Engineering:
For a better performance comparison, 20th century. This article provided an A Practical Guide to Theory, Measurement,
consider two amplifiers in the same overview of neutralization techniques and Circuits. Cambridge, U.K. Cambridge
Univ. Press, 2004.
180 -n m CMOS pr o cess, bot h de­­ for high frequency amplifiers. First, the [2] B. Razavi, Design of Analog CMOS Inte-
signed to operate at 28-GHz center fre- problem of instability in non-unilateral grated Circuits, 2nd ed. New York: Mc-
Graw-Hill, 2016.
quency. In Figure 11, (a) shows a source amplifiers driving tuned RLC tank cir- [3] P. Heydari, “Design and analysis of a per-
degenerated cascode amplifier, and (b) cuits was studied. This discussion was formance-optimized CMOS UWB distrib-
uted LNA,” IEEE J. Solid-State Circuits, vol.
indicates a common-source stage with followed by revisiting RF cascode ampli- 42, no. 9, pp. 1892–1905, Sept. 2007.
neutralization. For the sake of simplicity, fiers. The issues associated with cas- [4] M. Zargari, M. Terrovitis, S. H. M. Jen, B. J.
Kaczynski, M. Lee, M. P. Mack, S. S. Mehta,
the input and output matching circuits code topology at high frequencies were S. Mendis, K. Onodera, H. Samavati, W.
are realized with transformers. From briefly explained, which paved the way W. Si, K. Singh, A. Tabatabaei, D. Weber,
D. K. Su, and B. A. Wooley, “A single-chip
the simulated gain plots indicated in for introducing the neutralization tech- dual-band tri-mode CMOS transceiver
Figure 12(a), the neutralized common- niques in both single-ended and differ- for IEEE 802.11a/b/g wireless LAN,” IEEE
J. Solid-State Circuits, vol. 39, no. 12, pp.
source stage exhibits higher peak gain ential high-frequency amplifiers. Two 2239–2249, Dec. 2004.
and wider frequency response, which examples, a cascode amplifier with [5] V. Jain, S. Sundararaman, and P. Heydari,
“A CMOS 22-29GHz receiver front-end for
is to be expected. Since the operation source degeneration and a neutralized UWB automotive pulse-radars,” in Proc.
IEEE Custom Integrated Circuits Conf.,
Sept. 2007, pp. 757–760.
[6] A. Shameli and P. Heydari, “A novel ultra-
low power (ULP) low noise amplifier using
Cascode Neutralized differential inductor feedback,” in Proc.
32nd European Solid-State Circuits Conf.,
6 6.5 Sept. 2006, pp. 352–355.
[7] K. K. Clarke and D. T. Hess, Communica-
5.5 6 tion Circuits: Analysis and Design, 2nd ed.
5 5.5 Florida: Krieger Publishing, 1994.
Gain (dB)

Gain (dB)

4.5
5
4
4.5
About the Authors
3.5 Payam Heydari is a full professor of
4
3 electrical engineering at the Univer-
2.5 3.5
sity of California, Irvine. He is noted
2 3 for his pioneering work on silicon-
2.6 2.7 2.8 2.9 3 2.6 2.7 2.8 2.9 3
Frequency (Hz) × 1010 Frequency (Hz) × 1010 based millimeter-wave and terahertz
(a) (b) IC design. He is the author or coauthor
of two books, one book chapter, and
Figure 12: (a) Simulated gain versus frequency. (b) Simulated noise figure versus frequency more than 130 journal and conference
for both cascode and common-source amplifiers in Figure 11(a) and (b). papers. He is a Fellow of the IEEE.

IEEE SOLID-STATE CIRCUITS MAGAZINE fa l l 2 0 17 89


Long Yan and Joonsung Bae

Challenges
of Physiological
Signal Measurements
Using Electrodes

image licensed by ingram publishing

Fundamentals to understand the instrumentation

W
ith an aging society and sedentary but comfortable solutions to improve the efficiency of
lifestyles around the world, the their health care. Recently, advances in miniaturized bio-
cost of health care becomes a signif- sensor and data analysis have accelerated the health-care
icant challenge. People continuously paradigm shift toward proactively managing wellness and
seek new technologies for affordable changing personal lifestyle. It is easy to find accessories
in various forms that integrate miniature and low-power
Digital Object Identifier 10.1109/MSSC.2017.2745860 biosensors continuously and unobtrusively sensing, pro-
Date of publication: 16 November 2017 cessing, and transferring our physiological data.

90 fa l l 2 0 17 IEEE SOLID-STATE CIRCUITS MAGAZINE 1943-0582/17©2017IEEE


Electrodes are one of the most respectively [1]. Notice that their at the electrode-electrolyte interface
widely utilized transducers for physi- bandwidths are located in the low fre- [2]. The impedance with C epi, R epi,
ological signal measurement. They quency under 1 kHz, and the signal and R D is the representation of the
convert ionic information inside the strength is extremely small. Given skin and its equivalent circuit model.
human body into electrical voltage sig- the signal bandwidth and amplitude, The circuit model parameters large­
nals. In general, a dedicated readout a good circuit designer needs to de­­ ­ly depend on the electrode material,
circuit is required to amplify weak elec- sign a biopotential readout circuit tol- area, skin condition, invasiveness,
trical signals from electrodes buried erable to a large degree of unwanted and pressure of electrode contact. A
by unwanted noise signals. This article noise signal such as 50/60-Hz inter- typical wet electrode with Ag/AgCl
introduces fundamentals on physi- ference, flicker noise, and dc offset of and hydrogel is widely used in clini-
ological signal measurements using the amplifier. Biopotential electrodes, cal practice due to its low polariza-
electrodes helping readers to under- as the first component of a signal tion voltage (0.22 V), low impedance
stand instrumentation challenges. acquisition chain, play an important (51 kΩ in parallel to 47 nF), and low
role in biopotential measurements as baseline drift (0.13 mV at room tem-
Understanding the Challenges the characteristics of the electrode-tis- perature). Dry contact electrodes,
of Electrode Interfaces sue interface can be a limiting factor however, eliminate the use of gel
of system performance. The proper at the cost of a significant higher
Electrode Interfaces topology of a readout circuit and impedance (high resistance and small
Figure 1 shows a biopotential sig- the minimum required performance, capacitance), which requires further
nals acquisition system with an elec- such as, noise, common-mode rejec- efforts for amplifier design.
trode interface. Electrocardiogram tion ratio (CMRR), input impedance,
(ECG), electromyograph (EMG), and and power consumption are usually Electrode Configuration
electroencephalogram (EEG) are the derived from this interface. Practical electrode applications for
most widely monitored physiologi- The electrode-tissue interface the biopotential signal measure-
cal signals that represent activity can be modeled w ith electrode- ments are shown in Figure 2. To pick
of the hear t, muscle, a nd brain, tissue impedance and polarization up signals from the body, a pair of elec-
res­­pectively. In general, the signal voltage. C elec and R elec represent the trodes, E1 and E2, is used. Z E1 and Z E2
(bandwidth and amplitude) char- impedance related with the electrode- represent contact impedance of the
acteristics of the ECG, EMG, and electrolyte interface, and R Gel is electrodes E1 and E2, and V1 and V2
E EG a r e in t he r a nge of 0.05 – the resistance of the electrolyte (gel) are voltage-converted signals through
250 Hz/0.5–4 mV, 20–1,000 Hz/0.1– solution. The half-cell potential, VH, the electrodes. Suppose that a differ-
5 mV, a n d 0.5-150 Hz/5-300 nV , is due to the built-up charge gradients ential amplifier powered by a battery

EEG Electrode System

ECG
Celec Relec
Electrode
RGel
Gel
EMG
Stratum Corneum Half-Cell AMP
Epidermis VH
Potential

Cepi Repi
EEG: 5–300 µ V Subcutaneous
0.5–150 Hz Layers
Dermis RD
ECG: 0.5–4 mV
0.05–250 Hz
EMG: 0.1–5 mV
20–1,000 Hz
ex. Ag/AgCl
Relec = 51 kΩ /Celec = 47 nF/VH = 0.22 V

FIGURE 1: A biopotential signals acquisition system with an electrode interface.

IEEE SOLID-STATE CIRCUITS MAGAZINE fa l l 2 0 17 91


Displacement Current (Id)
from Mains
Id = 1µA
V1 Meas. V1
E1 ZE1 + + ZE1 +
Electrode E1 Vout = AV × (V1–VBIAS)
V2 +
E2 ZE2 – 3V ZE2 –
Ref./Bias E2
– Electrode Id V VBIAS Sensitive to
VCM = Id × Zg VBIAS
= 26 V Battery GND
VCM = VDM
= Id × ZE
Zg = 100 pF Ground
Coupling (Zg) –
Earth GND
(a) (b)

Meas. V1 Meas. V1
Electrode E1 ZE1 + Electrode E1 ZE1 +
V2 Vout = AV × (V1–V2) V2
Ref. E2 – Ref.
ZE2 Electrode E2 ZE2 –
Electrode
E3 Insensitive to (V1+V2)/2
Bias VBIAS VBIAS
ZE3 V
Electrode RLD E3
Id VCM = Id × ZE Electrode ZE3 A
VCM = Id × ZE /(1+A)
VDM = V1 – V2 VDM = V1 – V2
(c) (d)

FIGURE 2: Electrode usage for biopotential signal measurements. (a) A common-mode signal, (b) unipolar electrode readout, and (c) bipolar
electrode readout, and (d) common-mode feedback electrode readout.

is used to amplify signals (V1-V2) . well-defined bias voltage to the sub- A, the effective impedance of E3 signifi-
The question is, “Can we measure ject not only provides a low imped- cantly gets reduced. In practical ECG
reliable biopotential signal with ance path between the subject and the recording, this scheme is known as
such electrode configuration shown battery ground but also eventually driven-right-leg to suppress 50/60 Hz
in Figure 2(a)?” Before answering, we lowers the common-mode voltage of interference [3].
need to figure out the common-mode the body. Figure 2(b) shows a unipo-
potential (VCM) of the human body. lar electrode readout configuration, Challenges of Biopotential Readout
The human subject is always exposed where E1 is a measurement electrode As previously mentioned, electrodes
to 50/60-Hz environments, which and E2 is a bias electrode. Therefore, may develop dc polarization voltage
means that there is a displacement any signals on E1 will be amplified. It across the electrode interface. Some-
current (I d) coupling with a few nA is, however, susceptible to the noise times, this polarization voltage in the
to several nA, depending on the sur- and interference since the com- electrode system brings up signifi-
roundings. For instance, the body is mon-mode and differential-mode cant challenge. Figure 3(a) addresses
coupled (100 pF) to the earth ground, voltages are identical. Figure 2(c) the dc polarization issue, assuming
where 1 nA displacement currents impr oves the readout configura- that each electrode has 300-mV polar-
are flowing. This implies the value of tion by separating the bias electrode ization voltage. Suppose that subject
VCM is as high as 26 V from the earth using another electrode, E3. In this is biased with 1.5 V, and the bipolar
ground. Furthermore, the VCM might bipolar electrode readout, the VCM is electrode readout is configured. In the
change with respect to the coupling determined by the impedance of E3, worst case, the bias voltage through
strength between earth ground and whereas the common-mode noise E3 can be either 1.8 or 1.2 V. And E1
battery ground, resulting in the read- will be mitigated by the differen- and E2 may also introduce polariza-
out amplifier easily missing the signal tial measurement from E1 and E2. tion voltage on top of this. This may
due to its limited dynamic range. Common-mode feedback shown in change the common-mode signal on
To secure the biopotential signal Figure 2(d) can be applied to further E1 or E2 either down to 0.9 V or up
always within the dynamic range of reduce the level of VCM from E3. The to 2.1 V. Considering that biopotential
the readout amplifier, one must form principle is that common-mode sig- signals of near dc are only a few nVs,
a closed-loop circuit to the subject by nals from E1 and E2 are extracted by a huge dynamic range must be guar-
proper subject biasing. Exerting the averaging V1 and V2. With a gain of anteed by readout circuits.

92 fa l l 2 0 17 IEEE SOLID-STATE CIRCUITS MAGAZINE


The second challenge is about the
large impedance of the electrode as
shown in Figure 3(b). For example, ∆V1
Bias + +
a dry electrode has large Rc, as high ∆V3 ∆V1, ∆V2 E1 +–
Voltage
as several MXs. Such large electrode +– – 3V
2.1 V E2
impedance may attenuate the bio- 1.8 V ∆V2
potential signal before amplification 1.5 V E3 + 1.5 V – –
1.5 V
due to limited input impedance (R in) 1.5 V +– V
of the amplifier. More seriously, a 1.2 V ∆V3
0.9 V
low-frequency biopotential signal near
dc is prone to be distorted since
the capacitance Cc reduces the elec- (a)
Rin
trode impedance as the frequency Gain from
CC Subject to Amp RS + Rin
increases. Unless R in is high enough,
the phase shift of the low-frequency Skin Rs
VH Gain Rin
signal can be pronounced [4]. Frequency
Rc Rin RS + RC + Rin
The third challenge [Figure 3(c)]
1 1
is associated with the impedance
RCCC RC ||(RS + Rin)CC
mismatch between electrodes E1 and (b)
E2. Even if the CMRR of the ampli-
VCM = Id × ZE3
fier itself is infinite, the VCM on the Zin ZIN
subject can be converted into the V+ V+ = VCM ×
Meas. Electrode E1 ZE1 + ZIN + ZE1
differential signal provided that the V– ZIN
impedance mismatch between E1 Ref. Electrode E2 ZE2 – V– = VCM ×
ZIN + ZE2
and E2 (Z E1-Z E2) is comparable to the
E3 Zin
input impedance (Z IN) of the ampli- V+ – V– ZE1 – ZE2
Bias Electrode ZE3 V CMRR = =
fier. This is another important rea- VCM ZIN
son why the (common-mode) input Id
impedance of the amplifier must be
high enough. (c)
The last, but not least, motion arti-
fact is emphasized in Figure 3(d). ZIN
ZE + ∆ZE
In the steady state of the electrode ∆VH
VH
interface, the electrolyte balances the Iin
Skin

Gain
charge at the electrode-tissue inter- ZE
face. Once the subject moves, the VH
charge balance is disturbed, trans-
ZIN
lating the change of the charge into
the contact impedance Z E and half-
∆Vout = Gain × (∆VH + VH × ∆ZE /ZIN + ∆ZE × Iin)
cell potential VH . The change of VH
directly affects the input voltage sig-
nal, and the change of Z E modulates ac Coupling Minimize
or Large Zin Input Current
the VH building-up motion potential
signal, leading to the baseline signal (d)
fluctuation. Especially when it comes
to the dry electrode, the motion arti- FIGURE 3: Electrode interface challenges: (a) dc polarization voltage, (b) amplitude attenua-
fact is much more severe since there tion, (c) CMRR degradation due to electrode impedance mismatch, and (d) motion artifact.
is lack of electrolyte at the elec-
trode interface. the high-input impedance amplifier high input impedance, low noise with
In summary, it is important to to support high electrode impedance low power consumption. The circuit
understand 1) the existence of the and its mismatch, and 4) the motion designer has to be able to trade those
large common-mode signal on the artifact due to the change of the elec- performance parameters off with
subject through the displacement cur- trode interface in motion. With these res­­pect to the application require-
rent and bias electrode, 2) the large challenges in mind, the readout cir- ments. According to the applications,
dc offset signal due to the electrode cuit design must focus on achieving the minimum requirements for the
polarization, 3) the requirements of high CMRR, large dc filtering range, readout circuits can vary as shown in

IEEE SOLID-STATE CIRCUITS MAGAZINE fa l l 2 0 17 93


Table 1. The Minimum
Table 1. For ECG signal acquisition from Instrumentation Amplifier
requirements for ECG and EEG. the chest, 60-dB CMRR might be suf- For the biopotential acquisition sys-
ficient while EEG signal measurement tem, the first circuitry to interface
Parameters ECG EEG
from the head needs more than 100-dB with the electrode is an instrumenta-
CMRR 60 dB 110 dB CMRR because the EEG signal is much tion amplifier (IA). Then the ampli-
Noise 5 nVrms 0.5 nVrms weaker than the ECG and uses a much fied and conditioned signal is applied
smaller electrode. For the same rea- to an analog-to-digital converter (ADC)
Input 10 MX 100 MX
impendance son, low noise with high input imped- for further-stage digital signal pro-
ance readout circuits are required for cessing. Figure 4 compares two ap­­­­­
dc headroom ±300 mV ±50 mV
EEG application. proaches to constitute the combination
of an IA and an ADC. The first approach
(a) employs a dc-coupled low-gain IA
followed by a high-resolution ADC.
Electrode Owing to a large dc offset from the
Electrode

electrode, the maximum gain of the


HPF
AMP ADC AMP ADC
IA is usually limited not to make the
< x10 > 16 bit > x100 10–14 bit IA saturated to the supply voltage. For
At Low-Gain High- At High-Gain Low- a high signal-to-noise ratio (SNR), the
Electrode Amplified Res. ADC Electrode Amplified Res. ADC
low-gain IA is compensated by the
high resolution of the ADC to resolve
SNR
the small analog signal in a fine scale.
Amplitude

Amplitude

On the other hand, another approach


SNR ADC [Figure 4(b)] filters out a large dc off-
Noise set signal with a high-pass filter (HPF)
Signal Chain Signal Chain
at inputs. Then the gain of the dc-free
IA need not be limited and is able to
FIGURE 4: Readout system approaches. be high, enabling the system to adopt
a low- resolution ADC for the same
SNR as the dc-coupled system [Fig-
ure 4(a)]. As you can easily figure out,
+ the approach in (a) is much simpler at
V1
A1 R2 R3 the cost of higher power consumption
– as it needs low-noise delta-sigma ADC
R1 – with wide bandwidth but low-noise
A3 IA. It preserves challenge at the elec-
RG

VOUT
+ trode handing it over to the ADC. On
R1
the contrary, the system in [Figure

A2 R2 R3 VREF 4(b)] eliminates dc challenges at the
V2 + IA stage, allowing for a high-gain IA
followed by a successive approxima-
Parameters First Stage Second Stage tion register ADC, which makes it
Differential Gain 1+2R1/RG R3/R2 much more power efficient. None-
Common-Mode Gain 1 σR × R3/R2 theless, since the HPF requires a
very high time constant, it is rather
(a)
Noise RTI R area consuming and slow to respond
2 to the abrupt potential change at
C + C2 + Cp a 2
C2
a 1 × VOTA V1 C1 the electrodes.
C1

Cp VOUT Classical 3-Op-Amp
CMRR + ­Instrumentation Amplifier
1
Figure 5(a) represents a dc-coupled
σC21 + σC22 + σC2p V2 C1 C2 VREF classical three-op-amp IA that has
been widely adopted in commercial
R
(b) products. It consists of two-stage
amplifiers with resistive feedback.
FIGURE 5: A popular IA architecture: (a) classical 3-op-amp IA and (b) capacitive IA. The first stage has balanced and high

94 fa l l 2 0 17 IEEE SOLID-STATE CIRCUITS MAGAZINE


input impedance with a differen- Current-Balanced buffer and R2. The input differential
tial gain of (1+2R1/RG) and common Instrumentation Amplifier voltage is converted into current IIN at
mode gain of one. Meanwhile, the Figure 6(a) shows another class of IA the TC stage, and the output voltage is
second-stage amplifier is a differ- topology [6] using resistors for defining generated at the TI stage with the cur-
ential to single-ended converter with the gain to refine the 3-Op-amp IA. It is rent flow through R2. Therefore, the
a differential gain of (R3/R2). Notice referred to as current-balanced IA (CBIA) differential gain is R2/R1, whereas the
that the common-mode gain is pro- since it works in the current domain. common-mode gain is defined by the
portional to the resistor mismatch. It comprises a transconductance (TC) mismatch of the gain at the input buffers,
The CMRR is then mismatch depen- stage with input buffer and R1 and a making CMRR buffer-mismatch depen-
dent, and the matching between R2 transimpedance (TI) stage with output dent rather than resistor-­ m ismatch
and R3 is of significant importance.
Increasing the gain of the first stage
not only helps to improve CMRR but Table 2. A Comparison of classical 3-Op-amp IA and Capacitive IA.
also has an effect to reduce the total SPEC 3-Op-amp IA Capacitive IA
noise of the circuit as the noise of the
Gain (1+2R/RG) C1/C2
second stage is scaled by the first
stage gain when it refers to the input. CMRR 1/vR 1/vC
It is worth noting that increasing the Noise RTI V2R +V2Thermal + V2Flicker b × (V2Thermal + V2Flicker)
first-stage gain is not as easy as the
Input impedance 1/j~Cp 1/j~C1
dc-coupled input contains large dc
offset voltage. Power 3 × Pop-amp POTA
dc headroom VDD/gain VDD
Capacitive IA Input CM range < VDD VDD
To circumvent the dc offset issue,
Figure 5(b) introduces an ac-coupled
capacitive IA (popular for implant
applications where low power con-
Transconductance (TC) Transimpedance (TI)
sumption is the primary concern [5]). It
is composed of an operational trans- CP IIN IIN
conductance amplifier (OTA) with ca­­ VIN+ ×1 ×1 VO+
pacitive feedback, defining the gain
R1

R2

of IA (C2/C1). The great merit of this Gain = R2/R1


IA is that C1 completely blocks any dc
voltage (electrode polarization volt- VIN– ×1 ×1 VO–
CP IIN
age) at inputs, achieving maximum
rail-to-rail dc headroom. The feedback
Gain Input Noise RTI Dynamic
resistor, R, plays a role in biasing the CMRR
(AV) Impedance (Thermal + 1/f) Range
high impedance input nodes and
2 2 2
determining high-pass corner fre- R2/R1 1/σBUF 1/CP 2 × VBUF +VR1 +VR2/AV IIN × R1
quency with C2. A single OTA, which
(a)
drives only high-impedance capaci- IIN + IDC+
tive nodes, means that it can be IIN
VIN+ ×1 ×1 VO+
designed with extremely low power
consumption. C1 needs to be high IIN
R1

R2

enough to mitigate the noise elevation


by parasitic capacitance Cp, consid- VIN– ×1 ×1 VO–
ering the required input impedance. IDC+
IIN + IDC–
The CMRR is capacitor mismatch
fCHOP fCHOP
dependent. Table 2 summarizes and IDC–
compares the performance of two
VDC, Electrode = IDC × R1 dc
popular IA architectures. While the Extraction
3-Op-amp IA has high input imped- dc Servo Loop (DSL) Cancels Out
ance, the capacitive IA has advantages dc Offset Current Flowing Through R1
in dc headroom, low power consump- (b)
tion, and rail-to-rail input common-
mode range. FIGURE 6: Current-balanced IA: (a) CBIA topology and (b) CBIA with chopping and dc servo.

IEEE SOLID-STATE CIRCUITS MAGAZINE fa l l 2 0 17 95


When designing readout circuits for physiological the dc component of the input signal
can be properly removed. When the
signal measurement, one needs to understand negative feedback loop contains an
that applications define the required signal extremely large time-constant, low-
pass filter, the overall response ends
quality and the circuit architecture. up with high-pass filter characteristics
near dc once the loop settles.
dependent. High input impedance is ing a compensation circuit technique, Instead of a dc servo loop, a pas-
easy to achieve (usually limited by the which is addressed in Figure 6(b) [6]. sive HPF can be utilized before the IA.
parasitic capacitance, Cp of the input With the help of chopping, not only Fig­­­­u re 7 presents the circuit im­­­­
buffer). Moreover, the noise is mainly can mismatches of input buffers plementation for ac-coupling input
limited by buffers and R1. One of the be compensated but also significant to the IA with a cutoff frequency
design tradeoffs is between power improvements in low-frequency noise of 1/2rRC. The classical HPF suf-
consumption and noise. To achieve (1/f) can be achieved. fers from finite common-mode input
large dynamic range at the input impedance that may degrade the CMRR.
stage, either large currents through High-Pass Filter Implementation Alternatively, the floating HPF has very
the resistor (meaning more power A chopper amplifier is basically a dc- large common-mode input impedance
consumption) or a large resistor (mean- coupled amplifier that won’t be able to by eliminating resistors to ground
ing more noise) is required. It is, achieve input dc blocking. Figure 6(b) while still having the same cutoff fre-
however, superior to the 3-op-amp IA shows the concept of the dc servo quency. Notice that the floating HPF is
from power and CMRR (nothing to do loop having the same effect as an ac- very useful to sustain high CMRR of
with mismatch of the resistors) per- coupled input for a chopper amplifier. the system at the cost of using several
spective. Still, other performances, The purpose of the dc servo loop is to discrete pas­sive components.
such as offset, 1/f noise, and CMRR, sense the output dc level and regulate
need to be further improved by apply- the input of the amplifier such that Bioimpedance Measurement
Electrical current excitation and volt-
age signal readout (or vice versa)
through electrodes lead bioimped-
R ance measurement possible. This is
C C
VIN+ VIN+ an emerging research area that has
R R received more and more attention as
R2/R1 VO R2/R1 VO bioimpedance is an important param-
R R
VIN– VIN– eter to analyze body composition.
C R C One way to implement a current signal
is to utilize a voltage source through
Classical HPF Floating HPF
electrodes (voltage excitation), and
the other method is to directly inject
CM Input Impedance = R CM Input Impedance = ∞
a current signal through the current
High-Pass Cut Off = 1/2πRC High-Pass Cut Off = 1/2πRC
source (current excitation), as shown
in Figure 8. For the voltage excitation,
FIGURE 7: A passive high-pass filter.
the electrode impedance Z T is added
to the bioimpedance Z BIO leading the
current through the tissue (I BIO) con-
ISOURCE tains error. Alternatively, the current
IBIO ZT IBIO ZT
excitation is more accurate as the
amount of current through the tis-
ZBIO V ZBIO
sue (I BIO) identical to the I SOURCE/SINK .
However, voltage across electrode im­­
ZT ZT
pedance challenges current source
design, and usually high supply volt-
IBIO IBIO ISINK
age is required to overcome this.
A bipolar or tetrapolar electrode
Voltage Excitation Current Excitation
system is utilized to measure elec-
IBIO = V/(2 × ZT + ZBIO) IBIO = IEXCITED trode-tissue impedance (ETI) and
bioimpedance (Bio-Z), respectively.
FIGURE 8: Voltage versus current excitation. As shown in Figure 9, the ETI can be

96 fa l l 2 0 17 IEEE SOLID-STATE CIRCUITS MAGAZINE


diac signals,” IEEE Trans. Biomed. Circuits
Syst., vol. 7, no. 6, pp. 785–795, Dec. 2013.
ZI
ISOURCE/SINK
ISOURCE
ZV About the Authors
Z1 + Long Yan (yanlong.ee@gmail.com)
+ ZBIO Gain Vout received the B.S., M.S., and Ph.D.
Gain Vout –
I (0°) degrees from the Korea Advanced
– ZV
Institute of Science and Technology, in
Z2 I (180°) ZI
2007, 2009, and 2011, respectively. In
ISINK
2010, he was with the Massachusetts
Vout = I × (Z1 + Z2) × Gain Vout = I × ZBIO × Gain Institute of Technology Microsystems
ETI Bio-Z Technology Laboratory as a visiting
student, where he developed a low-
FIGURE 9: ETI versus Bio-Z measurements. power EEG readout front-end. From
2011 to 2014, he was with IMEC, Bel-
gium, as a senior scientist. His research
obtained by measuring the voltage electrode interface is as important at IMEC focused on the development
between two electrodes using current as the circuit design since it is first of low-power mixed-­ signal circuits
source ISOURCE and ISINK. One of the pur- and an integral part of the readout for wearable and im­­­ plantable appli-
poses of measuring the ETI is to sup- circuits. It is also necessary to be cations. In December 2014, he joined
press the motion artifact as ETI highly aware of signal aggressors so that Samsung Electronics, Korea, where he
correlates to the electrode impedance they can be removed properly. The is now leading analog interface circuit
mismatch depicted in Figure 3(d). readout circuits are part of the over- developments for nex-generation bio-
In practice, the ETI and biopotential all system; therefore, various chal- sensors. He is a Senior Member of the
signal are monitored simultaneously lenges and issues can be addressed IEEE and serves on the Technical Pro-
and their correlation is extracted to in other parts of the system. gram Committee of the International
get an artifact-free signal through an Solid-State Circuits Conference.
adaptive filtering [7]. The Bio-Z, on the References Joonsung Bae (baej@kangwon
[1] J. G. Webster, Medical Instrumentation:
other hand, utilizes tetrapolar elec- Application and Design, 2nd ed. Boston,
.ac.kr) received the B.S., M.S., and
trodes configuration (two for current MA: Houghton Mifflin, 1992. Ph.D. degrees in electrical engineering
[2] Y. M. Chi, T. P. Jung, and G. Cauwenbergh-
injection and two for voltage readout) si, “Dry-contact and noncontact biopoten-
from the Korea Advanced Institute of
to mitigate the effects of the ETI by tial electrodes: Methodological review,” Science and Technology (KAIST), Dae-
IEEE Rev. Biomed. Eng., vol. 3, no. 1, pp.
picking up voltage signal across the 106–119, 2010.
jeon, in 2007, 2009, and 2013, respec-
tissue only. It is worth noting that [3] A. M. Van Rijn, A. Peper, and C. A. Grim- tively. Since 2017, he has been with
bergen, “High-quality recording of bio-
the output impedance of the current electric events: Part 1, interference reduc-
the Department of Electrical and Elec-
source and input impedance of the tion, theory, and practice,” Med. Biol. Eng. tronics Engineering, Kangwon National
Comput., vol. 28, no. 5, pp. 389–397, 1990.
amplifier must be sufficiently high [4] M. J. Burke, and D. T. Gleeson, “A micro-
University, where he is an assistant
for Bio-Z measurement. Determining power dry-electrode ECG preamplifier,” professor. From 2013 to 2014, he was
IEEE Trans. Biomed. Eng., vol. 47, no. 2, pp.
the waveform of the injection current 155–162, Feb. 2000.
with the Memory Business of Sam-
is also important. For the purpose of [5] L. Yan, P. Harpe, M. Osawa, Y. Harada, K. sung Electronics, Korea. In 2014, he
Tamiya, C. Van Hoof, and R. F. Yazicioglu, “A
high-resolution Bio-Z measurements, 680nA fully integrated implantable ECG-ac-
joined the Information and Electronics
a sine wave [8] is preferred to a square quisition IC with analog feature extraction,” Research Institute of KAIST as a post-
in Proc. ISSCC Tech. Dig., 2014, pp. 418–419.
wave [7] at the cost of much more [6] R. F. Yazicioglu, P. Merken, R. Puers, and
doctoral researcher. Before joining
power consumption. A reasonable C. Van Hoof, “A 60μW 60nV/√Hz readout Kangwon National University, he was
front-end for portable biopotential acqui-
design tradeoff can be considered to sition systems,” IEEE J. Solid State Circuits,
an analog circuit designer with IMEC,
implement quantized sine wave-atten- vol. 41, no. 5, pp. 1100–1110, , May 2007. Belgium. His current research inter-
[7] R. F. Yazicoglu, S. Kim, T. Torfs, H. Kim, and
uating low-frequency harmonics with C. Van Hoof, “A 30μW analog signal proces-
ests are energy-efficient mixed-signal
low po­­wer dissipation [9]. sor ASIC for portable biopotential signal circuits and systems for the Internet
monitoring,” IEEE J. Solid State Circuits, vol.
46, no. 1, pp. 209– 223, Jan. 2011.
of Things, biomedical sensors, and
Conclusions [8] ] L. Yan, J. Bae, S. Lee, T. Roh, K. Song, and body area networks. He received the
H. J. Yoo, “A 3.9mW 25-electrode reconfig-
When designing readout circuits for ured sensor for wearable cardiac moni-
Asian Solid-State Circuits Conference
physiological signal measurement, toring system,” IEEE J. Solid State Circuits, Best Design Awards in 2011 and 2014
vol. 46, no. 1, pp. 353– 364, Jan. 2011.
one needs to understand that appli- [9] L. Yan, J. Pettine, S. Mitra, S. Kim, D. W.
and the Global Internship Scholarship
cations define the required signal Jee, H. Kim, M. Osawa, Y. Harada, K. Tami- of National Research Foundation of
ya, C. Van Hoof, and R. F. Yazicioglu, “A
quality and the circuit architecture. 13μA analog signal processing IC for ac-
Korea in 2012.
In addition, a clear understanding of curate recognition of multiple intra-car- 

IEEE SOLID-STATE CIRCUITS MAGAZINE fa l l 2 0 17 97


Chap ters

SSCS Singapore Chapter Organizes Distinguished Lecture


by Prof. Jerald Yoo

T
The IEEE Solid-State Circuits Society
(SSCS) Singapore Chapter, together
with A*STAR Institute of Microelec-
tronics (IME), jointly organized a
presentation, “Low Noise, Low Power
Sensor Interface Circuit Design,” given
by Distinguished Lecturer (DL) Jer-
ald Yoo, an associate professor with
National University of Singapore. The
lecture, held on 24 May 2017 at IME,
was attended by over 50 researchers,
students, and engineers from aca-
demia and industry.
Yoo presented the design strat- DL Prof. Yoo presents “Low Noise, Low Power Sensor Interface Circuit Design.”
egies of sensor interface circuits.
Starting from a basic op-amp, he dis-
cussed the difficulties, limitations,
and potential pitfalls in sensor inter-
face as well as strategies to overcome
such issues. Low noise operation leads
to two dynamic offset compensation
techniques, auto-zeroing, and chop-
per stabilization. After that, system-
level considerations for better key
metrics such as energy efficiency
were introduced. Several state-of-
the-art instrumentation amplifiers
that emphasize different parameters
were analyzed to see how the signal
Audience members listening intently to DL Yoo’s presentation.
analysis impacts the analog sensor
interface circuit design.
The lecture concluded with inter- issues, and support vector machine.
esting aspects and opportunities that The presentation was interactive and
Digital Object Identifier 10.1109/MSSC.2017.2746158 lie ahead. Audience members asked was well received by the attendees.
Date of publication: 16 November 2017 questions about the offset, mismatch —Zhu Yao

98 fa l l 2 0 17 IEEE SOLID-STATE CIRCUITS MAGAZINE


Prof. Sorin P. Voinigescu Gives Talk at Aarhus University

P
Prof. Sorin P. Voinigescu, Stanley Ho
Chair in Microelectronics and direc-
tor of the VLSI Research Group in the
Electrical and Computer Engineer-
ing Department at the University of
Toronto, gave the lecture “Si-Based
Transistor and Analog-Mixed-Signal
Circuit Scaling and the Natural Pro-
gression of Moore’s Law to Silicon
Quantum Computing at the Atomic
S c a le .” The lecture was held on
14 August at Aarhus University, De­­
partment of Engineering, Denmark.
Semiconductor devices and ICs
have revolutionized life on Earth,
and the projections about future
electronics show that we are still
in the infa nc y st age. Silicon has
been the “magic” material behind
Prof. Domenico Zito (left), of Aarhus University, introduces SSCS DL Prof. Sorin P. Voinigescu,
the many ongoing societal and eco- from the University of Toronto.
nomic transformations, and in the
last decade, the progression through
Moore’s law has been the dominant
theme in almost ever y talk and
technical discussion in the IC inter-
national community. What’s next is
still the subject of many thoughts
and debates, but quantum phys-
ics will still be the engine of future
science and technology. About 100
years after its birth with Niels Bohr
in Copenhagen, Denmark, quantum
physics is more relevant than ever
and likely to dictate the future pro-
gression of Moore’s law.
Voinigescu touched on these
thoughts and many more during his
talk. He is a world-renowned expert
on high-frequency IC and atomic-scale
semiconductor device technologies.
He highlighted current op­­­portunities
and challenges in scaling silicon-based Prof. Voinigescu explains the electron-spin qubit based on five coupled quantum dots during
transistors and ICs toward future the lecture.
emerging technologies and, in particu-
lar, quantum computing. Students and staff members of attendance were scientists from uni-
the Department of Engineering, versities and companies from Den-
the Department of Physics, and the mark and Europe.
Digital Object Identifier 10.1109/MSSC.2017.2746160 multidisciplinary research institute
Date of publication: 16 November 2017 iNano attended the lecture. Also in —Domenico Zito

IEEE SOLID-STATE CIRCUITS MAGAZINE fa l l 2 0 17 99


Prof. Pietro Andreani Speaks at the University of Pisa

I
IEEE Solid-State Circuits Society (SSCS)
Distinguished Lecturer Prof. Pietro
Andreani, Lund University, Sweden,
gave the talk “RF Harmonic Oscillators
Integrated in Silicon Technologies”
at the Dipartimento di Ingegneria
dell’Informazione, University of Pisa,
Italy, on 11 June 2017. Approximately
14 people were in attendance includ-
ing a mix of faculty, Ph.D. students,
and master’s students. Andreani’s lec-
ture was well received with a fruitful
discussion and many questions from
the audience.

Pietro Andreani presents his talk,“RF Harmonic Oscillators Integrated in Silicon Technologies.”
Abstract
As one of the truly f unda menta l
analog functions in any wireless/
wireline application, the voltage-
controlled oscillator attracts a great
deal of well-deserved attention. In
this presentation, we will investi-
gate the mechanisms of phase noise
generation in harmonic oscillators,
including some recently published
general results, after which we will
analyze both classical and emergent
oscillator architectures, describing
the pros and cons for each. Various
techniques to achieve a very wide
oscillator tuning range will be illus-
trated as well.

—Abira Sengupta

Digital Object Identifier 10.1109/MSSC.2017.2746161


Date of publication: 16 November 2017 Prof. Giuseppe Iannaccone (left), who organized the talk, stands with Prof. Pietro Andreani.

SSCS DL Marian Verhelst Gives Talk on Machine Learning

O
On 4 July 2017, Prof. Marian Ver- machine learning at CEA-Leti, Greno- the new technologies are for deep
helst, assistant professor, MICAS, KU ble, France. The talk, “Energy-Effi- learning and how deep learning can
Leuven, Belgium, gave a lecture on cient Processors for Deep Learning,” be embedded into devices. Ques-
attracted around 70 attendees. The tions also focused on spiking neu-
Digital Object Identifier 10.1109/MSSC.2017.2746162 audience asked Verhelst many ques- rons perspectives. After the lecture,
Date of publication: 16 November 2017 tions about the topic, including what audience members talked to Verhelst

100 fa l l 2 0 17 IEEE SOLID-STATE CIRCUITS MAGAZINE


about their resea rch rega rding
machine learning.

Abstract
Deep learning has become popular
for image recognition and, more re-
cently, for other pattern matching
tasks (such as speech processing and
text analysis). Deep learning, how-
ever, is associated with significant
computational complexity that, until
recently, made it feasible only on
power-hungry server platforms. We
now see a trend toward the embed-
ded processing of deep-learning net- Prof. Marian Verhelst gives a talk on machine learning at CEA.
works. After an introduction into
deep learning and its implementation
challenges, this tutorial will provide tion-driven algorithmic innovations implementation challenges in embed-
an overview of processing architec- to assist in understanding the impact ded deep learning and enable them to
tures to enable efficient network evalu- of new deep-learning algorithms on comprehend research on deep-learn-
ations on embedded platforms. This embedded hardware design. The tuto- ing processors.
discussion is tightly interwoven with rial will give the audience an un-
coverage of emerging implementa- derstanding of the opportunities and —Abira Sengupta

First Annual Advanced CMOS Technology Summer School


a Success in Beijing

T
The first Advanced CMOS Technol- school project. The summer school Chapter leaders, and industry lead-
ogy Summer School (ACSS) 2017 was was attended by leading academic ers. This summer school was first
held 23 July–4 August 2017. ACSS researchers and industry experts, suggested by Dr. Zhihua Wang and
was a joint activity supported by the who presented lectures on top- then supported by volunteers from
IEEE Circuits and Systems Society ics covering process technology, both SSCS and CASS. Local com-
(CASS) and the IEEE Solid-State Cir- electronic design automation skill, panies Synopsys China and Beijing
cuits Society (SSCS). ACSS 2017 was and design skills. These activities IC Park prov ided financial sup-
sponsored by Synopsys China, Bei- were great examples of high-level port. This two-week-long school
jing IC Park, and the CASS summer conti­ n uing education for junior was hosted and organized by the
engineers, teachers, and students. CASS Beijing ­Chapter, the SSCS Bei-
Digital Object Identifier 10.1109/MSSC.2017.2746163 ACSS also illustrated the collabo- jing Chapter, and SSCS T ­ singhua
Date of publication: 16 November 2017 r at ion among the Societies, local Student Chapter. The cochairs of

The summer school attendees; organization committee members including Prof. Zhihua Wang; and several of the invited speakers, Dr. Jan
Van der Spiegel, Dr. Rakesh Kumar, and Dr. Cor Claeys.

IEEE SOLID-STATE CIRCUITS MAGAZINE fa l l 2 0 17 101


Dr. Van der Spiegel gave a talk at the
opening ceremony of the ACSS. Rakesh Kumar Yervant Zorian

The general cochair of ACSS 2017,


Dr. Milin Zhang (right), thanked Dr. Van
der Spiegel. Cor Claeys Alan Hasting

ACSS 2017 were Dr. Milin Zhang, Synopsys; and Jun Miao, chair at lution has just started, and the best
Dr. Hanjun Jiang, and Dr. Liyuan Beijing IC Park. In Dr. Van der Spie- is still to come. He suggested that
Liu. The Student Chapter members gel’s opening speech, he said he was circuit designers need to be able
took care of the itinerary: planning very happy to see the growth of the to w o r k i n a m u lt i d i s c ip l i n a r y
for the speaker, preparing tuto- China IC industry over the past few environment and adopt new tech-
rial handouts, and overall organiza- years. He said that the SSCS would nologies. He also shared his opinion
tion of the attendees. A summary of be very happy to have future confer- on the future of China’s IC indus-
each day’s events was posted on the ences in China. try and told the audience that he
SSCS WeChat public account, and On 24 July, the first official day believes the future is very promis-
the organizers created a discussion of the school, Van der Spiegel spoke ing through the great support from
group so participants could keep in about the importance of the IEEE the government and the great ef­­
touch after the school’s conclusion. and the SSCS. He encouraged attend- fort from all the smart and aspir-
ACSS received good publicity and ees to join the Society. He also gave ing engineers.
was reported in various media out- a keynote speech, “Integrated Cir-
lets, including Xinhua News, one cuits: Past, Present and the Road Leading Experts Presented
of the most popular news channels Ahead—The Best Is Still to Come.” at ACSS 2017
in China. He started his speech with a review Ten leading experts presented at
On 23 July, an opening ceremony of key milestones of the semicon- ACSS 2017, including one IEEE Life
for invited guests and sponsors ductor industry over the past 70 years Fellow, seven IEEE Fellows, and two
was held, and the following indi- that have changed the world and IEEE Senior Members. Six hours of
viduals spoke: Dr. Jan Van der Spie- will continue to change it at an lectures were given to the audience
gel, professor at the University of even faster pace. He reviewed how daily, covering topics such as pro-
Pennsylvania and SSCS president; inexpensive low-power transistors cess technology, simulation, and
Aiguang Ren, director at Ministry of have opened the door to many design skills.
Industry and Information Technol- new applications and enabled the On 24 July, IEEE Life Fellow and
ogy of China; Guangming Su, secre- IC revolution. president and chief executive offi-
tary-general at China International Van der Spiegel discussed the cer of TCX Technology Connexions,
Talent Exchange Foundation; Dr. challenges of current complemen- Dr. Rakesh Kumar, gave the lecture
Shaojun Wei and Dr. Zhihua Wang, tary metal– oxide–semiconductor “Semiconductor Innovation—A Con-
professors at Tsinghua University; devices and new technologies on the tinuum of Opportunities.” He talked
Qun Ge, China country manager at horizon. He claimed that the revo- about the booming semiconductor

102 fa l l 2 0 17 IEEE SOLID-STATE CIRCUITS MAGAZINE


Kevin Zhang Minoru Fujishima Seng-Pan U

Albert Wang Hoi-Jun Yoo Alice Wang

industry, innovation, and entrepre- Company (TSMC), gave the speech On 2 August, Dr. Hoi-Jun Yoo, IEEE
neurship. He offered guidelines for “Design Challenges on Nano-Scale Fellow, professor at KAIST, delivered
researchers interested in starting COMS.” He reviewed the current his lecture, “Mobile Embedded DNN
their own company. technology landscape and discussed (Deep Neural Network) and AI (Arti-
On 25 July, Dr. Cor Claeys, IEEE Fel- new design challenges. Afterwards, ficial Intelligence) System on Chip
low, Electrochemical Society fellow, four of his colleagues introduced (SoCs).” Dr. Yoo introduced some key
professor at KU Leuven and IMEC, TSMC’s 16-nm technology in detail. points in mobile/embedded DNNP
presented the lecture, “Ad­­vanced Ma- Dr. Albert Wang, IEEE Fellow and design. He also demonstrated SoC
terial and Device Aspects for Future professor at the University of Cali- projects done in his research group.
CMOS Technologies.” He discussed fornia, Riverside, delivered a lec- On 3 August, Dr. Seng-Pan U, IEEE
challenges of key process modules ture, “How to Design Electrostatic Fellow, professor of the University
used in advanced device processing Discharge (ESD) Protection as an of Macau, R&D director of Synop-
and also introduced the advantages Integrated Circuits (IC) Designer,” on sys, gave the talk “Integrated Analog
and challenges of Ge technology. 31 July. He introduced every aspect Front-End (AFE) Design for Mobile
On 26 July, IEEE Fellow, chief archi- of from standards and ESD protec- and Multimedia SoC” on 3 August.
tect, and fellow at Synopsys Dr. Yer- tion circuits to failure analysis and He introduced AFE design for com-
vant Zorian, gave a lecture, “Trends technology influence. He also dis- munication SoCs and audio codec
and Solutions for Test and Reliability cussed ESD protection for radio fre- systems. He also discussed analog-
in Advanced Technologies.” He dis- quency and high voltage. to-digital converter design.
cussed hierarchical tests and testing- On 1 August, Dr. Minoru Fuji­ On 4 August, Dr. Alice Wang, IEEE
related IEEE standards. shima, IEEE Senior Member and Senior Member, assistant general
IEEE Senior Member and Texas professor at the Hiroshima Univer­ manager of Mediatek, gave the lec-
Instruments Fellow Alan Hastings sity, gave the lecture, “Ultimate High- ture “Low Power for Mobile Comput-
presented “The Art of Analog Lay- Speed Wireless Link.” He introduced the ing.” She introduced some techniques
out” on 27 July. He introduced basic advantages of terahertz and discussed for power optimization and pointed
steps in fabrication and talked about some considerations for high-fre- out future development directions
important issues in layout, such as quency complementary metal-oxide- and opportunities for central process-
mismatch and latchup. semiconductor (CMOS) design. Dr. ing unit design.
On 28 July, Dr. Kevin Zhang, IEEE Ruibing Dong, Fujishima’s assistant, The summer school attendees
Fellow and vice president of Tai- introduced CMOS ultra-wideband were very engaged and asked many
wan Semiconductor Manufacturing transceiver design in detail. questions during these lectures. The

IEEE SOLID-STATE CIRCUITS MAGAZINE fa l l 2 0 17 103


Chang Cheng, from the SSCS Tsinghua
Dr. Alice Wang hosts a leadership training The SSCS Tsinghua Student Chapter Student Chapter, led the WiC activity on the
activity, “Can You Achieve $10B?” members hard at work. last day during the lunch break.

The hosts from the SSCS Tsinghua Student


Chapter organized a one-day tour of
Tsinghua Campus during the summer school
weekend break. The attendees visited
Dr. Van der Spiegel (center) with some the Tsinghua Nanofabrication Technology Dr. Alice Wang, chair of the SSCS WiC
of the SSCS Tsinghua Student Chapter Center. Dr. Xiaoming Wu welcomed the Committee, shared her experience as a
members on ACSS 2017. visitors and gave them a tour. female engineer in the IC industry.

topics, and personal anecdotes very try. She talked about her journey
interesting. On the last day of the
­ from being a shy, fresh graduate
program, Wang organized the lead- Ph.D. student to a technical leader
ership training activity, “Can You in her company and in the research
Achieve $10B?” She emphasized that field. She said the training that a
effective communication is vital in Ph.D. student gets from school-
maximizing performance. ing is not enough for a workplace
since leadership is just as impor-
WiC on ACSS 2017 tant as tech­nical skills. She encour-
Dr. Wang, chair of the SSCS Women aged the ladies to be brave, express
A WiC group photo at ACSS 2017. in Circuits (WiC) Committee, Dr. themselves, and be confident. She
Milin Zhang, assistant professor at also gave advice on how to balance
discussions usually continued until Tsinghua University and advisor to personal life and work as a female
lunch breaks and after the sessions the SSCS Tsinghua Student Chapter, ­engineer while en­­­couraging them
ended. In addition to academic ques- and Chang Cheng, female student to get involved in both the IEEE and
tions, experts also interacted with m e m b e r f r o m t h e S S C S Ts i n g - SSCS. Zhang, Ma, and Lan also shared
the audience. A few students spoke hua Student Chapter, coorganized a their experiences as female engineers
to Kumar about their own ideas on WiC lunch social gathering. Women in the industry, as faculty members,
innovation and entrepreneurship. such as Elva Ma from Synopsys and and government employees.
Students asked Hastings for a sig- Wenli Lan from Beijing National IC
nature on his well-known book, The Design Industrial Base attended
Art of Analog Layout. The students the luncheon. —Milin Zhang
found the speakers’ experiences on Wang shared her experiences as Cochair, Advanced CMOS
Ph.D. study, career paths, research a female engineer in the IC indus- Technology Summer School

104 fa l l 2 0 17 IEEE SOLID-STATE CIRCUITS MAGAZINE


SSCS DL Antonio Liscidini Lectures at Lund University

I
IEEE Solid-State Circuits Society
(SSCS) Distinguished Lecturer (DL)
Prof. Antonio Liscidini, assistant
professor, Electrical and Computer
Engineering, University of Toronto,
spoke at Lund University on 21 June
2017. The title of the lecture was
“Emerging Analog Filtering Tech-
niques.” Approximately 15 people
attended, including researchers
from Lund University, Ericsson, Eric-
sson Research, and ARM. Audience
members were immersed in the talk
and asked questions about active fil-
ters and the issues arising from the
use of such filters in radio receivers
qualified for production.
Antonio Liscidini presented a talk, “Emerging Analog Filtering Techniques.”

Abstract
This talk will introduce three different
techniques tailored to the implemen-
tation of channel selection filters in
wireless transceivers: adaptive analog
filters, filtering analog-to-digital con-
verters (ADCs), and passive switched
capacitor circuits.
The adaptive filters presented
succeed in shaping the f ilter ing
profile as a function of the operative
scenario, without the need of any
control loop. This allows for the
optimization of the filter design by
minimizing the average power con-
sumption instead of the peak-dissi-
pation occurrence in the worst-case
scenario, which has a very low prob-
ability to appear. Pietro Andreani (right) presents Antonio Liscidini with a token of thanks.
In the second part of the talk, a
filtering ADC is presented. Although
the interferers are suppressed be­­­ filter, able to track and suppress un­­ order topologies, even with complex
fore the ADC conversion, the filter wanted interferers. conjugates poles, without the need
profile is entirely defined in digital The talk concludes with a discus- of any active device. Measurement
domain through a reconfigurable sion on passive switched capacitors results on three different prototypes
filters. A new intuitive continuous- will be provided.
Digital Object Identifier 10.1109/MSSC.2017.2746164 time model will be introduced, which
Date of publication: 16 November 2017 easily allows for the design of high- —Abira Sengupta

IEEE SOLID-STATE CIRCUITS MAGAZINE fa l l 2 0 17 105


“It Is Time to Use Time“
A Lecture Held by the SSCS Thailand Chapter

T
The IEEE Solid-State Circuits Soci-
ety (SSCS) Thailand Chapter invited
Prof. Bogdan Staszewski, from Uni-
versity College Dublin, to give a spe-
cial lecture, “It is Time to Use Time,”
on 25 July 2017. Staszewski is one of
the world’s most renowned research-
ers in digital radio frequency (RF), and
he shared his knowledge and experi-
ence on the utilization of time-domain Prof. Bogdan Staszewski (front row, center) accompanied by SSCS Thailand executive officers
information, including the evolution of Prof. Amorn Jiraseere-Amornkul (left) and Dr. Sitt Tontisirin (right).
digital RF, one of the important tech-
nologies for the Internet of Things.
The lecture was followed by a poster
session by students from local uni-
versities in Thailand. There was also
a presentation by Synopsys about its
low-power digital design flow. The
event attracted 50 participants from
both academia and industry and was
hosted at Kasetsart University by
the Low Power Integrated Circuits and
Systems Research Group, headed by
Dr. Woradorn Wattanapanitch.

Digital Object Identifier 10.1109/MSSC.2017.2746165


Date of publication: 16 November 2017 Attendees engaged in discussions during the poster session.

Recent Silicon Valley SSCS Chapter Activities


Seminars, Workshops, and Distinguished Lecturer Talks

T
The IEEE Solid-State Circuits Soci-
ety Santa Clara Valley (SCV-SSCS)
Chapter held a number of semi -
na rs, webina rs, work shops, a nd
Dis­­­t inguished Lecturer (DL) talks
in the spring and summer of 2017.
This article highlights recent events
held and sponsored by the Chapter.
Please feel free to contact the SCV-
SSCS Chapter if you are interested in
presenting at our meetings, would
like to attend the Chapter events, or

Digital Object Identifier 10.1109/MSSC.2017.2746166 Dr. Gunnam explains convolutional neural network applications in a hands-on workshop at
Date of publication: 16 November 2017 the SCV-SSCS Chapter.

106 fa l l 2 0 17 IEEE SOLID-STATE CIRCUITS MAGAZINE


are interested in volunteering. Full
information on Chapter activities and
upcoming seminars is available on
the Chapter website.

“Machine Learning and


Convolutional Neural Networks”
A two-night, hands-on workshop
series on machine learning and con-
volutional neural networks was orga-
nized on 30–31 May 2017 by the IEEE
SVC Section’s Chapters of the SSCS,
IEEE Circuits and System ­S ociety
(CASS), IEEE Communications Society,
IEEE Information Theory Society, and
IEEE Robotics and Automation Society
with sponsorship from Texas Instru- SSCS workshop speaker Dr. Gunnam receives a certificate of appreciation from the SCV-SSCS
Chapter at Texas Instruments, Santa Clara.
ments. Dr. Kiran Gunnam, technical
director of Algorithms and DSP, Velo-
dyne LiDAR, Inc., provided instruc-
tion in this seminar series, which
attracted more than 150 attendees.
IEEE SCV-SSC Chapter Vice-Chair Dr.
Mohammad (Vahid) Vahidfar led the
organization of the seminar series at
the Texas Instruments Silicon Valley
Auditorium. The collaborative effort
between the CASS and the SSCS was
initiated by CASS President Prof.
Franco Maloberti and SSCS President
Jan Van der Spiegel. The course slides,
made available to registered attend-
ees, will be available free of charge
to IEEE members in e-book format as
part of the IEEE CASS series, “Tutori- Prof. Razavi giving an SSCS DL webinar at the SCV-SSCS Chapter.
als in Circuits and Systems.” A print
edition will also be available by Ri­­
ver ­Publishers.
This series focused on explain-
ing the foundations and intuitions of
machine learning along with guided
programming exercises. The seminar
covered deep-learning techniques
used by practitioners in industry, in­­
cluding classic machine-learning tech-
niques, deep convolutional neural net-
works, regularization, optimization
algorithms, and practical methodol-
ogy with a focus on guided examples
in computer vison applications using
Tensor Flow and Octave. Dr. De receives a certificate of appreciation from the SCV-SSCS Chapter with Chapter officers,
The first workshop offered an intu- scientific and industry advisors, and seminar attendees.
itive treatment of important machine-
learning approaches and covered deep-belief networks were ­covered. ing algorithm, data preproces­sing,
supervised and unsupervised learn- How to build an end-to-end applica- and evaluating model.
ing. Various classic machine-learning, tion was covered in depth, focusing The second workshop offered an
modern deep neural networks, and on selecting the right machine-learn- in-depth treatment of convolutional

IEEE SOLID-STATE CIRCUITS MAGAZINE fa l l 2 0 17 107


in Intel Labs, held a DL seminar at
the SCV-SSCS Chapter on 20 July.
The talk covered an overview of the
history of computing and challenges
and recent developments from her
team at Intel. Over 100 SSCS mem-
bers in the San Francisco Bay area
attended the seminar to hear about recent
advancements and ask the speaker
questions. The slides for this semi-
nar are available on the SCV-SSCS
Chapter website under previous events,
and the video recording will be avail-
able online.

Abstract
Future computing systems spanning
exascale supercomputers to wear-
able devices demand orders of ma­­
gnitude improvements in e n e r g y
Prof. Hanumolu explaining a recent publication in response to seminar attendees at the SCV-
ef­­­fi­­ciency while providing desired per-
SSCS Chapter.
formance. The system-on-chip (SoC)
designs need to span a wide range of
neural networks (CNNs) and ex­­ selection at RF to remove strong inter- performance and power across diverse
plained each layer in detail. Vari- ferers and even out-of-channel noise platforms and workloads. The designs
ous architecture optimization tech- at the receiver input rather than in the must achieve robust, near-threshold-
niques were also covered, including baseband. Such an ap­­proach is attrac- voltage operation in nanoscale CMOS
data optimization, drop outs, layer tive for it obviates the need for sur- process, while supporting a wide volt-
patterns, and sizing. A comprehen- face acoustic wave filters and greatly age-frequency operating range with min-
sive case study of recent CNN archi- relaxes the linearity requirements of imal impact on die cost.
tectures including AlexNet, ZFNet the receiver chain, ultimately leading We will discuss circuit and design
and GoogleNet was provided. to a lower power consumption and a technologies to overcome the chal-
This hands-on workshop series more com­­­pact design. lenges posed by device parameter
was very well received with all 150 This research demonstrates a uni- variations, supply noises, tempera-
attendees actively performing progra­­ versal complementary metal-oxide- ture excursions, aging-induced deg-
mming-guided exercises on machine semiconductor (CMOS) receiver by radations, workload and activity
learning. SSCS Chapter Chair Mojtaba employing RF channel selection and changes, and reliability consider-
Sharifzadeh concluded the event by meeting the exacting demands of the ations. The major pillars of energy-
thanking the speaker and announced Global System for Mobile Communica- efficient SoC designs are 1) circuit/
upcoming sponsored and cosponsored tions and wideband code division multi- design optimizations for fine-grain
2017 seminars. ple access. Drawing upon commutated multivoltage and wide dynamic range,
networks, we introduce the concept 2) fine-grain on-die power delivery
“Channel Selection at RF” of the “Miller bandpass filter” and sev- and management, 3) dynamic adapta-
Prof. Behzad Razavi held a seminar as eral of its variants to create a receiver tion and reconfiguration, 4) dynamic
part of the SSCS DL webinar series at that achieves a narrow channel band- on-die error detection and correction,
the SCV-SSCS Chapter on 15 June. This width and can withstand large block- and 5) efficient interconnects.
seminar was recorded at the Texas ers. Realized in 65-nm technology, the
Instruments venue and is scheduled prototype provides a programmable “Applications of Time-Based Circuits
to become an SSCS webinar for mem- bandwidth from 350 kHz to 20 MHz and in Data Conversion, Filtering, and
bers. Prof. Razavi will be available draws 20 mW. The noise figure is 2.9 dB Control, Part 2”
online after the webinar to answer in the absence of blockers and 5 dB with As part of the SSCS webinar program,
questions. Stay tuned for announce- a 0-dBm blocker at 20-MHz offset. the SCV-SSCS Chapter organized a
ment e-mails from the Society. comprehensive two-part seminar on
“Energy Efficient Computing time-based circuits. The presen­tation
Abstract in Nanoscale CMOS” materials of these seminars will be
A holy grail in radio-frequency (RF) Dr. Vivek De, Intel Fellow and direc- available on the SCV-SSCS Chapter web-
design has been to perform channel tor of Circuit Technology Research site, and the video recording will be

108 fa l l 2 0 17 IEEE SOLID-STATE CIRCUITS MAGAZINE


broadcast as a webinar to SSCS mem- filtering, and control in power man- charge-based signal representations.
bers worldwide. For more information agement. For the last part of the seminar, I will then show how this representa-
on the upcoming webinar, please see he covered the recent publications on tion enables the implementation of
the SSCS website. Part 1 of this seminar, time-based circuits from his research fundamental building blocks, such
“Time-Based Circuits—Not Just the Sin- group at the University of Illinois, as integrators using mostly digital cir-
gle Slope!,” was recorded on 18 May by Urbana-Champaign. A list of related cuits. Finally, I will present case stud-
Dr. Matt Straayer from Maxim Integrated references and recent publications, done ies of time-based analog filters, analog
Inc. Part 2 was recorded on August 17 in collaboration with Dr. Mike Perrott to digital converters, and direct current-
by Prof. Pavan Hanumolu, the University and Dr. Straayer, was provided. direct current converters to highlight
of Illinois, Urbana-Champaign, “Appli- the advantages, opportunities, and
cations of Time-based Circuits in Data Abstract drawbacks of the time-based approach.
Conversion, Filtering, and Control.” In the second part of this two-part
Hanumolu started his talk with a sum- series on time-based circuits, I will pres-
mary of part 1 and later covered different ent time-based signal representation —Mojtaba Sharifzadeh
applications such as data conversion, as an alternative to classical voltage or Chair, Santa Clara Valley Chapter

IEEE SSCS and EDS Baltimore Joint Chapter Holds Spring Meeting

T
The IEEE Solid-State Circuits Society of Computer Science and Electrical the EDS’s Mini Colloquia Program, ad-
(SSCS) and IEEE Electron Devices Engineering, gave a talk on malicious dressed the interests of local indus-
Society (EDS) Joint Chapter held their aging in integrated circuits/cores try, government, and academia. The
spring meeting on 25 April 2017. (MAGIC). The event was attended by colloquium was held at the American
The event was held at the National 22 people. Center for Physics near the Univer-
­E lectronics Museum near the Bal­­ sity of Maryland, College Park cam-
timore–Washington Intern at ion a l Upcoming Fall Colloquium pus. For more information about the
Airport. Dr. Naghmeh Karimi, Uni- On Thursday, 12 October 2017, the event, contact Chapter Chair Paul A.
versity of Maryland, Department Baltimore Chapter held their 6th Potyraj at papotyraj@ieee.org.
Annual Fall Colloquium, with the
Digital Object Identifier 10.1109/MSSC.2017.2746167 topic “Flexible and Wearable Elec-
Date of publication: 16 November 2017 tronics.” The event, sponsored by —Paul Potyraj

SSCS and CASS Joint Sweden Chapter Hosts Workshop


on Energy-Efficient Electronics

T
The IEEE Solid-State Circuits Society took place in beautiful Ystad, on the to discuss the challenges and lat-
(SSCS) and IEEE Circuits and Systems south coast of Sweden and was or­­­­ est trends in the development of
Society (CASS) Joint Sweden Chap- ganized by Ch apter Ch a ir P r of. low-power and ultra-low-power em­­
ter hosted a workshop on energy- J o a c h i m Rodrig ues at Lund Uni- bedded systems. The event was co-
efficient electronics. The workshop versity. This biannual event brings organized by EPFL , L ausanne,
together experts, from both industry Switzerland, and Bar-Ilan University,
Digital Object Identifier 10.1109/MSSC.2017.2746168 and academia, in system architec- Israel. The 60 people in attendance
Date of publication: 16 November 2017 ture, circuit design, and t­echnology listened to invited speakers from top

IEEE SOLID-STATE CIRCUITS MAGAZINE fa l l 2 0 17 109


The Cadence training session at the workshop.

Vivek De presents his talk, “Challenges and Opportunities for Efficient and Scalable
Neuromorphic Systems.” Prof. Joachim Rodrigues spoke about the
workshop’s focus and goals.

universities and industry in Europe.


The highlight of the workshop was
the keynote, “Challenges and Oppor-
tunities for Efficient and Scalable
Neuromorphic Systems” by Vivek De,
Intel fellow at Intel Labs and director
of Circuit ­Technology at Intel Labs.
The second part of the workshop
The workshop’s social event went into the wee hours of the morning.
was a day and a half training session
sponsored by the Cadence Academic
Network. More than 30 Ph.D. students
received the latest insights on Genus,
Innovus, and Liberate.
“The socializing part of the work-
shop ended at 4 a.m. in the morning,”
said one participant, “so I bet some
good ideas were born that evening
and morning for further collaboration
and research.”

Andreia Cathelin, fellow, STMicroelectronics, gave a talk at the workshop. —Joachim Rodrigues

110 fa l l 2 0 17 IEEE SOLID-STATE CIRCUITS MAGAZINE


Prof. Patrick Yue Gives Distinguished Lecture
at SSCS Central Texas Chapter

I
IEEE Solid-State Circuits Societ y
(SSCS) Distinguished Lecturer (DL)
Prof. Patrick Yue visited the Univer-
sity of Texas at Austin on 4 August
2017. He gave an inspiring talk,
“LED-based Visible Light Communi-
cation Systems—Driver SOC Design
and Practical Applications,” that drew
over 30 attendees, including Uni-
versity of Texas faculty, graduate
students, and local professionals,
many of whom are SSCS members
or student members. After the talk,
students asked many questions, and
Yue gave very thoughtful answers.

Abstract
This talk presents two advanced vis-
ible light communication (VLC) mod-
ulator system-on-chips (SoCs). The
first is an IEEE 802.15.7 PHY-I stan- (From left) Prof. Nan Sun (SSCS Central Texas Chapter cochair), Shaolan Li (University of
dard compliant VLC transmitter. The Texas at Austin Ph.D. student), SSCS DL Prof. Patrick Yue, and Dr. Nagaraja Revanna (SSCS
second is an active matrix light-emit- Central Texas Chapter chair).
ting diode (LED) microdisplay driver
SoC with embedded VLC function.
Using ordinary LED lights for VLC been predominately based on dis- modules have attracted significant
has received a great deal of research crete implementation. More impor- research efforts due to their superior
interest over the past decade due to a tantly, the power consumption of brightness and reliability compared
number of novel applications, includ- dedicated VLC transmitters is pro- to organic LED microdisplay. Com-
ing location-based wireless broad- hibitively high with bit efficiency bining these two technology trends,
casting through LED lighting, signs in the 100 nJ/b range. To overcome this paper also describes an active
with LED backlights, and digital LED these issues, this work demonstrates matrix LED (AMLED) driver SoC with
displays. Most of the development the first fully integrated VLC trans- built-in VLC modulation capability to
of VLC SoCs has focused on wireless mitter SoC compliant with the IEEE demonstrate a WQVGA smart micro-
optical receiver design including cus- 802.15.7 standard embedded with a display featuring 1.25-Mb/s VLC for
tom complementary metal–oxide– built-in 8-W LED driver. Excluding the enabling LED digital signage as loca-
semiconductor imagers, whereas, power consumed by the LED driver, tion-based information broadcaster
until recently, VLC transmitters have the SoC achieves a record VLC trans- and indoor positioning beacons.
mission efficiency of 5 nJ/b. On the
Digital Object Identifier 10.1109/MSSC.2017.2746169 other hand, the miniaturization and —Nan Sun
Date of publication: 16 November 2017 integration of inorganic LED display Chapter Chair, SSCS Central Texas

IEEE SOLID-STATE CIRCUITS MAGAZINE fa l l 2 0 17 111


SSCS-Singapore Holds Distinguished Lecture
on Enabling and Exploiting
Machine Learning in Ultra-Low-Power Devices by Prof. Naveen Verma

T
The IEEE Solid-State Circuits Society
(SSCS) Singapore Chapter, jointly
with the Institute for Microelectronics
of Agency for Science, Technology and
Research (IME, A*STAR), held a Dis-
tinguished Lecture by Prof. Naveen
Verma from Princeton University,
New Jersey. The lecture, “Enabling
and Exploiting Machine Learning in
Ultra-Low-Power Devices,” was pre-
sented on 7 August 2017 in the Fusio-
nopolis high-tech campus, attended by
50 participants.
Verma motivated the topic, with
the emerging concept of enabling
natural interaction between us and Prof. Verma speaks with lecture attendees.
our environment. He briefly men-
tioned the hybrid large-area elec-
tronic systems being developed
in his lab toward this goal. Verma
then delved into the main topic of his
lecture, which presents cross-layer
techniques to design ultra-low-power
ICs for intelligent sensing and data
processing applications. First, on the
enabling aspect, machine learning
and artificial intelligence algorithms
are playing an ever-more increasing
role in sensing applica­tions, and the
computationally in­­t ensive nature
of these algorithms calls for ultra-
low-power circuit design techniques Prof. Verma presents his talk, “Enabling and Exploiting Machine Learning in ­Ultra-Low-
Power Devices.”
during their im­­plementation. Second,
on the exploiting aspect, by under-
standing these algorithms that are
dat a- dr iven, we can develop new
circuits that take advantage of such
data-driven properties to compen-
sate for (analog) imperfections in the
hardware system, i.e., sensor imper-
fections/faults, or nonideal proper-
ties in the analog part of the system.
The key idea is to perform/enable a
machine-learning training phase on
the nonideal system as opposed to

Digital Object Identifier 10.1109/MSSC.2017.2746170


Date of publication: 16 November 2017 The lecture attendees with Prof. Verma (seated, fourth from right).

112 fa l l 2 0 17 IEEE SOLID-STATE CIRCUITS MAGAZINE


implementing an as-is model trained constrained resolution regression was Verma presented a new approach
assuming ideal systems. For exam- presented that can achieve almost to ultra-low-power circuit design.
ple, an electroencephalogram-based the same accuracy as the full-preci- By leveraging the data-driven and
arrhythmia detector was presented sion digital version. robust nature of today’s machine-
that can tolerate about 500 faulty Finally, the memory wall bottle- learning algorithms that will be at
nodes out of 18,00 nodes with no neck was tackled for weak classifier the heart of many sensor systems
significant drop in true positive rate, implementation by modifying SRAM in the future, new design spaces
whereas conventional approaches to function both as trained classi- are opened up to researchers and
drop from 95+% baseline to under fier weight storage and as the clas- IC designers. Instead of optimizing
60% with 30 faulty nodes and to 20% sification engine itself. The latter part the circuit in isolation, cross-layer
with 120 faulty nodes. Furthermore, works by activating all bit cells in optimizations spanning circuit and
memory consumption during train- the array with word-line voltages cor- algorithm/system/application allow
ing was addressed by a resource- responding to the input stimulus, in new circuit design techniques that
aware training algorithm to facilitate a spirit similar to content address- are both ultra-low power (e.g., low
training on the chip. able memory, but mathematically precision classifier weights) and yet
Verma went on to discuss addi- it is in the form of a mixed-signal robust through data-driven resil-
tional ways machine learning can dot-product circuit. By considering iency. Such cross-layer and multi-
interact with ultra-low-power circuit the very limited precision in classi- disciplinary approaches breathe
design. For example, trained weights fier weights (1 b in this case) and the new life into the maturing field of
in machine-learning algorithms are imperfect analogue components (a circuit design, and we hope this can
usually floating points in software- nonideal digital-to-analog converter inspire more hardware research-
based implementations, while, to that drives each word line), the pro- ers to learn about machine learning
enable ultra-low-power design, an posed system was able to achieve and other upstream technologies to
analog approach is preferred, requir- near 90% accuracy when a conven- develop holistic systems.
ing low precision to keep dynamic tional system achieved about 92%
range and power/area under control. accuracy, while saving 113 times the
A 4-b weak classifier system called amount of energy. —Jiang Wenyu

SSCS Hong Kong Chapter Hosts a Workshop on High-Performance


Wireless and Optical Communication Transceiver SoC Design

T
The IEEE Solid-State Circuits Soci-
ety (SSCS) Hong Kong Student Chap-
ter organized a two-day workshop,
“High-Performance Wireless and
Optical Communication Transceiver
SoC Design,” on 18–19 August 2017 at
the Hong Kong University of Science
and Technology (HKUST), Hong Kong.
The workshop focused on the latest
trends and challenges in wireless and
optical communication transceiver sys-
tem-on-chip (SoC) design. A crowd

Digital Object Identifier 10.1109/MSSC.2017.2746171 Prof. Sam Palermo shares his research on advanced modeling and design of high-
Date of publication: 16 November 2017 performance ADC-based serial links.

IEEE SOLID-STATE CIRCUITS MAGAZINE fa l l 2 0 17 113


Prof. C. Patrick Yue describes the design
IEEE SSCS HKSC two-day workshop speakers (from left): Prof. C. Patrick Yue, HKSC advisor; considerations for a 10-Gb/s baseband-
Prof. Sam Palermo, Texas A&M University; Dr. Hirotaka Tamura, Fujitsu Laboratories; and over-fiber I/Q modulator SoC with
Prof. Jri Lee, National Taiwan University. integrated mm-wave power amplifier.

of more than 30 attendees, includ-


ing research postgraduate students
from HKUST, University of Macau,
and ten representatives of industry
from eTopus Technology, Brite Semi-
conductor, and Semiconductor Manu-
facturing International Corporation,
were in attendance. The workshop
featured SSCS D ­ istinguished Lectur-
ers (DL) Prof. Sam Palermo, Texas
A&M University; Dr. Hirotaka Tamura,
Fujitsu Laboratories and IEEE Fellow;
Prof. C. Patrick Yue, HKUST and IEEE
Fellow; and Prof. Jri Lee, National Tai-
wan ­University.
Dr. Hirotaka Tamura takes questions from the workshop participants. Yue, professor and director of
the Optical Wireless Lab and HKUST-
Qualcomm L ab, HKUST, gave the
welcoming remarks and introduced
Palermo, the first Distinguished
Lecturer (DL), who gave the opening
talk, focusing on advanced model-
ing and design of high-performance
analog-to-digital converter (ADC)-
based serial links. He discussed the
statistical modeling framework, low-
power >10GS/s ADC designs, and
novel receiver architectures, which
leverage partial analog equalization
embedded in the ADC. Yue then intro-
duced the second DL, Tamura, who
presented his industrial point of view
on the future of chip-to-chip com-
Prof. Jri Lee presents a comprehensive overview of advanced clock and data recovery circuits munications technology. He also dis-
for high-speed wireline systems. cussed the roles of high-bandwidth

114 fa l l 2 0 17 IEEE SOLID-STATE CIRCUITS MAGAZINE


Students and professionals gather for the IEEE SSCS HKSC two-day workshop.

A dinner gathering of workshop speakers with IEEE SSCS HKSC committee members (from left): K.Q. Maqbool, HKSC chair; Wang Li, HKSC
member; Duona Luo, HKSC Publicity head; Salahuddin Raju, HKSC cochair; Prof. C. Patrick Yue, HKSC advisor; Dr. Hirotaka Tamura; Prof. Jri
Lee; Prof. Sam Palermo; Guang Zhu, HKSC treasurer; Liusheng Sun, HKSC member; and Babar Hussain, HKSC member.

inputs–outputs (I/Os) for the future presented a 4-Gb/s fiber-to-mm-wave were followed by Q&A sessions and
ICT systems, with reviewing the imp­ baseband-over-fiber (BoF) modula- a panel discussion.
lication of CMOS scaling on the tor SoC using 65-nm CMOS with a
I/O performance. fully integrated 850-nm wavelength
On the second day, Dr. Salahuddin optical receiver front-end for short-
Raju, a postdoctoral student at HKUST, range backhaul. He also described —Khawaja Qasim Maqbool
introduced the invited speaker, Lee. the design considerations for an Chair, IEEE SSCS Hong Kong
Lee spoke about advanced clock and improved 10-Gb/s BoF I/Q modulator Student Chapter
data recovery circuits for high-speed SoC with integrated mm-wave po­­
wireline systems. Yue gave the work- wer amplifier.
shop’s closing talk about recent devel- The four speakers interacted with —Prof. C. Patrick Yue
opments in transceiver SoC design for the students and other attendees du­­­­ Advisor, IEEE SSCS Hong Kong
next-generation optical networks. He ring the two-day event. The lectures Student Chapter

IEEE SOLID-STATE CIRCUITS MAGAZINE fa l l 2 0 17 115


Distinguished Lecture by Dr. Dennis Sylvester
Organized by SSCS-Seoul

T
The IEEE Solid-State Circuits Soci-
ety (SSCS) Seoul Chapter organized
a Distinguished Lecture, “Ultra-Low
Power Circuits and System Design,”
by Dr. Dennis Sylvester, Univer-
sity of Michigan, Ann Arbor. The
lecture was held on 18 July 2017
at Seoul National University, Korea,
and attracted a number of students,
researchers, and engineers from
academia and industry.
The lecture started with the fun-
damentals of the low-power circuit
design technology including relax-
ation oscillators, digital logic, and
power management. Sylvester pre- Dr. Dennis Sylvester presents his talk, “Ultra-Low Power Circuit and System Design.”
sented recent progress in ultra-low-
power circuit and system design,
with application to the Internet of
Things and wireless sensing micro-
systems. Following his lecture, he
answered questions from the audi-
ence and shared the future direc-
tions for the ultra-low-power circuit
and system design.

—Prof. Yong Moon


Chapter Chair, SSCS Seoul

Digital Object Identifier 10.1109/MSSC.2017.2746172


Date of publication: 16 November 2017 Dr. Dennis Sylvester with the members of the SSCS-Seoul Chapter and event attendees.

Pietro Andreani Gives Distinguished Lectures


in Honolulu and Toronto

P
Prof. Pietro Andreani gave two Dis- was the Honolulu Chapter, where he Andreani’s next stop was the
tinguished Lectures at the IEEE Solid- gave a talk, “RF Integrated Harmonic Toronto Chapter, where he presented
State Circuits Society (SSCS) and Oscillators in Silicon Technologies,” the same talk. There were 15–20 peo-
IEEE Electron Devices Society Joint which was held at the University of ple in attendance, including faculty,
Honolulu Chapter and the SSCS Hawaii Manoa Campus on 6 June 2017. Ph.D. students, and people from
Toronto Chapter. Andreani’s first stop The lecture was organized by Dr. industry. Andreani received many
Kishore Erukulapati, vice-chair of the questions from the audience, includ-
Digital Object Identifier 10.1109/MSSC.2017.2746173 Hawaii Chapter. Six people attended ing some about phase accuracy and
Date of publication: 16 November 2017 the lecture. phase noise.

116 fa l l 2 0 17 IEEE SOLID-STATE CIRCUITS MAGAZINE


Abstract
As one of the truly fundamental ana-
log functions in any wireless/wireline
application, the voltage-controlled
oscillator keeps attracting a great
deal of well-deserved attention. In
this presentation, we will investi-
gate the mechanisms of phase noise
generation in harmonic oscillators,
including some recently published
general results, after which we will
analyze both classical and emergent
oscillator architectures, describ-
ing pros and cons for each. Vari-
ous techniques to achieve a very wide
oscillator tuning range will be illus- Prof. Pietro Andreani (right) at the Honolulu Chapter with lecture organizer Dr. Kishore
trated as well. Erukulapati.

SSCS Saintgits Student Chapter Holds Workshop on


Modeling and Simulation of MEMS Using Intellisuite Software

T
The IEEE Solid-State Circuits Society sensors in detail and started with criteria. For example, participants
(SSCS) Saintgits Students Chapter the design procedure of the sensor were asked to design a maximum
organized a workshop, “Modeling using Intellisuite 8.2. He also empha- deflection of the membrane smaller
and Simulation of MEMS (Micro- sized the different criteria that had to than 1/5th of the thickness. He also
electromechanical Systems) Using be considered while designing a pressure explained that a blueprint is noth-
Intellisuite Software” on 6–7 August sensor. Following the explanation and ing but a platform that gives an idea
2017. Sripadaraja K., application demonstration, participants designed about how to arrange different lay-
engineer, IntelliSense Software Pvt. the pressure sensor according to given ers of a design.
Ltd., led the comprehension session
and interactive session.
The session began with a prayer.
Er. Ajith Ravindran, Saintgits SSCS
advisor, welcomed and introduced
Sripadaraja K. The session started
with an introduction to Intellisuite
software. Sripadaraja K shed light
on MEMS and the different applica-
tions of MEMS. He talked about the
basics of the Intellisuite software
by introducing all of its tools. He
explained the workings of pressure

Digital Object Identifier 10.1109/MSSC.2017.2746174


Date of publication: 16 November 2017 Participants of “Workshop on Modeling and Simulation of MEMS Using Intellisuite Software.”

IEEE SOLID-STATE CIRCUITS MAGAZINE fa l l 2 0 17 117


On the second day of the work-
shop, Sripadaraja K. introduced
another tool used to represent dif-
ferent process flows like wafer prep-
aration, implantation, and etching
after which various simulations are
carried out. During the afternoon
session, the electrical analysis of the
sensor was completed. The dynamic
analysis of the sensor, consisting of
a plot of stress and displacement,
was then observed by participants.
There were 22 participants at the
training session, consisting of members
of faculty, academia, and students.

—Ajith Ravindran
Advisor, SSCS Saintgits
Sripadaraja K. talks to students about MEMS and the different applications of MEMS. Student Chapter

Japan Chapter Holds VLSI Symposium on Circuits


Technical Seminar and Distinguished Lecturer Program

O
On 15 June 2017 at the University VLSI Symposium to those who did about architecture- and transistor-
of Tokyo, Japan, the IEEE Solid-State not attend the conference. Prior to level design for complementarymetal-
Circuits Society (SSCS) Japan Chap- the seminar, Prof. Makoto Ikeda, oxide-­semiconductor high-speed sig-
ter held a technical seminar about VLSI Symposium on Circuits Pro- naling circuits. This hot topic was well
the VLSI Symposium on Circuits gram chair, presented the VLSI Sym­­ received by the audience and resulted
2017. Held one week after the 2017 posium review; he encouraged pa­per in a very active discussion. The total
Symposia on VLSI Technology and subm ission s f r om Jap a n t o t h e number of attendees was 67, includ-
­Circuits, the seminar presented 12 2018 symposium. ing 33 IEEE Members.
excellent papers from Japan from After the technical presentations,
the SSCS ­Kansai Chapter organized —Tetsuya Iizuka
Digital Object Identifier 10.1109/MSSC.2017.2746175 a ­
technical ­
seminar by SSCS Distin- Secretary,
Date of publication: 16 November 2017 guished Lecturer Dr. Hirotaka Tamura SSCS Japan Chapter

The attendees of the technical seminar on VLSI Symposium and the Distinguished Lecture.

118 fa l l 2 0 17 IEEE SOLID-STATE CIRCUITS MAGAZINE


IEEE SSCS Distinguished Lecture at Macquarie University

T
The IEEE New South Wales (NWS) ter hosted Prof. Woogeun Rhee, Tsin- Thursday, 10 August 2017. The pre-
Circuits and Systems (CASS), IEEE Solid- ghua University, Beijing, China, for sentation generated lively discus-
State Circuits Society (SSCS), IEEE Elec- a Distinguished Lecture. The talk, sions that carried on after the event
tron Devices Society (EDS), and IEEE “Phase-Locked Frequency Synthesis over light refreshments.
Photonics Society (PHO) Joint Chap- and Modulation for Modern Wire-
less Transceivers,” took place at the —Ediz Cetin
Digital Object Identifier 10.1109/MSSC.2017.2746176 School of Engineering, Macquarie Chapter Chair, IEEE NSW
Date of publication: 16 November 2017 University, Sydney, Australia, on CASS/SSCS/ED/PHO Joint Chapter

Prof. Woogeun Rhee (left) with Dr. Ediz Cetin, chair, IEEE NSW CASS/
SSCS/ED/PHO Joint Chapter. Prof. Woogeun Rhee delivering his IEEE SSCS Distinguished Lecture.

Attendees at the IEEE SSCS Distinguished Lecture by Prof. Rhee.

IEEE SOLID-STATE CIRCUITS MAGAZINE fa l l 2 0 17 119


SSCS Kolkata Chapter Holds Summer Training

T
The IEEE Solid-State Circuits Society
(SSCS) Kolkata Chapter held a one-
month summer training session,
“VLSI (Very-Large-Scale Integration)
and Embedded System” 27 June to
19 July 2017 at the MCKV Institute of
Engineering, Howrah, West Bengal,
India. There were 17 participants in
this course for engineering students.
Subhasish Banerjee, assistant
professor, Department of Electron-
ics and Communication Engineering
(ECE), MCKV Institute of Engineer-
The summer school organizers, coordinators, and participants.
ing, gave two talks, “Fundamentals
of Solid-State Electronics,” and “VLSI
Design Cycle,” and held a VLSI cir-
cuit design lab session. In his theory
session, he explained fundamen-
tals of metal-oxide-semiconductor
field-effect transistor (MOSFET) and
VLSI design cycles. During his lab
session, he explained the circuit
and layout design of VLSI circuits
using Tanner EDA tools, along with
Sagar ­Mukherjee.
Kalyan Biswas, assistant profes-
sor, Department of ECE, MCKV Ins­ Summer school attendees participate in lab sessions.
titute of Engineering, gave the talk
“Microelectronics Fabrication Tech-
nology.” He explained the fundamen-
tals of microelectronics fabrication
techniques required for complemen-
tary metal-oxide-semiconductors.
Dr. Swarup Kumar Mitra, associ-
ate professor, Department of ECE,
MCKV Institute of Engineering, gave
a talk, “Circuit Design Using Verilog,”
and helped with a lab session using
Verilog and field-programmable
A theory session.
gate arrays (FPGAs). Dr. Mitra talked
about the fundamentals of digital
circuit design and the application of also explained the download of the a layout design theory and lab. he
Verilog in combination with circuit Verilog program in FPGAs. explained the fundamentals of MOS-
design. During the lab session, he Sagar Mukherjee, assistant pro- FET circuit design. During the lab
explained the circuit design of VLSI fessor, Department of ECE, MCKV sessions, he explained circuit and
circuits using Xilinx EDA tools. He Institute of Engineering, talked about layout design of VLSI circuits using
VLSI circuit design and held two Tanner EDA tools.
Digital Object Identifier 10.1109/MSSC.2017.2746177 lab sessions: a VLSI circuit design
Date of publication: 16 November 2017 lab session using Tanner EDA and —Abira Sengupta

120 fa l l 2 0 17 IEEE SOLID-STATE CIRCUITS MAGAZINE


Prof. Jerald Yoo Visits SSCS New York Chapter

T
The IEEE New York Solid-State Cir- a raw electroencephalogram will eoff between available resources and
cuits Society (SSCS) and Electron be recorded for further analysis, and performance among the components,
Devices Joint Chapter held a Dis- transcranial electrical stimulation both in the analog front end and the
tinguished Lecture on 1 June 2017. may be applied at the same time. He digital back end, is crucial.
Prof. Jerald Yoo, Department of Elec- also emphasized that, in this case, This lecture will cover the design
trical and Computer Engineering, deep learning may not be the best strategies of sensor interface cir-
Singapore Institute for Neurotech- choice because there are not enough cuits for such wearable sensors. We
nology, National University of Sin- training sets. will first explore the difficulties,
gapore, gave the lecture “On-Chip Despite it being summer vaca- limitations, and potential pitfalls in
Epilepsy Detection: Where Machine tion, around 25 students attended wearable interfaces and strategies
Learning Meets Wearable, Patient- the seminar. After Yoo’s lecture, stu- to overcome such issues. After that,
Specific Seizure Monitoring.” dents asked many questions and system-level considerations for bet-
In his talk, Yoo first showed how engaged in a fruitful discussion. ter key metrics such as energy effi-
challenging a wearable environment ciency will be introduced. Starting
is, with 50/60-Hz noise, electrode dc Abstract from a 1 op-amp instrumentation
offset, 1/f noise, baseline drift, etc. Epilepsy is a severe and chronic neuro- amplifier (IA), we will cover vari-
Starting from a basic instrumenta- logical disorder that affects over 65 mil- ous IA circuit topologies and their
tion amplifier, he introduced design lion people worldwide. Yet current key metrics to deal with offset com-
strategies with detailed examples to seizure/epilepsy detection and treat- pensation. Several state-of-the-art
mitigate such challenges. ment largely relies on a physician in­­­­ instrumentation amplifiers that
In the second part of the lecture, terviewing the subject, which is not emphasize different parameters
Yoo showed how machine learning effective in the infant/children groups. will also be discussed. We will then
can be used to achieve a patient-spe- To expand the be­­neficiary group to in- see how the signal analysis part
cific seizure detection system. Sei- fants, a wearable form-factor, patient- impacts the analog interface circuit
zure detection is very challenging specific system design with machine design. Finally, an on-chip epilepsy
because seizure pattern is very dif- learning is crucial to mitigate and even- detection and recording sensor
ferent from each patient; by adopt- tually prevent many chronic diseases. system-on-chip will be presented,
ing machine learning, the circuit can However, the wearable environment which integrates all the components
“learn” each patient’s seizure electrical is challenging for circuit designers covered during the lecture. The lec-
onset and monitor such events in real due to its unstable skin-electrode in- ture will conclude with interest-
time. When the seizure is detected, terface. Wet and dry electrodes have ing aspects and opportunities that
significantly different electrical char- lie ahead.
Digital Object Identifier 10.1109/MSSC.2017.2746178 acteristics that needs to be addressed.
Date of publication: 16 November 2017 Also, in such an environment, the trad- —Abira Sengupta

Prof. Jerald Yoo and a few of the attendees of the 1 June lecture.

IEEE SOLID-STATE CIRCUITS MAGAZINE fa l l 2 0 17 121


ReSMiQ Annual Symposium 2017 Features
an IEEE SSCS Distinguished Lecture Seminar in Montreal

T
The IEEE Solid-State Circuits Society Montreal. The main topic was “Solid- Two Distinguished Lectures were
(SSCS) Montreal Chapter presented State Circuits for the Internet of Things.” given. Edith Beigné, from CEA-LETI,
a Distinguished Lecture seminar More than 50 attendees in­­cluding Grenoble, France, presented “Asyn-
during the ReSMiQ Annual Sympo- faculty members, students, and indus- chronous Design: a Design and System
sium 2017 at Polytechnique Montreal, trial representatives from Quebec, Can- Solution for Ultra-Low Power Internet
Quebec, Canada, on 26 May 2017. ada, were present. of Everything,” and Dennis Sylvester
This event was organized by Micro- The event started with welcoming from the University of Michigan, Ann
systems Strategic Alliance of Québec remarks by Prof. Mohamad Sawan, Arbor, presented “Ultra-Low Power IC
(ReSMiQ ) and supported by the SCSS president of the SSCS Montreal Chap- Design 101.”
Montreal Chapter and Polytechnique ter and the director of ReSMiQ. He ReSMiQ member Frédéric Nabki,
brief ly introduced ReSMiQ to the from École de Technologie Supéri-
Digital Object Identifier 10.1109/MSSC.2017.2746187 audience and talked about SSCS mem- eure (ETS), Montreal, Canada, talk­­­­­
Date of publication: 16 November 2017 bership benefits. ­e d about research done at Micro2

Mohamad Sawan, president of the SSCS Montreal Chapter and


director of ReSMiQ. Frédéric Nabki, ReSMiQ invited member, from ETS, Quebec, Canada.

Attendees of the Annual Symposium of ReSMiQ 2017, featuring an SSCS Seminar at Polytechnique Montreal, Quebec, Canada.

122 fa l l 2 0 17 IEEE SOLID-STATE CIRCUITS MAGAZINE


Edith Beigné, SSCS Distinguished Lecturer,
from CEA-LETI, Grenoble, France. Dennis Sylvester, SSCS Distinguished Lecturer, from the University of Michigan.

Laboratory in the lecture “From Micro-


electronics to Integrated Circuits:
the Infinite Possibilities of the Infi-
nite Small.”
Two industrial representatives
from Quebec, Geneviève Ouellet, pre­­
sident of the Electronic Industry
Group (RIE), and François Verdy-Goy-
ette, vice president, Sales and Mar-
keting Enterprise Group V3, gave
two talks concerning the current
state and challenges of the electron-
ics industry in Quebec, Canada.
The program also included a pos­
ter contest, where 19 graduate stu-
dents from eight universities in Que- The judges evaluating the student posters.
bec presented their research results.
The poster session was preceded by
plenary room, presentations where The judges evaluated the stu- ception d’un E metteur-Récep ­
students were tasked with talking dent s’ shor t pr esent at ion s a nd te­u r d’Impulsions à Bande Ultra
about their project background, the posters and awarded three prizes: Large (UWB)
methodology, and the most relevant o n e first-place and t wo second- ■ ■ second place: Ali Sarafnia, Con-

results in just 3 min. The judges place winners: cordia University, Introduction du
were three local professors, Yvon ■ ■ first place: Jonathan Bouchard, Paramètre de Contrôle de la Direc-
Savaria, Réjean Fontaine, and Yves Université de Sherbrooke, “Sys- tivité Adaptative pour les Tableaux
Audet, and SSCS Distinguished Lec- tème de Comptage de Photons de Microphones Différentiels Ori-
turers Edith Beigné and Dennis Syl- Corrélé en Temps à Faible Coût entables de Premier Ordre.
vester. The judges were impressed pour la Tomographie Optique Dif- The event closed with an award
by the quality of research present­ fuse à Mesure Dans le Domaine ceremony and a networking cock-
­ed in the fields of information tech- Temporal” tail reception.
nology, avionics, biomedical, and ■■ second place: Rabia Rassil, Ecole

telecommunication. de Technologie Supérieure, Con- —Mohamad Sawan

IEEE SOLID-STATE CIRCUITS MAGAZINE fa l l 2 0 17 123


SSCS Kolkata Chapter Holds One-Day Workshop

T
The IEEE Solid-State Circuits Society
(SSCS) Kolkata Chapter held a one-
day workshop, “SoC Design Using
Ve r i log.” T h e eve nt w a s h e ld at
t h e Department of Electronics and
Communication Engineering, MCKV
Institute of Engineering (MCKVIE),
How rah, West Bengal, India, on
20 June 2017. The workshop attracted
16 participants. The course instruc-
tors were Dr. Swarup Kumar Mitra,
associate professor, Department
of Electronics and Communication
Dr. Swarup Kumar Mitra speaks about Verilog.

Mitra talked about


the fundamentals of
digital circuit design and
application for Verilog
in combination with
circuit design.

E ng ineer ing, M C K V I E ; Dr. S aga r


Mukherjee, assistant professor, De­­
partment of Electronics and Com-
munication Engineering, MCKVIE;
and Indranil Hatai, assistant profes-
sor, School of VLSI (very-large-scale
integration) Indian Institute of Engi-
neering Science and Technology. Sagar Mukherjee talks about FPGAs.
Mitra talked about the fundamen-
tals of digital circuit design and appli-
cation for Verilog in combination with
circuit design. He ex­­­plained sys-
tem-on-chip (SoC) using Verilog and
the importance of field-programmable
gate array (FPGA) as a platform of SoC
implementation. Mukherjee explained
the fundamentals of FPGA architecture
and the method of hardware software
codesign involving FPGA and Verilog in
the implementation of SoC. Hatai dis-
cussed different SoC design method-
ologies including VLSI design cycles.
He discussed the requirement of Ver-
ilog in the designing of the SoC system
and the different applications of SoC in
the world of electronics. Indranil Hatai discusses SoCs.

Digital Object Identifier 10.1109/MSSC.2017.2746188 —Abira Sengupta


Date of publication: 16 November 2017 

124 fa l l 2 0 17 IEEE SOLID-STATE CIRCUITS MAGAZINE


People

SSCS Rising Star: In Step with Reza Erfani


Meshing Art with Engineering

R
Reza Erfani is an electrical engineer
by career, but his love of art is some-
thing that he has always been pas-
sionate about. When he entered the
2016 IEEE Solid-State Circuits Soci-
ety (SSCS) Student T-Shirt Design
Contest, he was able to merge his
career with his hobby. Reza won
the contest, designing a t-shirt that
illustrated the cool technologies of
circuit design. The t-shirt was dis-
tributed at the International Solid-
State Circuits Conference to more
than 350 SSCS student members.
“I’ve been interested in art since
I was a child and had not thought
about doing a project until I entered
the SSCS t-shirt design contest,” Reza Reza’s presentation, “Amplitude-Engraving Modulation (AEM) Scheme for Simultaneous
said. ‘It was exciting that I was able Power and Data Transfer to Biomedical Implants,” at IEEE BioCAS 2013 in Rotterdam,
The Netherlands.
to merge my hobby with my career.”
Reza is currently a Ph.D. can-
didate in electrical engineering in capacitive links. Reza’s research, Technology, Tehran, Iran, in 2014.
the Electrical Engineering and Com- de­­s igning implantable biomicro- Both degrees are in electrical engi-
puter Science (EECS) Department at systems, is categorized into two neering. He worked as a senior RF
Case Western Reserve University parts: complementary metal-oxide-
(CWRU), Cleveland, Ohio, where he semiconductor IC design and the
is conducting research on develop- wireless link solution capable of
ing the next-generation implant- delivering a high level of wireless
able biomicrosystems for interfacing power and high-rate data.
with the peripheral nervous sys- Reza received his B.S. degree
tem employing novel techniques from Shahid Rajaee University, Teh-
for transcutaneous wireless power ran, Iran, in 2010 and his M.S. degree
transfer and data telemetry using from the K.N. Toosi University of

This article is part of a series highlight- Fun Facts about Reza


ing an SSCS member. Once a year, we ■ Reza’s father is an artist.
will highlight a “Rising Star.” To apply or ■ Reza enjoys playing music and sing-
nominate someone, please e-mail Abira ing as a hobby.
Sengupta (abira.sengupta@ieee.org) ■ He plays intramural soccer and volley-
ball at CWRU, and his team won the
Reza presented “Transcutaneous Capacitive
Digital Object Identifier 10.1109/MSSC.2017.2746189
championship. Wireless Power Transfer (C-WPT) for Bio-
Date of publication: 16 November 2017 medical Implants” at ICAS 2017, Maryland.

IEEE SOLID-STATE CIRCUITS MAGAZINE fa l l 2 0 17 125


Reza (left) with SSCS Membership Chair Patrick Yue, both wearing
Reza’s winning design of the 2017 SSCS student t-shirt design (From left) Fatemeh Marefat, Prof. Amir M. Sodagar, Prof. Pedram
contest. Mohseni, and Reza Erfani.

Reza (bottom, far left) with his teammates at the Intramural


Case Western Reserve University indoor soccer championship in Reza and his wife Fatemeh at the Great Smokey Mountains in Gatlin-
March 2017. burg, Tennessee.

Electrical and Computer Engineering


De­­part­­ment, K.N. Toosi University of
Technology, where he worked on the
wireless telemetry of data and power to
biomedical implants. In January 2015,
Reza joined the BioMicroSystems Labo-
ratory run by Prof. Pedram Mohseni
at the EECS Department, CWRU.
One of Reza’s biggest aspirations
is that one day his research will help
push the limit for fully implantable,
wireless, and functional bioelec-
tronics devices to be accessible to ev-
ery patient around the world. These
devices would aid with curing most
Reza’s live performance at an event called Yalda Night.
diseases. “Even compared to the past
decade, researchers have pushed the
engineer from 2010 to 2012 where he ple-output orthogonal frequency- limits in solid-state circuits research,”
focused on the design of RF ­modules division multiplexing systems. In the Reza said.
such as frequency synthesizers and fall of 2011, he joined the Integrated Reza added that the SSCS has im­­
quaternary phase-shifting keying Circuits and Systems (ICAS) Laborato- pacted his life greatly—both profes-
transceivers for multiple-input, multi- ry run by Prof. Amir M. Sodagar at the sionally and personally.

126 fa l l 2 0 17 IEEE SOLID-STATE CIRCUITS MAGAZINE


conferences,” Reza said. At SSCS con- cultures. Reza is currently the presi-
ferences, Reza learned about state-of- dent of the Case Iranian Society
the-art technology demonstrations, (CIS). The CIS strives to share the
and IC career opportunities. He edu- rich Iranian culture with the entire
cated himself by attending tutorial CWRU community and unites Ira-
sessions and short courses. nian students, helping them main-
“Although the main goal of the tain their heritage.
Society is to influence members’ pro- Reza also enjoys singing and playing
fessional lives and provide career music. Recently, the CIS or­­ganized an
opportunities, there have definitely event called Yalda Night (Yalda Night
been situations where SSCS has is the longest night of the year where
impacted my life,” Reza said. The Persians will get together and cel-
student t-shirt design contest was a ebrate victory of light over darkness),
great opportunity for him. “It was where Reza per­­formed classic pieces of
a fun and fascinating opportunity,” Persian music for over 150 attendees.
Reza and his wife Fatemeh at Yalda Night
Reza said. Reza likes to keep active and is very in-
in December 2016.
Outside of his professional life, volved in sports such as hiking, skiing,
Reza loves to share his Persian cul- volleyball, and soccer.
“It goes without saying that the ture. He enjoys organizing cultural
SSCS is well known for hosting the and social events with his friends
highest-quality solid-state circuit and uniting people from different —Abira Sengupta

SSCS Member-at-Large Behzad Razavi Receives


the IEEE CAS John Choma Education Award

I
IEEE Solid-State Circuits Society (SSCS) nized my education efforts and my
Member-at-Large Prof. Behzad Razavi commitment to inspiring students
is the recipient of the 2017 IEEE Cir- and engineers,” Prof. Razavi said. For
cuits and Systems (CAS) Society John almost a quarter of a century, Razavi
Choma Education Award for his sem- has educated and excited students and
inal books and his global impact on engineers from all over the world. From
circuits education. his textbooks and papers to lectures
The IEEE CAS John Choma Edu­ and seminars, his teaching and spirit
cation Award honors individuals with have inspired and shaped people’s inter-
exceptional contributions to educa- est in circuits.
tion in a field within the scope of the Razavi published his first textbook
CAS Society. The publication of text- while working at AT&T Bell Laborato-
books, research supervision of grad- ries and teaching courses at Princeton
uate and undergraduate students, University. The book covered the analy-
short course development, and par- sis and design of data converter cir-
ticipation in continuing education are cuits. He also edited and published
Behzad Razavi
contributions that a recipient must a compendium of IEEE papers on
meet to receive this award. phase-locked loops in 1995. In 1996,
Prof. Razavi said that it was a tre- Razavi joined the University of Califor-
mendous honor to receive this award. nia, Los Angeles (UCLA). He created new
Digital Object Identifier 10.1109/MSSC.2017.2746190 “I am delighted that the IEEE Circuits courses on radio-frequency (RF) circuits
Date of publication: 16 November 2017 and Systems Society has recog- and systems and data converters, both

IEEE SOLID-STATE CIRCUITS MAGAZINE fa l l 2 0 17 127


Prof. Razavi with his books and their
Prof. Razavi’s YouTube lecture on undergraduate electronics. translations

of which are still being offered more With the demand for high-speed opti- merous research and teaching awards,
than 20 years later. At UCLA, Razavi cal transceivers growing, Razavi pub- Razavi has graduated more than 20
started his own research program and lished a textbook, Design of Integrated Ph.D. students and taught short cours-
wrote a textbook on RF microelectron- Circuits for Optical Communications, in es at major conferences including the
ics. This book has been translated 2003. In 2008, Razavi published his International Solid-State Circuits Confer-
to multiple languages and has been fifth book, the undergraduate text Fun- ence, Custom Integrated Circuits Confer-
cited approximately 4,500 times. damentals of Microelectronics. ence, and VLSI Symposium.
In 1999, Razavi published Design Recognizing the impact of massive “Receiving this award reinvigorates
of Analog CMOS Integrated Circuits, online open courses and online learn- my dedication to teaching the members
a textbook dedicated to modern ing, in 2014 Razavi produced a series of of our community at UCLA, within SSCS,
analog design. The book received videos on undergraduate electronics. within IEEE, and around the globe,” Razavi
the McGraw-Hill First Edition of the The videos have been viewed 600,000 said. “I have initiated several projects
Year Award. Often called the bible times. Currently, Prof. Razavi is work- along these lines working on more video
of analog design, this book has ing on a series of short online videos tutorials, another textbook, and a self-
been cited 8,000 times and has been for the SSCS called SSCSx. driving car project for UCLA students.”
translated into Chinese, Japanese, A member of the U.S. National Acad­
and Korean. emy of Engineering and recipient of nu- —Abira Sengupta

Why I’m an SSCS Member: Alvin Loke

A
Alvin Loke is a long-time IEEE Solid- many major contributions to the SSCS, tron Device Society member only. As
State Circuits Society (SSCS) mem- including being a Distinguished Lecturer my career transitioned from technol-
ber. He first became involved with and serving as North America’s webi- ogy development to circuit design,
the Society 15 years ago, where he was nar coordinator. it was the natural step to join the
a pivotal part of the SSCS Denver SSCS. At the time, I was interested
Chapter. Since then, Alvin has made Why Did You Join SSCS? in getting involved with the SSCS Den-
I joined the Solid-State Circuits Society ver Chapter in Fort Collins, Colorado,
Digital Object Identifier 10.1109/MSSC.2017.2746191 (SSCS) in 2002. At the time, I didn’t know which was just formed thanks to the
Date of publication: 16 November 2017 much about SSCS as I was an IEEE Elec- initiative of founding Chapter Chair

128 fa l l 2 0 17 IEEE SOLID-STATE CIRCUITS MAGAZINE


Randy Rannow and encouragement
of President (then Chapters chair)
Jan van der Spiegel. It was exciting
because Fort Collins did not have a tech-
nically vital circuits community despite
extensive circuits development in the
local industry.
I wanted to bring in speakers
and learn more about what we’re so
passionate about and share it with
others, something that became a
part of me after studying in Silicon
Valley. What made the experience
so enjoyable and rewarding was the
amazing team of dedicated Chapter
volunteers—my wife Tin Tin Wee, Bob
Barnes, Don McGrath, Bruce Doyle,
and Steve Martin—who genuinely
cared about helping the community.
We never would have imagined that
a humble grassroots effort would be Eric Vittoz (DL, second from left) was hosted by IEEE Denver Chapter officers (From left): Don
recognized as the 2005 SSCS Chapter McGrath, Bob Barnes, Tin Tin Wee, and Alvin Loke). March 2004.
of the Year.

How Has SSCS Impacted


Your Career?
SSCS has been the rock in my pro-
fessional career. I was a very active
officer in the Denver Chapter for ten
years. During this time, our Chapter
organized over 90 seminars, many
by famous and prolific experts in
our global community. When you
host experts for visits and talks,
their wisdom rubs off on you. They
tell you things and offer advice—not
just technical insights but career
and life mentoring. Many high-tech
companies today are too focused
on a quick return on investment
without investing much in mentor-
The September 2013 DL tour in Porto Alegre, Brazil (from left): Alvin Loke, Sergio Bampi, and
ing relatively new and impression-
Jake Baker).
able new grads. Thankfully, we are
blessed to belong to a community
full of technical powerhouses, many the world and see how different Chap-
of whom are genuine and gener- ters ran. SSCS has given me a lot, and I
ous individuals. feel obligated to spend time helping the
The IEEE Solid-State Circuits Society My SSCS Chapter involvement be­­ Society in whatever small way I can.
(SSCS) recently introduced its new fea- came the foundation for building
ture in the “People” column. The “Why many new friendships as well as per- Why Should Someone
I’m an SSCS Member” feature highlights sonal confidence. The connections gave Become an Sscs Member?
the importance and benefits of Soci- me the opportunity to publish my work, There are many facets to being an
ety membership, and what it means to which led to participating in the Custom SSCS member. The Society truly gives
be a member of IEEE. Tell us why you’re Integrated Circuits Conference techni- you a sense of community. Being a part
an SSCS member; e-mail abira.sengupta@ cal committee. It also led to a two- of the SSCS family has unquestionably
ieee.org to be featured. year stint as a Distinguished Lecturer, become a part of my professional and
where I had the opportunity to travel personal identity. The SSCS membership

IEEE SOLID-STATE CIRCUITS MAGAZINE fa l l 2 0 17 129


fee (and IEEE membership for that mat- cal engineering from Stanford University.
ter) is small for what you get. One of He worked on complementary metal--ox-
the greatest parts of SSCS is belonging ide-semiconductor (CMOS) integration
to a community that has contributed so for several years and has been design-
much to advancing humanity. It’s a rela- ing circuits for a variety of wireline links
tively small community, and we’re all in and addressing next-generation CMOS
this together. I can’t emphasize the net- design concerns while at Agilent, AMD,
working aspect enough. In a time where and now at Qualcomm, where he is a
the industry is moving fast and job principal engineer. He has authored sev-
security is nonexistent, the network of eral dozen publications and 21 patents.
people you’ll meet through SSCS is the He has served as a Custom Integrated
only real insurance you have to make Circuits Conference committee member,
sure you’re gainfully employed. IEEE Chapter chair, guest editor of IEEE
Journal of Solid-State Circuits, and IEEE
Alvin Loke
Alvin Loke Distinguished Lecturer.
Alvin Loke received the B.A.Sc. de­­gree in from the University of British Columbia
engineering physics with highest honors and the M.S. and Ph.D. degrees in electri- —Abira Sengupta

JxCDC Editor-in-Chief Ian Young Receives


the IEEE Frederik Philips Award

I
IEEE Solid-State Circuits Society
member and IEEE Journal on Explor-
ator y Solid-State Computational
Devices and Circuits (JxCDC) Editor-in-
Chief Dr. Ian Young is the recipient of
this award. I am very thankful to the
people who went out of their way to
support my nomination. What made it
even more special was that Dr. Gordon
Moore, the cofounder of Intel, was a
the 2017 IEEE Frederik Philips Award. recipient of this award in 1979. He
The IEEE Frederik Philips Award, spon- has been my inspiration throughout
sored by Philips Electronics N.V., was my career.
established in 1971. The award is
given for outstanding accomplish­ments How Will Winning This Award Affect
in the management of re­­search and Your Work at Intel and with the IEEE?
development resulting in effective I hope that the IEEE recognition of
innovation in the electrical and elec- my work will show Intel engineers
tronics industry. Young received the and scientists that our work contrib-
Ian Young
IEEE Frederik Philips Award “for leader- utes not only for the benefit of our
ship in research and development on company but also toward the prog-
circuits and processes for the evolu- How Did You Feel After Winning ress of the semiconductor industry
tion of mi­­c roprocessors.” A short This Award, Was it a Total Surprise? as a whole. To me, this IEEE award
interview with Young follows. I had never expected to be considered reaffirms that we are part of a large
for such a prestigious IEEE award, industry all working together to
let alone becoming a recipient. I make products that improve the
Digital Object Identifier 10.1109/MSSC.2017.2746192 feel very fortunate since there are society. While software engineer-
Date of publication: 16 November 2017 plenty of other people who deserve ing has been getting much attention

130 fa l l 2 0 17 IEEE SOLID-STATE CIRCUITS MAGAZINE


in recent years, my hope is that the replaced the legacy front side bus I/O ing circuits on microprocessors are
semiconductor industry will con- that had been the mainstay approach among the most-shipped analog cir-
tinue to attract the next generation for many generations of prior X86 cuit on IC chips to date.
of innovative technologists because processors and were also used to in- As a manager of static random-ac-
there is much challenging and excit- tegrate asynchronous cess memory design
ing work ahead of us. PCI-express I/O on chip. a nd a n a log cir c u it
These high-speed se- design teams, he de-
Young received
Please Describe the Work rial I/Os enabled sig-
the IEEE Frederik
velop ed a pr o cess
You’ve Done that Led to You nificant increases in
Philips Award
development and cir-
Receiving This Award the performance of
“for leadership
cuit design cooptimi-
My work during the early 1990s contrib- Intel processors. zation methodology, a
in research and
uted to Intel microprocessor speed- Since 2010, I have holistic approach to
development
performance through the in­­ vention focused my work on a optimize the micro-
on circuits and
and implementation of the first fully new challenge, which processor performance,
processes for the
integrated phase locked loop (PLL) mi- is to lead a research process density, and
evolution of mi­­
croprocessor clocking circuit, which group defining the ma- yield. This integrat-
croprocessors.”
revolutionized the rate of micropro- terials, devices, and ed methodology has
cessor clock frequency throughout architecture for the been a significant con­­
the semiconductor industry. This in- beyond-CMOS IC tech- tributor toward the suc-
vention was used on all the microproces- nology. These efforts are going to help cess of Intel microprocessor products
sor products Intel shipped from 1991 to sustain not only Moore’s law but also since 1988. Today this cooptimization
the processors being shipped even today. to find the directions to make IC even methodology is used across the semi-
It was the industry’s first complex more capable and energy efficient. conductor industry. He lead a design
analog circuit implemented in a di­­­­gital team that successfully laid the ground-
logic complementary metal-oxide- Ian Young work for the integration in a logic pro-
semiconductor (CMOS) process without Ian Young is a senior fellow and director cess of analog mixed signal high-speed
requiring the integration of any special at Intel Corporation. His current role is serial I/O circuits such as PCI-express
precision elements. to lead the Intel research in beyond- and Intel Quick Path Interconnect on In-
I developed a benchmarking meth- CMOS devices and circuits in search tel microprocessors.
odology for the cooptimization of of the new semiconductor logic tech- He received the 2009 International
the process definition with circuit nology for the future energy efficient Solid-State Circuits Conference’s Jack
requirements. It involved defining cir- computing devices. Born in Melbourne, Raper Award for Outstanding Tech-
cuits that could identify the transistor Australia, he received his bachelor’s nology Directions paper. He is a
and interconnect technology directions and master’s degrees in electrical Fellow of the IEEE. He holds 74 issued
to improve processor performance. This engineering from the University of Mel- patents in integrated technology
benchmarking has been used in each bourne, Australia, and subsequently and circuits and has published over
generation of process technology devel- received his Ph.D. degree in electrical 120 technical papers. He served as
opment since Intel’s 0.25-μm CMOS pro- engineering from University of Califor- the Technical Program Committee
cess development and continues even to nia, Berkeley. chair of the 2005 International Solid-
the present. Today this cooptimization He was a lead inventor of the ori­­ State Circuits Conference and was the
methodology is used across the semi- ginal Intel microprocessor (PLL) chair of the 1998 Symposium on VLSI
conductor industry. clocking circuits that drove the per- Circuits. He was a guest editor for IEEE
I led a team of circuit designers to formance of Intel Pentium and Intel Journal of Solid-State Circuits and IEEE
overcome the analog-digital mixed- Core processors from 50 MHz to over Journal of Selected Topics in Quantum
signal circuit integration challeng­­es 3 GHz. His innovation contributed to Electronics. He is currently the editor-
in realizing 10-Gb/s high-speed se- the rapid increase in the speed and in-chief of the new IEEE Journal on
rial input–output (I/O) circuits on a performance of microprocessors Exploratory Solid-State Computational
­processor. It was a significant achieve- through the 1990s while following Devices and Circuits.
ment because these serial I/O circuits Moore’s law scaling. The PLL clock- —Abira Sengupta

IEEE SOLID-STATE CIRCUITS MAGAZINE fa l l 2 0 17 131


SSCS Vice President Bram Nauta Inducted to
the Royal Dutch Academy of Arts and Sciences

I
IEEE Solid-State Circuits Society members represent a wide spectrum
(SSCS) Vice President Bram Nauta was of scientific and scholarly disciplines,
inducted into the Royal Dutch Acad- giving all members the opportu-
emy of Arts and Sciences in June. nity to embrace new fields in science
Nauta is a professor at the Univer- and scholarship.
sity of Twente, heading the Integrated Nauta was inducted as a result of
Circuits Design group. His current the work he performed throughout
research interests are high-speed ana- his career and it was a great honor.
log complementary metal-oxide-semi- “It was a surprise for me,” Nauta
conductor circuits, software-defined said, “especially because I’m an elec-
radio, cognitive radio, and beamforming. trical engineer working on the appli-
Academy membership is a great cation side of science.”
honor in The Netherlands. The acad- He hopes his induction will open
emy appoints a maximum of 16 new new doors for him, especially outside
members every year. Membership is Bram Nauta his own scientific field.
awarded based on an individual’s sci­­ For more information about the
entific and scholarly achievements. Royal Dutch Academy of Arts and Sci-
Once appointed, indiv iduals are ences, visit https://www.knaw.nl/nl.
members for life. Members meet and
Digital Object Identifier 10.1109/MSSC.2017.2746193 discuss issues of interest to science, —Abira Sengupta
Date of publication: 16 November 2017 scholarship, and society. Academy 

A Circu it for All Sea sons (continued from p. 16)

+
+ VF + –
Vin +
VF − VF
– VF − VF

Flash
ADC

Vin + – 1.5 + –
– Vin − Vin Vin − Vin (LSB)
VF
CK1
CK2
(a) (b) (c)

Figure 10: (a) The flash stage preceded by a polarity detector and (b) the resulting characteristic and the characteristic in the presence of
comparator offset.

References Areas Commun., vol. 9, pp. 711–717, June


1991.
[5] R. Payne, P. Landman, B. Bhakta, S. Ra-
maswamy, S. Wu, J. D. Powers, M. U. Er-
[1] M. E. Austin, “Decision-feedback equal-
ization for digital communication over [4] J. F. Bulzacchelli, M. Meghelli, S. V. Rylov, dogan, A. Yee, R. G. L. Wu, Y. Xie, B. Par-
dispersive channels,” Tech. Rep. 437, Lin- W. Rhee, A. Rylyakov, H. A. Ainspan, B. D. thasarathy, K. Brouse, W. Mohammed, K.
coln Laboratory, Aug. 1967. Parker, M. P. Beakes, A. Chung, T. J. Beu- Heragu, V. Gupta, L. Dyson, and W. Lee, “A
[2] J. Jung and B. Razavi, “A 25 Gb/s 5.8 mW kema, P. K. Pepeljugoski, L. Shan, Y. H. 6.25-Gb/s binary transceiver in 0.13-um
CMOS equalizer,” IEEE J. Solid-State Cir- Kwark, S. Gowda, and D. J. Friedman, “A CMOS for serial data transmission across
cuits, vol. 50, pp. 515–526, Feb. 2015. 10-Gb/s 5-tap DFE/4-tap FFE transceiver high loss legacy backplane channels,”
[3] S. Kasturia and J. H. Winters, “Tech- in 90-nm CMOS technology,” IEEE J. Solid- IEEE J. Solid-State Circuits, vol. 40, no. 12,
niques for high-speed implementation of State Circuits, vol. 41, no. 12, pp. 2885– pp. 2646–2657, Dec. 2005.
nonlinear cancellation,” IEEE J. Selected 2900, Dec. 2006.


132 fa l l 2 0 17 IEEE SOLID-STATE CIRCUITS MAGAZINE


SOC IET Y n e ws

SSCS Hosts Women in Circuits Bay Area Networking Luncheon

T
The IEEE Solid-State Circuits Society
(SSCS) hosted its first annual Women
in Circuits (WiC) Bay Area Network-
ing Luncheon on Friday, 14 July, in
Palo Alto, California. The luncheon
attracted over 30 attendees from the
Bay Area. The conversation flowed,
connections were made, and deli-
cious Italian fare was consumed at Il
Fornaio, Palo Alto.
The event began with opening
statements from Yildiz Sinangil, who
talked about the benefits of joining
the SSCS and the WiC initiative. She
spoke about the various network-
SSCS WiC member and luncheon organizer Yildiz Sinangil talks to attendees about the
ing opportunities for SSCS confer-
benefits of becoming an SSCS member.
ences and local Chapter events where
women can meet and interact with
each other and the many opportuni-
ties joining the WiC can lead to, such
as journal editorships, guiding pro-
grams at Chapters, and serving on tech-
nical program committees.
Following Sinangil’s talk, Apple
Vice President of Hardware Engineer-
ing Kate Bergeron spoke to the group,
touching on her experience as an
engineer over the past 25 years that
took her from Cambridge to a small
medical device company in Boulder
and then to Apple in Silicon Valley.
She encouraged the young women to
find what gives them satisfaction and
Apple Vice President of Hardware Engineering Kate Bergeron talked about her experience of
happiness in their careers, telling the being an engineer over the last 25 years.
audience, “I love seeing our team’s work
culminate in a product that will sur-
prise and delight our customers. That Bergeron also gave the group ad­­ nities and cha llenges that your
is what continues to inspire me and is vice on management and mentorship, employees have…Finding the bal-
the reason I come to work every day.” explaining, “As an employee, be honest ance between ‘too much’ and ‘not
with your manager. If you are strug- enough’ will be one of your most dif-
gling, ask for help. If you are crush- ficult challenges.”
Digital Object Identifier 10.1109/MSSC.2017.2746194 ing it, ask for more…As a leader, your Bergeron concluded her talk by pro-
Date of publication: 16 November 2017 job is to support both the opportu- moting communities like the SSCS

IEEE SOLID-STATE CIRCUITS MAGAZINE fa l l 2 0 17 133


Rikky Muller, assistant professor at the University of California,
Berkeley, and cofounder of Cortera Neurotechnologies, talks Professor Tom Lee (far left) and Mojtaba Sharifzadeh (far right) with
about her career journey. luncheon attendees.

WiC luncheon organizer Yildiz Sinangil (center) with her


tablemates. Rikky Mueller (center) with luncheon attendees.

Everyone enjoyed the luncheon. SSCS Membership Chair Patrick Yue joins the WiC luncheon.

and other organizations in which women a change agent, and go forth to make a Berkeley and cofounder of Cortera
are supported. She asked others to stay bit more of a mess in the world. It can Neurotechnologies Inc., discussed
involved and offer their support for the actually be quite fun,” she said. her journey through the corporate,
broader community. “I ask that you Rikky Muller, assistant profes- st a r t-up, a nd a c a d e m i c w o r l d s .
all carry a torch for women engineers, be sor at the University of California Muller compared the three worlds and

134 fa l l 2 0 17 IEEE SOLID-STATE CIRCUITS MAGAZINE


talked about her lifestyle and career Mojtaba Sharifzadeh, Chapter chair of other. People from different stages of
for each category. She offered attend- the Silicon Valley SSCS Chapter, talked their career were seated at each table.
ees multiple pieces of advice: have about the benefits of joining the Chap- Attendees swapped advice and stories
really great mentors, find your passion ter and how attendees can get involved. on topics such as work/life balance,
and work on something you care about, In between and after each talk, gender bias in the workplace, and mov-
take risks, and, most importantly, do luncheon attendees had the opportu- ing forward in their careers.
what makes you happy. nity to meet and interact with each —Abira Sengupta

SSCS Circuit Analysis and Design Contest

T
The IEEE Solid-State Circuits Soci- Contest in Fall 2017. For details of this https://sscs.ieee.org/education/2017-
ety (SSCS) is pleased to launch its contest, including its eligibility crite- 2018-circuit-analysis-design-contest.
first Circuit Analysis and Simulation ria, submission procedure, submis- The submission deadline is 15 January
sion deadline, and awards, refer to 2018, 5 p.m. EST.
Digital Object Identifier 10.1109/MSSC.2017.2746195
Date of publication: 16 November 2017

IEEE Solid-State Circuits Letters


A New IEEE Publication

T
The IEEE Solid-State Circuits Society is novel ideas with experimental results Each paper is reviewed by two peers
pleased to introduce IEEE Solid-State demonstrating a high performance. from an Editorial Review Board, which
Circuits Letters (IEEE SSC-L), a new, The length of the papers, including fig- consists of individuals who are com-
fast-turnaround publication in the ures, tables, and references, is limited mitted to a fast turnaround, and a
area of ICs. Aiming for a submission- to four journal pages. The editor-in- third independent reviewer.
to-publication time of fewer than four chief is Behzad Razavi of University of For more information, visit the
months in the first year and three California, Los Angeles. IEEE SSC-L website: http://sscs.ieee
months thereafter, IEEE SSC-L invites The review process for papers .org/publications/ieee-solid-state-
authors to submit papers that present submitted to the publication upholds circuits-letters-ssc-l.
similar standards to IEEE Journal of
Digital Object Identifier 10.1109/MSSC.2017.2746196 Solid-State Circuits, with the excep- —Behzad Razavi
Date of publication: 16 November 2017 tion of a shorter turnaround time. 

IEEE SOLID-STATE CIRCUITS MAGAZINE fa l l 2 0 17 135


con ference reports

2017 Symposium on VLSI Circuits


Harmonious Integration Toward Next Dimensions

T
To continue the exponential growth
that has propelled the semiconductor
industry for decades, parti­­cularly RBL BL BLB RBLB
in the face of decelerating technol- RWL WWL WWL RWL
ogy scaling, the very-large-scale-
integration (VLSI) community needs
to identify additional dimensions
for innovation. This effort was front
and center at the 2017 Symposia on
VLSI Technology and Circuits held in
Kyoto, Japan, 5–8 June 2017, the semi-
conductor industry’s premier event on Bit Cells
advances in microelectronics tech-
nology and circuits. In particular, RBL RBLB BL BLB
harmonious integration of the two
communities was a focus as the two
symposia fully overlapped for the S.A. S.A.
Write
first time. The four-day event included QB Q QB Q Buffer
joint circuits and technology focus
sessions and joint evening panels in
addition to overlapped short courses
and a new joint demo session. XOR/
OR NOT AND One-Column
Operation
Circuits for the Internet of Things
For years, the anticipated ubiquitous
ReadOut Data
deployment of connected devices,
or the Internet of Things (IoT), has Shifter
been a significant focus for many (Wiring Based)
circuit designers, and that trend
continued at this year’s symposium.
One of the circuits plenary sessions, Shift
WData

“Innovative Solutions Toward Future


Mux 64-Bit ROT
Society with AI, Robotics, and IoT”
5 to 1 Rotator
by Takeshi Yukitake of Panasonic DIN D Q
Corp., discussed the technologies be­­
hind a safe and securely networked SBOX
society. The benefit of edge devices Mux SBOX
processing data in physical space, as 16 to 1
Writ FF
opposed to the cloud, was ­illustrated

Digital Object Identifier 10.1109/MSSC.2017.2746197


Date of publication: 16 November 2017 Figure 1: A crypto-SRAM bank from the University of Michigan’s Recryptor processor.

136 fa l l 2 0 17 IEEE SOLID-STATE CIRCUITS MAGAZINE


­ OSRAM, a 100-MHz normally off CPU
D The second plenary s ­ ession, “In­­
was successfully demonstrated with dra- side Waymo’s Self-Driving Car: My
matically reduced power consumption, Favorite Transistors,” was delivered
94% for CPU core and 70% for memory. by Dr. Daniel Rosenband of Waymo. He
highlighted the diverse set of technolo-
Toward Autonomous Driving gies needed to enable automated driv-
Advanced drive assistance function ing functions and explained that many
systems in today’s cars will become of these technologies are built upon
partially automated systems within advanced semiconductor designs.
several years, and it is only a matter of For example, direct time-of-flight lidar
time before vehicles are fully autono- systems rely on high-speed compara-
mous. These trends are evident in the tors to accurately capture the timing of
rapid de­­­velopment of lidar, radar, and echo signals, which are then trans-
by a functionally distributed facial vision-sensing systems, which were lated into range information for a
recognition s y ste m that reduced also on display at the 2017 Cir- three-dimensional (3-D) image. Simi-
data rates by five times. cuits Symposium. larly, vision systems for self-driving
One of the many contributions
presented to the symposia from the Uni-
versity of Michigan was the Recryptor, a
compact advanced RISC-machine-M0-
based reconfigurable cryptographic
processor for IoT. This processor is ca-
pable of performing various cypher al-
gorithms with state-of-the-art efficiency
due to a programmable in-memory cal-
culation block that accelerates wide bit
width arithmetic operations (Fig­ure 1). In
40-nm complementary-metal-oxide
semiconductors (CMOS), the resulting
performance was seven times faster
Daniel Rosenband of Waymo, delivering one of two Circuits plenary ­sessions.
and had 13 times lower energy than
previous accelerated implementations.
During one of the joint Technol-
ogy–Circuits focus sessions, “Ultra-Low
SPAD and Front-End Circuit Block From Address Decoder
Power for the IoT,” researchers from
United Microelectronics Corp. dem- V_SPAD (+25.5 V) Data Line
onstrated the world’s first 100-MHz Quenching To
dynamic oxide semiconductor RAM Resistor Bias Correlation Detector
(300 kΩ)
(DOSRAM) using a new high-mobility
InGaZnO material. The un­­d erlying
technology advance, as shown in Fig- SPAD ac Couple
(5fF)
ure 2, is a nearly two-times increase
in the mobility of the indium gallium
zinc oxide (IGZO) channel. Using this Pull-Up
Address Decoder Resistor for PLL
Open Drain
Clock (600 MHz, 8 Phase)
Valid Timing
TDC
Hall Mobility (cm2/Vs)

35
30
Peak Count Control
25 New IGZO Macro Pixel Logic
20 (2 × 4 SPAD) Correlation
15 Detector
Conventional and
10 Data Address
IGZO 32 × 4 Peak Counter
5 Data Line Memory
10 10 10 10 10 1020 1021
15 16 17 18 19 Pixel Array
Carrier Concentration (/cm3) External
Read Out
Figure 2: A comparison of Hall mobility
between new and conventional IGZO. Figure 3: A block diagram of Denso’s 2-D SPAD array imager chip.

IEEE SOLID-STATE CIRCUITS MAGAZINE fa l l 2 0 17 137


cars use many high-resolution image mance. Other types of sensors, including application and others, learning in cir-
sensors, relying on circuit advances inertial microelectromechanical sys- cuits was another focus area for the
to maximize throughput and decrease tems (MEMS) and anisotropic mag- symposium, beginning with a short
power consumption. Of course, the net resistance angle sensors, were course, “Machine Learning for Circuit
extensive data generated by the covered as well. Connectivity was an Designers.” The short course covered
mul­­titude of sensors require a very important topic, with Marvell covering the basics of machine learning as well
powerful compute system. Graphics in-car networks to support high-speed as state-of-the-art implementation
processing units, field programmable data interface and Intel discussing a techniques from a range of associ­­
gate arrays, and custom application variety of topics that included 5G and a­­
ted applications. For example, Prof.
specified integrated circuits are all secure wireless platforms for interve- Verma of Princeton University described
used, balancing tradeoffs in hard- hicle communication. energy-efficient mixed-signal systems
ware acceleration. The self-driving During one of the technical sessions, for machine-learning algorithms. In
car also has unique memory system Denso Corporation, in collaboration addition, a talk from Fujitsu focused on
requirements, and very high-perfor- with Toyota R&D, presented a single large-scale neural networks utilized in
mance DRAM is needed in terms of photon avalanche detector (SPAD) the cloud, and Qualcomm reviewed
bandwidth, but this must be balanced array chip targeted for automotive machine-learning architectures for
with the need for low power and high lidar in Figure 3. The paper described mobile devices. Topics were selected to
levels of integration. the world’s first two-dimensional pixel be of interest to the circuit-level engi-
The same topic was covered in one array with a SPAD macro pixel archi- neer, including parallelization, accelera-
of the Circuits short courses, “Inte- tecture directly outputting digital tors, the importance of data movement,
grated Circuits for Smart Connected Cars data. Time-domain statistical process- and memory ­management.
and Automated Driving,” which featured ing is used to improve the accuracy of Advanced computing architectures,
seven distinguished presenters cover- time-of-flight distance measurement. The including those specific to neuromor-
ing a wide range of topics. The ses- chip is built into an imaging system phic devices, were covered in another
sion began with an excellent overview with a laser and scanning MEMS mir- joint focus session. Investigators from
on automotive electronics, drawing ror, and this system is capable of imag- IBM Research in Japan discussed neu-
on the real-world experience of Bosch. ing targets at ranges up to 20 m, even in romorphic device architectures with
Technical talks on previously men- full 75-klux ambient light. support for new computing systems
tioned sensor modalities were included, that can learn from unstructured data.
such as Prof. Kawahito from Shizuoka Deep Learning in Circuits Both static random-access memory
Uni­­versity covering advances in image Machine learning is one of the highly (SRAM) based spiking neural networks
sensors to deliver state-of-the-art anticipated enabling technologies for and cross-bar structures were explored
dynamic range and low-noise perfor­ self-driving cars. In the automotive as well as advances in solder bumping

After Lithography After IMS After Stripping

IMS Strip

20 µm∗ 30 µm∗ 40 µm∗ 50 µm∗


After Lithography
After Resist Strip

SAC305

Cu Pilar

50 µm

Figure 4: Micro-bumping images with pitches down to 40 μm*, from IBM Research’s neuromorphic computing paper.

138 fa l l 2 0 17 IEEE SOLID-STATE CIRCUITS MAGAZINE


B M Synapse Synapse H Synapse H–1 Synapse 1 Upper
B M W B M W B M W Column
0 0 A1 FFs
W×A
Addend (3H Bits)
0 1 Dec.
No Conn.

log2(2L+1)+1
+ + +
1 0
W × A –1
Sign Sign Sign
1 1
W × A +1 OPNE
A2 Act. FFs
B M W
A IPNE Sign
W×A A3
Adder Tree
Bit Exp./ Addend Lower-
Select Dec.
Column
B M W B M W B M W FFs
–2, –1, 0, 1, or 2 (3H Bits)
Synapse H Synapse H–1 Synapse 1
(Real Integer)
(a) (b)

Figure 5: An extension of output and input parallel neural engines for ternarization and biasing: (a) mask (M) and bias (B) bits and addend
decode and (b) output/input parallel neural engines.

and 3-D integration technologies, sev- The symposia featured a demo session The forum included key thought lead-
eral of which are illustrated in Figure 4. for the first time. Conference attend- ers from a wide field including Intel,
Several hardware accelerators for ees enjoyed 18 table-top real-time the University of California, Berkeley,
deep neural networks (DNNs) were pre- presentations and the opportunity for Rakuten, Yahoo Japan, KAIST, and many
sented in a Circuits session ­dedicated in-depth interaction with authors of out- others. The forum will be held again
to machine and deep learning. One standing papers from both the Tech- after next year’s symposia.
of those papers, from Hokkaido Uni- nology and Circuits sessions.
versity, focused on spatially mapping The day after the technical sessions Social Events
binary and ternary DNNs into silicon. It concluded, the International Forum One of the social highlights of the
featured a binary DNN inference accel- on Singularity: Exponential X was held, conference was the joint banquet. Cir-
erator with an array of tightly coupled cosponsored with the Japan Society for cuits and Technology attendees came
SRAM-logic modules. The base archi- the Promotion of Science. The focus was together for food and drink and enjoyed
tecture was extended by introducing the exchange of insight on future impli- some traditional Japanese Nihon Buyo
mask and bias bits, as shown in Fig­­ cations of the exponential nature to dance entertainment.
ure 5. This versatile implementation Moore’s law, even if that growth might In addition to the banquet and demo
accelerated fully connected, densely not be linked to silicon in the future. sessions, there were several other social
connected, and sparsely connected
DNNs with varying widths and depths.
While fair comparisons between this
work and convolutional neural net-
work ac­­­celerators are difficult, the TOPS
of this work outperforms by more than
ten times.

Full Symposia Overlap


The full four-day overlap of the Sym-
posia on Technology and Circuits
resulted in many ­ opportunities for
attendees on both sides to connect and
share with each other. In addition to the
two previously mentioned joint focus
sessions, there were also sessions cov-
ering emerging reliability solutions
and advanced assembly techniques. Conference participants talking to authors at the new demo session.

IEEE SOLID-STATE CIRCUITS MAGAZINE fa l l 2 0 17 139


a very entertaining discussion on the
most important circuits of 2037.
Also, the IEEE Solid-State Circuits Soci-
ety (SSCS) Women in Circuits group
held a networking lunch event, simi-
lar to those held at other IEEE events.
Finally, the SSCS and IEEE Electron
Devices Society held a networking event
for young p­ rofessionals.
To continue the exchanges and net-
working, conference participants
and others interested in VLSI technol-
ogy and circuit design are encouraged
to join the LinkedIn group, Symposia
on VLSI Circuits and Technology, to
participate in discussions about con-
ference topics: http://www.linkedin
.com/grp/home?gid=3037968.
The opening of the Exponential X Forum following the technical program.
Looking Forward
The annual Symposia on VLSI Tech-
nology and Circuits are regarded
as the premier midyear gatherings
for the advancement of microelectron-
ics technology and circuits —with
approximately 1,000 experts in both
fields attending and around 200 papers
being presented each year. The
VLSI Technology Symposium began
in 1981, while the VLSI Circuits Sym-
posium was added in 1987. The two
meetings have been held together
ever since, rotating annually between
Japan and Hawaii. In 2018, the sym-
A traditional Japanese dance during the joint banquet. posia will be held at the Hilton Hawai-
ian Village, Honolulu, Hawaii, United
States, 18–22 June. For further Infor-
mation, please visit www.vlsisympo
sium.org.
The Symposium on VLSI Circuits
is sponsored by the IEEE SSCS and
the Japan Society of Applied Phys-
ics, in cooperation with the Insti-
tute of Electronics, Information and
Communication Engineers and the
IEEE Electron Devices Society. The
Symposium on VLSI Technolog y
is sponsored by the IEEE Electron
Devices Society and the Japan Soci-
The most prolific authors in symposium history were recognized at the 30th ­anniversary ety of Applied Physics, in coopera-
celebration. tion with SSCS.

gatherings. The Circuits Symposium most prolific authors and most cited
celebrated its 30th anniversary with an papers. This ­celebration was followed —Ron Kapusta
evening session that recognized its by three evening panels, including VLSI Symposium Publicity Chair

140 fa l l 2 0 17 IEEE SOLID-STATE CIRCUITS MAGAZINE


13th PRIME 2017 Conference Cosponsored by SSCS

T
The Conference on Ph.D. Research
in Microelectronics and Electronics
(PRIME) has established an important
forum where Ph.D. students and post-
docs can present their re­­search results
a nd net work w it h e x p e r t s f r o m
industry, academia, and research.
The 13th edition of PRIME was held
12–15 June 2017 in Giardini Naxos—
Taormina, Italy, and was technically
sponsored by the IEEE Solid-State Cir-
cuits Society (SSCS) Italian Chapter
and the IEEE Circuits and Systems
­S ociety (CASS).
PRIME 2017 was organized by
the University of Catania, Italy, with
General Chair Prof. Salvatore Pennisi
and General Cochair Prof. Gaetano
Palumbo. The city of Catania, home
to many semiconductor foundries Prof. Salvatore Pennisi, PRIME 2017 general chair.
located in the industrial area (such
as STMicroelectronics, Micron Semi-
conductor, Texas Instruments, and
Maxim Integrated), and its univer-
sity, the oldest in Sicily (founded in
1434), are considerably involved in
microelectronics. Giardini Naxos is
a vibrant beach resort center very
close to the world-renowned town of
Taormina (host of the G7 summit a
few days earlier) and is surrounded
by the UNESCO and natural site of

Digital Object Identifier 10.1109/MSSC.2017.2746198 The audience of Prime 2017, with special guest Dr. Carmelo Papa, chief executive officer of
Date of publication: 16 November 2017 STMicroelectronics, Italy.

A few of the Prime 2017 attendees.

IEEE SOLID-STATE CIRCUITS MAGAZINE fa l l 2 0 17 141


Attendees enjoying the dessert buffet at the conclusion of the gala dinner.

The company fair. The gold sponsors were Dialog Semiconductor, Micron Semiconductor, and STMicroelectronics.

ST­­Microelectronics), “System in Package


Design Challenge” (by Roberto G. Mas-
solini, Texas Instruments), and “3D
NAND Memories Technology Trends”
(by Tommaso Vali, Micron Semicon-
ductor). There were also three days
of technical sessions with three oral
sessions in parallel.
As per tradition, a company fair
was promoted by PRIME where the
at­­tendees had the opportunity to
meet industrial exhibitors, such as
Dialog Semiconductor, Micron Semicon-
The registration desk. ductor, STMicroelectronics, CADENCE
Academic Network, Coilcraft, InvenSense,
ON Semiconductor, Texas Instruments,
Mount Etna, the highest active volcano PRIME 2017 offered a multitude of and Maxim Integrated.
in Europe. opportunities for the attendees to PRIME 2017 was colocated with
PRIME was an unprecedented suc- gain knowledge about relevant topics the 14th International Conference
cess with more than 200 delegates in micro/nanoelectronics. The techni- on Synthesis, Modeling, Analysis and
and 96 presented works from a total cal program included a preconference Simulation Methods and Applica-
of 136 manuscript submissions. workshop and a tutorial presented tions to Circuit Design. Additional
The conference was honored by the by CADENCE Academic Network and information and photos from PRIME
presence of Prof. Franco Maloberti, STMicroelectronics. Three keynote 2017 can be found on its website,
IEEE CASS president, and Prof. Andrea speeches were given, one per day: http://prime2017.unisa.it.
Baschirotto, chair of the IEEE SSCS “System in Package Products and
Italian Chapter. Trends” (by Francesco Pulvirenti, —Salvatore Pennisi

142 fa l l 2 0 17 IEEE SOLID-STATE CIRCUITS MAGAZINE


ISSCC 2018—”Silicon Engineering a Social World”

C
Continued advances in solid-state ■■ David Patterson, professor of the
circuits and systems have brought The 2018 International graduate school at the University of
evermore powerful communication Solid-State Circuits California, Berkeley, will discuss “50
and computational capabilities into Conference (ISSCC 2018) Years of Computer Architecture:
mobile form factors. Such ubiquitous will showcase numerous From Mainframe CPUs to Neural-
smart devices lie at the heart of a revo- innovations that will Network TPUs,” reviewing a half-
lution shaping how we connect, col- fuel further progress century of computer architecture,
laborate, build relationships, and toward a truly from the IBM System 360 to modern
share information. These social tech- connected social world. domain-specific computer archi-
nologies allow people to maintain con- tectures such as Google’s Tensor
nections and support networks that Processing Unit.
otherwise would not be possible; they ISSCC 2018 will feature educational
provide the ability to access informa- brain-inspired technologies to meet events such as tutorials for those who
tion instantaneously and from any the needs of 21st century applications. wish to learn the basics of circuit top-
location, thereby helping to shape the ■■ Yukihiro Kato, senior executive di- ics and forums for experts who want
world’s events and culture, empower- rector, Denso, Japan, will give the to be in touch with the latest trends.
ing citizens of all nations, providing talk “Future Mobility Society En- This year, the conference will feature
social networks, and allowing world- abled by Semiconductor Technol- ten 90-min tutorials on Sunday, 11
wide communities to develop and ogy” and discuss how the automo- February (see Table 1 for details).
bond with common interests. tive industry is in the midst of a Of the six all-day forums, two will
The 2018 International Solid-State once-in-a-century transformation be on Sunday, 11 February, and four
Circuits Conference (ISSCC 2018) will caused by electrification, automat- on Thursday, 15 February (see Table 2
showcase numerous innovations that ed driving, and connected vehicles. for details).
will fuel further progress toward a
truly connected social world. ISSCC
2018 will be held at the San Francisco
TABLE 1. TUTORIALS—Sunday, 11 February.
Marriott Marquis from 11 to 15 Febru-
ary 2018. • Low-Jitter PLLs for Wireless Transceivers
The plenary session on Monday, Xiang Gao, Credo Semiconductor, Milpitas, California, United States
11 February, will feature four distin-
• Nonvolatile Circuits for Memory, Logic, and Artificial Intelligence
guished invited speakers:
Meng-Fan Chang, National Tsing Hua University, Hsinchu, Taiwan
■■ Vince Roche, president, chief ex-

ecutive officer, Analog Devices, • Basics of Quantum Computing


United States, will present “Semi- Edoardo Charbon, EPFL, Switzerland
conductor Innovation: Is the Party • Error-Correcting Codes in 5G/NVM Applications
Over or Just Getting Started?” and Hsie-Chia Chang, National Chiao Tung University, Hsinchu, Taiwan
explore the next paradigm for
semiconductor innovation and
• Hybrid Design of Analog-to-Digital Converters
what our path forward as scien- Seng-Pan (Ben) U, University of Macau, Macau
tists, technologists, and industry • Single-Photon Detection in CMOS
overall will be. Matteo Perenzoni, FBK, Trento, Italy
■■ Barbara De Salvo, chief scientist and
• Basics of Adaptive and Resilient Circuits
scientific director, CEA-Leti, France,
Keith Bowman, Qualcomm, Raleigh, North Carolina, United States
will give her insights in her talk,
“Brain-Inspired Technologies: To- • Fundamentals of Switched-Mode Power Converter Design
wards Chips That Think,” and will Hoi Lee, The University of Texas at Dallas, United States
illustrate a research strategy en- • Digital RF Transmitters
compassing algorithms, circuits,
Renaldi Winoto, Tectus, Saratoga, California, United States
and components, all in support of
• ADC-Based Serial Links: Design and Analysis
Digital Object Identifier 10.1109/MSSC.2017.2746199
Samuel Palermo, Texas A&M University, United States
Date of publication: 16 November 2017

IEEE SOLID-STATE CIRCUITS MAGAZINE fa l l 2 0 17 143


TABLE 2. FORUMS. on Monday evening, 12 February,
where companies will provide short
Sunday, 11 February “pitches” on their technology/product
• Intelligent Energy-Efficient Systems at the Edge of IoT innovation, followed by an interactive
FINFETs
•  and FDSOI—A Mixed-Signal Circuit Designer’s Perspective session with attendees at company
booths and tables.
Thursday, 15 February The conference will also continue
• Circuits and Architectures for Wireless Sensing, Radar, and Imaging the popular tradition of evening
• Circuit and System Techniques for mm-Wave Multi-Antenna Systems panels on Monday, 12 February,
and Tuesday, 13 February, where
• Advanced Optical Communication: From Devices, Circuits, and Architectures to Algorithms
experts, often of opposing views,
• Advances in Energy-Efficient Analog Design discuss topics that range from the
lighthearted to the controversial (but
always informative and entertain-
ing!). This year’s panels are “Figures-
TABLE 3. SHORT COURSE.
of-Merit on Trial,” “Lessons Learned
Hardware Approaches to Machine Learning and Inference —Great Circuits That Didn’t Work
• Introduction to Machine Learning and Inference (Oops, If Only I Had Known!),” and
Gu-Yeon Wei, Harvard University, Cambridge, Massachusetts, United States “Can Artificial Intelligence Replace
My Job?—The Dawn of a New IC
• Algorithm and Implementation Co-Design for Learning and Inference
Industry with AI.”
Marian Verhelst, KU Leuven, Leuven, Belgium
Overall, the conference will pro-
• Efficient Edge Solutions for Deep Learning Applications vide a variety of opportunities for
Vivienne Sze, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States attendees to interact one on one with
• Efficient Alternatives and Extensions to Deep-learning-based Solutions authors during interviews at the end
Naveen Verma, Princeton University, New Jersey, United States of the day that their presentation is
given as well as during breakout
sessions and evening social hours.
During the evening social hours,
An in-depth all-day short course, showcase both academic- and industry- ISSCC features alumni gatherings
“Hardware Approaches to Machine led submissions, in the early evenings of particular universities as well as
Learning and Inference,” will be held of 12–13 February. book displays by various publishers
on Thursday, 15 February. This course A continuing initiative for students highlighting the latest and classical
be presented by renowned academic is the Student Research Preview, to be technical books.
researchers (see Table 3 for details). held on the evening of Sunday, 11 Feb- Correspondingly, ISSCC continues
The core of the conference will in- ruary, and consisting of short presenta- to be the premier conference of the
clude more than 25 technical sessions tions introducing an all-evening poster IEEE Solid-State Circuits Society (SSCS).
covering the latest developments in session. The same evening there will IEEE Members who are a lso SSCS
the design of circuits and systems also be a workshop on circuits for social members will receive a US$40 credit
involving silicon or other nanotech- good, which will highlight ­various ways toward conference fees applied at the
nologies in the areas of analog; data that circuits can help address some of time of registration.
converters; digital architectures the most important challenges fac- We look forward to seeing you at
and systems; digital circuits; imag- ing society today, ranging from health ISSCC 2018! For more information visit
ers, sensors, microelectromechanical care to energy conservation. The work­­ isscc.org.
systems, medical devices and dis- shop includes speakers from indus- —Denis Daly
plays; memory; power management; try, academia, and ­startups as well as ISSCC Press Coordinator
RF circuits and wireless systems; tech- interactive roundtable discussions on
nology directions; and wireline. These topics such as machine learning, medical —Laura Fujino
sessions will be held 12–14 February. devices, next-­generation communica- ISSCC Director of Publications and
Selected papers presented in the tech- tions, and security. Presentations
nical sessions will be further expanded This year, for the first time, ISSCC
during the demonstration sessions that will hold an Industry Showcase event 

144 fa l l 2 0 17 IEEE SOLID-STATE CIRCUITS MAGAZINE


IEEE N E WS

CEDA Currents

T
The following is reprinted from CEDA the Accellera Systems Initiative
Currents, September 2017 issue, a pub- 2017 Leadership Award. The award
lication of the IEEE Council on Elec- recognizes the vision, leadership,
tronic Design Automation (CEDA). and contribution to standards develop-
Please send contributions to Jose L. ment, governance, and promotional
Ayala (jayala@fdi.ucm.es). activities of an Accellera member
by the students. A committee of on behalf of the organization. The
SMACD 2017 EDA Competition experts from academia and industry award was presented to Shishpal at
The 2017 edition of the Interna- interacted with the students during the 54th Design Automation Confer-
tional Conference on Synthesis, the sessions and selected the win- ence (DAC) during the Accellera Break-
Modeling, Analysis and Simulation ner of the competition based on the fast and Portable Stimulus Town Hall
Methods and Applications to Cir- quality of the paper, its presenta- on Tuesday, June 20.
cuit Design (SMACD 2017) was held tion, and its live demo. The compe- “Shishpal has had a profound im-
in Giardini Naxos-Taormina, Italy, tition prize was granted to Axel Hald, pact on the advancement of Accellera’s
12–15 June 2017, with the technical from Automotive Electronics Robert mission and its growth worldwide,”
sponsorships of IEEE, IEEE Circuits Bosch GmbH, Germany. His paper, stated Lu Dai, Accellera Systems
and Systems Society (CASS) and IEEE titled “A New Method for the Analysis Initiative chair. “We are grateful for
Council on Electronic Design Auto- of Movement Dependent Parasitics in his years of leadership and dedica-
mation (CEDA). Full Custom Designed MEMS Sensor,” tion. Among his many accomplish-
Since several editions, SMACD (coauthors: J. Seelhorst, P. Herzo- ments during his tenure as chair of the
organizes an EDA students’ competi- genrath, J. Scheible and J. Lienig) Board of Accellera from 2010 to 2016,
tion, where M.Sc. and Ph.D. students was awarded during the SMACD 2017 15 members were added worldwide,
can compete with their best ideas, gala dinner. three standards were published, and
methodologies, flows, and tools More details on the competition two of those standards were success-
with one unique but challenging are available at http://smacd2017 fully delivered to the IEEE Standards
goal: improving design automation .unisa.it/?id=callcomp. Association (SystemC AMS and UVM).
for integrated circuits and systems. He is very deserving of this award, and
Every year the number of papers and Recipient of the Accellera Systems I am proud to honor him among his col-
live demos proposed for the com- Initiative 2017 Leadership Award leagues at DAC.”
petition is increasing as well as the Congratulations to Shishpal Rawat, “I am deeply honored by this rec-
quality and the potentiality of the president of CEDA, as recipient of ognition from Accellera,” stated Dr.
proposed solutions. A US$1,000 prize Shishpal Rawat. “With the help of key
has been granted to the winner of the leaders in Accellera, we were able to
competition. IEEE CEDA has also pro- Upcoming Conferences expand the technical scope of Accel-
moted the event, funding US$500 of (Yao-Wen Chang, lera and also market its standards
the total prize. ywchang@ntu.edu.tw) across the globe at multiple DVCon
The 2017 edition of the competi- •• FMCAD
tion has been coorganized by Rafael TU Wien, Vienna, Austria
Castro-Lopez (CSIC and University
October 2–6
of Seville, Spain) and Ralf Sommer IEEE Embedded Systems Letters is open for
•• ESWEEK
(Ilmenau University of Technology, submissions. Visit mc. manuscriptcentral
Seoul, Korea .com/les-ieee.
Germany). In two dedicated sessions
of the conference, the best six pro- October 15–20 IEEE Design & Test is open for submis-
posals and ideas were presented •• NOCS sions. Visit mc.manuscriptcentral.com/
Seoul, Korea dandt and ieee-ceda.org/publications/
Digital Object Identifier 10.1109/MSSC.2017.2746200 October 19–20 d-t/paper-submission.
Date of publication: 16 November 2017

IEEE SOLID-STATE CIRCUITS MAGAZINE FA L L 2 0 17 145


2.0 and oversaw the move toward
standardization of the Universal Veri-
IEEE COUNCIL ON ELECTRONIC
fication Methodology (UVM) standard
DESIGN AUTOMATION
to IEEE 1800.2. The Verilog AMS stan-
President: Shishpal Rawat
dard also moved under the SystemVer-
President-Elect: David Atienza
ilog AMS standard. The deployment
Past President: Sani Nassif
of Accellera standards via the DVCon Secretary: Eli Bozorgzadeh
brand of conferences expanded to VP Conferences: Yao-Wen Chang
Find us online at http://ieee-ceda.org.
Europe and India, and the planning VP Finance: Gi-Joon Nam
and development for DVCon China was VP Publications: Helmut Graeb
initiated during his term of leadership. VP Publicity: Jose Ayala
conferences. These standards con- Most recently, the emerging Portable VP Activities: Peng Li
tinue to have a positive impact on Stimulus standard made significant Strategy Chair: David Atienza
the productivity of chip design and strides since work began in 2015 while Awards Chair: Hidetoshi Onodera
design tools. I look forward to con- Shishpal was chair of Accellera.
tinued collaboration with Accellera.”
Shishpal has more than 30 years of Papers in IEEE Embedded ble Dev ices,” by K. Ozcan and
experience in the ­electronics industry. Systems Letters S. Velipasalar.
He held a variety of positions at Intel The top-five accessed articles from
Corporation prior to his retirement IEEE Embedded Systems Letters in Papers in IEEE Design and Test
last year, most recently as director of June 2017 were as follows: The top-five accessed articles from
external EDA strategy. ■■ “Testing Autonomous Vehicle Soft- IEEE Design and Test in June 2017
Shishpal became chair of Accellera ware in the Virtual Prototyping were as follows:
in June 2010. As chair of Accellera, he Environment,” by B. Kim et al. ■■ “Recent Technology Advances of

oversaw the consolidation of stan- ■ ■ “Energy Efficient Outdoor Light Emerging Memories,” by Y. Chen et al.
dards bodies, namely the merger Monitoring and Control Architec- ■■ “Security and Privacy in Cyber-Phys-

with OSCI, as well as the acquisition ture Using Embedded System,” by ical Systems: A Survey of Surveys,”
of the OCP standard. He also helped Z. Kaleem, T.M. Yoon, and C. Lee by J. Giraldo et al.
to extend the relationship with the ■■ “Public Key Authentication and Key ■■ “Computing in the Dark Silicon

IEEE Standards Association’s IEEE Get Agreement in IoT Devices With Era: Current Trends and Research
Program for an additional ten years, Minimal Airtime Consumption,” by S. Challenges,” by M. Shafique et al.
ensuring continued public access Sciancalepore et al. ■■ “Post-Silicon Validation in the SoC

to view and download current EDA ■■ “Arduino Debugger,” by J. Dolinay Era: A Tutorial Introduction,” by P.
standards at no charge, courtesy of et al. Mishra et al.
Accellera. He managed the relicensing ■■ “Wearable Camera- and Accelerome- ■■ “Approximate Computing: A Sur-

of SystemC contributions to Apache ter-Based Fall Detection on Porta- vey,” by Qiang Xu et al.

Are You
Don’t miss an issue of this magazine—
update your contact information now!

Moving?
Update your information by:
E-MAIL: address-change@ieee.org
PHONE: +1 800 678 4333 in the United States
or +1 732 981 0060 outside
the United States
If you require additional assistance
regarding your IEEE mailings,
visit the IEEE Support Center
at supportcenter.ieee.org.
© ISTOCKPHOTO.COM/BRIANAJACKSON

146 FA L L 2 0 17 IEEE SOLID-STATE CIRCUITS MAGAZINE


w
Best Ne n
l i
Journa 5
1
STM 20

Become a
published author
in 4 to 6 weeks.
Get on the fast track to publication with the
multidisciplinary open access journal worthy of the IEEE.
IEEE journals are trusted, respected, and rank among the most
highly cited publications in the industry. IEEE Access is no exception
with a typical one-third acceptance rate. Even though it provides
authors faster publication time, every submitted article still undergoes
extensive peer review to ensure originality, technical correctness,
and interest among readers.
Published only online, IEEE Access is ideal for authors
who want to quickly announce recent developments,
methods, or new products to a global audience.

Publishing in IEEE Access allows you to:


• Submit multidisciplinary articles that do not fit
neatly in traditional journals
• Reach millions of global users through the
IEEE Xplore® digital library with free access to all
• Integrate multimedia with articles
• Connect with your readers through commenting
• Track usage and citation data for each
published article
• Publish without a page limit for only $1,750
per article

Learn more about this


14-PUB-196 11/15

award-winning journal at:


www.ieee.org/ieee-access
Con ference Calen dar

2018 IEEE Symposium on VLSI Circuits 2018 IEEE Symposium on VLSI Technology
SSCS-SPONSORED http://www.vlsisymposium.org/ http://vlsisymposium.org/
CONFERENCES 18–22 June 18–22 June
Honolulu, Hawaii, United States Honolulu, Hawaii, United States
Paper due date: 29 January 2018 Paper due date: 29 January 2018
2018
2018 IEEE BiCMOS and Compound
2018 IEEE International Solid-State Circuits Semiconductor Integrated Circuits and
Conference (ISSCC) Technology Symposium (BCICTS)
http://isscc.org/ SSCS Technically 14–17 October
11–15 February CoSponsored Conferences San Diego, California, United States
San Francisco, California, United Paper due date: 11 May 2018
States
Paper due date: passed 2018 2018 IEEE Biomedical Circuits and Systems
Conference (BioCAS)
2018 IEEE Custom Integrated Circuits 2018 International Symposium on VLSI http://bioscas2018.org
Conference (CICC) Design, Automation, and Test (VLSI-DAT) 17–19 October
http://ieee-ciccc.org http://expo.itri.org.tw/2018vlsidat Cleveland, Ohio, United States
8–11 April 16–19 April Paper due date: TBD
San Diego, California, Hsinchu, Tawain
United States Paper due date: passed For more information on upcoming
Paper due date: passed conferences, please visit: http://
sscs.ieee.org/conferences.
2018 IEEE Radio Frequency Integrated
Circuits Symposium (RFIC)

http://rfic-ieee.org
10–12 June
Philadelphia, Pennsylvania,
Digital Object Identifier 10.1109/MSSC.2017.2746218 United States
Date of publication: 16 November 2017 Paper due date: 12 January 2018

Do you like what you’re reading?


We want Your feedback is important.
to hear Let us know—send the editor-in-chief an e-mail!
from you!
IMAGE LICENSED BY GRAPHIC STOCK

148 fa l l 2 0 17 IEEE SOLID-STATE CIRCUITS MAGAZINE


Technology insight
on demand on IEEE.tv
Internet television gets a mobile makeover
A mobile version of IEEE.tv is now available for convenient viewing.
Plus a new app for IEEE.tv can also be found in your app store. Bring
an entire network of technology insight with you:

• Convenient access to generations of industry leaders.


• See the inner-workings of the newest innovations.
• Find the trends that are shaping the future.

IEEE Members receive exclusive access to award-winning programs that


bring them face-to-face with the what, who, and how of technology today.

Tune in to where technology lives www.ieee.tv

11-MEMB-0574b_IEEEtv_Spectrum_7x10_float_Final.indd 1 1/24/12 1:53 PM


What + If = IEEE

420,000+ members in 160 countries.


Embrace the largest, global, technical community.
People Driving Technological Innovation.

ieee.org/membership #IEEEmember

knowledge community professional development career advancement

You might also like