Download as pdf or txt
Download as pdf or txt
You are on page 1of 5

[Singh, 3(3): March, 2014] ISSN: 2277-9655

Impact Factor: 1.852

IJESRT
INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH
TECHNOLOGY
MOSFET Scaling and Small Geometry Effects
Mr. Sanjeev Kumar Singh*1, Mr. Vishal Moyal2
*1
Department of Electronics & Telecommunication, SSTC (SSGI, FET), Bhilai, India
2
Department of Electronics & Telecommunication, SSTC (SSITM)Bhilai, India
Sanjeev86singh@GMAIL.COM
Abstract
There have been proposed several sets of “rules” for scaling, for the purpose of discovering as much as
possible the electrical consequences of MOSFET size reduction. Principle am There have been proposed several sets
of “rules” for scaling, for the purpose of discovering as much as possible the electrical ong these are rules by
Dennard in 1974 (1 µm channel length) and Baccarani in 1984 (0.25 µm).
By scaling, we hope to… -Increase packing density and chip functionality, Increase device current and speed. Lower
cost (increase cost effectiveness). but the trade-offs are Mobility degradation due to increased vertical fields.
Velocity saturation due to increased lateral fields, Charge sharing by drain (short channel effects; DIBL), Increased
drain/source resistance due to reduced area for current flow.

Keywords: Silicon-on-Insulator (SOI), MOSFETs, Short-channel effects, modeling,Simulation

Introduction
IN ORDER TO realize higher speed and lowering (DIBL) and hot-carrier effect at increasing
higher packing density MOS integrated circuits, the drain voltage. Moreover, SCE degrades the
dimensions of MOSFETs have continued to shrink controllability of the gate voltage to drain current,
according to the scaling law proposed by Dennard et which leads to the degradation of the subthreshold
al. [1]. Yet, the power consumption of modern VLSIs slope and the increase in drain off-current. This
has become rather significant as a result of extremely degradation is described as charge sharing by the gate
large integration. Reducing this power is strongly and drain electric fields in the channel depletion layer
desired. Choosing a lower power supply voltage is an in Poon and Yau’s model [2], which was reported as
effective method. However, it leads to the degradation the first SCE model. Thinning gate oxide and using
of MOSFET current driving capability. Consequently, shallow source/drain junctions are known to be
scaling of MOS dimensions is important in order to effective ways of preventing SCE. With short-channel
improve the drivability, and to achieve higher devices, the reliability margins have also been cut
performance and higher functional VLSIs. down significantly [3]. Particularly, the high electric
With aggressive technology scaling to field near the drain becomes more crucial and poses a
enhance performance, circumventing the detrimental limit on device operation, notably by a large gate
short-channel effects (SCE) to improve the device current, substrate current and a substantial threshold
reliability has been the focus in MOSFET scaling. voltage shift [4]–[5]. Efforts have been made to model
When the channel length shrinks, the controllability of the device degradation due to hot electron generation
the gate over the channel depletion region reduces due [6]–[7].
to the increased charge sharing from source/drain. This description can be applied to
SCE leads to several reliability issues including the conventional MOSFETs fabricated in a bulk silicon
dependence of device characteristics, such as wafer. What about thin-film SOI MOSFETs? They
threshold voltage, upon channel length. This leads to are attractive devices for low-power high-speed VLSI
the scatter of device characteristics because of the applications because of their small parasitic
scatter of gate length produced during the fabrication capacitance [8]. Young [9] analyzed the SCE using a
process. The predominating reliability problems device simulator, and concluded that SCE is well
associated with SCE are a lack of pinchoff and a shift suppressed in thin-film SOI MOSFETs when
in threshold voltage with decreasing channel length as compared to bulk MOSFETs. In general, it is believed
well as drain-induced barrier that thin-film SOI MOSFETs have a higher immunity

http: // www.ijesrt.com(C)International Journal of Engineering Sciences & Research Technology


[1586-1590]
[Singh, 3(3): March, 2014] ISSN:
IS 2277-9655
Impa
pact Factor: 1.852
to SCE compared with bulk MOSFETs Ts. This may be and vertical) are scaled down by 1/S, where S is the
due to the difference in source/drain ju junction depths scaling factor. In order to keepeep the electric field
between the two kinds of devices. For instance, the constant within the device, thee voltages
v have to be
thickness of the silicon film, tSi , whic
hich corresponds scaled also by 1/S such that the ratio
ra between voltage
to the source/drain junction depth off 550–100 nm, is and distance (which represents ts the electric field)
common in 0.25–0.35-µm SOI MO OSFETs. It is remain constant. The threshold voltage
vo is also scaled
extremely shallow compared with thee jjunction depth down by the same factor as the voltage
vo to preserve the
of 100–200 nm in 0.25–0.35- µm gate bulk functionality of the circuits andnd the noise margins
MOSFETs. However, to take adva vantage of the relative to one another. As a result
re of this type of
ameliorated SCEs in deep-submicronn fully depleted scaling the currents will be redu
educed and hence the
SOI, tSi must be considerably sma maller than the total power per transistor (P=I P=IxV) will also be
source/drain junction depth (tSi ~ 10-15nm). reduced; however the power density d will remain
Moreover, a strong coupling through th the buried oxide constant since the number of tran
ransistors per unit area
in thin-film devices exists, and consensequently, very will increase. This means thatt theth total chip power
thin buried oxides (tb ~100 nm) are re needed which will remain constant if the chip size
siz remains the same
trades off with junction capacitancee cconsiderations. (this usually the case).
With the gate length scaling approachin
hing sub-100-nm The table below summ mmarizes how each
regime for improved performance and density, the device parameter scales with S (S>1)
(S>
requirements for body-doping concen centration, gate-
oxide thickness, and source/drain ((S/D) doping Parameter Before
re After
profiles to control SCEs become increaseasingly difficult scaling
ing scaling
to meet when conventional device struc uctures based on Channel length L L/S
bulk silicon substrates are employed.. M Moreover, SOI Channel width W W/S
brings in new reliability issues, whichh aare not known Oxide thickness tox tox/S
in the traditional bulk-Si devices,, rrelated to the S/D junction depth Xj Xj/S
presence of the buried oxide like self-he
heating and hot- Power Supply VDD VDD/S
electron degradation of the buried oxioxide. In a high Threshold voltage VTO VTO /S
electrical field of a short channel trans
ansistor, carriers Doping Density NA & NDN NA *S and
may gain enough energy and get trapped ped in the buried ND *S
oxide along with the gate oxide. Thee bburied oxide is Oxide Capacitance Cox S*Cox
more subject to degradation than th the gate oxide Drain Current IDS IDS /S
because the high density of electron ron traps is an
Power/Transistor P P/S2
intrinsic feature of SIMOX oxides. Thes
hese defects may
Power Density/cm2 p p
change parameters of the back channe nel in the field-
effect transistor (FET) and affect the pperformance of
Constant-Voltage scaling (CVS): S): In this method the
CMOS circuit through the coupling eff effect. Thus, the
device dimensions (both horizon ontal and vertical are
hot-carrier-induced degradation in SO SOI devices is
scaled by S, however, the operatrating voltages remain
more complex than that in bulk devices es because of the
thin-film effects and the existence off two interfaces constant. This means that the elec
lectric fields within the
(two oxides and two channels). Henc ence, for small- device will increase (filed =Vol oltage/distance). The
threshold voltages remain constastant while the power
geometry SOI CMOS devices, SCEss are becoming
per transistor will increase by S. The power density
increasingly important [10]–[11].
per unit area will increase by S3!
3! This means that for
MOSFET Channel Scaling Discusion
To increase the number of de devices per IC, the same chip area, the powe wer chip power will
the device dimensions had to be shru hrunk from one increase by S3. This makes cons onstant-voltage-scaling
(CVS) very impractical. Also, the device doping has
generation to another (i.e. scaled down)
to be increased more aggressivelvely (by S2) than the
constant-field scaling to preven vent channel punch-
through. Channel punch-through gh occurs when the
Source and Drain Depletion regionsre touches one
another. By increasing the doping
ng by S2, the depletion
region thickness is reduced by S (the
(t same ratio as the
channel length). However, there re is a limit for how
• In theory, there are two methods of sc
scaling: much the doping can be increased ed (the solid solubility
Full-Scaling (also called Constant-Fiel
ield scaling): In limit of the dopant in Silicon). Again,
Ag this makes the
this method the device dimensions (b (both horizontal CVS impractical in most cases.
http: // www.ijesrt.com(C)Inter
ternational Journal of Engineering Sciences & Research
ch Technology
T
[1586-1590]
[Singh, 3(3): March, 2014] ISSN:
IS 2277-9655
Impa
pact Factor: 1.852
The following table summarizes the ch changes in key
device parameters under constant-voltag
tage scaling:

Parameter Before After


scaling scaling
Channel length L L/S
Channel width W W/S
Oxide thickness tox tox/S
S/D junction depth Xj Xj/S
Power Supply VDD VDD
Threshold voltage VTO VTO
Doping Density NA & ND NA * S2
and ND *
S2
Oxide Capacitance Cox S*Cox
Drain Current IDS IDS * S
Power/Transistor P P*S
Power Desity/cm2 p p * S3

Small Geometry Effects


Short channel effects start to appear as the
channel length becomes less than 110 times the
depletion region width of the source/ce/drain regions.
The figure below demonstrates the diffe
fference between
long and short channel transistors.

• The major short-channel effect


ects are:
empirical formulae are used d to determine this
voltage). However, for most devic vices they will have a
Carrier Velocity Saturation saturation current characteristic
tic between those of
Recall that the mobilityy ddetermines the long and short channel devices:
increase in carrier velocity as thee electric field IDSat = Cox*W*K (VGS – Vth)n
increases. But this does not continuee fo
forever. As the Where K and n are constants thattha are obtained from
channel length is reduced, the horizonta
ntal electric field semi-empirical formulae, 1<n< n<2 (typically, n is
between the source and drain increas eases to a point between 1.3 to 1.4). This means ans that the saturation
where the carrier mobility becomess zero, i.e. the current won’t increase with VGS VG in a quadratic
carrier velocity won’t increase beyondd a certain limit relation, but rather close to a linear
lin relation, which
(hence the term velocity saturation). ). O
Once velocity reduces the speed. Also, once the th channel length L
saturation sets in, the drain current won
on’t increase as goes below the velocity saturatiration limit, the drain
VDS increases even if VDS is still < VGS – Vth. The current won’t increase with reducing
red L further, a
figure below shows the effect of veloc locity saturation major set back for scaling efforts
rts (i.e. circuits won’t
using energy band diagram of an NMOS OS transistor. get faster as before with scaling).
In the limit the short-channel device ice will have a
saturation current of:
Threshold Voltage Reduction
ion
IDSat = Cox*W*Vsat* VDSat Since for the short-cchannel devices, a
Where Vsat is the saturationn vvelocity (~2E7 relatively large portion of the
he channel depletion
cm/s) and VDSat is the drain to source ce voltage at the charge QB is controlled by the th drain, a smaller
on-set of velocity saturation and depe pends on L and amount of gate voltage is require
ired to achieve strong
the substrate doping (semi-
inversion and create the channel
nel, i.e. the threshold
voltage will be smaller. The he following figure
illustrates this.
http: // www.ijesrt.com(C)Inter
ternational Journal of Engineering Sciences & Research
ch Technology
T
[1586-1590]
[Singh, 3(3): March, 2014] ISSN:
IS 2277-9655
Impa
pact Factor: 1.852
from the source to the drain (forward
(fo direction) is
smaller than the threshold in the th reverse direction.
The figure below shows the effe ffects of HE injection
on the I-V characteristics of an NMOS
NM device.

Drain-Induced-Barrier-Lowering
ng (DIBL)
Because of the short channel length, the drain voltage
will reduce the potential barrier betwe
ween the source
and substrate. Hence it become easier er for carriers at
the source to jump over this barrier an and drift to the
drain even at the absence of a channelel (i.e. when the
gate to source voltage is less thann the threshold
voltage). The DIBL effect causes the M MOS devices to
“leak” currents when they are turne rned-off. These
currents are called leakage currents orr ooff-currents. If
the DIBL effect increases significant ntly, the MOS
switch may become always ON,, ii.e. it is not
controlled by the gate any more. T This condition Conclusion
would occur if the source and drain dep
depletion regions With the continuouss scaling of CMOS
reach one another, a condition called ppunch-through. devices, leakage current is becoming
b a major
Increasing the substrate dopingg under the contributor to the total power cons
onsumption. In current
gate/channel reduces the DIBL effec fect. The figure deep-submicrometer devices with w low threshold
below demonstrates the DIBL effect ct using energy voltages, subthreshold and gate leakage
le have become
band diagram. dominant sources of leakage and an are expected to
increase with the technology scaling.
s GIDL and
BTBT may also become a concern co in advanced
CMOS devices. To manage the increasing
in leakage in
deep-submicrometer CMOS circuits,
circ solutions for
leakage reduction have to bee soughts both at the
process technology and circuit levels.
lev At the process
technology level, well-engineer eering techniques by
retrograde and halo doping are ar used to reduce
leakage and improve short-channennel characteristics. At
the circuit level, transistor stacking,
st multiple ,
dynamic, multiple, and dynam amic techniques can
effectively reduce the leakage ge current in high-
performance logic and memory designs.
de
Hot Electron Injection into the Gate te O
Oxide
Due to the high electr ctric fields that References
result in short-channel devices, electroctrons can attain [1] R. H. Dennard, F. H.. Gaensslen,
G H.-N. Yu,
enough speed to jump over the eenergy barrier V. L. Rideout, E. Bassous,
Ba and A. R.
between the Si channel region and th the gate oxide. Leblanc, “Design of ion-implanted
Once they are injected into the oxide, de, they become MOSFETs with very ery small physical
part of its trapped charge. As it is alread
eady known, this dimensions,” IEEE J.. Solid-State
S Circuits,
would alter the device’s threshold vol voltage and may vol. SC-9, pp. 256–268,
8, May
M 1974.
render the device totally useless with ith time. Also, [2] H. C. Poon, L. D. Yau,
u, R. L. Johnston, and
since the injection occurs near the drai rain side, where D. Beecham, “DC mode odel for short-channel
the electrons would have attained thee hhighest speed, IGFETs,” in IEDM Tech.
Tec Dig., 1974, pp.
the device operation becomes asymme metrical, i.e. the 156–159.
I-V characteristics will exhibit direction
tion dependency. [3] H. Krautscheider, A.. Kohlhase, and H.
This is because the threshold if the currurrent is flowing Terlezki, “Scaling and
d reliability
r problems

http: // www.ijesrt.com(C)Inter
ternational Journal of Engineering Sciences & Research
ch Technology
T
[1586-1590]
[Singh, 3(3): March, 2014] ISSN: 2277-9655
Impact Factor: 1.852
of gigabit CMOS circuits,” Microelectron. SOI MOSFET for mixed mode
Reliabil., vol. 37, pp. 19–37, 1997. applications,” in Proc. 16th Int. Conf. VLSI
[4] T. H. Ning, C. M. Osburn, and H. N. Yu, Design, 2003, pp. 110–115.
“Emission probability of hot electrons from [16] N. Hakim, M.V. Dunga, A. Kumar, V.R. Rao,
silicon into silicon dioxide,” J. Appl. Phys., and J. Vasi, “Characterization of lateral
vol. 48, p.286, 1997. asymmetric channel (LAC) thin film SOI
[5] H. Wong and Y. C. Cheng, “Instabilities of MOSFET,” in Proc. 6th Int. Conf. Solid
metal-oxide-semiconductor transistor with State and Integrated Circuit Technology
high temperature annealing of its oxide in (ICSICT), 2001, pp. 655–660.
ammonia,” J. Appl. Phys., vol. 67, p. 7132, [17] N. Hakim, M. V. Dunga, A. Kumar, J. Vasi,
1990. V. R. Rao, B. Cheng, and J.C.S. Woo,
[6] C. Hu, S. C. Tam, F. C. Hsu, P. K. Ko, and “Analysis of floating body effects in thin film
T. Y. Chan, “Hot-electron induced MOSFET conventional and single pocket SOI
degradation: Model, monitor and MOSFETs using the GIDL current
improvement,” IEEE Trans. Electron technique,”IEEE Electron Device Lett., vol.
Devices, vol. 32, pp. 375–385, Feb. 1985. 23, pp. 209–211, Apr. 2002.
[7] R. H. Tu, W. Y. Chan, C. C. Li, E. Minami, [18] T. Ernst and S. Cristoloveanu, “The ground-
K. Quader, P. K. Ko, and C. Hu, “Berkeley plane concept for the reduction of short-
reliability tools: BERT,” IEEE Trans. channel effects in fully depleted SOI
Computer-Aided Design, vol. 12, pp. 1524– devices,” Electrochem. Soc. Proc., pp. 329–
1534, Oct. 1993. 334, 1999.
[8] J. P. Colinge, Silicon-on-Insulator [19] H. S. P. Wong, D. J. Frank, and P. M.
Technology: Materials to VLSI. Amsterdam, Solomon, “Device design considerations for
The Netherlands: Kluwer, 1991. double-gate, ground-plane, and single-gated
[9] K. K. Young, “Short-channel effects in fully ultra- thin SOI MOSFETs at the 25 nm
depleted SOI MOSFETs,”IEEE Trans. channel length generation,” in IEDM Tech.
Electron Devices, vol. 36, pp. 399–402, Feb. Dig., 1998, pp. 407–410.
1989. [20] Y. Omura, “Silicon-on-insulator (SOI)
[10] K. Hashimoto, T. I. Kamins, K. M. Cham, MOSFET structure for sub-50-nm channel
and S. Y. Chiang, “Charcteristics of regime,” in Silicon-on-Insulator Technology
submicrometer CMOS transistors in and Devices X, S. Cristoloveanu, P. L. F.
implanted-buried-oxide SOI films,” in Hemment, K. Izumi, G. K. Celler, F.
IEDM Tech. Dig., 1985, pp. 672–675. Assaderaghi, and Y. W. Kim, Eds., 2001,
[11] “A half micron CMOS technology using vol. 2001-3, Electrochemical Society
ultra-thin silicon on insulator,”in IEDM Proceedings, pp. 205–210.
Tech Dig., 1990, pp. 583–586. [21] W. Xiong and J. P. Colinge, “Self-aligned
[12] B. Cheng, V. R. Rao, B. Ikegami, and J. C. S. implanted ground-plane fully depleted SOI
Woo, “Realization of sub 100 nm MOSFET,” Electron. Lett., vol. 35, no. 23,
asymmetric channel MOSFETs with pp. 2059–60, 1999.
excellent short-channel performance and [22] J. P. Colinge, X. Baie, V. Bayot, and E.
reliability,” in Proc. 28th Eur. Solid-State Grivei, “A silicon-on-insulator quantum
Device Research Conf. (ESSDERC), wire,” Solid-State Electron., vol. 39, no. 1,
Bordeaux, France, 1998, pp. 520–523. pp. 49–51, 1996.
[13] B. Cheng, V. R. Rao, and J. C. S. Woo, “Sub [23] X. Huang, W. C. Lee, C. Kuo, D. Hisamoto,
0.18 _m SOI MOSFETs using lateral L. Chang, J. Kedzierski, E. Anderson, H.
asymmetric channel profile and Ge pre- Takeuchi, Y. K. Choi, K. Asano, V.
amorphization salicide technology,” in Subramanian, T. J. King, J. Bokor, and C.
Proc. IEEE Int. SOI Conf., 1998, pp. 113– Hu, “Sub 50-nm FinFET: PMOS,” in IEDM
114. Tech. Dig., 1999, pp. 67–70.
[14] B. Cheng, A. Inani, V. R. Rao, and J. C. S.
Woo, “Channel engineering for high speed
sub-1.0 V power supply deep sub-micron
CMOS, Technical digest,” in Proc. Symp.
VLSI Technology, 1999, pp. 69–70.
[15] N. Hakim, V. R. Rao, and J. Vasi, “Small
signal characteristics of thin film single halo
http: // www.ijesrt.com(C)International Journal of Engineering Sciences & Research Technology
[1586-1590]

You might also like