SDC Files

You might also like

Download as pdf or txt
Download as pdf or txt
You are on page 1of 2

COUNTER

create_clock -name clk -period 2 -waveform {0 1} [get_port "clk"]


set_clock_transition -rise 0.01 [get_clock "clk"]
set_clock_transition -fall 0.01 [get_clock "clk"]
set_clock_uncertainity 0.01 [get_ports "clk"]
set_input_delay -max 0.8 [get_ports "rst"] -clock [get_clocks "clk"]
set_output_delay -max 0.8 [get_ports "count"] -clock [get_clocks "clk"]
set_input_transition 0.12 [all_inputs]
set_load 0.15 [all_outputs]
D FLIP-FLOP
create_clock -name clk -period 2 -waveform {0 1} [get_port "clk"]
set_clock_transition -rise 0.01 [get_clock "clk"]
set_clock_transition -fall 0.01 [get_clock "clk"]
set_clock_uncertainity 0.01 [get_ports "clk"]
set_input_delay -max 1.0 -clock clk [get_ports "Reset"]
set_input_delay -max 1.0 -clock clk [get_ports "D"]
set_output_delay -max 1.0 -clock clk [get_ports "Q"]
set_output_delay -max 1.0 -clock clk [get_ports "Qbar"]
set_load 0.15 [all_outputs]
D LATCH
create_clock -name en -period 2 -waveform {0 1} [get_port "en"]
set_clock_transition -rise 0.01 [get_clock "en"]
set_clock_transition -fall 0.01 [get_clock "en"]
set_clock_uncertainity 0.01 [get_ports "en"]
set_input_delay -max 1.0 -clock en [get_ports "D"]
set_input_delay -max 1.0 -clock en [get_ports "Reset"]
set_output_delay -max 1.0 -clock en [get_ports "Q"]
set_output_delay -max 1.0 -clock en [get_ports "Qbar"]
set_load 0.15 [all_outputs]
SR FLIP-FLOP
create_clock -name clk -period 2 -waveform {0 1} [get_port "clk"]
set_clock_transition -rise 0.01 [get_clock "clk"]
set_clock_transition -fall 0.01 [get_clock "clk"]
set_clock_uncertainity 0.01 [get_ports "clk"]
set_input_delay -max 1.0 -clock clk [get_ports "S"]
set_input_delay -max 1.0 -clock clk [get_ports "R"]
set_output_delay -max 1.0 -clock clk [get_ports "Q"]
set_output_delay -max 1.0 -clock clk [get_ports "Qbar"]
set_load 0.15 [all_outputs]
SR LATCH
create_clock -name en -period 2 -waveform {0 1} [get_port "en"]
set_clock_transition -rise 0.01 [get_clock "en"]
set_clock_transition -fall 0.01 [get_clock "en"]
set_clock_uncertainity 0.01 [get_ports "en"]
set_input_delay -max 1.0 -clock en [get_ports "S"]
set_input_delay -max 1.0 -clock en [get_ports "R"]
set_output_delay -max 1.0 -clock en [get_ports "Q"]
set_output_delay -max 1.0 -clock en [get_ports "Qbar"]
set_load 0.15 [all_outputs]
JK FLIP-FLOP
create_clock -name clk -period 2 -waveform {0 1} [get_port "clk"]
set_clock_transition -rise 0.01 [get_clock "clk"]
set_clock_transition -fall 0.01 [get_clock "clk"]
set_clock_uncertainity 0.01 [get_ports "clk"]
set_input_delay -max 1.0 -clock clk [get_ports "J"]
set_input_delay -max 1.0 -clock clk [get_ports "K"]
set_output_delay -max 1.0 -clock clk [get_ports "Q"]
set_output_delay -max 1.0 -clock clk [get_ports "Qbar"]
set_load 0.15 [all_outputs]
JK LATCH
create_clock -name en -period 2 -waveform {0 1} [get_port "en"]
set_clock_transition -rise 0.01 [get_clock "en"]
set_clock_transition -fall 0.01 [get_clock "en"]
set_clock_uncertainity 0.01 [get_ports "en"]
set_input_delay -max 1.0 -clock en [get_ports "J"]
set_input_delay -max 1.0 -clock en [get_ports "K"]
set_output_delay -max 1.0 -clock en [get_ports "Q"]
set_output_delay -max 1.0 -clock en [get_ports "Qbar"]
set_load 0.15 [all_outputs]

You might also like