Download as pdf or txt
Download as pdf or txt
You are on page 1of 1343

Mohamad Sawan

Editor

Handbook of
Biochips
Integrated Circuits and Systems for
Biology and Medicine
Handbook of Biochips
Mohamad Sawan
Editor

Handbook of Biochips
Integrated Circuits and Systems for
Biology and Medicine

With 810 Figures and 89 Tables


Editor
Mohamad Sawan
Cutting-edge Net of Biomedical Research
And INnovation (CenBRAIN)
School of Engineering
Westlake University
Hangzhou, Zhejiang, China
Emeritus Professor, Polystim Neurotech Labs
Polytechnique Montreal
Montreal, Canada

ISBN 978-1-4419-9318-2 ISBN 978-1-4614-3447-4 (eBook)


ISBN 978-1-4614-3503-7 (print and electronic bundle)
https://doi.org/10.1007/978-1-4614-3447-4
© Springer Science+Business Media, LLC, part of Springer Nature 2022
This work is subject to copyright. All rights are solely and exclusively licensed by the Publisher, whether
the whole or part of the material is concerned, specifically the rights of translation, reprinting, reuse of
illustrations, recitation, broadcasting, reproduction on microfilms or in any other physical way, and
transmission or information storage and retrieval, electronic adaptation, computer software, or by
similar or dissimilar methodology now known or hereafter developed.
The use of general descriptive names, registered names, trademarks, service marks, etc. in this publication
does not imply, even in the absence of a specific statement, that such names are exempt from the relevant
protective laws and regulations and therefore free for general use.
The publisher, the authors, and the editors are safe to assume that the advice and information in this book
are believed to be true and accurate at the date of publication. Neither the publisher nor the authors or the
editors give a warranty, expressed or implied, with respect to the material contained herein or for any errors
or omissions that may have been made. The publisher remains neutral with regard to jurisdictional claims
in published maps and institutional affiliations.

This Springer imprint is published by the registered company Springer Science+Business Media, LLC part
of Springer Nature.
The registered company address is: 1 New York Plaza, New York, NY 10004, U.S.A.
Preface

The integrated circuits (ICs) and systems for biology and medicine are biochips
spreading in most sciences and engineering disciplines, fundamental sciences, and
applications. These biochips are intended for neuroscience discoveries, biosensing,
diagnosis, monitoring, DNA and neurotransmitters detection and manipulation, and
for diseases treatment applying electrical/optical stimulation and drug delivery
methods.
A global view of a typical electronic biochip shows that it is composed of several
modules integrated altogether to achieve fruitful functionalities. In fact, many bio-
chips (ICs or dies) can be built around a thin board providing placement flexibility on
specific application environment. In addition, biochips introduced for in vitro and
ex vivo applications are built around various types of structures and platforms. Some
of these devices are based on lab-on-chip (LoC) platforms which can occupy
miniaturized board areas or each can be integrated in single IC occupying very
large silicon area. High reliability, very low power consumption, reduced volume,
and flexibility of these biochips are among the main criteria adopted to implement
biosensors and bioactuators, which are promising solutions to numerous
pathologies.
On the one hand, the advent of micro/nano electronics and microsystems moti-
vated the emergence of novel wearable and implantable biochips intended for
introducing various smart medical devices (SMD). A typical implantable SMD is
wirelessly powered and can be a biochip intended for neurorecording and/or elec-
trical/optical stimulation. Also, usually it is composed of a power receiver block, an
external controller, and implantable communication modules for the exchange of
power and encoded data over the electromagnetic transmitted wave. In addition, the
SMD includes front- and back-end stages, a digital control unit, as well as on-chip
memory. For the external controller, it is intended to provide instructions and
stimulation parameters (downlink) to its corresponding implantable part. On the
other hand, the monitored and recorded neuromuscular signals and implant’s status
are sampled and sent to the external controller (uplink) for further processing.
Currently SMDs are used to enhance vital functions such as pacemakers and
defibrators for cardiovascular irregularities, intracortical stimulators to recover
vision for the blind, other brain stimulators for brain diseases like Parkinson and
epilepsy, and cochlear implants to recover hearing function to profoundly deaf

v
vi Preface

persons. Also, many other devices dedicated for respiration and leg and hand
movements are available. In addition, major research activities are being conducted
to recover urinary bladder functions (voiding and to prevent incontinence).
Several unique attractive features characterize this handbook. It is intended to
bring to readers state-of-the-art materials in main types of biochips. However, we
focus on the following five major parts: Biosensing Technologies; Multi-chip Smart
Neuroprosthesis; Lab-on-Chip (LoC) for Diagnosis, Monitoring, and Drug Delivery;
Telemetry and Other Wireless Link–Related Biochips; and Microstimulators. These
parts are composed of 61 chapters. Nevertheless, it is important to notice that
covering all categories of biochips with details may require several handbooks.
The benefit of this handbook is to have a state-of-the-art reference describing main
emerging/booming research topics with clear analysis of relation between different
categories of biochips and interactions with the body including wireless remote
control and array of microelectrodes based on new biomaterials.
In this handbook, we focus on the most currently conducted research activities in
biochips. Consequently, part 1 titled Biosensing Technologies, which includes
15 chapters, describes the latest results in biosensors such as blood pressure,
biopotentials, neurorecording, optical neural interfaces, and biosensors of different
vital signs. Part 2 titled Multi-chip Smart Neuroprosthesis, which consists of 9 chap-
ters, concerns artificial olfactory systems, closed-loop devices, brain-computer inter-
faces, and visual stimulation systems. Part 3, which contains 11 chapters, is about
lab-on-chip devices for diagnosis, monitoring, and drug delivery. Some chapters in
this part cover DNA detection, capacitive cell sensing, glucose monitoring, nuclear
magnetic resonance, optical biosensing, porous silicon-based sensing, and other
brain-on-a-chip devices. In part 4 titled Telemetry and Wireless Link–Related Bio-
chips, there are 18 chapters sharing the latest research intended to improve the
capacitive, inductive, and optical links to wirelessly transmit data and power to
various biochip-based applications such as Doppler radar sensor platform,
intracortical brain-machine interfaces, wireless capsule navigation within the body,
healthy radios, and security and innovation protection of biochips. In part 5 we focus
mainly on microstimulators; eight chapters in this part cover retinal and subretinal
visual prostheses, a foot-drop stimulator, endocardial stimulation system, etc.
Search and analysis of prior article publications related to topics of these chapters
give that parts 1–5 share 37%, 11%, 28%, 17%, and 7%, respectively. However,
topics in part 1 are ECG monitoring 18%, blood pressure monitoring 17%, multi-
channel neurorecording 10%, biopotential amplifiers 9%, and peripheral nerve
sensors 8%, and several other topics share the remaining 38% of literatures. Topics
in part 2 are retinal visual systems 37%, brain-computer interfaces 20%, closed-loop
neural systems 14%, and neuromodulation for epilepsy 9%, and a few other topics
share the remaining 20% of related literatures. Published results from part 3 are
various probes for biosensing 35%; optical bioanalysis 25%; and lab, body, and
brain-on-chip devices 18%; and other topics share the remaining 22%. Topics in part
4 are power and data telemetry 33%, ultralow power transmitters 16%, and optical
platforms 15%, and many other areas share the remaining 36%. Finally subjects
sharing the content of part 5 are sub-retinal stimulator 30%, multichannel
Preface vii

microstimulation ICs 22%, food-drop stimulator 9%, electroencephalogram-based


projects 9%, and all other related topics share the remaining 30%.
The numerous chapters of various parts are the result of huge efforts spent by a
truly international group of experts to offer the best landscape in the field of biochips.
I feel very much indebted to every author for the invaluable dedication to keep the
level of this handbook at a high standard. I wish to thank them for choosing to
publish a contribution to this handbook. Due to their individual contribution, I
managed to assemble this handbook of high scientific quality. It has been gratifying
to learn more about the advances provided by every author. Also, I would like to
thank the numerous volunteers that helped to promote the handbook, and to locate
and invite the authors. Thanks are due to Sumin Bian, Jie Yang, and Yitian (Claire)
Zhang members of my group in Westlake University, and Abbas Hammoud and
Hussein Assaf from Polystim Neurotech of Polytechnique Montreal. Finally, I owe
my deepest thanks to Springer personnel, in particular Lydia Mueller, Charles
Glaser, Sunali Mull, and Akshara PP, who gave me the opportunity to edit this
handbook, and for the constant support provided to achieve this; this handbook of
biochips is only possible with their expert help.

Hangzhou, China Mohamad Sawan, Ph.D.


Montreal, Canada Chair Professor
January 2022 Emeritus Professor
Editor
Contents

Volume 1

Part I Wearable and Implantable Biosensing Technologies ...... 1

1 Bladder Control Implants . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3


Shuenn-Yuh Lee and Chen-Yueh Huang

2 Development and Evaluation of a Continuous Blood Pressure


Monitoring System . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21
Toshiya Arakawa, Noriaki Sakakibara, and Shinji Kondo

3 Electrical Biosensors: Biopotential Amplifiers . . . . . . . . . . . . . . . . 41


Fan Zhang, Tan Yang, Jeremy Holleman, and Brian Otis

4 Electrical Biosensors: Peripheral Nerve Sensors .............. 65


Clemens Eder and Andreas Demosthenous

5 Impedance Spectroscopy for Biosensing: Circuits and


Applications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 87
Marco Carminati, Giorgio Ferrari, Davide Bianchi, and Marco
Sampietro

6 Low-Power Design Technique for Multichannel Neural


Recording Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 111
Wen-Sin Liew and Yong Lian

7 On-Skin Chemical Sensors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 129


Bowen Zhu

8 Optical Biosensors: Implantable Multimodal Devices in


Freely Moving Rodents . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 143
Jun Ohta, Kiyotaka Sasagawa, and Makito Haruta

9 Optical Interfacing of Neuronal Activity . . . . . . . . . . . . . . . . . . . . 159


Qiantao Lv, Dandan Chen, Jing Ning, Xingjiang Zhang, and Yi Sun

ix
x Contents

10 Optogenetic Implants ................................... 179


Hubin Zhao
11 Sensors for Vital Signs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 207
R. M. Rossi and S. Annaheim
12 Sensors for Vital Signs: ECG Monitoring Systems ............ 221
Sameer Sonkusale
13 Sensors for Vital Signs: Humidity Sensors . . . . . . . . . . . . . . . . . . . 245
Wagner Coimbra and Arnaldo Leal-Junior
14 Sensors for Vital Signs: Micro-Ball Wireless Endoscopic
Capsules . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 263
Yingke Gu, Xiang Xie, Guolin Li, and Zhihua Wang
15 Sensors for Vital Signs: Oxygen Sensors . . . . . . . . . . . . . . . . . . . . 291
K. N. Glaros, M. L. Rogers, M. G. Boutelle, and E. M. Drakakis

Part II Multi-Chip Smart Neuroprosthesis . . . . . . . . . . . . . . . . . . . . 315

16 AC Electrokinetics-Enhanced Capacitive Virus Detection . . . . . . . 317


Cheng Cheng and Jayne Wu
17 Artificial Olfactory Systems . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 343
Amine Bermak, Muhammad Hassan, and Xiaofang Pan
18 Closed-Loop Bidirectional Neuroprosthetic Systems . . . . . . . . . . . 363
Kea-Tiong (Samuel) Tang, Hsin Chen, and Yu-Po Lin
19 Closed-Loop Neuromodulation System-on-Chip (SoC) for
Detection and Treatment of Epilepsy . . . . . . . . . . . . . . . . . . . . . . . 383
Ming-Dou Ker and Cheng-Hsiang Cheng
20 Closed-Loop/Bidirectional Neuroprosthetic Systems . . . . . . . . . . . 413
Gabriel Gagnon-Turcotte, Olivier Tsiakaka, Guillaume Bilodeau, and
Benoit Gosselin
21 Current-Based Neurostimulation Circuit and System
Techniques . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 445
Rohollah Shirafkan and Omid Shoaei
22 Immunoreaction-Based Sensors to Improve Bacterial
Detection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 471
Huilin Zhang, Nanjia Zhou, and Feng Ju
23 Implantable Brain-Computer Interfaces for Monitoring and
Treatment of Neurological Disorders . . . . . . . . . . . . . . . . . . . . . . . 487
Hossein Kassiri and Roman Genov
Contents xi

24 Visual Stimulation Systems . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 517


Torsten Lehmann, Louis H. Jung, Gregg J. Suaning, and
Nigel H. Lovell

Part III Lab-on-Chip (LoC) for Diagnosis, Monitoring, and


Drug Delivery . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 541

25 Capacitive DNA Hybridization Detection . . . . . . . . . . . . . . . . . . . . 543


Michael S.-C. Lu

26 CMOS Capacitance Biosensors to Monitor Cell Viability . . . . . . . 553


Bathiya Senevirathna and Pamela Abshire

27 Continuous Glucose Monitoring Sensors for Management


of Diabetes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 571
Sara Ghoreishizadeh and Sanjiv Sharma

28 DNA Optical Readout Methods ........................... 589


Takashi Tokuda and Jun Ohta

29 Fabrication of Brain-on-a-Chip Devices . . . . . . . . . . . . . . . . . . . . . 601


Jessica K. Lu, Pramila Ghode, and Nitish V. Thakor

30 Molecular Analysis: BioFET Detection Sensors . . . . . . . . . . . . . . . 631


Pedro Estrela

31 Next-Generation DNA Sequencing: Ion Torrent Sequencers


Versus Nanopore Technology . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 651
Chaker Tlili, Khouloud Djebbi, Mohamed Amin Elaguech,
Mohamed Bahri, Daming Zhou, Biao Shi, and Deqiang Wang

32 On-Chip Nuclear Magnetic Resonance . . . . . . . . . . . . . . . . . . . . . . 667


Jens Anders, Frederik Dreyer, and Daniel Krüger

33 Optical Detection Techniques for Bioanalysis . . . . . . . . . . . . . . . . . 699


Hamza Landari, Mounir Boukadoum, Younès Messaddeq, and
Amine Miled

34 Porous Silicon-Based Biosensors . . . . . . . . . . . . . . . . . . . . . . . . . . . 711


Guoguang Rong, Sumin Bian, and Mohamad Sawan

35 Sensing and Sampling Probes for Bioapplications . . . . . . . . . . . . . 731


Amine Miled, Hamza Landari, Mounir Boukadoum, and
Younés Messaddeq
xii Contents

Volume 2

Part IV Telemetry and Other Wireless Link–Related Biochips . . . . 743

36 Backscatter Communication for Biomedical Devices . . . . . . . . . . . 745


Aida Aberra, Young-Han Kim, Minkyu Je, and Sohmyung Ha
37 Capacitive Links for Power and Data Telemetry to
Implantable Biomedical Microsystems . . . . . . . . . . . . . . . . . . . . . . 763
Mohammad A. Sharif and Amir M. Sodagar
38 Capsule-Based Measurements of Gastrointestinal Impedance . . . . 785
Gang Wang, Dobromir Filip, Michael D. Poscente,
Christopher N. Andrews, and Martin P. Mintchev
39 Chip-Based MEMS for Healthcare Application . . . . . . . . . . . . . . . 803
Jae Sun Lee, Rajamanickam Sivakumar, and Nae Yoon Lee
40 Design Considerations of Frequency Modulated Ultralow
Power Transmitter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 815
Xing Chen and David D. Wentzloff
41 Doppler Radar Sensor Platform . . . . . . . . . . . . . . . . . . . . . . . . . . . 845
Herman Jalli Ng
42 Intelligent Intracortical Brain-Machine Interfaces . . . . . . . . . . . . . 869
Shoeb Shaikh and Arindam Basu
43 Optical Biosensors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 891
Wenhao Zhao, Lei Huang, Ke Liu, Jiuchuan Guo, and Jinhong Guo
44 Radiotelemetry for Epileptiform Activity in Freely
Moving Rats . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 907
S. Abdollah Mirbozorgi
45 Security and Innovation Protection of Biochips . . . . . . . . . . . . . . . 927
Chen Dong, Ximeng Liu, Yi Xu, and Sihuang Lian
46 Wireless Applications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 949
Byunghun Lee and Hyung-Min Lee
47 Wireless Applications: Dual Band Power and Data Telemetry ... 967
Anil Kumar RamRakhyani and Gianluca Lazzi
48 Wireless Applications: Inductive Links for Power and Data
Telemetry to Medical Implants . . . . . . . . . . . . . . . . . . . . . . . . . . . . 985
Shirin Pezeshkpour and Mohammad Mahdi Ahmadi
49 Wireless Capsule Design and Its Locomotion and Navigation
Within the Body . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1013
Fahad N. Alsunaydih, Muhammad A. Ali, and Mehmet R. Yuce
Contents xiii

50 Wireless Circuits and Systems: Energy-Neutral Links . . . . . . . . . . 1037


Yaoyao Jia and Maysam Ghovanloo
51 Wireless Circuits and Systems: FM Telemetry Devices . . . . . . . . . 1063
Chin-Lung Yang
52 Wireless Circuits and Systems: Healthy Radios . . . . . . . . . . . . . . . 1087
Ziyi Chang and Bo Zhao
53 Wireless Power Transfer, Recovery, and Data Telemetry for
Biomedical Applications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1107
Ashraf Bin Islam, Daniel Costinett, and Syed Kamrul Islam

Part V Microstimulators . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1129

54 A Flexible Software-Hardware Framework for Brain


EEG Multiple Artifact Identification . . . . . . . . . . . . . . . . . . . . . . . 1131
Mohit Khatwani, Hasib-Al Rashid, Hirenkumar Paneliya,
Mark Horton, Houman Homayoun, Nicholas Waytowich,
W. David Hairston, and Tinoosh Mohsenin
55 Adiabatic Electrode Stimulator . . . . . . . . . . . . . . . . . . . . . . . . . . . 1157
Shawn K. Kelly
56 Biphasic Current Stimulator for Retinal Prosthesis . . . . . . . . . . . . 1185
Jeong Hoan Park, Han Wu, Joanne Si Ying Tan, and Jerald Yoo
57 Electronic Platforms and Signal Processing for
Magnetoresistive-Based Biochips . . . . . . . . . . . . . . . . . . . . . . . . . . 1201
José Germano, Tiago Costa, Filipe A. Cardoso, José Amaral,
Susana Cardoso, Paulo P. Freitas, and Moisés S. Piedade
58 Foot Drop Stimulator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1241
Dejan B. Popović
59 Microstimulator for Endocardial Stimulation . . . . . . . . . . . . . . . . 1257
Shuenn-Yuh Lee and Mario Yucheng Su
60 Multichannel Microstimulating SoC . . . . . . . . . . . . . . . . . . . . . . . . 1285
Emilia Noorsal, Hongcheng Xu, Kriangkrai Sooksood, and
Maurits Ortmanns
61 Subretinal Neurostimulator for Vision . . . . . . . . . . . . . . . . . . . . . . 1317
Naser Pour Aryan and Albrecht Rothermel
Index . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1337
About the Editor

Mohamad Sawan received his Ph.D. degree from the


University of Sherbrooke, Canada. He is currently Chair
Professor in Westlake University, Hangzhou, China, and
Emeritus Professor in Polytechnique Montreal, Canada.
He is founder and director of the Cutting-Edge Net of
Biomedical Research And INnovation (CenBRAIN) in
Westlake University, Hangzhou, China. He founded the
Polystim Neurotech Laboratory, and the Eastern Cana-
dian IEEE-Solid State Circuits Society Chapter. He is
cofounder of the International Functional Electrical
Stimulation Society, the International IEEE-NEWCAS,
and the International IEEE-BioCAS Conferences. Also,
he is Co-Founder, Associate Editor, member of the
steering committee, and Editor-in-Chief of the IEEE
Transactions on Biomedical Circuits and Systems
(TBioCAS) (2016–2019). He is Associate Editor of the
IEEE Transactions on Biomedical Engineering
(TBME). He was Deputy Editor-in-Chief of the IEEE
Transactions on Circuits and Systems II (TCAS-II) and
Editor and Associate Editor of several other interna-
tional journals such as the Springer Mixed Signal Let-
ters. Dr. Sawan is member of the board, Editor, Guest
Editor, and Associate Editor of several other prestigious
scientific journals. He is cofounder of several other
IEEE International conferences such as IEEE-ICECS
and IEEE-ICM. He was General Chair of both the
2016 IEEE International Symposium on Circuits and
Systems and the 2020 IEEE International Medicine,
Biology and Engineering Conference (EMBC). He was
awarded the Canada Research Chair in Smart Medical
Devices (2001–2015) and was leading the Micro-
systems Strategic Alliance of Quebec (ReSMiQ),
Canada (1999–2018), receiving membership support

xv
xvi About the Editor

from 11 universities. His scientific interests are the


design and implementation of hybrid and mixed-signal
(analog, digital, RF, MEMS, and optic) circuits and
microsystems: integration, assembly, and validations.
These topics are mainly oriented toward the biomedical
fundamental and applied sciences. Dr. Sawan has
published more than 900 peer-reviewed papers,
2 books, 13 book chapters, and 12 patents, and
15 other patents are pending, and has offered around
300 invited talks pertaining to the field of biomedical
engineering. He has received several awards, among
them the Zhejiang Westlake Friendship Award, the
Qianjiang Friendship Ambassador Award, the Shanghai
International Collaboration Award, the Queen Elizabeth
II Golden Jubilee Medal, and the Medal of Merit from
the President of Lebanon, the J.A. Bombardier and
Jacques-Rousseau ACFAS Awards for technology
transfer and research contributions, the Barbara
Turnbull Award for medical research in Canada, and
the Achievement Award from the American University
of Science and Technology. Dr. Sawan is Fellow of the
IEEE, Fellow of the Canadian Academy of Engineering,
Fellow of the Engineering Institutes of Canada, and
“Officer” of the National Order of Quebec.
Contributors

Aida Aberra Department of Electrical and Computer Engineering, Tandon School


of Engineering, New York University, Brooklyn, NY, USA
Pamela Abshire Department of Electrical and Computer Engineering and the
Institute for Systems Research, University of Maryland, College Park, MD, USA
Mohammad Mahdi Ahmadi Department of Biomedical Engineering, Amirkabir
University of Technology (Tehran Polytechnic), Tehran, Iran
Muhammad A. Ali Electrical and Computer Systems Engineering, Monash Uni-
versity, Melbourne, VIC, Australia
Fahad N. Alsunaydih Electrical and Computer Systems Engineering, Monash
University, Melbourne, VIC, Australia
José Amaral INESC-Microsistemas e Nanotecnologias, Lisboa, Portugal
Jens Anders Institute of Smart Sensors, University of Stuttgart, Stuttgart, Germany
Christopher N. Andrews Division of Gastroenterology, Faculty of Medicine,
University of Calgary, Calgary, AB, Canada
S. Annaheim Laboratory for Biomimetic Membranes and Textiles, Empa, Swiss
Federal Laboratories for Materials Science and Technology, St. Gallen, Switzerland
Toshiya Arakawa Department of Mechanical Systems Engineering, Aichi Univer-
sity of Technology, Gamagori-city, Aichi, Japan
Mohamed Bahri Chongqing Key Laboratory of Multi-scale Manufacturing
Technology, Institute of Green and Intelligent Technology, Chinese Academy of
Sciences, Chongqing, People’s Republic of China
University of Chinese Academy of Sciences (UCAS), Beijing, People’s Republic of
China
Arindam Basu School of Electrical and Electronic Engineering, Nanyang Tech-
nological University, Singapore, Singapore

xvii
xviii Contributors

Amine Bermak Department of Electronic and Computer Engineering, Hong Kong


University of Science and Technology, Hong Kong, China
Sumin Bian CenBRAIN Laboratory, School of Engineering, Westlake University,
Hangzhou, China
Davide Bianchi Dipartimento di Elettronica, Informazione e Bioingegneria,
Politecnico di Milano, Milan, Italy
Guillaume Bilodeau Department of Electrical and Computer Engineering, Laval
University, Quebec City, QC, Canada
Mounir Boukadoum Computer Science Department, Université du Québec À
Montréal (UQÀM), Montréal, QC, Canada
M. G. Boutelle Department of Bioengineering, Imperial College, London, UK
Filipe A. Cardoso INESC-Microsistemas e Nanotecnologias, Lisboa, Portugal
Susana Cardoso INESC-Microsistemas e Nanotecnologias, Lisboa, Portugal
Instituto Superior Técnico (IST), Universidade de Lisboa, Lisboa, NY, Portugal
Marco Carminati Dipartimento di Elettronica, Informazione e Bioingegneria,
Politecnico di Milano, Milan, Italy
Ziyi Chang Institute of VLSI Design, College of Information Science and Elec-
tronic Engineering, Zhejiang University, Hangzhou, China
Dandan Chen Key Laboratory of Growth Regulation and Translation Research of
Zhejiang Province, School of Life Sciences, Westlake University, Hangzhou,
Zhejiang, China
Institute of Basic Medical Sciences, Westlake Institute for Advanced Study,
Hangzhou, Zhejiang, China
Hsin Chen Department of Electrical Engineering, National Tsing Hua University,
Hsinchu, Taiwan
Xing Chen Qualcomm, San Diego, CA, USA
Cheng Cheng School of Engineering and Computer Science, Morehead State
University, Morehead, KY, USA
Cheng-Hsiang Cheng Biomedical Electronics Translational Research Center,
National Yang Ming Chiao Tung University, Hsinchu City, Taiwan
Wagner Coimbra Mechanical Engineering Department, Federal University of
Espírito Santo, Vitória, Brazil
Tiago Costa Columbia University, New York, NY, USA
INESC-Investigação e Desenvolvimento, Lisboa, NY, Portugal
Contributors xix

Daniel Costinett Department of Electrical Engineering and Computer Science, The


University of Tennessee, Knoxville, TN, USA
Andreas Demosthenous Department of Electronic and Electrical Engineering,
University College London, London, UK
Khouloud Djebbi Chongqing Key Laboratory of Multi-scale Manufacturing
Technology, Institute of Green and Intelligent Technology, Chinese Academy of
Sciences, Chongqing, People’s Republic of China
University of Chinese Academy of Sciences (UCAS), Beijing, People’s Republic of
China
Chen Dong College of Mathematics and Computer Science, Fujian Provincial Key
Laboratory of Network Computing and Intelligent Information Processing, Ministry
of Education, Fuzhou University, Fuzhou, China
E. M. Drakakis Department of Bioengineering, Imperial College, London, UK
Frederik Dreyer Institute of Smart Sensors, University of Stuttgart, Stuttgart,
Germany
Clemens Eder Department of Electronic and Electrical Engineering, University
College London, London, UK
Mohamed Amin Elaguech Chongqing Key Laboratory of Multi-scale
Manufacturing Technology, Institute of Green and Intelligent Technology, Chinese
Academy of Sciences, Chongqing, People’s Republic of China
University of Chinese Academy of Sciences (UCAS), Beijing, People’s Republic of
China
Pedro Estrela Department of Electronic and Electrical Engineering, University of
Bath, Bath, UK
Giorgio Ferrari Dipartimento di Elettronica, Informazione e Bioingegneria,
Politecnico di Milano, Milan, Italy
Dobromir Filip Department of Electrical and Computer Engineering, University of
Calgary, Engineering Complex, Calgary, AB, Canada
Paulo P. Freitas INESC-Microsistemas e Nanotecnologias, Lisboa, Portugal
INL- International Iberian Nanotechnology Laboratory, Braga, Portugal
Gabriel Gagnon-Turcotte Department of Electrical and Computer Engineering,
Laval University, Quebec City, QC, Canada
Roman Genov Department of Electrical and Computer Engineering, University of
Toronto, Toronto, Canada
José Germano INESC-Investigação e Desenvolvimento, Lisboa, Portugal
xx Contributors

Pramila Ghode The N.1 Institute for Health, National University of Singapore,
Singapore, Singapore
Sara Ghoreishizadeh Aspire CREATe, University College London, London, UK
Maysam Ghovanloo Bionic Sciences Inc., Atlanta, GA, USA
K. N. Glaros Department of Bioengineering, Imperial College, London, UK
Benoit Gosselin Department of Electrical and Computer Engineering, Laval
University, Quebec City, QC, Canada
Yingke Gu Institute of Microelectronics, Tsinghua University, Beijing, China
Jinhong Guo School of Automation Engineering, University of Electronic Science
and Technology of China, Chengdu, P. R. China
Jiuchuan Guo School of Automation Engineering, University of Electronic
Science and Technology of China, Chengdu, P. R. China
Sohmyung Ha Engineering, New York University Abu Dhabi, Abu Dhabi, UAE
W. David Hairston Human Research and Engineering Directorate, US Army
Research Lab, Adelphi, MD, USA
Makito Haruta Division of Materials Science, Nara Institute of Science and
Technology (NAIST), Ikoma, Japan
Muhammad Hassan Department of Electronic and Computer Engineering, Hong
Kong University of Science and Technology, Hong Kong, China
Jeremy Holleman Electrical and Computer Engineering, University of North
Carolina, Charlotte, Charlotte, NC, USA
Houman Homayoun University of California, Davis, Davis, CA, USA
Mark Horton Department of Computer Science and Electrical Engineering,
University of Maryland, Baltimore County, Baltimore, MD, USA
Chen-Yueh Huang Department of Electrical Engineering, National Cheng Kung
University, Tainan, Taiwan
Lei Huang School of Automation Engineering, University of Electronic Science
and Technology of China, Chengdu, P. R. China
Ashraf Bin Islam Department of Electrical Engineering and Computer Science,
The University of Tennessee, Knoxville, TN, USA
Syed Kamrul Islam Department of Electrical Engineering and Computer Science,
The University of Tennessee, Knoxville, TN, USA
Minkyu Je Korea Advanced Institute of Science and Technology, Daejeon, South
Korea
Contributors xxi

Yaoyao Jia Department of Electrical and Computer Engineering, NC State


University, Raleigh, NC, USA
Feng Ju Division of Environment and Resources, School of Engineering, Westlake
University, Hangzhou, China
Division of Environment and Resources, Westlake University, Hangzhou, China
Louis H. Jung Samsung Electronics, Seoul, South Korea
Hossein Kassiri York University, Toronto, ON, Canada
Shawn K. Kelly VA Pittsburgh Healthcare System, Pittsburgh, PA, USA
Institute for Complex Engineered Systems, Carnegie Mellon University, Pittsburgh,
PA, USA
Ming-Dou Ker Biomedical Electronics Translational Research Center, National
Yang Ming Chiao Tung University, Hsinchu City, Taiwan
Mohit Khatwani Department of Computer Science and Electrical Engineering,
University of Maryland, Baltimore County, Baltimore, MD, USA
Young-Han Kim Smart Network Research Center, Korea Electronics Technology
Institute, Seoul, South Korea
Shinji Kondo KANDS Inc., Kariya-city, Aichi, Japan
Daniel Krüger Institute of Smart Sensors, University of Stuttgart, Stuttgart,
Germany
Harvard University, School of Engineering and Applied Sciences, Cambridge, MA,
USA
Hamza Landari Research Centre for Advanced Materials (CERMA),
LABioTRON Bio-engineering Research Laboratory, Québec City, QC, Canada
Department of Electrical and Computer Engineering, Université Laval, Québec City,
QC, Canada
Gianluca Lazzi Department of Electrical and Computer Engineering, North
Carolina State University, Raleigh, NC, USA
Arnaldo Leal-Junior Mechanical Engineering Department, Federal University of
Espírito Santo, Vitória, Brazil
Byunghun Lee Department of Electrical Engineering, Incheon National University,
Incheon, South Korea
Hyung-Min Lee School of Electrical Engineering, Korea University, Seoul, South
Korea
Jae Sun Lee Gachon BioNano Research Institute, Gachon University, Seongnam-
si, Gyeonggi-do, South Korea
xxii Contributors

Nae Yoon Lee Department of BioNano Technology, Gachon University,


Seongnam-si, Gyeonggi-do, South Korea
Shuenn-Yuh Lee Department of Electrical Engineering, National Cheng Kung
University, Tainan, Taiwan
Torsten Lehmann School of Electrical Engineering and Telecommunications,
University of New South Wales, Sydney, NSW, Australia
Guolin Li Institute of Microelectronics, Tsinghua University, Beijing, China
Sihuang Lian College of Mathematics and Computer Science, Key Laboratory of
Spatial Data Mining and Information Sharing, Ministry of Education, Fuzhou
University, Fuzhou, China
Yong Lian Department of Electrical Engineering and Computer Science of
Lassonde School of Engineering, York University, Toronto, Canada
Wen-Sin Liew Department of Electrical and Computer Engineering, National
University of Singapore, Singapore, Singapore
Yu-Po Lin Department of Electrical Engineering, National Tsing Hua University,
Hsinchu, Taiwan
Ke Liu School of Automation Engineering, University of Electronic Science and
Technology of China, Chengdu, P. R. China
Ximeng Liu College of Mathematics and Computer Science, Key Lab of Informa-
tion Security of Network Systems (Fujian Provincial), Ministry of Education,
Fuzhou University, Fuzhou, China
Nigel H. Lovell Graduate School of Biomedical Engineering, University of New
South Wales, Sydney, NSW, Australia
Jessica K. Lu The N.1 Institute for Health, National University of Singapore,
Singapore, Singapore
Department of Biomedical Engineering, Singapore Institute for Neurotechnology,
National University of Singapore, Singapore, Singapore
Michael S.-C. Lu Department of Electrical Engineering, National Tsing Hua
University, Hsinchu, Taiwan, Republic of China
Institute of Electronics Engineering, National Tsing Hua University, Hsinchu,
Taiwan, Republic of China
Institute of NanoEngineering and MicroSystems, National Tsing Hua University,
Hsinchu, Taiwan, Republic of China
Qiantao Lv Key Laboratory of Growth Regulation and Translation Research of
Zhejiang Province, School of Life Sciences, Westlake University, Hangzhou,
Zhejiang, China
Institute of Basic Medical Sciences, Westlake Institute for Advanced Study,
Hangzhou, Zhejiang, China
Contributors xxiii

Younès Messaddeq Center for Optics, Photonics and Lasers (COPL), Laval
University, Québec City, QC, Canada
Amine Miled Research Centre for Advanced Materials (CERMA), LABioTRON
Bio-engineering Research Laboratory, Québec City, QC, Canada
Department of Electrical and Computer Engineering, Université Laval, Québec City,
QC, Canada
Martin P. Mintchev Centre for Bioengineering and Research, University of
Calgary, Engineering Complex, Calgary, AB, Canada
Department of Electrical and Computer Engineering, University of Calgary,
Engineering Complex, Calgary, AB, Canada
Department of Surgery, Faculty of Medicine, University of Alberta, Edmonton, AB,
Canada
S. Abdollah Mirbozorgi Electrical and Computer Engineering Department,
University of Alabama at Birmingham, Birmingham, AL, USA
Tinoosh Mohsenin Department of Computer Science and Electrical Engineering,
University of Maryland, Baltimore County, Baltimore, MD, USA
Herman Jalli Ng Faculty of Electrical Engineering and Information Technology,
Karlsruhe University of Applied Sciences, Karlsruhe, Germany
Jing Ning Key Laboratory of Growth Regulation and Translation Research of
Zhejiang Province, School of Life Sciences, Westlake University, Hangzhou,
Zhejiang, China
Institute of Basic Medical Sciences, Westlake Institute for Advanced Study,
Hangzhou, Zhejiang, China
Emilia Noorsal Faculty of Electrical Engineering, Universiti Teknology MARA,
Pulau Pinang, Malaysia
Jun Ohta Division of Materials Science, Graduate School of Materials Science,
Nara Institute of Science and Technology (NAIST), Ikoma, Nara, Japan
Maurits Ortmanns Institute of Microelectronics, University of Ulm, Ulm,
Germany
Brian Otis Low Power Chip Design. Wireless Biosensors. Electrical Engineering,
University of Washington, Seattle, WA, USA
Xiaofang Pan Department of Electronic and Computer Engineering, Hong Kong
University of Science and Technology, Hong Kong, China
Hirenkumar Paneliya Department of Computer Science and Electrical Engineer-
ing, University of Maryland, Baltimore County, Baltimore, MD, USA
Jeong Hoan Park Samsung Electronics, Hwaseong, Republic of Korea
Shirin Pezeshkpour Department of Biomedical Engineering, Amirkabir Univer-
sity of Technology (Tehran Polytechnic), Tehran, Iran
xxiv Contributors

Moisés S. Piedade INESC-Investigação e Desenvolvimento, Lisboa, Portugal


Instituto Superior Técnico (IST), Universidade de Lisboa, Lisboa, NY, Portugal
Dejan B. Popović Biomedical Engineering, Faculty of Electrical Engineering,
University of Belgrade, Belgrade, Serbia
Michael D. Poscente Centre for Bioengineering and Research, University of
Calgary, Engineering Complex, Calgary, AB, Canada
Naser Pour Aryan Continental Engineering Services GmbH, Ingolstadt, Germany
Anil Kumar RamRakhyani Department of Electrical and Computer Engineering,
College of Engineering, University of Utah, Salt Lake City, UT, USA
Hasib-Al Rashid Department of Computer Science and Electrical Engineering,
University of Maryland, Baltimore County, Baltimore, MD, USA
M. L. Rogers Department of Bioengineering, Imperial College, London, UK
Guoguang Rong CenBRAIN Laboratory, School of Engineering, Westlake
University, Hangzhou, China
R. M. Rossi Laboratory for Biomimetic Membranes and Textiles, Empa, Swiss
Federal Laboratories for Materials Science and Technology, St. Gallen, Switzerland
Albrecht Rothermel Institute of Microelectronics, University of Ulm, Ulm,
Germany
Noriaki Sakakibara KANDS Inc., Kariya-city, Aichi, Japan
Marco Sampietro Dipartimento di Elettronica, Informazione e Bioingegneria,
Politecnico di Milano, Milan, Italy
Kiyotaka Sasagawa Division of Materials Science, Nara Institute of Science and
Technology (NAIST), Ikoma, Japan
Mohamad Sawan Cutting-edge Net of Biomedical Research And INnovation
(CenBRAIN), School of Engineering, Westlake University, Hangzhou, Zhejiang,
China
Emeritus Professor, Polystim Neurotech Labs, Polytechnique Montreal, Montreal,
Canada
Bathiya Senevirathna Department of Electrical and Computer Engineering and
the Institute for Systems Research, University of Maryland, College Park, MD, USA
Shoeb Shaikh School of Electrical and Electronic Engineering, Nanyang Techno-
logical University, Singapore, Singapore
Mohammad A. Sharif Faculty of Electrical Engineering (EE), K.N. Toosi Univer-
sity of Technology, Research Laboratory for Integrated Circuits and Systems
(ICAS), Tehran, Iran
Contributors xxv

Sanjiv Sharma Faculty of Science and Engineering, Swansea University, Swansea,


UK
Biao Shi Chongqing Key Laboratory of Multi-scale Manufacturing Technology,
Institute of Green and Intelligent Technology, Chinese Academy of Sciences,
Chongqing, People’s Republic of China
Rohollah Shirafkan Bio-Integrated Systems Lab, School of Electrical and
Computer Engineering, University of Tehran, Tehran, Iran
Omid Shoaei Bio-Integrated Systems Lab, School of Electrical and Computer
Engineering, University of Tehran, Tehran, Iran
Rajamanickam Sivakumar Department of Industrial Environmental Engineering,
Gachon University, Seongnam-si, Gyeonggi-do, South Korea
Amir M. Sodagar Faculty of Electrical Engineering (EE), K.N. Toosi University of
Technology, Research Laboratory for Integrated Circuits and Systems (ICAS),
Tehran, Iran
Sameer Sonkusale School of Engineering, Tufts University, Medford, MA, USA
Kriangkrai Sooksood Department of Electronic Engineering, Faculty of Engineer-
ing, King Mongkut’s Institute of Technology Ladkrabang, Bangkok, Thailand
Mario Yucheng Su Department of Electrical Engineering, National Cheng Kung
University, Tainan, Taiwan
Gregg J. Suaning University of New South Wales, Sydney, NSW, Australia
Yi Sun Key Laboratory of Growth Regulation and Translation Research of Zhe-
jiang Province, School of Life Sciences, Westlake University, Hangzhou, Zhejiang,
China
Institute of Basic Medical Sciences, Westlake Institute for Advanced Study, Hang-
zhou, Zhejiang, China
Joanne Si Ying Tan National University of Singapore, Singapore, Singapore
Kea-Tiong (Samuel) Tang Department of Electrical Engineering, National Tsing
Hua University, Hsinchu, Taiwan
Nitish V. Thakor The N.1 Institute for Health, National University of Singapore,
Singapore, Singapore
Department of Biomedical Engineering, Singapore Institute for Neurotechnology,
National University of Singapore, Singapore, Singapore
Department of Biomedical Engineering, Johns Hopkins University, Baltimore, MD,
USA
Chaker Tlili Chongqing Key Laboratory of Multi-scale Manufacturing Technol-
ogy, Institute of Green and Intelligent Technology, Chinese Academy of Sciences,
Chongqing, People’s Republic of China
xxvi Contributors

Takashi Tokuda Graduate School of Materials Science, Nara Institute of Science


and Technology, Ikoma, Nara, Japan
Olivier Tsiakaka Department of Electrical and Computer Engineering, Laval
University, Quebec City, QC, Canada
Deqiang Wang Chongqing Key Laboratory of Multi-scale Manufacturing
Technology, Institute of Green and Intelligent Technology, Chinese Academy of
Sciences, Chongqing, People’s Republic of China
University of Chinese Academy of Sciences (UCAS), Beijing, People’s Republic of
China
Gang Wang Centre for Bioengineering and Research, University of Calgary,
Engineering Complex, Calgary, AB, Canada
Zhihua Wang Institute of Microelectronics, Tsinghua University, Beijing, China
Nicholas Waytowich Human Research and Engineering Directorate, US Army
Research Lab, Adelphi, MD, USA
David D. Wentzloff EECS department, University of Michigan, Ann Arbor, MI,
USA
Han Wu National University of Singapore, Singapore, Singapore
Jayne Wu Department of Electrical Engineering and Computer Science, The
University of Tennessee, Knoxville, TN, USA
Xiang Xie Institute of Microelectronics, Tsinghua University, Beijing, China
Hongcheng Xu Advanced Low Power Solutions, Texas Instruments Deutschland
GmbH, Freising, Germany
Yi Xu College of Mathematics and Computer Science, Key Lab of Information
Security of Network Systems (Fujian Provincial), Ministry of Education, Fuzhou
University, Fuzhou, China
Tan Yang Analog Devices, Raleigh, NC, USA
Chin-Lung Yang Wireless Innovative System and EM-Applied (WISE) Lab,
Instrumentation System and Chip Group, Department of Electrical Engineering,
National Cheng Kung University, Tainan City, Taiwan
Jerald Yoo National University of Singapore, Singapore, Singapore
The N.1 Institute for Health, Singapore, Singapore
Mehmet R. Yuce Electrical and Computer Systems Engineering, Monash Univer-
sity, Melbourne, VIC, Australia
Fan Zhang Marvell Semiconductor, Santa Clara, CA, USA
Huilin Zhang Division of Environment and Resources, School of Engineering,
Westlake University, Hangzhou, China
Contributors xxvii

Xingjiang Zhang Key Laboratory of Growth Regulation and Translation Research


of Zhejiang Province, School of Life Sciences, Westlake University, Hangzhou,
Zhejiang, China
Institute of Basic Medical Sciences, Westlake Institute for Advanced Study, Hang-
zhou, Zhejiang, China
Bo Zhao Institute of VLSI Design, College of Information Science and Electronic
Engineering, Zhejiang University, Hangzhou, China
Hubin Zhao Department of Medical Physics and Biomedical Engineering,
University College London, London, UK
Wenhao Zhao School of Automation Engineering, University of Electronic
Science and Technology of China, Chengdu, P. R. China
Daming Zhou Chongqing Key Laboratory of Multi-scale Manufacturing Technol-
ogy, Institute of Green and Intelligent Technology, Chinese Academy of Sciences,
Chongqing, People’s Republic of China
Nanjia Zhou Division of Nanotechnology and Energy, School of Engineering,
Westlake University, Hangzhou, China
Bowen Zhu School of Engineering, Westlake University, Hangzhou, China
Part I
Wearable and Implantable Biosensing
Technologies
Bladder Control Implants
1
Shuenn-Yuh Lee and Chen-Yueh Huang

Contents
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4
Neural Anatomy and Normal Bladder Function . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4
Stimulation Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7
Stimulus Pattern . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7
Blocking Capacitors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8
Magnitude of Current for Peripheral Nerve Stimulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10
Electrode and Contact Impedance . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10
Design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11
Stimulation Algorithm . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11
Digital-to-Analog Converter Design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14
Stimulus Generation Design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15
FPGA Implementation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16
Conclusions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20
References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20

Abstract
This chapter presents a system of bladder neuromodulation and a method for the
control of the variable burst biphasic pulse of a bladder stimulator. The stimu-
lator is used to pass current through the tissue and to generate useful action
potentials. The binary-weighted digital-to-analog converter combined with a
current mirror has been employed as a microstimulator because of its higher
linearity without requiring the decoding of digital inputs. Two algorithms
including burst pulse generation algorithm and slow reversal with interphase
delay pulse generation algorithm are present. Given that the use of a biphasic
pulse could prevent ion-charge accumulation in tissues, two pairs of switches
controlled by different clock phases are implemented to provide the biphasic

S.-Y. Lee (*) · C.-Y. Huang


Department of Electrical Engineering, National Cheng Kung University, Tainan, Taiwan
e-mail: ieesyl@mail.ncku.edu.tw; sylee@ee.ncku.edu.tw

© Springer Science+Business Media, LLC, part of Springer Nature 2022 3


M. Sawan (ed.), Handbook of Biochips,
https://doi.org/10.1007/978-1-4614-3447-4_34
4 S.-Y. Lee and C.-Y. Huang

electrical stimulation pulses. The presented method has been verified on FPGA
implementation to demonstrate the proposed algorithms which is helpful for the
future implementation in the integrated circuits. In this study, the pulse fre-
quency can be programmed between 1.49 and 47.66 Hz, the burst frequency can
be controlled from 190.8 to 763 Hz, and the pulse width can be adjusted between
21 and 325 μs. These stimulation parameters are adapted by the clock divider
and by the number of controlled bits in the digital circuits. In the future, the
microstimulator with controlled algorithm can be integrated with power inter-
face and sensing channel as an implantable device for animal study.

Introduction

Microstimulators are neurosurgical devices that can be used in medical treatment,


rehabilitation, and neuron control. Figure 1 shows that many different organs can be
treated by developed microstimulators, such as deep brain stimulation, pacemaker,
nerve and gastric stimulation, and bladder controller.

Neural Anatomy and Normal Bladder Function

Figure 2 displays the proposed closed-loop bladder microstimulator. Lee


et al. (2011) posited that microstimulators can be minimized as system on a chip

Fig. 1 Application of implanted stimulator


1

Powering Interface
Skin Charger
Rectifier Regulator Charge Pump
Bladder Control Implants

VDD
Charging Supplying OSC High-Voltage Bladder
Detector Battery Detector Low-Voltage Supply
iL Lchoke Supply
Digital Circuitry Stimulator
Data C2 System
M0 PSK Dem D/A
Encoder PG
Vg C1 L1 L2 Cres Controller Controller

d Detection flag Sensing Channel EUS


LSK Deep
ADC Perineal
Mod
External Power / Data Nerve
AD Converter 2nd-stage Pudendal
Transmitter 8-b serial / Detection Amplifier BP Filter with Buffer Preamplifier
Nerve Urethra
conversion data
Trunk
Internal Circuitry

Fig. 2 Application of implanted stimulator


5
6 S.-Y. Lee and C.-Y. Huang

(SoC) to meet the requirements of implantable devices, programmable stimulation


parameters, and low power. An external device encodes the stimulus parameters
decided by physicians. These parameters are then stored in packets and modulated
by phase-shift keying (PSK). The data on the stimulus parameters are subsequently
transmitted to the human body to update the stimulation parameters in SoC. A
regulator and supplying detector provide a stable voltage for all internal circuits. In
support of PSK demodulation, a system controller is designed to decode the
information. The sensing channel captures the neural signals to monitor the pres-
sure of the bladder and then provides a potential binary value for bladder
neuromodulation. Finally, according to the command from the system controller,
a digital-to-analog converter (DAC) and a pulse generator provide the correct burst
pulse for stimulation.
The clinical, sympathetic, parasympathetic, and somatic nervous systems dom-
inate the entire urinary system. Figure 3 signifies that the afferent nerve transmits
the message to the spinal cord from S2 to S4 sections and feedbacks to T10 to L2
sections via the pelvic and hypogastric nerves. Meanwhile, the efferent nerve relays
the message back to the bladder via the pelvic and hypogastric nerves from the
spinal cord. The somatic nervous system dominates the control of the spinal cord
from S2 to S4 sections, in which the message can be transmitted via the pudendal
nerve to the external urethral sphincter to close the urethra.
Figure 3 indicates the graphic explanation of the normal physical bladder control
function. When the bladder is in the storage stage, the detrusor, which is a thick
layer of smooth muscle in the bladder wall, receives the sympathetic signals from
the ganglia of the spinal cord from T10 to L2 sections and expands to store urine.

Pelvic Detrusor
T10 Nerve muscle
(Sympathetic)
T11

T12

L1 Bladder
L2

L3 Hypogastric Nerve
(Parasympathetic)
L4

L5
S1 Sphincter
S2
S3
S4 Pudendal Nerve Urethra
(Somatic)

Fig. 3 Nerves supplying the bladder and related structures


1 Bladder Control Implants 7

At the same moment, the external sphincter contracts to close the bladder and to
prevent urine leakage. Meanwhile, when the bladder is filled, the parasympathetic
nerves on the spinal cord from S2 to S4 sections stimulate the detrusor, which then
contracts. The external sphincter then expands to release the urine. Therefore, the
bladder function can be restored by controlling the expansion and contraction of the
detrusor and external sphincter, respectively.

Stimulation Mode

Figure 4 demonstrates the two distinct modes for stimulation, namely, the current
and voltage modes (Liu et al. 2007). Current-mode stimulation is widely used in
surfaces and serves as an implantable stimulator for function electrical stimulation
applications. The current amplitude is directly controlled by a DAC and is inde-
pendent of tissue load. Therefore, in this stimulation mode, the quantity of charge
delivered per stimulus pulse is easily controlled. In the voltage-mode stimulation,
the stimulator output is a voltage. Hence, the magnitude of the current delivered to
the tissue depends on the interelectrode and tissue impedances. Thus, the exact
amount of charge supplied to the electrode and tissue is difficult to control because
of the impedance variation (Masdar et al. 2012).

Stimulus Pattern

Figure 5 illustrates the definitions of the key parameters in pulsing (Merrill


et al. 2005). The frequency of stimulation is the inverse of the period of time
between pulses, whereas the inter-pulse interval is the period of time between
pulses. These stimuli can also be distinguished into two kinds, namely, monophasic
and biphasic. Monophasic refers to a single phasic stimulus without reversal phase,

VDD Current Mode Voltage Mode

Istim A Electrode
Blocking
Capacitor
Capacitor
charging
circuitry
Istim C Nerve
Impedance C1 C2 C3 C4

VSS

Fig. 4 Two simple circuits of different stimulation modes


8 S.-Y. Lee and C.-Y. Huang

Fig. 5 Types of stimulus

whereas biphasic pertains to a stimulus with two reversal phases. The biphasic
stimulus can further be distinguished into charge-balanced and imbalanced pulses
because of the reversal current. Charge-balanced pulse denotes that the anodic and
cathodic currents are charged in the same degree, and the imbalanced pulse
specifies that the cathodic current charge is higher than the anodic current charge.
In some conditions, the biphasic stimulation must be accompanied with an inter-
phase delay. Consequently, a variety of stimulus pattern should be developed to
meet the requirement of an actual clinical trial. Figure 6 defines the additional
parameters of the burst stimulus for the requirement of actual clinical trials. These
added parameters include burst time, burst period, pulse period, pulse amplitude,
and pulse width (Bruns et al. 2008).

Blocking Capacitors

Blocking capacitor, which is an effective method of avoiding charge accumulation


for nerve protection, is adopted to avoid unnecessary DC current. The value of
blocking capacitor depends on the requirement of a specific stimulation according
to the magnitude of the stimulated current (Istim), pulse width (dt), and voltage
variation (dV) shown in (1):

dt
C ¼ I stim , dt ¼ pulse width: (1)
dV
Both the configurations depicted in Fig. 7a, b are (ideally) charge balanced to
avoid charge accumulation. However, achieving an exact zero net charge without
1 Bladder Control Implants 9

Burst times Burst Period

Amplitude

Amplitude

Pulse Period Pulse Width

Fig. 6 Parameters of a burst pulse stimulus

a VDD b VDD c VDD

ZL
IstimA ZL
S1
A
A
Blocking S1 S2 S3
S1 ZL Nerve
Capacitor S2 Nerve
A C
C
S2 S3 Nerve Istim S1

C Istim
IstimB

VSS

Fig. 7 Output stage configurations of the conventional stimulators with two-electrode setup

switch S3 after each stimulation cycle is not possible because of the mismatch
between the current source and current sink drivers and the stimulus timing errors
or the leakage current from the adjacent electrode pairs (Sivaprakasam et al. 2005;
Sit and Sarpeshkar 2007). Therefore, switch S3 can be used to provide an extra
passive discharging phase to periodically remove all the residual charges from the
electrode (anode and cathode). Another structure, which is presented in Fig. 7c,
uses the passive discharge phase as the main anodic phase to avoid charge accu-
mulation. The benefit is easily implemented, but the discharging phase must be long
enough to ensure that the charge is completely depleted (Bugbee et al. 2001).
High-frequency current switching (HFCS) is a creative technique to reduce
blocking capacitor area and to inhibit its integration in silicon chip. Figure 8
presents the HFCS circuit and the relative timing diagram (Liu et al. 2008).
The timing diagram illustrates that the electrode is actively charged in phase Tcathode
and passively discharged in phase Tanode. When ϕ1(ϕ2) is high (low) at phase
Tcathode, the programmable current charges capacitor C1 and discharges capacitor
C2, and vice versa when ϕ1(ϕ2) is low (high). According to (1), the required
capacitor is also smaller because dt becomes the time of half clock period, which
is less than the time of pulse width in the output stage of the conventional
stimulators (Fig. 7).
10 S.-Y. Lee and C.-Y. Huang

a VDDA
b stimulation cycle

SL Tcathodic
f3 ZL Tanodic

f1
Is1 Is2

D1 D2 D4 D3 f2

VDDA C1 C2 VDDA
S3 f3
S4

f2 f1 c
S1 S2
f1 f2 Tcathodic
Istim Tanodic

B
Istim
A

Fig. 8 HFCS blocking capacitor circuit and timing diagram

For charge balance, when ϕ3 is high at phase Tanode, the slow reverse current can
discharge the tissue and electrodes. Thus, the areas under A and B must be equal.
This condition can be achieved by lengthening phase Tcathode than phase Tanode.

Magnitude of Current for Peripheral Nerve Stimulation

The magnitude of current should be carefully designed for the stimulation because
large stimulation current will burn the tissue and it is invalid on the tissue for small
stimulation current. In their previous work, Rodriguez et al. (2000) presented the
negative correlation between the current intensity and stimulus pulse width, as
indicated in Fig. 9. Thus, the definition of maximal and threshold currents can be
clearly determined from the figure, which also reminds that the strength–duration
curves of the “first day” and “45th day” are different after the implantation of
platinum cuff electrode.

Electrode and Contact Impedance

Donfack et al. (2000) revealed that the impedance of the electrodes in bladder
stimulators ranges from 300 to 3,000 Ω. The impedance variation of the tissue is
between 500 and 1,200 Ω. If the electrodes or lead wire is broken, the impedance is
more than 10 kΩ and results in the disconnection between the electrodes and nerve.
By contrary, if the impedance is less than 100 Ω, this circumstance is interpreted as
a short circuit between the electrodes and nerve caused by the injection of blood or
saline between the two elements.
1 Bladder Control Implants 11

Stimulus
Day 0 Day 45
Current 1227 m A

909 m A
788 m A

483 m A 486 m A
410 m A 399 m A

322 m A Maximal Maximal


310 m A 306 m A
Current 296 m A Current
255 m A

133 m A
119 m A Threshold 127 m A Threshold
109 m A Current Current
Stimulus
0.05ms 0.5ms 1ms Pulse 0.05ms 0.5ms 1ms
0.1ms Width 0.1ms

Fig. 9 Strength–duration curve associated with the bladder stimulation in animal. The horizontal
axis depicts the stimulus pulse width, and the vertical represents the stimulus current

Design

Stimulation Algorithm

Data Format and Error-Checking Mechanism


Figures 10 and 11 illustrate the custom packet format and error-checking mecha-
nism for the bladder stimulation, respectively. Each segment length is purposefully
arranged. The header and end bits are used for the packet location. The combina-
tional logic circuit with parity check is utilized to enhance reliability during
wireless communication. If the data are correct, the system can read or write the
digital codes in the register to update the stimulation parameters and controlled bits.

Burst Pulse Generation Algorithm


Figure 12 shows the burst pulse generation algorithm. The pulse period counter is
operated at 97.66 Hz. The output of the pulse period counter is initiated at 0 and is
further calculated to attain the required value, which is defined by the stimulus
parameters. For the counter, 6 bits is used. Therefore, the stimulation can be
adjusted from 1.29 to 47.685 Hz. Once the output of the pulse period counter
achieves 1, which briefly emerges about 10.2 ms, this value triggers the burst period
counter. The burst period counter is operated at 1.563 kHz clock and is used to
control the burst period and burst times. The product of the burst period and burst
times cannot exceed 10.2 ms. Otherwise, the last burst pulse would not appear. The
12 S.-Y. Lee and C.-Y. Huang

Checked Controlled Stimulus Parameters

Header Function Control Data Write Control Amplitude Pulse Period Pulse Width
5 bits 2 bits 2 bits 8 bits 6 bits 5 bits

Stimulus Parameters Checked


Function 1
Burst Times 2 bits and Burst Period 3 bits Threshold voltage Parity END
Function 2 8 bits 6 bits 5 bits
Interphase Delay 5 bits

Fig. 10 Packet format

According to
Get data from RF “Header” & “End” controlled bits,
Pass Parity Check Pass
demodulation location check update parameter
of stimulation

Fig. 11 Error-checking mechanism

Counter For 97.66 Hz


Pulse Period Clock

/ 16
Time

Counter For Burst 1.563 kHz


Burst Period Times=2 Clock

/ 64
Time

Counter For
100 kHz Clock
Pulse Width

Stimulus
Time Generator

Fig. 12 Burst pulse generation algorithm


1 Bladder Control Implants 13

parameter segment length is relative to the operated clock. In this work, 3 bits for
the burst period and 2 bits for the burst times are defined in the stimulus parameter.
When the burst period output is at 1, the pulse width counter is triggered at 100 kHz
to provide the stimulus pulse width. After stimulation, all of the counters will be
reset to 0. The microstimulator can change the stimulated direction and activate the
pulse width counter again before stopping. This process forms the biphasic pulse to
stimulate the nerve.
The high-frequency components are began after the low-frequency components.
However, the former is accomplished before the statement of low-frequency com-
ponents is changed. The counter is often idle in unacted state and woken up by the
system controller to avoid the clock synchronization problem and to reduce power
consumption.

SRID Pulse Generation Algorithm


Figure 13 illustrates the slow reversal with interphase delay (SRID) pulse genera-
tion algorithm. This algorithm is similar to the burst pulse generation algorithm but
without the counters of burst period and burst times. The SRID pulse algorithm uses
another counter operated at 100 kHz clock to produce the interphase delay. The
output of pulse width counter triggers the interphase counter once it attains 1. At the

97.66 Hz Counter For


Clock Pulse Period

/ 16
Time

1.563 kHz Counter For


Clock Interphase
Delay

/ 64
Time

100kHz Clock Counter For Counter For


Cathode Anthode
Pulse Width Pulse Width

Stimulus
Generator

Fig. 13 SRID pulse generation algorithm


14 S.-Y. Lee and C.-Y. Huang

same time, the input of the DAC produces multiple current ratios of 1/2, 1/4, or 1/8.
This condition implies that the input bits of DAC can be shifted right 1, 2, or 3 to
change the stimulus current intensity for the requirement of bladder stimulation.
Once the output of interphase counter achieves 1, the pulse width counter is once
again triggered, but a slight bit is different from the previous phase. According to
the current ratio, this counter will count two, four, or eight times more to produce
anodic pulse width. After stimulation, all counters will be reset to 0.

Digital-to-Analog Converter Design

Figure 14 displays an 8-bit segmented current-mode DAC with a current mirror.


The thermometer code scheme is employed in the DAC design because of its small
glitch error. The 8-bit digital code is divided into two arrays, namely, 4-bit coarse
codes and 4-bit fine code arrays. Each array includes 16 unit cells, and each unit cell
contains a matrix decoder and current cell, as shown in Fig. 15. The cell current of
the least significant bits is 1/16th of that of the most significant bits in each array.
Accordingly, each unit current is 2 and 32 μA for coarse and fine code arrays,
respectively. In Fig. 16, two 2-to-4 binary-to-thermometer decoders (row and
column decoders) are used to control the current sources. This output current of
DAC can provide a precise current Istim for the microstimulator.
Instead of a simple current mirror, a wide-swing cascode current mirror is used
to boost the output impedance with low power consumption, as shown in Fig. 17.
Two switches are designed for the measurement issue, and the stimulus current only
passes through one switch at the stimulation period.

B5 B4 B1 B0

Column Decoder Column Decoder


Vdd Vdd
Vdd Vdd
B7 B3
Row Decoder

Row Decoder

B6 B2

Icoarse Ifine
Unit Cell

Istim Idump

Fig. 14 8-bit segmented DAC structure


1 Bladder Control Implants 15

Cj

i– VDD(1.8V)
R2i+1 Matrix Switched Iout R2i+1 R2i Cj i+ i–
Cj
R2i Decoder Current Cell Idump 000,001,100 0 1
i+ Unit Cell
R2i+1 Others 1 0
VDD(1.8V)
VDD(1.8V) VDD(1.8V)
Bias4 R2i
i–

Bias3
VSS VSS
i+

i– i+
Matrix Decoder
Idump Iout
VSS
Current Cell

Fig. 15 Unit cell with current cell and matrix decoder

Bi+3 Bi+2 Bi+1 Bi


Bi+3 Bi+2 Bi+1 Bi
00 01 10 11 00 01 10 11
R7 R7 1 1 1 1 C3 C3 1 1 1 1
R6 R6 1 1 1 0 C2 C2 1 1 1 0
R5
R5 1 1 1 0 C1 1 1 0 0
R4 R4 1 1 0 0 C1 C0 1 0 0 0
R3
R3 1 1 0 0
R2 R2 1 0 0 0 C0
R1
Row Decoder R0 R1 1 0 0 0 Column Decoder
R0 0 0 0 0

Fig. 16 Row and column decoders

Stimulus Generation Design

A stimulator with a set of switches, as depicted in Fig. 18, is proposed to provide the
required stimulation current for the pudendal nerve because the use of a biphasic
pulse can prevent the ion-charge accumulation in tissues. Two pairs of switches, S2
and S2 , which are controlled by the system controller, are designed to produce
biphasic electrical stimulation pulses. Switches S1 and S1 with blocking capacitors
are used to avoid the passage of DC current through the electrode–nerve interface
during stimulation. These switches are controlled by the opposite phase of 100-kHz
clock. When S3 is turned on (S3 is turned off), the current is passed through the
nerve load according to the on/off of S1 and S1, and the blocking capacitor is either
charged or repeatedly discharged. Conversely, when switch S3 is turned off (S3 is
turned on), it releases residue charge from ion-charge accumulation in tissues and
blocks the leakage current through the nerve load.
16 S.-Y. Lee and C.-Y. Huang

Fig. 17 Wide-swing cascade VDD (3.3V)


current mirror
Stimulus
Generator
VDD (1.8V)

Control Control
Istim
Switch1 Switch2

W1/L1

W1/L1 4*W1/L1
Bias2

W1/L1 W2/L2 4*W2/L2

VDD (3.3V) Burst Pulse Charged Imbalanced


Stimulation Stimulation
S3
S1
0
__
S2 S2
Out+ Out–
S2
__
Cs S3
__ 0
S2 S2

Cp Cp S3
__ __ 0
S1 S1 S1 S1

Istim
(Out+, Out–) 0

Fig. 18 Stimulus generator circuit and timing diagram

Power consumption is reduced by operating the system controller and 8-bit DAC at
1.8-V supply voltage according to the requirement of the TSMC 0.18-μm cell-based
library. Meanwhile, the stimulus generator is operated at 3.3 V for the requirement of
the microstimulator. The electrode–nerve impedance is about 3 kΩ; 3.3 V is a safety
design if the stimulus intensity is more than 500 μA.

FPGA Implementation

Figure 19 depicts the measured results of burst pulse stimulation on the field-
programmable gate array (FPGA) implementation. The pulse frequency is from
1.49 to 47.66 Hz (Fig. 19a, d), burst frequency ranges from 190.8 to 763 Hz
1
Bladder Control Implants

Fig. 19 Different burst pulse stimulation functions, distinguished pulse frequencies in a and d, distinguished burst frequencies in b and e, distinguished pulse
widths in c and f
17
18 S.-Y. Lee and C.-Y. Huang

(Fig. 19b, e), and pulse width is from 21 to 325 μs (Fig. 19c, f). The implementation
results reveal that the function is normally operated to provide different burst pulses
for the different requirements on the bladder stimulation.
Figure 20 illustrates the measured results of the SRID stimulus stimulation.
The pulse frequency is similar to the burst pulse period; it reveals that the anodic
current intensity is half (Fig. 20a) of, one-fourth (Fig. 20b) of, or one-eighth
(Fig. 20c) of the cathodic current. Moreover, the anodic pulse width is twice
(Fig. 20a) of, four times Fig. 20b) of, or eight times (Fig. 20c) of the cathodic
pulse width. The measured results also determine that the proposed SRID
algorithm can provide the stimulation function for the different requirements of
bladder control.
Figures 19 and 20 show that the high-frequency ripples are caused by the
disturbed charge from blocking capacitors on the FPGA board. These ripples can
be reduced by replacing the larger capacitors and can be overcome in future
implementations by using integrated circuits.

Fig. 20 SRID stimuli with different current ratios


1 Bladder Control Implants 19

The proposed bladder control microstimulator has been implemented in a 0.18-μ


m TSMC CMOS process using 1.8-V power supply. Figure 21 shows the chip
microphotograph. The measured specifications are also illustrated in Table 1 to
demonstrate the characteristic.

Fig. 21 Microphotograph of
the proposed bladder control
microstimulator

Table 1 Specifications summary of the proposed bladder control microstimulator


General specifications
Technology TSMC 0.18 μm 1P6M CMOS
Supply voltage 1.8 V and 3.3 V
Operation frequency 100 kHz
Power consumption 36 μW (static); 1 mW (stimulation)
Stimulation function Post-simulation Burst pulse SRID pulse
Pulse period – max (ms) 655.36 660.6 N/A
Pulse period – min (ms) 10.24 10.49 N/A
Pulse width – max (μs) 327.68 328 327.68 (cathodic)
Pulse width – min (μs) 20.48 20 20.48 (cathodic)
Burst period – max (ms) 5.1 (3.2) 4.59 (2.62) N/A
Burst period – min (ms) 1.28 1.31 N/A
Interphase delay – max (μs) 327.68 N/A 328
Interphase delay – min (μs) 20.48 N/A 20
20 S.-Y. Lee and C.-Y. Huang

Conclusions

A system-controlled method for a bladder controller is proposed and implemented


in this study. The controlled bits can be programmed by surgeons from an external
device to provide the required burst pulse or slow reversal with interphase delay
pulse stimulation. A total of 52 bits are defined in the communication protocol, and
internal codes are used to enhance reliability and to control the stimulated param-
eters. The measured result shows that the function is effective. The measurement
results of the stimulation function on FPGA are helpful for future implementations
in the integrated circuits, and the microstimulator with controlled algorithm is
integrated with power interface and sensing channel as an implantable device for
animal study.

References
Bruns TM, Bhadra B, Gustafson KJ (2008) Variable patterned pudendal nerve stimuli improves
reflex bladder activation. IEEE Trans Neural Syst Rehabil Eng 16(2):140–148
Bugbee MB, Donaldson NN, Lickel A, Rijkhoff NJM, Taylor J (2001) An implant for chronic
selective stimulation of nerves. Med Eng Phys 23:29–36
Donfack CM, Sawan M, Savaria Y (2000) Implantable measurement technique dedicated to the
monitoring of electrode-nerve contact in bladder stimulators. Med Biol Eng Comput 38
(4):465–468
Lee SY, Su MYC et al (2011) A programmable implantable microstimulator SoC with wireless
telemetry: application in closed-loop endocardial stimulation for cardiac pacemaker. IEEE
Trans Biomed Circ Syst 5(6):511–522
Liu A, Demosthenous A, Rahal M, Donaldson N (2007) Recent advances in the design of
implantable stimulator output stages. In: 18th European Conference on Circuit Theory and
Design (ECCTD), pp 204–207
Liu X, Demosthenous A, Donaldson N (2008) An integrated implantable stimulator that is fail-safe
without off-chip blocking-capacitors. IEEE Trans Biomed Circ Syst 2(3):231–244
Masdar A, Ibrahim BSKK, Abdul Jamil MM (2012) Development of low-cost current controlled
stimulator for paraplegics. Int J Integr Eng 4(3):40–47
Merrill DR, Bikson M, Jefferys JGR (2005) Electrical stimulation of excitable tissue: design of
efficacious and safe protocols. J Neurosci Methods 141(2):171–198
Rodriguez FJ, Ceballos D, Schuttler M, Valero A, Valderrama E, Stieglitz T, Navarro X (2000)
Polyimide cuff electrodes for peripheral nerve stimulation. J Neurosci Methods 98(2):105–118
Sit JJ, Sarpeshkar R (2007) A low-power blocking-capacitor-free charge-balanced electrode-
stimulator chip with less than 6 nA DC error for 1-mA full-scale stimulation. IEEE Trans
Biomed Circ Syst 1(3):172–183
Sivaprakasam M, Liu W, Humayun MS, Weiland JD (2005) A variable range bi-phasic current
stimulus driver circuitry for an implantable retinal prosthetic device. IEEE J Solid State Circ
40(3):763–771
Development and Evaluation of a
Continuous Blood Pressure Monitoring 2
System

Toshiya Arakawa, Noriaki Sakakibara, and Shinji Kondo

Contents
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22
Steering-Type Blood Pressure Monitoring System . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24
System Development . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24
Experiment and Evaluation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29
Infrared Blood Pressure Monitoring System . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31
System Development . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33
Experiment and Evaluation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35
Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38
References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38

Abstract
There is a growing awareness among the populace regarding the role of a healthy
lifestyle as a key component to overall health. One integral measure of good
health is blood pressure. Hypertension leads to heart disease and other serious
health issues for an individual, but it also affects society as a whole by a general
decrease in productivity and economic loss and more specifically is a major cause
of traffic accidents. Blood pressure monitors have been developed and sold
widely and are in wide use throughout society, but these are primarily what are
termed noninvasive monitors. The monitors currently available have some dis-
advantages including discomfort for the patient caused by painful cuff inflation,
which may actually influence blood pressure readings, and the unfeasibility of
continuous or semicontinuous blood pressure monitoring due to the necessity for
repetitive cuff inflation and deflation. Cuffless blood pressure measuring systems

T. Arakawa (*)
Department of Mechanical Systems Engineering, Aichi University of Technology, Gamagori-city,
Aichi, Japan
e-mail: arakawa-toshiya@aut.ac.jp
N. Sakakibara · S. Kondo
KANDS Inc., Kariya-city, Aichi, Japan

© Springer Science+Business Media, LLC, part of Springer Nature 2022 21


M. Sawan (ed.), Handbook of Biochips,
https://doi.org/10.1007/978-1-4614-3447-4_50
22 T. Arakawa et al.

have been developed which help to solve these problems, and in this paper, two of
these systems and their effectiveness and practicality are introduced. Steering-
type blood pressure monitoring systems and infrared blood pressure monitoring
systems and their measurement principles are described, and their performance
evaluation is also explained. The primary disadvantage of steering-type blood
pressure monitoring systems is found to be accuracy; infrared blood pressure
monitoring systems currently suffer from some time lag in reporting of results.

Introduction

There is a growing awareness of the importance of lifestyle in achieving and


maintaining good health (Meguro 2001). As such awareness increases, the basic
lifestyles of all people are changing to incorporate better-rounded lifestyles. Not only
is this important at a personal level, but it is also related to national strategies; in
response, new business development related to healthcare has appeared around the
world. One health area that has received great interest is that of high blood pressure;
it can cause major diseases and ailments such as strokes and heart and kidney
diseases (Moser 1992; Stroke Association 2017). Considering the rapid progression
of the aging population and a Westernized diet (Monge et al. 2018; Rai et al. 2017), it
is becoming increasingly important to prevent the occurrence of hypertension in
Japan and in the rest of the world. Hypertension, a major contributor to cardiovas-
cular disease (CVD) including heart disease and stroke, is one of the leading
contributors to the global burden of disease and is a growing public health problem
worldwide (Constant et al. 2016). In the United States, about 75.2 million adults (one
in every three) had hypertension during 2013–2014 (Merai et al. 2016). In 2014,
hypertension was listed as a primary or contributing cause of 427,631 American
deaths, and heart disease and stroke were the first and fifth leading causes of death,
respectively (CDC, National Center for Health Statistics 2016).
A study based on analysis data from the 2011 to 2014 National Health and
Nutrition Examination Survey (n ¼ 9623) shows that, according to the criteria
from the 2017 American College of Cardiology/American Heart Association
(ACC/AHA) and the Seventh Report of the Joint National Committee on Prevention,
Detection, Evaluation, and Treatment of High Blood Pressure (JNC7) guidelines, the
crude prevalence of hypertension among American adults was 45.6% (95% confi-
dence interval (CI), 43.6–47.6%) and 31.9% (95% CI, 30.1–33.7%), respectively. In
addition, antihypertensive medication was recommended for 36.2% (95% CI, 34.2–
38.2%) and 34.3% (95% CI, 32.5–36.2%) of American adults, respectively
(Muntner et al. 2018). Another report shows that one in three adults in the United
States has high blood pressure and half of them do not have it under control
(Godman 2018).
Another study of the relation between hypertension and economic loss showed
that the total cost of treating hypertension in the United States in 2030 will be
2 Development and Evaluation of a Continuous Blood Pressure Monitoring System 23

US$50.3 billion–US$47.2 billion in direct medical costs and US$13.1 billion in


indirect costs owing to lost productivity related to morbidity and mortality (William
2003). Based on this study, the total direct cost of hypertension is followed by
coronary heart disease (CHD, US$61.2 billion), and the total indirect costs of lost
productivity is followed by CHD (US$129.9 billion) and stroke (US$51.2 billion).
Thus, it is found that hypertension significantly leads to decreased productivity and
economic loss.
Besides decreasing productivity and economic loss, hypertension is also a major
cause of traffic accidents (Arakawa 2018). One study shows that hypertension is the
most common chronic pathology found in traffic offenders (Saei et al. 2018).
Another study reported that hypertension is highly prevalent among bus drivers, a
group responsible for the safety of many others on the road (Sadri 2015). It is
therefore important for professional drivers, like bus drivers, to manage their own
health condition daily and to be aware that they should not drive without rest or when
they are unwell. In addition, it is desirable to develop a system that manages the
driver’s health and monitors sudden spikes in blood pressure, providing them the
opportunity to safely pull off the road before a health crisis occurs, thereby decreas-
ing the number of traffic accidents and ensuring the safety of the driver and their
passengers (Arakawa 2018).
A previous report (Godman 2018) also stated that the AHA and other organiza-
tions have called for greater use of home blood pressure monitoring; however, their
use is not widespread. One reason is that insurance coverage for such programs still
lags, and another is that full-fledged efforts such as those established in the state of
Minnesota could cost US$1350 per person. However, this report also states that
everyone can buy a good home blood pressure monitor currently available from a
pharmacy or online merchant from between US$50 and US$100; this would make
checking blood pressure twice a day easy to accomplish for everyone.
These inexpensive noninvasive blood pressure monitors based on cuff occlusion
are in wide use both inside and outside of care facilities (Schoot et al. 2016).
However, they have some disadvantages including discomfort for the patient
because of painful cuff inflation (which may also influence blood pressure outcome)
and the unfeasibility of continuous or semicontinuous blood pressure monitoring due
to the necessity for repeated cuff inflation and deflation (Arakawa 2018). They are
not very practical for use in constant monitoring during driving or in monitoring of
healthy people in their daily routines.
As a response to finding a more practical method of providing regular blood
pressure monitoring, noninvasive cuffless blood pressure measurement devices have
begun to be developed and mass-produced. In fact, the IEEE published a standard for
wearable cuffless blood pressure measuring device systems that can measure blood
pressure based on pulse wave propagation time (IEEE1708 certified on 26 August
2014) (Arakawa et al. 2018). Our survey shows that the development of cuffless
blood pressure measurement monitors has been thriving since the standard was
published and the worldwide proliferation of cuffless blood pressure measurement
monitors has increased from the point of Google patents (Arakawa 2018).
24 T. Arakawa et al.

In this chapter, the two types of cuffless blood pressure monitoring systems used
in this study are presented, and their efficiency and usability are discussed. The first
is a steering-type blood pressure monitoring system (Arakawa et al. 2016, 2018), and
the second is an infrared blood pressure monitoring system. Usually, these systems
are designed for in-vehicle systems, which can monitor driver’s blood pressure in
real time in order to manage the driver’s health and decrease the number of traffic
accidents. The steering-type blood pressure monitoring system was developed first;
it was followed by a more versatile infrared system designed with ultrasonic sonar
which can be used both inside and outside vehicles.
The remainder of this chapter is organized as follows. Section “Steering-Type
Blood Pressure Monitoring System” introduces the steering-type blood pressure
monitoring system, and section “Infrared Blood Pressure Monitoring System” intro-
duces the infrared blood pressure monitoring system. Section “Conclusion” sum-
marizes this chapter.

Steering-Type Blood Pressure Monitoring System

System Development

As mentioned in section “Introduction,” a system for managing driver’s health is


needed in order to decrease the number of traffic accidents. However, such a system
must not restrict the driver’s driving position, noncontact systems are desirable for
the comfort of the driver. Steering-type blood pressure monitoring systems fit this
requirement, because the driver needs to grasp steering wheel while driving and they
provide unconscious measurement of the driver’s blood pressure. If a driver is highly
conscious of a monitoring system, the driver could be so conscious that his or her
driving may be distracted, so it is important that a practical monitoring system be
imperceptible to the driver. The features of this system are that it is cuffless and
responds robustly to body movement so that it is not affected as the steering wheel is
turned. The development of this monitor was completed as part of a feasibility study;
therefore the system was created for a desktop driving simulator and not for a real
vehicle. The system used to measure blood pressure was developed and tested, while
the participant played a racing game on a PlayStation 4 ®. A steering controller for a
PlayStation 4® (Hori Co., Ltd.) was used as the steering wheel. This steering-type
sphygmomanometer was connected to a tablet PC (Dell latitude 10 (Dell Inc.))
through Bluetooth. The blood pressure data measured by the sphygmomanometer
were transmitted to the tablet PC, which showed the driver’s blood pressure in
real time.
Figure 1 shows the developed system and Fig. 2 illustrates a block chart of the
same system. Two sensors (the red LED parts in Fig. 1) are attached to the steering
wheel spokes because the steering wheel turns from 90 to +90 and the driver
places their hands at almost the same location on a real steering wheel when driving.
The sensors are therefore attached at positions of 10 and 2 o’clock on the wheel and
2 Development and Evaluation of a Continuous Blood Pressure Monitoring System 25

Fig. 1 Outline of the


developed system

Fig. 2 A block chart of the developed system

can detect the driver’s pulse even if the driver removes one hand from the wheel.
Figure 3 shows a driver grasping the steering and controlling the vehicle.
With this system, infrared light is emitted from a sensor unit attached to the
steering wheel ring and is aimed at the skin of the driver’s finger. The transition of
the finger plethysmogram, which is the integral value of the photoplethysmogram, is
calculated for every pulse beat, and the reference light quantity is used to determine
the average blood pressure. In addition, blood flow, the condition of the hemoglobin,
26 T. Arakawa et al.

Fig. 3 A driver grasping the


steering and controlling the
vehicle

and the vascular elasticity rate are calculated. The systolic and diastolic blood
pressures can be continuously calculated based on these results.
The algorithm for calculating the blood pressure is shown in the following
(Kondo et al. 2008, 2010). Photoplethysmography is applied to a pipe flow in a
viscous fluid (Hagen-Poiseuille flow, the algorithm of which follows the equation
below):

π  R4 P1  P2
Q ¼ π  R2  V ¼  ð1Þ
8η L
where Q (m3/s) is the flow volume, R (m) is the radius of the pipe, V (m/s) is the flow
velocity, η (Pas) is the viscosity of the fluid, and (P1  P2)/L (Pa/m) is the pressure
gradient between the two points (L (m)).
In short, the pressure correlates to the flow volume in a pipe. The above equation
was applied for photoplethysmography. The following assumptions were made in
the logic of the estimation:

1. The blood pressure correlates positively with blood flow.


2. The mural pressure correlates positively with the pressure against a tissue (e.g.,
the cuff pressure or application of the probe pressure).
3. A constant probe pressure is applied to the tissue.
4. The pressure difference is higher for the arteries than for the venous vessels.
5. The photoplethysmographic signals are sensitive only to the hemoglobin
dynamics.

Therefore, when adequate pressure and adequate light emission are applied to
the tissue, the transmitted light is hypothesized to correlate with the blood
pressure, i.e., the systolic pressure was estimated for the peak of the light
transmitted, and the diastolic pressure was estimated for the through-transmission
of the light.
2 Development and Evaluation of a Continuous Blood Pressure Monitoring System 27

Figure 4 shows a schema of the blood pressure pulsation and time course. The
following equations were hypothesized for measuring blood pressure:

sp  d p
s1 ¼ t ð2Þ
2
s2 ¼ d p  t ð3Þ

S ¼ s1 þ s2 ð4Þ
s1
K¼ ð5Þ
s2
where sp (mmHg) denotes the systolic pressure, dp (mmHg) denotes the diastolic
pressure, and t (s) denotes the wave period.
The following equations were then hypothesized for the photoplethysmographic
pulsation:

p1  p2
ps1 ¼ t ð6Þ
2
ps2 ¼ p2  t ð7Þ

pS ¼ ps1 þ ps2 ð8Þ


ps1
pK ¼ ð9Þ
ps2

where p1 (mW) denotes the maximum photoplethysmographic signal intensity, p2


(mW) denotes the minimum photoplethysmographic signal intensity, and a denotes
an arbitrary constant.

Fig. 4 (a) Schema of blood pressure pulsation and time course and (b) schema of photo-
plethysmographic pulsation and time course
28 T. Arakawa et al.

The following equations were deduced when K is hypothesized as pK:

K
ps1 ¼  pK ð10Þ
1þK
1
ps2 ¼  pK ð11Þ
1þK
ð2K þ aÞ  pK
p1 ¼ ð12Þ
ð1 þ K Þ  t
pK
p2 ¼ ð13Þ
ð1 þ K Þ  t

Therefore, p1 (mmHg) and p2 (mmHg) are estimated as the systolic and diastolic
pressures.
Figure 5 shows a flow chart of blood pressure detection using the developed
system. Next, the calibration procedure is described as shown in Fig. 5.

Fig. 5 Flow chart estimating


continuous blood pressure
using a photoplethysmogram
2 Development and Evaluation of a Continuous Blood Pressure Monitoring System 29

1. As a reference to determine the upper and lower limits of their blood pressure, the
drivers input their systolic and diastolic blood pressures into the tablet PC based
on past diagnostics.
2. The pulse waves recorded when holding the steering wheel are detected to
determine the reference finger plethysmogram, and the finger plethysmogram is
tuned to the specified gain based on the amount of light and sensitivity.
3. The tuned photoplethysmogram is considered a standard plethysmogram regard-
ing average blood pressure. The ratio of diastolic blood pressure to the average
blood pressure is added to the plethysmogram of the average blood pressure,
which is determined as a plethysmogram of the diastolic blood pressure. A
plethysmogram of the systolic blood pressure is calculated similarly based on
the ratio of systolic blood pressure to the average blood pressure and is considered
a standard plethysmogram.
4. The observed plethysmogram and plethysmogram of the standard blood pressure
are compared, and the current average blood pressure is calculated. Next, the
systolic and diastolic blood pressures are calculated based on the pulse pressure
ratio calculated based on the ratio of height of the plethysmogram.

Photoplethysmographic detection by sensors attached to the steering wheel


branches into a circuit to reduce the noise from body movements and a circuit for
filtering. A photoplethysmogram passes through a circuit to reproduce a pulse wave
after passing through the circuit for filtering, and the blood pressure is calculated
based on the photoplethysmogram by applying digital processing. Finally, a pulse
wave is obtained, and the value of the blood pressure is output.

Experiment and Evaluation

Seven people participated in the experiment. The average age of these people was
22 years old, and these people were selected randomly from male college students.
First, their systolic and diastolic blood pressures were measured using a commercial
electronic sphygmomanometer, which measures blood pressure based on a conven-
tional method (also known as the Riva Rocci Korotkoff method, for blood pressure
measurements) (Maley 2019). Their blood pressure was then measured using our
system for a 2-min period. The participants then rested for 5 min; after which their
blood pressure was measured, they then rested for another 2 min and the blood
pressure test was repeated. The results of this experiment are shown in Fig. 6, along
with the measurement results of the systolic and diastolic blood pressures using a
commercial conventional electronic sphygmomanometer for comparison. A box-
and-whisker plot comparing a “conventional” method shows the blood pressure as
determined using a commercial electronic sphygmomanometer, the range of error of
which is 10 mmHg. The first and second box-and-whisker plots show the average
blood pressure of the first and second measurements taken by our system and two
standard deviations (SD), respectively. It should be noted that outliers from failed
measurements were omitted from the data. In addition, as shown in Fig. 6, the
horizontal dotted line indicates the range of average blood pressure, namely, 10 to
30 T. Arakawa et al.

Fig. 6 Measurement results using a commercial electronic sphygmomanometer through a conven-


tional method and our proposed system

+10 mmHg, which is within the range of error of the commercial electronic sphyg-
momanometer. Thus, if the average 2SD of the blood pressure determined by our
system is between the dotted lines, it suggests that the blood pressure measured has
almost the same accuracy as a commercial electronic sphygmomanometer. Figure 7
shows the idea behind the verification of the validity of our developed system. Based
on Fig. 7, if the blood pressure range of the developed system, which is between the
2 Development and Evaluation of a Continuous Blood Pressure Monitoring System 31

Fig. 7 Evaluation the validity of the developed system: (a) difference in blood pressure
+10 [mmHg] of the conventional method and blood pressure +2SD [mmHg] of the developed
system and (b) difference in blood pressure 2SD [mmHg] of the developed system and the blood
pressure 10 [mmHg] of the conventional method

average 2SD, includes the blood pressure range of the conventional system, which
is between the average 10, then it can be stated that this system is valid (Arakawa
et al. 2018).
As a reference, Table 1 shows the difference between the diastolic blood pressure,
2SD mmHg, of the test system and the diastolic blood pressure, 10 mmHg, using
the commercial electronic sphygmomanometer, as well as the difference between the
systolic blood pressure, +10 mmHg, by the commercial electronic sphygmomanom-
eter, and the diastolic blood pressure, +2SD mmHg, of the test system, based on
Fig. 7. From Table 1, it can be said that the test system achieves the same level of
performance as a commercial electronic sphygmomanometer based on the range of
blood pressure shown when all values are positive.
From Fig. 6 and Table 1, it appears that the systolic blood pressure does not seem
to be within the proper range. However, the diastolic blood pressures of participants
D and E do seem to be within the proper range. By contrast, the systolic blood
pressures of participants A, C, and F seem to be within the proper range, whereas the
diastolic and systolic blood pressures of participants B and G seem to be outside the
proper range. Based on these results, it was determined that the blood pressure
captured by our system tends to be affected by the differences in the individual, and
it is necessary to make further refinements to the algorithm used in the blood
pressure estimation.

Infrared Blood Pressure Monitoring System

Section “Steering-Type Blood Pressure Monitoring System” presented a prototype


blood pressure monitoring system built into a vehicle steering wheel. However,
steering-like blood pressure monitoring systems are specialized for use in-vehicles;
thus it is necessary to consider versatility in order to provide new technology for a
wider portion of the population. In addition, if only in-vehicle use is considered,
users cannot choose and buy steering wheel-based blood pressure system as optional
32

Table 1 Validity evaluation of developed system. If the values in (a) and (b) are both positive, it indicates that the developed system has the same level of
validity as the conventional method
Diastolic blood pressure Systolic blood pressure
First Second First Second
(a) (b) (a) (b) (a) (b) (a) (b)
Participant A 15.1 9.38 14.5 8.50 0.94 8.00 2.42 7.46
Participant B 30.2 42.6 32.6 30.0 20.0 22.2 17.6 22.5
Participant C 6.20 14.1 2.59 16.5 7.96 6.90 5.44 6.64
Participant D 9.23 20.6 0.24 13.7 0.25 0.85 12.9 6.19
Participant E 3.23 14.6 6.24 7.70 13.8 15.0 32.6 22.2
Participant F 11.0 9.87 10.2 5.43 1.67 8.75 2.52 9.22
Participant G 72.8 16.9 26.9 38.1 44.0 33.7 36.8 8.00
T. Arakawa et al.
2 Development and Evaluation of a Continuous Blood Pressure Monitoring System 33

parts after they buy a vehicle, making it quite inconvenient. Thus, an infrared blood
pressure monitoring system that can be attached as a small shield over vehicle
gauges was developed. If these infrared blood pressure monitoring systems are
mass-produced, users can buy them and easily attach them to their vehicle. In this
section, development of this infrared blood pressure monitoring system is
introduced.

System Development

The infrared blood pressure monitoring system developed has a transmitter and
receiver. The transmitter emits infrared light onto a person, and the receiver receives
the weak reflected infrared light from a person’s skin. The reflected infrared light hits
the receiver, its signal is processed through an amplifier and a filter circuit, and then
the reflected infrared light is output as detected signal (called a continuous pulse
wave). The signal changes based on the relative change of blood flow, which of
course is based on the volume change of blood.
The flowchart shown in Fig. 8 illustrates how calculation of blood pressure is
performed using this information. First, calibration of the blood pressure value must
be done. The user’s systolic blood pressure value Ps and diastolic blood pressure
value Pd are set manually, and the average blood pressure value Pm is calculated.
Then, stability of the continuous pulse wave is detected, and this is calculated as
standard pulse wave area V0. Next, the coefficient of average blood pressure, the
ratio of systolic blood pressure and diastolic blood pressure, as well as the ratio of
diastolic blood pressure are calculated as calibration value based on Eqs. (14), (15),
and (16):

V0
L¼ ð14Þ
Pm
Ps
O¼ ð15Þ
Pm
Pd
P¼ ð16Þ
Pm
where L is the coefficient of average blood pressure, O is the ratio of systolic blood
pressure value and average blood pressure value, and P is the ratio of diastolic blood
pressure value and average blood pressure value.
After calibration, values L, O, and P are calculated and the actual blood pressure
value is measured. At actual measurement, V0n, which is the pulse wave area of
every heartbeat based on the continuous pulse wave, is calculated using the same
method as V0, which is the standard pulse wave area. Next, the average blood
pressure value Pmn (this is called average blood pressure of every heartbeat) from
Eq. (17) is based on pulse wave area and coefficient of average blood pressure every
heartbeat:
34 T. Arakawa et al.

Fig. 8 Flowchart of
calculating blood pressure
2 Development and Evaluation of a Continuous Blood Pressure Monitoring System 35

V 0n
Pmn ¼ ð17Þ
L
After calculation of the average blood pressure of every heartbeat, maximum
blood pressure Psn and minimum blood pressure Pdn are calculated from Eqs. (18)
and (19) based on the ratio of maximum blood pressure and average blood pressure,
the ratio of minimum blood pressure and average blood pressure, and average blood
pressure:

Pmn
Psn ¼ ð18Þ
O
Pmn
Pdn ¼ ð19Þ
P
The developed infrared blood pressure monitoring system is shown in Fig. 9.
Figure 10 shows a block diagram of the developed infrared blood pressure monitor-
ing system.

Experiment and Evaluation

The accuracy of continuous blood pressure monitoring systems is evaluated in


section “Experiment and Evaluation.” However, time lag to detect blood pressure
must be considered if using the developed infrared blood pressure monitoring
system because of the distance from system to user. Here, the experiment was to
evaluate the effect of time lag when using infrared blood pressure monitoring system
in vehicles. A driving simulator, DS-nano- (Advanced Solutions Technology Japan),
was used for this experiment. This driving simulator has a real vehicle-like exterior
and interior. The developed blood pressure monitoring system, introduced in section
“System Development,” was attached to a small shield over the driving simulator’s
gauges. Nine male participants (from 21 to 50 years old) joined this experiment. All
participants were briefed on the experiment, and an informed consent was obtained
from all the participants.
All experiments required the participants to drive in an urban area-like simulation
environment, which has many intersections of low visibility. They were asked to

Fig. 9 The developed


infrared blood pressure
monitoring system
36 T. Arakawa et al.

Fig. 10 Block diagram of the developed infrared blood pressure monitoring system

Fig. 11 Driving situation. After about 3-min driving, an unexpected vehicle appears

drive at approximately 50 km/h for about 4 min. After driving of approximately


3 min, a vehicle appeared to run into the road from a hidden location (Fig. 11). Here,
the direction from which the vehicle ran (whether from left or from right) was
random. The participant’s blood pressure and driver behavior (velocity, steering
angle, and brake pressure) were measured. For the purpose of evaluating the time
lag, a contact-type continuous blood pressure monitoring system (μBP-mp,
KANDS, Inc.) was also attached to the participant, and his blood pressure was
measured. In addition, perspiration sensors were also attached to their left and right
thumbs to detect perspiration that occurred by the sudden appearance of the addi-
tional vehicle. Figure 12 shows the details of the experiment. Figure 12a shows a
participant’s driving situation, and Fig. 12b shows an outline of the driving simulator
as it records the participant.
2 Development and Evaluation of a Continuous Blood Pressure Monitoring System 37

Fig. 12 Situation of experiment. (a) Participant’s driving situation and (b) outline of driving
simulator on the participant’s driving

Fig. 13 Average increasing


time 1SD of all participants

The timing that the average blood pressure increased from the point of an
unexpected vehicle was first seen as it appeared was calculated. The changepoint
method was applied in order to detect the timing. From the result of the calculation
by the changepoint method, the average increase in time 1SD of all participants is
shown in Fig. 13. Here, in Fig. 13, “μBP-mp” means the timing based on blood
pressure measured with contact-type continuous blood pressure monitoring system
(μBP-mp), and “IR” means the timing based on blood pressure measured with
infrared blood pressure monitoring system.
Time lag to detect blood pressure is important because this infrared blood
pressure measurement system is a noncontact measurement system. However, it
was found that the detection time by the developed systems is about 2.7 s longer than
that for contact continuous blood pressure measurement systems, which is not a
significant difference. Thus, delay of the detection timing of blood pressure increase
may not affect the actual operation if psychological tension of a vehicle’s sudden
appearances is detected with infrared blood pressure measuring systems.
38 T. Arakawa et al.

Conclusion

In this paper, two blood pressure monitoring systems were introduced: the first is a
steering-type blood pressure monitoring system, and the second is infrared blood
pressure monitoring system. Both have advantages particularly for the drivers of
vehicles; however, there are still many problems that need to be addressed to achieve
downsizing and improvement of accuracy. However, these blood pressure measure-
ments could dramatically support improved lifestyles and dynamically increase
driver safety.

Acknowledgments The authors would like to thank Misaki Design LCC for developing the cabin
of the driving simulator.

References
Arakawa T (2018) Recent research and developing trends of wearable sensors for detecting blood
pressure. Sensors 18(9):2772. https://doi.org/10.3390/s18092772
Arakawa T, Kaminaga K, Sakakibara N et al (2016) Development and evaluation steering-type
blood pressure measuring monitor based on plethysmography. In: Proceedings of the IIAE
annual conference 2016, Kyoto, 8–12 Sept 2016, pp 3–4. (In Japanese)
Arakawa T, Sakakibara N, Kondo S (2018) Development of non-invasive steering-type blood
pressure sensor for driver state detection. Int J Innov Comput Inf Control 14:1301–1310
CDC, National Center for Health Statistics (2016) Multiple cause of death 1999–2015. CDC
WONDER online database. http://wonder.cdc.gov/mcd-icd10.html. Accessed 10 June 2017
Constant AF, Geladari EV, Geladari CV (2016) The economic burden of hypertension. Chapter 21.
In: Andreadis EA (ed) Hypertension and cardiovascular disease. Springer International Pub-
lishing, Switzerland. https://doi.org/10.1007/978-3-319-39599-9_21
Godman H (2018) Checking blood pressure at home pays off. Available online: https://wwwhealth
harvardedu/blog/checking-blood-pressure-at-home-pays-off-201307036436. Accessed 1 June
2018
Kondo S, Shimoyama S, Yoshida A et al (2008) Minimal invasive estimation of blood pressure for
continuous monitoring. Chiba Med J 84:15–25
Kondo S, Shimoyama I, Masuda K et al (2010) Evaluation of blood pressure and cardiac output by
non-invasive volume pulse wave continuous blood pressure measurement method and invasive
continuous blood pressure measurement method. Bull Jpn Soc Ther Eng 22:3–9. (In Japanese)
Maley C (2019) Intro to blood pressure. https://www.adctoday.com/blog/intro-blood-pressure.
Accessed 28 Sept 2019
Meguro S (2001) Marketing in health care—strategic insight into new business development.
Reitaku Int J Econ Stud 19:56–71. (In Japanese)
Merai R, Siegel C, Rakotz M et al (2016) CDC grand rounds: a public health approach to detect and
control hypertension. MMWR Morb Mortal Wkly Rep 65(45):1261–1264. https://doi.org/
10.15585/mmwr.mm6545a3
Monge A, Lajous M, Ortiz-Panozo E et al (2018) Western and modern Mexican dietary patterns are
directly associated with incident hypertension in Mexican women: a prospective follow-up
study. Nutr J 17:21
Moser M (1992) High blood pressure. In: Yale University School of Medicine heart book. William
Morrow & Co., New York
Muntner P, Carey RM, Gidding S et al (2018) Potential US population impact of the 2017 American
College of Cardiology/American Heart Association high blood pressure guideline. J Am Coll
Cardiol 71(2):109–118
2 Development and Evaluation of a Continuous Blood Pressure Monitoring System 39

Rai SK, Fung TT, Lu N et al (2017) The dietary approaches to stop hypertension (DASH) diet,
Western diet, and risk of gout in men: prospective cohort study. BMJ 357:j1794
Sadri G (2015) A model of bus drivers’ diseases: risk factors and bus accidents. Iran J Med Sci
27(1):39–41
Saei A, Rahmani A, Ebadi A et al (2018) Traffic accidents and health of the driver. Trauma Mon
23(2):e12963, 1–12. https://doi.org/10.5812/traumamon.12963
Schoot TS, Weenk M, van de Belt TH et al (2016) A new cuffless device for measuring blood
pressure: a real-life validation study. J Med Int Res 18:e85
Stroke Association. High blood pressure and stroke. Available online: https://www.stroke.org.uk/
sites/default/files/high_blood_pressure_and_stroke.pdf. Accessed 16 Dec 2017
William JE (2003) The economic impact of hypertension. J Clin Hypertens 5:3–13
Electrical Biosensors: Biopotential
Amplifiers 3
Fan Zhang, Tan Yang, Jeremy Holleman, and Brian Otis

Contents
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 42
System Considerations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 42
Energy-Efficiency of Amplifier Topologies and the Noise Efficiency Factor . . . . . . . . . . . . . . . . . . . 44
State-of-the-Art Biopotential Amplifiers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 47
Design Example: A Closed-Loop Fully Differential Telescopic-Cascode Amplifier . . . . . . . . . . . 48
Design Example: An Open-Loop Complementary-Input Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 50
Design of a Closed-Loop Fully Differential Complementary-Input Amplifier . . . . . . . . . . . . . . . . . . 51
Measurement Results of the Biopotential Amplifiers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55
Design Example: A High-Input-Impedance Low-Noise Instrumentation Amplifier . . . . . . . . . . . . 58
Overall Design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 59
Noise Analysis of the Instrumentation Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 61
Simulation Results . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 62
Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 62
References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 63

F. Zhang
Marvell Semiconductor, Santa Clara, CA, USA
e-mail: hlc0602@gmail.com
T. Yang
Analog Devices, Raleigh, NC, USA
e-mail: spottyang@gmail.com
J. Holleman (*)
Electrical and Computer Engineering, University of North Carolina, Charlotte, Charlotte, NC, USA
e-mail: jhollem3@uncc.edu
B. Otis
Low Power Chip Design. Wireless Biosensors. Electrical Engineering, University of Washington,
Seattle, WA, USA
e-mail: botis@uw.edu

© Springer Science+Business Media, LLC, part of Springer Nature 2022 41


M. Sawan (ed.), Handbook of Biochips,
https://doi.org/10.1007/978-1-4614-3447-4_24
42 F. Zhang et al.

Abstract
Recent advances in semiconductor technology and microelectrode fabrication
have made possible the development of implantable neural interfaces with large
numbers of recording channels. Signal fidelity depends on the performance of the
initial amplification stage. With many recording channels, the power efficiency of
the amplifier also becomes critical. In this chapter, we discuss some of the
requirements for biopotential amplifiers and the design tradeoffs. We also
describe several example designs to give the reader a quantitative sense of the
tradeoffs involved.

Introduction

Implantable systems for chronic use require ultra-low power operation to minimize
heat dissipation, avoid frequent battery replacement, and enable operation from
wirelessly delivered or harvested energy. The usefulness of these systems depends
on the capability of the analog front end to acquire signals from the neural tissue
without contributing excess electrical noise. The biopotential amplifiers (BPAs) must
also be robust to interference from the power supply or from other interfering
sources such as line power and other instrumentation. When the number of channels
is large or the power budget is extremely constrained, power consumption of the
biopotential amplifiers is also critical. Because of these constraints, the designer of
the analog front end must consider issues ranging from choice of technology and
supply voltage up to system-level architectural considerations.
In this chapter, we first attempt to frame the problem with a typical system
illustration and a description of the characteristics of some of the more common
neural recording modalities. We then examine the fundamental considerations
regarding choice of amplifier topology and the most common figures of merit used
to describe the noise performance and power efficiency of neural amplifiers. We then
consider several example amplifier designs in detail.

System Considerations

An example of a biopotential recording system is illustrated in Fig. 1. The acquisi-


tion of microvolt-level neural signals requires amplification and signal conditioning.
The amplified signals may be processed to extract the most salient information and
reduce the data rate. The signal is then transmitted to an external device, where the
information is used to diagnose neural disorders or infer neural state, for example.
Monolithic amplifiers have been used for electrophysiological recording signals for
decades (Steyaert and Sansen 1987; Najafi and Wise 1986). The large time constants
inherent in the amplifier dynamics typically preclude timesharing of a single ampli-
fier between multiple electrodes (Harrison and Charles 2003). Therefore,
3 Electrical Biosensors: Biopotential Amplifiers 43

BPA VGA

Digital Signal
MUX ADC Processing TX
Adaptive
threshold

Energy
BPA VGA Detection
Analog Signal
Processing

Fig. 1 A generic block diagram for a biopotential-recording system

Table 1 Characteristics of electrophysiological signals


Bandwidth Amplitude Spatial resolution Invasiveness
Single-Unit 100–7000 Hz <500 μV 0.2 mm Invasive
LFP (local field potential) <200 Hz <5 mV 1 mm Moderate
ECoG 0.5–200 Hz <100 μV 0.5 cm Moderate
EEG <100 Hz 10–20 μV 3 cm Non-invasive

multichannel systems typically use one amplifier per channel, imposing severe
power constraints on the amplifier design.
Table 1 shows a few examples of the electrical characteristics of some electro-
physiological signals that are commonly of interest in neuroscience. Single-unit
recordings provide the finest spatial resolution of the brain, but they typically
incur relatively high power consumption due to the wide amplifier bandwidth
required and high resulting data rate. They are also the most invasive modality
because the electrodes penetrate the surface of the cortex. This penetration is a
potential liability in terms of safety as well as long-term viability of the interface.
The tissue response to the insertion of such electrodes results in the formation of a
“glial scar” around the electrode (Polikov et al. 2005), insulating it from the desired
electrophysiological signals and reducing the available signal amplitude. The result
is that single-unit recordings typically last no longer than several months.
Electroencephalography (EEG), on the other hand, is noninvasive and has modest
amplifier bandwidth constraints at the cost of low spatial resolution. Electro-
corticography (ECoG) is an invasive modality that uses electrodes on the surface
of the cortex. Because the electrodes do not penetrate the surface of the cortex, it is
somewhat less invasive than single-unit recording. ECoG offers a compromise that is
receiving increased attention in the neuroscience community. Though this chapter
focuses on BPAs for single unit recording, the concepts presented here can be
adapted to systems requiring different bandwidths and noise performance.
Here we outline the basic design requirements of a spike-based recording ampli-
fier. Typical extracellular action potentials, or spikes, have amplitudes up to 500 μV,
with much of the signal energy in the 100 Hz–7 kHz band. Low-frequency local field
44 F. Zhang et al.

potentials (LFPs) have amplitudes as high as 5 mV and may contain signal energy
below 1 Hz (Kandel et al. 2000). The low amplitudes of the spikes require BPA gain
of around 100 up to a few kHz. Large DC-offsets at the electrode-tissue interface
require offset cancellation or AC coupling. The input impedance of the amplifiers
should be high enough (a few MΩ s at 1 kHz for typical single unit recordings) to
limit signal attenuation from the electrode-tissue interface. The input-referred noise
of the BPAs should be kept below the background noise of the recording site
(<10 μV). The power dissipation should be kept as low as possible (100 μW/
channel) in a multichannel system to limit the heating of the tissue to within a few
degrees Celsius (Harrison and Charles 2003; Jochum et al. 2009). Sufficient
common-mode and power-supply rejection should also be ensured to reject the
inevitable interference and supply noise. Lastly, the amplifiers should occupy
small silicon area to allow multiple instantiations in a larger system.

Energy-Efficiency of Amplifier Topologies and the Noise


Efficiency Factor

The noise efficiency factor (NEF) is a figure of merit that combines input-referred
noise, bandwidth, and current in order to enable comparisons of amplifiers optimized
for different applications. It is defined (Steyaert and Sansen 1987) as
rffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi
2  I total
NEF ¼ V rms,in : (1)
π  U T  4kT  B
The input transistors, which dominate noise, are typically biased in weak inver-
sion in order to maximize gm/ID and minimize noise. Therefore, we will assume that
the input devices are operating in the weak inversion regime. Our investigation of
amplifier noise and the NEF of various topologies will begin with the simplest
configuration, a single-ended common-source amplifier with an ideal noiseless
current-source load, illustrated in the top-left of Table 2.
Weakly inverted MOS transistors, like BJTs, exhibit shot noise in their drain
current, so the output current noise is

i2n ¼ 2qI D :

The noise expression can be recast in the familiar form typically used for resistors
and strongly inverted MOS transistors by noting that γ = 1/(2κ) and gm = κID/UT for
a weak-inversion MOS transistor:

i2n ¼ 4kT γgm :

Dividing by g2m refers the drain current noise back to the input voltage and gives
the input voltage noise density
3 Electrical Biosensors: Biopotential Amplifiers 45

Table 2 Neural amplifier structures and their NEFs

Ideal Load FET Load Complementary Input

VB
vO vO
vI vI vO
vI
Single-Ended

1
√ 1 1
κ 2 κ 2κ

VB

vI+ vO + vI- vI+ vO + vI-


vI+ vO + vI-

Differential


2 2 1
κ κ κ

 4kTU T
v2ni ¼ ,
2κ2 I D
If we assume the amplifier exhibits a single-pole low-pass characteristic with a
3-dB bandwidth B, the corresponding noise bandwidth of Bπ/2 results in an RMS
input noise voltage of
rffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi
kTU T Bπ
vni,rms ¼ :
κ2 I D
The corresponding NEF is
ffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi
s  
kTU T Bπ 2  I total
NEF ¼ (2)
κ2 I D π  U T  4kT  BW

1
¼ pffiffiffi : (3)
κ 2
Replacing the ideal current source load with a subthreshold MOS current source
results in the structure at the center top of the table, with double the output current
46 F. Zhang et al.

noise power of the previous structure. The input-referred


pffiffiffi RMS noise voltage and the
NEF therefore both increase by a factor of 2:
rffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi
2kTU T Bπ
vni,rms ¼ (4)
κ2 I D
1
NEF ¼ : (5)
κ
Having recognized that the current source load is an active transconductor, it is
logical to consider that we might use it for gain. Doing so results in the structure at
the top right of Table 2. If the n- and p-type transistor have equal transconductance
(a reasonable assumption if both are biased in weak inversion), then the total
effective transconductance is doubled, so any current noise at the output is reduced
by a factor of two when referred back to the input. pffiffiffi As a result, the input-referred
noise and the NEF are improved by a factor of 2 or 2 compared to the case of an
ideal load or a weak-inversion MOS load, respectively.
Because the base-emitter
 voltage in the exponential term of a bipolar transistors’s
current equation I C ¼ I S eV BE =U T T is not divided by κ as is the gate-source voltage
in a weakly inverted MOS transistor, the above equations can be easily applied to
BJT circuits by simply assuming κ = 1. The NEF is often said to represent noise
performance normalized to an ideal bipolar transistor amplifier. From the preceding
analysis, two points emerge. First, that the “ideal” amplifier to which the NEF
implicitly normalizes performance is one in which the both the load transistor and
the input transistor contribute full shot noise. Secondly, it is clear that an amplifier
with NEF below one is theoretically possible.
While a fully noiseless current source load is unattainable, a current source with
less than full shot noise is feasible, and practical designs can ensure that noise is
dominated by the input transistor. This is typically accomplished by biasing the
current source load in strong inversion. Note that as the overdrive voltage
(VGS  VTH) is increased in order to reduce thermal noise in the drain current, the
saturation voltage also increases, resulting in a tradeoff between noise and the
required supply voltage. This tradeoff is not captured in the NEF, which includes
current consumption but neglects supply voltage. In an attempt to account for
different supply voltages, an additional figure of merit, the Power Efficiency Factor
(PEF) was proposed in (Muller et al. 2012).

V 2rms,in  2  Ptot
PEF ¼ ¼ NEF2 V DD (6)
π  U T  4kT  B
The PEF is arranged such that two amplifiers with equal input-referred noise
spectral densities and equal power consumption will have equal PEF.
The topologies analyzed thus far have all been single-ended. Practical consider-
ations, primarily the rejection of undesired interfering signals that are observed as
common-mode interference, motivate the use of differential signaling in analog front
3 Electrical Biosensors: Biopotential Amplifiers 47

ends for neural interfaces. Differential versions of the basic topologies are illustrated
in the bottom row of Table 2. When combining two single-ended structures into an
equivalent differential structure with the same bias current in each device, the total
current will of course double. The output noise of the two structures will sum in
power, while
pffiffiffi the gain is unchanged, causing the input-referred noise to increase by a
factor of 2 . At the same time, the total current increases by a factor of two (The
effective transconductance of a differential amplifier is the same as the trans-
conductance of the single-ended half-circuits, because each of the constituent
single-ended half-circuits is only driven with half of the input signal amplitude.).
These two effects combine to increase the NEF by a factor of two, with respect to an
equivalent single-ended structure. The case of a differential amplifier with ideal
current-source loads is the limiting case for most neural amplifiers, which utilize
differential input structures with only a single polarity of the transistors driven (i.e.,
only the p-type or n-type transistors coupled to the input signal) and attempt to
minimize
pffiffiffi noise contributed by the current source loads. The minimum possible NEF
of 2/κ attainable by such a structure using MOS input transistors biased in weak
inversion was also derived in (Wattanapanitch et al. 2007).

State-of-the-Art Biopotential Amplifiers

The pioneering power-efficient neural recording ICs (Steyaert and Sansen 1987;
Najafi and Wise 1986; Harrison and Charles 2003) typically consumed about
100 μW to achieve <5 μVrms of noise for 5–10 kHz bandwidth. Recently published
amplifiers (Wattanapanitch et al. 2007; Wu and Xu 2006; Chae et al. 2009) have
featured reduced power consumption in an effort to enable large recording arrays.
Below we present a brief review of some representative techniques used in state-of-
the-art low-power biopotential amplifier designs. More comprehensive reviews can
be found in (Jochum et al. 2009; Nurmikko et al. 2010).
The oft-cited (Harrison and Charles 2003) presents many useful techniques for
designing biopotential-recording amplifiers, including the use of capacitive feedback
and pseudoresistors around an operational transconductance amplifier (OTA).
By reducing the number of current branches, the one-stage self-biased preampli-
fier (Chae et al. 2009) achieves 4.9 μVrms input-referred noise while drawing only
2 μA under 1.65 V supply.
The fully differential folded-cascode preamplifier (Yin and Ghovanloo 2007)
includes multiple adjustable parameters for various biopotential recording applica-
tions. While achieving 3.6 μVrms input-referred noise over 20 Hz to 10 kHz, the
current consumption is 8 μA at 1.7 V supply. The extra current branches in the
folded-cascode topology result in suboptimal power-noise tradeoff.
The design (Wattanapanitch et al. 2007) employs a modified folded-cascode
topology with severely scaled currents in the input and folded branches and source
degeneration to reduce the power and noise contribution from the folded branches.
However, the 4.5 MΩ degeneration resistors increase the area and voltage headroom
required of the amplifier, requiring a 2.8 V supply voltage.
48 F. Zhang et al.

Other recent advances in the field include mixed-signal front-end for filtering and
digitization (Muller et al. 2012; Gosselin et al. 2009). With the trend towards
integrating analog and digital subsystems on a single die, it has become increasingly
important for analog circuitry to operate from the 1 V supply typical of modern
digital CMOS.
In the following sections, we show a logical progression of biopotential ampli-
fiers by comparing and contrasting their design strategies. First, a closed-loop fully
differential telescopic amplifier (BPA1) is included as a “baseline” design to com-
pare against the other designs. Then, an open-loop single-ended complementary-
input amplifier (BPA2) serves as an instructional example to demonstrate a design
technique with the potential to surpass the theoretical limit of the power noise
tradeoff of a conventional amplifier at the expense of PSRR. Combining the salient
features of BPA1 and BPA2, we describe a closed-loop fully differential
complementary-input amplifier (BPA3) with excellent power-noise performance,
sufficient linearity and power-supply rejection performances. Finally, we explore a
design example where input impedance is a critical parameter.

Design Example: A Closed-Loop Fully Differential Telescopic-


Cascode Amplifier

The schematic of a typical closed-loop telescopic BPA is shown in Fig. 2a. In


subsequent sections, we will refer to this amplifier as BPA1. The input signals are
AC-coupled into the amplifier to reject large DC offsets from the electrode-tissue
interface. Cs must be made small enough to avoid attenuation of the input signal
from the electrode, but large enough to avoid attenuation from the capacitive divider
it forms with the OTA input capacitance, which will increase input-referred noise, as
shown in Eq. 7. As expected, Eq. 7 confirms that the capacitive divider increases the
input-referred noise of the BPA. Let vni, OTA2 and vni 2 represent the input-referred
noise of the OTA and the BPA, respectively.
 2
C s þ C f þ C in
vni ¼
2 vni,OTA 2 (7)
Cs

The ratio Cs/Cf sets the mid-band gain of the amplifier to roughly 40 dB. We
chose 180 fF for Cf to ensure sufficient mid-band gain while limiting the increase in
the input-referred noise due to the input capacitive divider (Eq. 7) to 12%. Pseudo-
resistors are used here as an area-efficient approach to bias the input transistors and
form a sub-Hz high-pass frequency corner with Cf to accommodate EEG/LFP
signals. Thick-oxide MOS transistors are used at the input to reduce gate leakage
current, which could result in significant DC-offsets. The input-referred noise from
the feedback pseudoresistors is:
3 Electrical Biosensors: Biopotential Amplifiers 49

  !
V n ,R 1
vni,R 2 ¼ (8)
1 þ jωRC f A2CL

Let ACL represent the closed-loop gain of the BPA. Scaled by A2CL and attenuated
1
at 20 dB/dec after the sub-Hz frequency corner 2πRC f
, the noise contribution from the

Vb2 Vb2
M5 M6
M9 Vctrl M10 Cf
Cc Rz Rz Cc
Vout+ Vout-
CMFB
Vb1 Cs
M3 M4
Vin+ Vout-
M7 M8 Vcmout +
+ _
M1 M2 _ Vout+
Vin-

Cs
Vb0
M0
Cf

(a) Telescopic-cascode closed-loop amplifier (BPA1)

PR2

M2
A
Vout
A
Vin
Vout

M3 M4 M5 B
B
M1
G [2:0]

PR1

Vb

(b) Complementary-input open-loop amplifier (BPA2)

Vb
Cf
Vctrl
Vb1 M6 Vb1 Cf
M9 M10
Cs
Cc Rz Rz Cc
Vout+ Vout- Vin+
CMFB + Vout-
M3 M4 _
+ Cs +
M7 M8 Vcmout Cs
_ _
+ Vin-
M1 M2 _ Vout+
Cs

Vb0
M5 Cf

Cf
Vb

(c) Complementary-input closed-loop amplifier (BPA3)

Fig. 2 Schematics of three low-noise biopotential amplifier designs


50 F. Zhang et al.

Table 3 Device parameters of telescopic-cascode closed-loop biopotential amplifier


W/L (μm) Id (μA) Inv. Coeff gm/Id (V 1) |VGS |  |Vt | (mV)
M1, 2 616/2 3 0.023 27.56 154
M3, 4 12/5 3 0.27 22 102
M5, 6 12.2/13 3 11.3 6.9 258
M0 109.8/8 6 0.54 19.4 7
M7, 8 12/4 2.2 0.96 16.82 76
M9, 10 8.6/3 2.2 1.92 13.64 103

pseudoresistors at frequencies of interest is negligible compared to flicker or thermal


noise. More detailed analysis on the noise contributed by pseudoresistors can be
found in Harrison (2008).
For lower the power consumption and convenient integration with digital subsys-
tems, the amplifier operates from a supply as low as 1 V. A two-stage fully differential
design was chosen to provide sufficient gain, signal swing, and supply rejection while
operating from a 1 V supply. We simulated an open-loop gain of 69 dB.
The input stage employs a telescopic cascode rather than a folded cascode
because of its reduced number of active branches and because the small input
amplitude precludes the need for a wide input swing in the first stage. We used
NMOS input transistors because their higher gm/ID compared to PMOS transistors
results in lower thermal noise, which dominates over flicker noise in this design.
To reduce noise, the transistors are carefully sized for appropriate inversion
coefficient (IC) (Enz et al. 1995) as shown in Table 3.
The input-referred thermal noise can be approximated as:
  
16kT gm5
v2ni,th ¼ 1þ Δf : (9)
3gm1 gm1

The input-referred 1/f noise can be calculated as:


 
1 Kn K p g2m5
v2ni,1=f ¼  þ : (10)
C ox Δf ðWLÞ1 ðWLÞ5 g2m1

Here, Cox represents the gate dielectric capacitance while Kn and Kp denote the
nFET and pFET flicker noise constants. The values are process-dependent and are on
the order of 1013 V2-pF (Razavi 2000) with Kn > Kp. All the transistors, particu-
larly the input pair, use large gate areas to reduce the 1/f noise. Similar to the vni,th,
a low gm5/gm1 ratio also reduces vni,1/f.

Design Example: An Open-Loop Complementary-Input Amplifier

Although BPA1 achieves good power-noise performance, extra current was con-
sumed in the differential input branches and the second stage. For a given bias
current, open-loop amplifiers achieve superior noise performance at the expense of
3 Electrical Biosensors: Biopotential Amplifiers 51

linearity, imprecise gain control and reduced power-supply rejection. In this section,
we will present the design of BPA2, an open-loop complementary-input single-
ended BPA (Holleman and Otis 2007) that achieves an excellent power-noise
tradeoff at the expense of PSRR.
Our open-loop design serves as an instructional extreme case that minimizes
noise and power consumption. This design philosophy is motivated by the charac-
teristics of the signals targeted by this amplifier. The small amplitude (100 μV) of
neural signals relaxes the linearity requirement compared to more general-purpose
amplifiers. Gain precision is also not critical; the absolute signal amplitude is not as
important as preservation of relative amplitudes. In addition, a stable power supply is
also possible with careful system design and deployment.
Leveraging these design tradeoffs, we introduce the schematic shown in
Fig. 2b. The input signals are AC-coupled into the amplifier and the gates of the
input transistors are biased using pseudoresistors. Diode-connected transistors
M3–5 provide a means to vary the output conductance and thereby the gain and
bandwidth. Because the input is AC coupled, it is possible to decouple the DC
levels of the gates of transistors M1 and M2 while driving both with the input
signal.
Long channel lengths are used to obtain sufficient gain from a single stage. Large
gate areas allow a reduction in the 1/f noise. Because the positive supply is
connected to the source of M2, supply noise is coupled to the output through M2.
The power-supply rejection ratio can be expected to be approximately g gþg m2
, or
m1 m2
about 6 dB if M1,2 have equal transconductance. Therefore, in order to realize the
potential noise performance of BPA2, a stable supply with noise comparable to the
amplifier’s input-referred noise must be provided.

Design of a Closed-Loop Fully Differential Complementary-Input


Amplifier

This design leverages BPA2’s power-efficient complementary-input topology but


with an attempt to improve the PSRR, linearity, and gain precision in a closed-loop
fully differential amplifier design (BPA3) (Rai et al. 2009; Zhang et al. 2012). The
schematic of BPA3 is shown in Fig. 2c. BPA3 has the same bias current and
mid-band gain as BPA1. We also retain the two-stage fully differential design with
similar compensation and CMFB schemes.
Similar to BPA2, we drive both the NMOS and PMOS input pairs to allow a
significant reduction in the input-referred noise. Two small-signal gain paths from
the NMOS and PMOS inputs necessitate two capacitive feedback paths from the
output to the gates of both input transistors. The open-loop gain is simulated to be
>70 dB. The increase in the input-referred noise from the capacitive divider (Eq. 7)
at both the NMOS and PMOS inputs is found to be approximately 10%. The
complementary-input strategy doubles the amplifier’s effective transconductance.
pffiffiffi
As a result, the input-referred noise voltage is reduced by a factor of 2, similar to its
open-loop counterpart.
52 F. Zhang et al.

Table 4 Device parameters of complementary-input closed-loop biopotential amplifiers


W/L (μm) Id (μA) Inv. Coeff gm/Id (V 1) |VGS |  |Vt | (mV)
M1,2 552/2 3 0.022 27.53 152
M3,4 552/2 3 0.12 24.23 106
M5 110.4/8 6 0.54 19.4 5
M6 73.2/8 6 1.98 13.5 103
M7,8 12/4 2.1 0.93 16.96 30
M9,10 8.6/3 2.1 1.93 13.62 103

Table 4 shows the parameters and operating conditions of each transistor in the
OTA. The input-referred flicker noise from the input devices can be approximated by
  !
1 K n g 2m1 K p g2m3 1
v2ni,1=f ¼  þ  (11)
C ox Δf ðWLÞ1 ðWLÞ3 ðg m1 þ gm3 Þ2

If gm1 = gm3, then


 
1 Kn Kp
v2ni,1=f ¼  þ (12)
4C ox Δf ðWLÞ1 ðWLÞ3

We would also like to compare the 1/f noise of BPA1 with that of BPA3. In BPA1,
if gm5 = 0, Eq. 10 reduces to:
 
1 Kn
v2ni,1=f ¼  (13)
C ox Δf ðWLÞ1
K
If we assume Kn
¼ ðWLpÞ in Eq. 12 for simplicity, then the net input-referred
ðWLÞ1 3 pffiffiffi
flicker noise voltage of BPA3 is 1= 2 that of BPA1. Notice that the complementary-
input strategy has similar influence on the input-referred thermal and flicker noise.
Because the input also drives the PMOS transistor pair M3, 4, the trans-
conductances of M3, 4 not only contribute to the differential gain, but also the
common-mode gain. To ensure high CMRR/PSRR, we use dual tail current sources
in the first stage to degenerate the common-mode transconductance, thus reducing
the common-mode gain.
The common-mode gain (Acm) and the gain of power-supply interference (Aps)
can be expressed as

V out ðg þ go6 Þgm8 =ðg o1 go2 Þ


Acm ¼ ’ o5 (14)
V in,cm 1 þ sC c =ðgo5 þ go6 Þ
 
gm6 V
Any variation in the supply is attenuated by approximately ðgm3 þg m4 Þ  1  V ddg6
before being amplified by the gm mismatches in M3, 4 (Eq. 16). Let go1, 2 denote the
output conductance of the first and second stage, go5, 6 denote the output conduc-
tance of current source transistors M5, 6, gm8 denotes the transconductance of the
3 Electrical Biosensors: Biopotential Amplifiers 53

second stage, Δgm denotes the gm mismatch in M3, 4, and Cc denotes the compen-
sation capacitor.

V out Δg m γgm8 =ðgo1 g o2 Þ


Aps ¼ ’
V in,supply 1 þ sC c =Δg
 m (15)
g m6 V g6
γ ¼  1
ðgm3 þ g m4 Þ V dd

The design of the second stage is focused on ensuring sufficient output swing
(differential peak-to-peak over 1 V) while achieving a reasonable gain (20 dB). This
objective implies low overdrive voltages, thus large aspect ratios for transistors
M7–10. Unfortunately, large aspect ratios in these devices lead to an increased thermal
noise contribution. We biased M9, 10 in moderate inversion (see Table 4) weighing
the tradeoff between noise and voltage headroom in the second stage.
We employed continuous-time CMFB, with the output common-mode voltage
sensed using two large resistors. Resistive common-mode sensing is accurate over a
wider differential output range than active sensing schemes. The output of the
CMFB amplifier controls the gate voltage of M6 to adjust the common-mode voltage
of the first stage.
The signal propagation of the common-mode feedback path of BPA3 consists of
two parts: from the average output Vcmout to the feedback control Vctrl and from Vctrl
to the amplifier output. The first part of the CMFB path has a wide bandwidth and
small DC gain (’ 1); the second part determines the CMFB frequency response as
illustrated in Fig. 3. Let gm1–4, 6–8 denote the transconductance of the corresponding
transistors, Cc and CL denote the compensation and load capacitors, and go1 and go2
denote the total output conductances of stage 1 and 2. Then

V out,CM
Acm f b ¼
V ctrl
sg m6 C c þ gm6 g m7,8 (16)
¼ 2
s C c C L þ sC c g m7,8 þ go1 go2

V out,DM
Adm ¼
V in,dm   
s g m1,2 þ g m3,4 C c þ g m1,2 þ gm3,4 gm7,8 (17)
¼
s2 C c C L þ sC c gm7,8 þ go1 go2

CC CC

Vin Vout Vctrl Vout

CL CL
gm1,2 + gm3,4 gm7,8 gm6 gm7,8
(a) (b)

Fig. 3 (a) Differential-mode gain path (b) CMFB gain path


54 F. Zhang et al.

Both the differential and common-mode gains share the compensation capacitor
Cc and gm7, 8 stage. The similarity of the transfer functions leads to a stable CMFB
path if the differential-mode path is unity-gain stable. This CMFB topology achieves
both high gain and bandwidth, while saving power by sharing one CMFB circuit
between both the first and second stages. A brief analysis of the common mode
circuit shows that the closed loop common-mode gain of the amplifier (including the
effect of CMFB) is Voc/Vic = Acm/(1 + Acm f b) where Acm is the amplifier’s common-
mode gain and Acm f b is the combined gain of the common-mode detection circuit
and the common-mode control (i.e., Acm f b = gm6/(go1 + go3) if the gain of the block
labeled “CMFB” in Fig. 2 is unity.) A high gain-bandwidth product in the CMFB
loop reduces the common-mode voltage gain while leaving the differential-mode
voltage gain unaffected. Thus, increasing the CMFB loop bandwidth will improve
CMRR at higher frequencies.
In order to provide DC feedback and bias the input transistors, the outputs are
fed back through pseudoresistors to bias the gates of the NMOS input transistors
M1,2. However, this feedback inevitably forms a positive feedback loop at low
frequencies. As shown in Fig. 4, this is particularly problematic when the output
common-mode voltage is initially low. In this case, the pull-down paths are
turned off as the gates of M1,2 are low. At the same time, the common-mode
feedback control voltage rises, which also turns off the pull-up paths, leaving the
first stage output in a high-impedance state. Notice that if the outputs are fed
back to both the nFET and pFET input transistors M1,2,3,4, the gain of the
positive feedback loop will be doubled, which requires a higher CMFB gain
and increases the likelihood for initial DC latch-up. To ensure reliable start-up,
we added a pair of diode-connected transistors at the output of the first stage
connecting to ground. This scheme provides additional current paths through the
diode-connected transistors when both the pull-up and pull-down paths are
initially turned off. The resulting leakage currents are negligible during normal
operation of the amplifier.

Fig. 4 Closed-loop amplifier


start-up concern alleviated by Pseudoresistor Half circuit of
adding a leakage path through 1st stage
a diode-connected transistor at Vctrl
the first-stage output
Leakage
Vin Vout diode
go5 +go6 gm7,8
Vin

Vctrl Vout Vb
gm6 gm7,8
3 Electrical Biosensors: Biopotential Amplifiers 55

Measurement Results of the Biopotential Amplifiers

BPAs 1 and 3 were fabricated in a 130 nm CMOS process, while BPA2 was
fabricated in 0.5 μm technology. Die photographs for all three amplifiers are
shown in Fig. 5. Figure 6a and b compare the measured frequency response and
input-referred noise spectra of the three BPA designs. Consistent with our analysis,
the noise of BPA1 is higher than that of BPA3 due to the higher effective Gm of the
first stage of BPA3. The noise spectrum of BPA2 is higher than that of the other
amplifiers because of a lower bias current resulting in a higher thermal noise in
BPA2. The measured input-referred noise of BPA1, BPA2, and BPA3 integrated
from 0.1 Hz to 25 kHz are 3.1 μV, 3.5 μV, and 2 μV, respectively. Although flicker
noise corners are high (800 Hz–1 kHz) in all three cases, the measured flicker noise
contributes approximately 20% of the total integrated noise. Flicker noise can be
further reduced by employing chopper-stabilization techniques (Denison et al.
2007). The input-referred noise integrated from 0.1 Hz to 105 kHz is, respectively,
3.2 μV, 3.6 μV, and 2.2 μV.
Figure 7a compares the PSRR of the three BPAs. The PSRR for BPA1 is
approximately 20 dB lower than  that of BPA3. The supply coupling of BPA3 is
V
attenuated by the ratio ðg gþg
m6
Þ  1  V g6
dd
before amplified by the mismatches in M3
m3 m4

and M4, consistent with the analysis in Eq. 16. Due to the single-ended nature of
BPA2, the positive and negative supplies directly modulate the pFET and nFETs,
respectively. Therefore, we expect that the gain from the power supply to the output
will be approximately half the gain from input to output. This results in an expected
PSRR of 6 dB, consistent with the measured PSRR of 5.5 dB at low frequencies.
Figure 7b compares the CMRR of BPA1 and BPA3. The CMRR for BPA1 has an
average value of 60 dB, compared with 80 dB for BPA3. The larger devices in BPA3
should result in smaller expected values of CMRR due to reduced mismatch.
Finally, the linearity of the amplifiers is examined. Many papers use total har-
monic distortion (THD) to describe linearity. However, in our experience, the main
concern for spike-recording applications is gain compression due to interferers such

Fig. 5 Die micrographs of


(a) BPA1 and BPA3 and
(b) BPA2
56 F. Zhang et al.

45

40

35
Gain (dB)

30

25

20 BPA 1
BPA 2
BPA 3
15 −1 0 1 2 3 4
10 10 10 10 10 10
Frequency (Hz)
(a) Gain magnitude response

−6 BPA 1: 3.1 μV
10 BPA 2: 3.6 μV
BPA 3: 2 μV
(V/√Hz)

−7
10
ni,rms
V

−8
10

0 1 2 3 4
10 10 10 10 10
Frequency (Hz)
(b) Input-referred noise

Fig. 6 (a) Bode magnitude comparison of the three BPAs; (b) measured input-referred noise
comparison of the three BPAs

as electromagnetic interference or low frequency local field potentials that can result
in time-varying gain. Therefore, we posit that it is more useful to characterize
the 1 dB gain compression point (approximately 89% of voltage gain) than THD
for these amplifiers. The 1 dB gain compression point occurs at input level of 3 mV
for BPA1, 1.8 mV for BPA2, and 4 mV for BPA3. As expected, the open-loop
amplifier exhibits more nonlinearity than the closed-loop amplifiers. The difference
between the two closed-loop amplifiers BPA1 and BPA3 can be attributed to the
complementary-input topology employed in BPA3, and its resulting superior
loop gain.
To compare our noise and power performance to other amplifiers, we use the noise
efficiency factor (NEF) defined above in (1). The measured performance of BPA1,
BPA2, and BPA3 are summarized in Table 5. The open-loop complementary-input
amplifier (BPA2) design achieves the best NEF (1.9). However, its poor PSRR
3 Electrical Biosensors: Biopotential Amplifiers 57

120
BPA 1
BPA 2
100 BPA 3
Rejection (dB)

80

60

40

20

0 1 2 3
10 10 10
Frequency (Hz)
(a) PSRR
90
BPA 1
BPA 3
85

80
Rejection (dB)

75

70

65

60

55
1 2 3 4
10 10 10 10
Frequency (Hz)
(b) CMRR

Fig. 7 Top: PSRR bode magnitude comparison of the three BPAs; bottom: CMRR magnitude
comparison of BPA1 and BPA3

performance (5.5 dB) offloads extremely stringent noise and power-supply rejection
requirements to the voltage regulation circuitry, potentially increasing the design
complexity and power consumption of the system. Although BPA2 has limited
application in realistic recording scenarios, it served as a stepping-stone to the design
of BPA3. By employing fully differential closed-loop architecture, BPA3 achieves
favorable power-noise tradeoff as well as sufficient PSRR (80 dB) and linearity
performance (1% THD at 1 mV peak-to-peak input voltage) for practical recording use.
Our system-level integration efforts have revealed that a low (1 V) supply voltage
is desirable. This allows integration of complex systems into modern (e.g., 0.13 μm
and below) CMOS processes that nominally use a 1.2 V supply. These processes
allow (a) integration of very low power synthesized logic, (b) high fT allowing low
power RFIC design on the same chip, and (c) MIM capacitors with high-density and
precision.
58 F. Zhang et al.

Table 5 Performance summary of the presented biopotential amplifiers


Specs BPA1 BPA2 BPA3 Hi-Z IA
Gain  40 dB 40.5 dB 36.1 dB 40 dB 39.9 dB
IAmp minimize 12.5 μA 805 nA 12.1 μA 3.65 μA
NEF minimize 4.5 1.9 2.9 3.23
vni,RMS < 10 μV 3.2 μV 3.6 μV 2.2 μV 3.8 μV
THD(@ 1 mV) minimize 1.5% 7.1% 1% –
PSRR  60 dB  60 dB 5.5 dB  80 dB  80
CMRR  60 dB 60 dB – 80 dB  100
fLow 0.5 Hz 0.4 Hz 0.3 Hz 0.05 Hz DC
fHigh 7 kHz 8.5 kHz 4.7 kHz 10.5 kHz 7.4 kHz
Zin @ 1 kHz a few MΩ 8 MΩ 22 MΩ 4 MΩ 110 MΩ
Area minimize 0.047 mm2 0.046 mm2 0.072 mm2 –
Tech. – 0.13 μm 0.5 μm 0.13 μm 0.13 μm

Design Example: A High-Input-Impedance Low-Noise


Instrumentation Amplifier

The signals from human or animal subjects are coupled through an electrode
interface, which can be modeled as a capacitor in series with a resistor (Denison
et al. 2007) or a capacitor in parallel with a resistor (Chi et al. 2010). The source
impedance of the electrode interface may interact with the input impedance of the
amplifier, resulting in voltage dividers or parasitic frequency corners and attenuating
the bio-signal to be measured. In order to avoid this attenuation, high-impedance
electrodes may require a bio-potential amplifier with high input impedance. The key
challenge here is that adequate 1/f noise performance requires very large input
transistors, which present high input capacitance.
Other than sizing of the input transistors, the primary circuit-level option for
improving low-frequency noise performance is chopper modulation, which has been
used in several instrumentation amplifiers (Denison et al. 2007; Wu et al. 2009;
Kusuda 2010). In Denison et al. (2007), by using ac modulation in the input and
feedback paths, the gain of the amplifier was set by capacitive feedback with
excellent noise and linearity performance. However, the chopping amplifier with
capacitive feedback exhibits a reduced input resistance. This input resistance may be
small enough to significantly attenuate the input signals. Additionally, due to the
up-modulated offset in chopper stabilization technique, measures such as a ripple
reduction loop (RRL) (Wu et al. 2009) and auto correction feedback (ACFB)
(Kusuda 2010) are needed to suppress the significant ripple at the amplifier output,
which reduces the noise-power efficiency of the amplifier.
BJTs and JFETs transistors exhibit much lower flicker noise than MOS transistors
(Levinzon 2008), but they cannot be used as the input devices in any of the
previously discussed topologies shown in Fig. 2, because the base or gate current
would have to flow through the PRs. This bias current raises the low-frequency
3 Electrical Biosensors: Biopotential Amplifiers 59

cut-off by lowering the incremental resistance of the PRs and causes a large voltage
drop across them, disrupting the bias of the OTA.
In this section, a high input impedance instrumentation amplifier with low-noise
low-power operation is proposed. It utilizes JFET as the input device to provide
much higher input impedance than BJT and much lower noise than MOSFET. The
closed-loop gain is set by current feedback (Steyaert and Sansen 1987) utilizing an
additional feedback path provided by sensing terminals. The key feature allowing
this topology to achieve higher input impedance is that the mixing of feedback
signals with input signals is separated from the input node.

Overall Design

Figure 8a shows the configuration of the proposed instrumentation amplifier com-


posed of feedback resistors and an operational amplifier (OPA) with sensing termi-
nals (Sense, +). The sensing terminals provide an additional signal path for
feedback to achieve a high impedance for bio-potential inputs (Vin, +). The
schematic of the OPA is shown in Fig. 8b. The OPA consists of two stages to
provide sufficient open-loop gain. The first stage is a differential amplifier with four
input terminals for bio-potential and feedback sensing.
The instrumentation amplifier uses current feedback (Steyaert and Sansen 1987)
and resistive feedback through the sensing terminals to set the closed-loop gain. The
current feedback loop is formed by R1 and R2. The differential voltage on sense
terminals Sense , + is applied across R2 by the active cascode formed by Q1,2 and
the auxiliary amplifiers (Aaux). The current flowing through R2 generates a voltage
drop across R1, which is summed to the input signals Vin, + at the sources of the
input pair. The feedback factor of this current feedback loop can be expressed as:

R1
β1 ¼ ! (18)
2
R2 1þ
gmQ1  A  R2

where gmQ1 is the transconductances of the transistors Q1 and Q2, and A is the
open loop gain of the auxiliary amplifier. The auxiliary amplifier increases the
effective transconductance by A and significantly reduces the impedance seen at
the emitters.  
If gmQ1  A is large enough g mQ1  A 2
R2 , the feedback factor can be simplified
to:

R1
β1 ¼ (19)
R2
By using the active cascode technique, the values of R1 and R2 required for
accurately setting the feedback factor β1 are significantly reduced at a given feedback
60 F. Zhang et al.

REF

Rs
Rf

Sense+

Vin+ +
- Vout-
OPA
+ Vout+
Vin- -

Sense-

Rf
Rs

REF
(a)

R3 R4 C1 R5 R6 C2 M10
M9
Q4
Q3
- +
Q5 Q6 Vcmout
Cc Rz Rz Cc
Vin+ J1 J2 Vin- REF
R1
Sense- Sense+
+ +
Q1 Q2 M7 M8
- R2 - Out- Out+

M1 M2 M3 M4

First-stage Second-stage CMFB Circuitry

(b)
Fig. 8 (a) The configuration of the proposed instrumentation amplifier. (b) The schematic of the
operation amplifier (OPA) with sensing terminals

factor in low-current operation. Thus, area and parasitic capacitances associated with
R1 and R2 are reduced. Moreover, the noise performance is improved if the value
of R1 is reduced, because R1 is at the source of the input JFET, and any noise from
R1 would be directly referred to the input without any attenuation.
3 Electrical Biosensors: Biopotential Amplifiers 61

Emitter degeneration is employed to implement the current source loads to reduce


its effective transconductance. By using this technique, the noise contribution from
the current source loads can be significantly reduced. In addition, the first stage open-
loop gain would increase due to the increase of the output resistance of current
source load, and the matching is also improved.
The feedback resistors R f and Rs add extra attenuation of the output feedback to
the Sense terminals. Since the open-loop voltage gain of the two stages combined is
large enough, the closed-loop gain of the instrumentation amplifier can be expressed
 
R2 Rf
ACL ¼ 1þ (20)
R1 Rs

Noise Analysis of the Instrumentation Amplifier

The overall input-referred noise of the instrumentation amplifier can be expressed as:
 2
Rf
ð4kTRs Δf Þ Rs 4kTRf Δf
V 2ni ¼ V 2ni,OPA þ þ (21)
A2CL A2cL

where Vni,OPA is the input-referred noise of the OPA, and ACL is the closed-loop gain of
our instrumentation amplifier. In this design, the closed-loop gain ACL is around 40 dB.
By properly choosing the values and ratio of Rs and R f, the noise contribution from
these resistors is negligible compared to the input-referred noise of the OPA. Good
design can also ensure that the second stage does not contribute significant noise. Then

V 2ni V 2ni,OPA V 2ni,1 : (22)

For the first-stage amplifier, the major noise sources are due to the differential pair
input transistors J1 and J2, the emitter-degeneration current sources, and the resistor
R1. With an appropriate choice of degeneration resistance of R3 and R4, the noise
contribution from the emitter-degeneration current sources can be made mainly from
the degeneration resistors. The input-referred noise power of the first-stage v2ni,1 can
be approximated as:
"   #
1 16kT g mj1 8kT
v2ni,1 ¼ 2 þ þ 4kTR1  Δf (23)
gmj1 3 R3

By observing Eq. (23), in order to minimize the input-referred noise of the first-
stage, the transconductance of the input JFET (gmj1) should be maximized at a given
bias current level by choosing a large W/L ratio. However, in the meantime, we need
to notice that a large W /L ratio would result in a large input capacitance. Thus, there
is a trade-off to make the W/L ratio.
62 F. Zhang et al.

−5
50 10

Noise Spectral Density (V/sqrt(Hz)


40
−6
10
30
Gain (dB)

20
−7
10
10

0 −8
10

−10
−9
−20 10 −2 0 2 4 6
−2 0 2 4 6
10 10 10 10 10 10 10 10 10 10
Frequency (Hz) Frequency (Hz)
(a) (b)

Fig. 9 The simulated (a) transfer function and (b) input-referred noise spectrum of the instrumen-
tation amplifier

Simulation Results

This instrumentation amplifier was simulated based on 0.6 μm BiCMOS process


with JFET module from a power supply of 2.8 V. From Eq. (20), the ratio of R2 to R1
was set to be 20, and the ratio of R f to Rs was 5 to get a closed-loop gain of 40 dB. It
draws a total current of 3.65 μA, of which 3.1 μA is consumed in the first stage.
The simulated transfer function of the amplifier is shown in Fig. 9a. As can be
seen, the amplifier’s gain extends down to DC. In typical neural recording applica-
tions, the rejection of electrode-related offsets will require suppression of DC gain,
which can be accomplished by including an integrator in the feedback path (Steyaert
and Sansen 1987).
Figure 9b shows the input-referred noise spectrum of the amplifier. Due to the use
of JFETs as the input devices, the flicker noise corner is quite low, p which
ffiffiffiffiffiffi is below
100 Hz. The input-referred thermal noise density is about 38 nV = Hz . The total
input-referred noise integrated from 10 mHz to 100 kHz is 3.81 μVrms.
The performance of the high-input-impedance instrumentation amplifier is com-
pared to the previously discussed amplifiers in Table 5. It achieves a competitive
NEF of 3.23 but exhibits much higher input impedance than the other amplifiers
described here or other state of the art BPAs (e.g., Denison et al. (2007), Harrison and
Charles (2003), and Qian et al. (2011)).

Conclusion

This chapter has attempted to illustrate the fundamental tradeoffs at work in the
design of power-efficient biopotential amplifiers. Low supply voltages ease integra-
tion with digital subsystems implemented in modern CMOS technologies but
complicate power reduction by reducing the headroom available for low-noise
current sources. Single-ended amplifiers offer an advantage in terms of noise-
3 Electrical Biosensors: Biopotential Amplifiers 63

power efficiency, but their inferior common-mode and supply rejection must be
addressed at the system level. Characteristics of the electrode must be considered as
well, and in some cases topological choices will be influenced by the need to meet
input impedance specifications.

References
Chae MS, Yang Z, Yuce M, Hoang L, Liu W (2009) A 128-channel 6 mW wireless neural recording
IC with spike feature extraction and UWB transmitter. Neural Syst Rehabil Eng IEEE Trans
17(4):312–321. https://doi.org/10.1109/TNSRE.2009.2021607
Chi YM, Jung TP, Cauwenberghs G (2010) Dry-contact and noncontact biopotential electrodes:
methodological review. IEEE Rev Biomed Eng 3:106–119
Denison T, Consoer K, Santa W, Avestruz AT, Cooley J, Kelly A (2007) A 2 μw 100 nv/rthz
chopper-stabilized instrumentation amplifier for chronic measurement of neural field potentials.
IEEE J Solid State Circuits 42(12):2934–2945
Enz C, Krummenacher F, Vittoz E (1995) An analytical MOS transistor model valid in all regions of
operation and dedicated to low-voltage and low-current applications. Analog Integr Circuits Sig
Process 8(1):83–114
Gosselin B, Ayoub A, Roy JF, Sawan M, Lepore F, Chaudhuri A, Guitton D (2009) A mixed-signal
multichip neural recording interface with bandwidth reduction. IEEE Trans Biomed Circuits
Syst 3(3):129–141. https://doi.org/10.1109/TBCAS.2009.2013718
Harrison R (2008) The design of integrated circuits to observe brain activity. Proc IEEE
96(7):1203–1216
Harrison RR, Charles C (2003) A low-power low-noise cmos amplifier for neural recording
applications. IEEE J Solid State Circuits 38(6):958–965
Holleman J, Otis B (2007) A sub-microwatt low-noise amplifier for neural recording. In:
Engineering in Medicine and Biology Society, 2007. EMBS 2007. 29th annual international
conference of the IEEE, Piscataway, NJ
Jochum T, Denison T, Wolf P (2009) Integrated circuit amplifiers for multi-electrode intracortical
recording. J Neural Eng 6(1) p 18
Kandel E, Schwartz J, Jessell T (2000) Principles of neural science. McGraw-Hill, New York
Kusuda Y (2010) Auto correction feedback for ripple suppression in a chopper amplifier. IEEE J
Solid State Circuits 45(8):1436–1445
Levinzon FA (2008) Ultra-low-noise high-input impedance amplifier for low-frequency measure-
ment applications. IEEE Trans Circuits Syst Part 1 Reg Papers 55(7):1815–1822
Muller R, Gambini S, Rabaey JM (2012) A 0.013, 5, dc-coupled neural signal acquisition ic with
0.5 v supply. IEEE J Solid-State Circuits 47(1):232–243
Najafi K, Wise K (1986) An implantable multielectrode array with on-chip signal processing.
IEEE J Solid-State Circuits 21(6):1035–1044
Nurmikko A, Donoghue J, Hochberg L, Patterson W, Song Y-K, Bull C, Borton D, Laiwalla F,
Park S, Ming Y, Aceros J (2010) Listening to brain microcircuits for interfacing with external
world–progress in wireless implantable microelectronic neuroengineering devices. Proc IEEE
98(3):375–388
Polikov VS, Tresco PA, Reichert WM (2005) Response of brain tissue to chronically implanted
neural electrodes. J Neurosci Methods 148(1):1–18
Qian C, Parramon J, Sanchez-Sinencio E (2011) A micropower low-noise neural recording front-
end circuit for epileptic seizure detection. IEEE J Solid-State Circuits 46(6):1392–1405
Rai S, Holleman J, Pandey J, Zhang F, Otis B (2009) A 500 μW neural tag with 2 μVrms AFE and
frequency-multiplying MICS/ISM FSK transmitter. In: Solid-state circuits conference – digest
of technical papers, 2009. ISSCC 2009. IEEE International, pp 212–213, 213a
Razavi B (2000) Design of analog CMOS integrated circuits. Tata McGraw-Hill Edition, Boston
64 F. Zhang et al.

Steyaert M, Sansen W (1987) A micropower low-noise monolithic instrumentation amplifier for


medical purposes. IEEE J Solid State Circuits 22(6):1163–1168
Wattanapanitch W, Fee M, Sarpeshkar R (2007) An energy-efficient micropower neural recording
amplifier. IEEE Trans Biomed Circuits Syst 1(2):136–147
Wu H, Xu YP (2006) A 1V 2.3μW biomedical signal acquisition IC. In: Solid-state circuits
conference, 2006. ISSCC 2006. Digest of technical papers. IEEE International, pp 119–128
Wu R, Makinwa KA, Huijsing JH (2009) A chopper current-feedback instrumentation amplifier
with a 1 mhz 1/f noise corner and an ac-coupled ripple reduction loop. IEEE J Solid State
Circuits 44(12):3232–3243
Yin M, Ghovanloo M (2007) A low-noise preamplifier with adjustable gain and bandwidth for
biopotential recording applications. IEEE Int Symp Circuits Syst 42(9):1865–1872
Zhang F, Holleman J, Otis BP (2012) Design of ultra-low power biopotential amplifiers for
biosignal acquisition applications. IEEE Trans Biomed Circuits Syst 6(4):344–355
Electrical Biosensors: Peripheral Nerve
Sensors 4
Clemens Eder and Andreas Demosthenous

Contents
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 66
Basic Mechanisms of Nerve Conduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 66
Amplitude of the Neural Signal . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 69
Implantable Electrodes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 70
Electrical Model of Recording Electrodes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 71
Amplifier Input Configuration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 75
Noise Degradation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 79
Differential Mode Interference Rejection and Neural Amplifier Circuits . . . . . . . . . . . . . . . . . . . . . . 79
Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 83
References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 84

Abstract
The chapter provides an overview of techniques for sensing activity from
peripheral nerves. It describes the measurement chain from the origin of the
signal source and the acquisition of signals from electrodes to their amplifica-
tion. Discussion of the concepts of interfacing with biological systems is
explained from the concept of the electronic engineer. The biological signals
are in some cases stochastic and difficult to extract with often unexpected and
poorly defined differential mode interference sources, which degrade the signals.
Tissue and electrode impedances behave quite differently from simple lumped
components and depend on many factors. Additionally, precautions have to be
taken to ensure the safety of the body in which the electronics are implanted.

C. Eder · A. Demosthenous (*)


Department of Electronic and Electrical Engineering, University College London, London, UK
e-mail: c.eder@ucl.ac.uk; a.demosthenous@ucl.ac.uk

© Springer Science+Business Media, LLC, part of Springer Nature 2022 65


M. Sawan (ed.), Handbook of Biochips,
https://doi.org/10.1007/978-1-4614-3447-4_28
66 C. Eder and A. Demosthenous

This chapter provides an introductory background and tools for implementing a


measurement chain for peripheral nerve sensing, helping the reader to acquire an
appreciation of the design challenges.

Introduction

To start, a simple experiment is described in which nerve activity is artificially


evoked on every fiber of a nerve. The description of the response provides an insight
into the characteristics of the multitude of sources that may contribute to nerve
activity recorded from skin or muscle receptors or form nerves that activate
muscles. The signal amplitude and its timing are described mainly for a certain
type of electrode arrangement, in which the electrodes are placed inside a cuff
which encompasses the nerve. This example is chosen to demonstrate how strongly
the captured amplitudes depend on the resistive and geometric properties of the
structures surrounding the nerve fibers, as well as on the geometric placement of the
individual electrodes. Similar design considerations are also important for other
implantable electrodes that are briefly described.
The impedance properties of the individual electrodes are then highlighted. Due
to their electrochemical properties, electrodes often deviate from the first-order
20 dB/decade low pass behavior. Instead, their roll-off is lower and their phase
response is less. A simple lumped RC model that can accurately fit the measured
results of a platinum iridium (Pt/Ir) electrode is introduced. An accurate impedance
model is crucial for evaluating the spectrum of thermal noise for taking the
appropriate measures to reduce differential mode interference.
Various input configurations to the following amplifier are discussed. These
configurations employ capacitive coupling, which is often necessary to ensure the
electrical safety of the implant even under fault conditions. A careful choice of
component values is necessary to ensure full system performance. The mechanisms
causing poor common mode rejection performance and noise are described for
various biasing schemes. These considerations are relevant for both discrete and
integrated amplifier implementations. The chapter concludes with an introduction
to techniques for suppressing differential mode interference, by means of passive
neutralization. Two different amplifier input stages are presented as examples using
CMOS and BiCMOS process technologies.

Basic Mechanisms of Nerve Conduction

A nerve bundle is organized into fascicles, where each may contain hundreds or
even thousands of axons or nerve fibers (Fig. 1). The recorded spontaneous neural
activity is the result of the summation of many individual action potentials. An
action potential in a specific nerve fiber can be interpreted as a disturbance of the
4 Electrical Biosensors: Peripheral Nerve Sensors 67

Fig. 1 Propagation of an action potential along a single axon, also called nerve fiber. Currents are
shown during the opening of sodium channels at location x0

membrane resting potential which propagates along the axis of the axon. The action
potential is caused by a sudden inflow of sodium ions into the axoplasm, followed
by a somewhat longer lasting outflow of potassium ions. A schematic representa-
tion of the current distribution during the sodium inflow is shown in Fig. 1. The
sodium ions enter at location x0, where the current density is highest. The current
completes a loop on either side of x0, causing depolarization of the membrane on
either side. This means that the negative potential inside the cell becomes more
positive. An increase beyond a certain threshold starts another change in the
membrane channel conductivity of potassium and an action potential on the left
of x0 (Fig. 1). Note that action potentials are usually propagating in both directions
of the axon, but only a single action potential traveling from right to left is shown in
Fig. 1. For this particular action potential, the voltage difference across the mem-
brane can build up more easily on the left side of x0, as the lower resting membrane
conductivity has not been affected by changes in the membrane voltage.
Each action potential propagates along the individual nerve fiber with a velocity
that depends on the fiber characteristics. The peak value of the action potential
should only be dependent on the voltage difference across the axonal membrane
and not on the diameter of the axon. However, the potential difference that can be
picked up by an extracellular electrode is dependent on the flux of ions into and out
of the membrane. A larger diameter nerve fiber will generate larger extracellular
currents, leading to larger potential differences that can be picked up between
extracellular recording electrodes. Furthermore, larger diameter nerve fibers have
a larger conductance of their axoplasmic, i.e., intracellular, conductance per unit
length (Fig. 1). This allows a wider spread of the current loops causing an increased
propagation velocity of the action potential.
The dependency of the amplitude and propagation velocity on the axon diam-
eter can be demonstrated by measuring compound action potentials (CAP), in
which many axons are stimulated at the same time. Figure 2 shows an experiment
in which one part of the set of nerve fibers is stimulated by a pair of electrodes with
a short current pulse, which is strong enough to excite all axons within the nerve
bundle at the same time. If a second pair of recording electrodes is placed at a
68 C. Eder and A. Demosthenous

Fig. 2 Recording of compound action potentials (Sketch based on Mann (2014))

sufficiently large distance away, e.g., 100 mm, then a dispersion of neural activity
is apparent. Fast action potentials appear earlier and last shorter times while slower
action potentials appear later and last for longer times. It is, therefore, possible to
distinguish axons of different diameters by the timing of their peaks within the
CAP recording (Fig. 2). Axons are conventionally classified by their diameter,
from largest to smallest, as Aα (13–22 μm), Aβ (8–13 μm), AΥ (4–8 μm),
Aδ (1–4 μm), B (1-3 μm), and the unmyelinated C fibers (0.1–1 μm). Although
the number of smaller fibers is much higher than the number of large fibers, larger
fibers contribute a recording voltage output in proportion to the square of their
diameters and can therefore dominate the response (Mann 2014). This is also
an important point to consider when attempting to record spontaneous activity
from small diameter axons. For example, the signal to noise ratio (mainly Aδ and C
fibers) during bladder filling in man was only 0.5 when recorded from the
sacral roots (Kurstjens et al. 2005). The noise was defined as the background that
was recorded without excitation of the target nerve and which may contain
background neural activity in addition to thermal noise. When the same type of
electrode was used to record cutaneous activity from predominantly Aβ fibers, the
detected peaks could easily be twice the background activity (Haugland and Hoffer
1994).
These reported studies relied on measurements from a type of electrode that is
often employed to record signals from peripheral nerves (usually having a diameter
of a few mm), the cuff electrode (Fig. 3b). The cuff is designed to keep the
electrodes close to the nerve while restricting the flow of extracellular currents
outside the insulating sheath. The idea of placing an insulating material around the
nerve is motivated by the practice in extracellular recordings from a nerve placed
into an insulating medium, such as paraffin, petroleum jelly, or air (Fig. 3a). With
this simple recording method, large amplitudes can be achieved. Although only two
electrodes were used, the resulting waveform has a triphasic appearance, as the
4 Electrical Biosensors: Peripheral Nerve Sensors 69

Fig. 3 (a) Measurements on a dissected nerve that is kept in a preparation solution for conser-
vation. The measurements are performed with a hook electrode, lifting the nerve into an insulating
medium. (b) Example of a bipolar cuff electrode, shown on a section of a nerve. D denotes the
inner diameter of the cuff and l the interelectrode distance. (c) A quasi-tripolar cuff electrode

immersed section of the nerve can be considered shortened within the conductive
medium. The bipolar configuration on Fig. 3b is usually showing a CAP with a
biphasic shape (i.e., a negative and positive phase), as the action potential passes
both electrodes, at the inverting and non-inverting amplifier input.
A triphasic shape of an action potential can also be obtained by measuring the
neural activity from one electrode with respect to two other electrodes equally
spaced, d1 = d2, on either side (Fig. 3c). This quasi-tripolar configuration has the
advantage that once the two ends are shorted together, there can be no potential
gradient across the cuff. Hence, unwanted interference currents will tend to flow
around rather than through the cuff. This interference is often the result of electro-
myographic (EMG) activity in the vicinity of the electrodes. Although the term
EMG is often used to describe the measurement of muscle activity for diagnosis
within a clinical setting, it will here be used to refer to the unwanted interference
from muscle activity.
Deviations from electrical and geometric symmetries set limits on how much of
the interference can be rejected. This important point will be revisited later, in the
section on interference rejection.

Amplitude of the Neural Signal

Provided that the extracellular space near the recording electrode is sufficiently
small, the extracellular field can be approximated by a distributed longitudinal
resistance, where the radial voltage drop can be neglected. The achievable ampli-
tude is a function of the interelectrode distance l, fiber and cuff diameter, and the
number of electrodes within the configuration (Stein and Pearson 1971). For the
tripolar configuration in Fig. 3c, the electrodes are placed in a sufficient distance
l/θ > 0.8 ms, where θ denotes the nerve conduction velocity and l the distance
70 C. Eder and A. Demosthenous

between the end electrodes. For example, an Aβ wave traveling at 50 m/s would
require a minimum distance of 40 mm. Any further increase in the distance will not
increase the recorded amplitude. The amplitude can be increased by taking advan-
tage of the fact that the amplitude of the recorded signal is proportional to the square
of the fiber diameter a and inversely proportional to the square of the diameter of the
restricted extracellular space, i.e., the cuff diameter D (Fig. 3c). This is mainly due
to the fact that the amplitudes of bipolar and tripolar recordings are reduced by a
factor of ga/(ge + ga), where ga and ge are, respectively, the axoplasmic (inside
axon) and extracellular conductance per unit length of axon and ge  ga (Stein and
Pearson 1971). A larger cuff diameter D leads to a relative increase in the extra-
cellular conductance and, therefore, to a decrease in amplitude, provided that the
axonal diameter a (Fig. 1) is much smaller than the inner cuff diameter (a  D).
The extent to which the amplitude is reduced depends somewhat on the density of
the additional passive axons (of lower conductivity) that contribute to the comple-
tion of the local electric circuits. In practice a more relevant characteristic is the
quality of the cuff closure (Andreasen et al. 2000).

Implantable Electrodes

The relation between amplitude and electrode spacing, axon diameter, and radial
distance between axon and electrodes to the recording site holds for other
implantable electrodes which can be distinguished by their proximity to the
nerve fibers of interest. The cuff electrode is an example of an epineural elec-
trode, where one or more multipolar electrodes are located along the circumfer-
ence of the nerve, outside the protective epineurium (Fig. 4). The book electrode
has been specifically designed for spinal root recordings and constitutes a similar
arrangement, as each of the divided roots is insulated in its own chamber
(Fig. 4a). With increased invasiveness, an improvement in selectivity can be
gained. As their name implies, interfascicular electrodes can be placed in between
fascicles (Fig. 4c). It has been shown that they not only allow a targeted
stimulation of certain muscle groups but also selective recording of CAPs next
to fascicles that would branch to the nerve which was stimulated (Nielsen
et al. 2013). The recording configuration is important, and the tripolar configura-
tion shows superior performance in terms of its ability to respond selectively to
the nerve fascicle of interest (Nielsen et al. 2013). Although more invasive,
preliminary testing of a thin-film longitudinal intrafascicular electrode (tfLIFE)
has been performed in a human amputee for 4 weeks (Fig. 4C). The amputee was
instructed to imagine different types of hand movements and could be reliably
distinguished based on the recordings from two electrodes within eight recording
sites (Micera et al. 2011).
All these different electrode arrangements rely on individual electrodes
(of different size and material) having electrochemical properties that in many
ways deviate from lumped resistors and capacitors. The aim of the next section is
to pay closer attention to the impedance properties of electrodes in order to better
4 Electrical Biosensors: Peripheral Nerve Sensors 71

Fig. 4 Different designs of implantable electrodes. (a) Epineural book electrode. (b) Epineural
multipolar cuff electrode (both courtesy of implantable devices group, UCL). (c) Thin-film
intrafascicular electrode (tfLIFE) (With permission from Micera et al. 2011). (d) Interfascicular
electrode (With permission from Nielsen et al. 2013)

understand their influence on thermal noise spectra and their role on interference
rejection from EMG.

Electrical Model of Recording Electrodes

In order to adequately model the electrical source of neural signals, the materials
and surface structure used in electrodes and their geometric shape must be consid-
ered. Electrodes are often distinguished by their response to a voltage applied
between the metallic and ionic interface. Nonpolarizable electrodes exhibit a very
low conductivity which does not permit a reversal of the potential across the
metallic–ionic interface without the occurrence of a high current. The transfer
from the electron current in the metallic conductor toward an ionic conductor in
the biological medium happens through faradaic reactions, which in the case of
these electrodes do not require a certain minimum potential to start. This low ohmic
property is desirable in recording electrodes, and it is for this reason that
silver–silver chloride (Ag/AgCl) electrodes are often employed in surface elec-
trodes, for example, for the pickup of electroencephalographic (EEG) activity.
These electrodes are not suitable for implantation, due to the toxicity of Ag/AgCl
(Jackson and Duling 1983). Biocompatible electrodes such as gold (Au), platinum
(Pt), or platinum/iridium (PtIr) alloys are often used for long-term implantation.
Such electrodes are at least partly polarizable, in that their interface potential can be
reversed without causing large currents; their interface impedance is capacitive
with a considerable dissipation factor. As the interface potential increases to a level
72 C. Eder and A. Demosthenous

in the order of 1 V, the current starts to rise exponentially. The value at which this
occurs depends on its polarity (i.e., whether anode or cathode) and the electrode
material. It is, for instance, 0.6 V to 0.8 V for Pt or PtIr alloys (Cogan 2008).
These faradaic reactions are of little concern for recording electrodes, as they are
usually not intentionally biased. They are therefore ignored in the following
equivalent circuits for recording electrodes.
A simple model of a recording electrode is shown in Fig. 5a, where the resistance
R0 represents a current path for small faradic currents. The resistance is usually
many tens to hundreds of kΩ and is readily shunted by the large double layer
capacitance CDL. A detailed physical description of this double layer capacitance
was given by Gouy–Chapman and later Stern. For the interested reader the theory is
described in detail in Grimnes and Martinsen (2008). The parallel RC combination
describes a semicircle in the complex impedance plane, where the radius is deter-
mined by the difference between the dc resistance R0 and the resistance for very
high frequencies, R1. The latter is usually referred to as access resistance, as its
value is basically determined by the geometric and conductive properties of the
electrolyte (Grimnes and Martinsen 2008). The problem with the simple model is
that it does not correspond well to actual measurements. Kenneth S. Cole found that
the locus of the impedance appears as a depressed semicircle in the complex plane
(Fig. 5b). In 1940 he proposed the following empirical fit to describe tissue
impedance (such as sweat ducts, stratum corneum, deeper tissues) as well as the
polarization impedance of the electrode itself:

R0  R1
Z ¼ R1 þ (1)
1 þ ðjωτZ Þα

The denominator contains an exponent α, where α = 1 for a capacitor and is less


than 1 for a “constant phase element (CPE).” A capacitor can be interpreted as a

Fig. 5 (a) Simple RC model of a recording electrode. (b) More realistic Cole model incorporating
a constant phase element
4 Electrical Biosensors: Peripheral Nerve Sensors 73

constant phase element of 90 . In the simple RC model in Fig. 1, the RC with R1
approaches an angle of 90 for infinite angular frequency ω. Thus, in the last
section of the locus, where the frequency approaches infinity, the angle approaches
90 . Once the semicircle is shifted to the right by introduction of a R2(1) > 0,
the phase reaches its maximum value φ2max before returning to 0. Likewise, the
angle of Z2 in Fig. 5b can be described with a constant phase of φ1max = απ/2 =
0.72 π/2 = 1.13 rad (or 65 ), in this example. Although Eq. 1 is purely descriptive,
α can be related to the physical phenomenon of dispersion. It describes the changes
of permittivity e(ω) with frequency, which is characteristic for different types of
organic sample (Grimnes and Martinsen 2008) as well as for a non-homogenous
interface capacitance due to roughness (Kurtyka and de Levie 1992).
Equation 1 and Fig. 5b show that the frequency response is altered. A dispersion
coefficient of α < 1 corresponds to a slope of < 20 dB/decade in the Bode dia-
gram; the roll-off is flatter. The accurate modeling of the electrode impedance is
important because:

1. Both common mode and differential mode interference properties are greatly
affected by the value of the source impedance (i.e., the sum of electrode and
tissue impedance) at a given frequency. Even small mismatches can have the
potential to greatly deteriorate the signal to interference ratio.
2. The noise performance of Pt electrodes was shown to depend on the real part of the
electrode impedance (Liu et al. 2008). Any alteration in the surface properties such
as surface roughness will likely have an effect on the corner frequencies by
increasing the ratio between electrochemical and geometric surface area, thereby
increasing the time constants and changing the dispersion coefficient. This will
change the real part of the electrode impedance at lower frequencies, and therefore
the noise density. Schrama (Schrama 1957) and later Wang (Wang 1987) have
proposed a RC ladder network implementation for simulating the constant phase
element properties of electrodes. Its impedance is written by the continued fraction:
 α
1 1
Z ðjωÞ ¼ hþ ¼ R0 þ (2)
jω 1
C0 jω þ
1
R1 þ
C1 jω þ . . .

This makes it possible to both emulate and simulate the frequency response of a
constant phase element with lumped components, provided that the number of
stages is sufficient for its approximation. Wang showed that the component values
for each stage can be approximated if the exponent α is known (Wang 1987):

R0 ¼ hα ; Rk ¼ 2hα PðαÞk2α1 ,
ð2k þ 1Þ 12α
C0 ¼ h1α =α; Ck ¼ h1α k ,
PðαÞðk þ αÞ (3)
Γð1  αÞ
Pð α Þ ¼ ;
ΓðαÞ
74 C. Eder and A. Demosthenous

Fig. 6 Simulated ten-stage RC ladder network with constant phase properties

where h is an arbitrary small number and k is the number of stage. The actual values
of Rk and Ck can be scaled by multiplying the right-hand side of Eq. 2 by a large
number. When all resistors are multiplied and all capacitors are divided by the same
number, the impedance is scaled while the phase angle response is maintained.
Figure 6 shows a 10-stage simulation for a targeted phase angle of 66 , which
corresponds to a coefficient α = 66/90 = 0.73. The desired phase angle of 66 is
only constant within a limited bandwidth, from about 2 kHz to 10 kHz.
The same method was chosen by Pachnis (Pachnis et al. 2009), who was able to
accurately model the impedance of a specifically crafted electrode with wideband
CPE behavior. The wideband response from 100 Hz to 100 kHz was achieved by a
20 ladder RC network. A model of such complexity may not be necessary for
practical applications. Revisiting Fig. 5b, it is clear that a pure constant phase
behavior φ2max can only be achieved for a zero access resistance R1 = 0. For
R1 > 0 the locus is moved to the right of the plane with the result of a smaller
phase angle |φ1max| < |φ2max|, which would eventually return to zero at higher
frequencies. It is this combination of access resistance and constant phase element
that leads to a much simpler electrode model with only 7 lumped components, as
shown in Fig. 7. The model in Fig. 7 corresponds to a RC ladder network, but
instead of obtaining the values by an analytic formula as in Eq. 3, the values were
estimated by fitting the model’s response to experimental data. The model values in
Fig. 7 have been determined by a simplex search method in MATLAB
(fminsearch). Although the model topology is that of a RC ladder network, the
locus of the impedance is different from the simulation in Fig. 6, where the
component values were determined by (Eq. 3). Although the constant phase angle
is essentially the same, i.e., 66 , the locus from the established model better
corresponds to a section of the depressed semicircle of Z1( jω) in Fig. 7. The
maximum phase stays constant over a wide frequency range before returning
back to zero. The fit of the measured bode plot is excellent (Fig. 3), and electrodes
whose surfaces are roughened by various means (such as by laser patterning and
physical vapor deposition) could also be fitted using the same model. Although an
analytical expression was not derived for the component ratios, such an expression
4 Electrical Biosensors: Peripheral Nerve Sensors 75

Fig. 7 Accurate electrode model based on a three-stage RC ladder network

could be employed to introduce constraints for reducing the number of unknown


variables within the model. This can be helpful not only for determining the
impedance of individual electrodes, but also for the choice of values for a compen-
sation network that can be introduced to counterbalance electrode arrangements
with asymmetric properties. As will be shown later, any such imbalance of imped-
ances in a multipolar electrode arrangement can greatly increase differential mode
interference from electrical sources other than nerve signals.

Amplifier Input Configuration

The designer of a nerve sensing system has to be aware that the quality of the
acquisition system is not solely determined by the quality of the design of the
amplifier stages, whose performance can be simulated or bench tested. The design
choices that are crucial for a high common mode rejection ratio (CMRR) amplifier,
are well understood (Gray and Meyer 2001). This section describes the potential
76 C. Eder and A. Demosthenous

deterioration of CMRR as a result of the context in which the neural amplifier is


being used. Usually resistors are contained in the pad cells of an integrated circuit to
allow for protection of the amplifier from electrostatic discharge (ESD) and other
overvoltage events. More importantly, the nerve tissue has to be protected from
damage that could occur in the case of a single fault condition, in which the supply
voltage might appear at the amplifier inputs. Auxiliary currents, such as bias
currents, are permitted to flow through the electrodes and tissue if they are much
smaller than single fault currents. Both the electrodes and tissue need protection
from bias currents. For the electrodes, typically dc bias currents greater than 100 nA
may cause electrode corrosion (ANSI 1995). It is therefore common practice to
connect the amplifier input stage to the electrode via large capacitors in a T-network
as shown in Fig. 8a. Capacitors C1 and C2 provide ac coupling between electrode
and amplifier (with the additional advantage of suppressing slowly varying elec-
trode offset potentials). The figure shows the source impedances seen from the
inverting and non-inverting electrode terminals represented by ZSP and ZSN, respec-
tively. Rp and Rn are optional protection resistors, which usually have small values.
They are sometimes included in analog input pins that have a two-stage ESD
protection, with clamping diodes placed before and after the series resistor.
A large value resistor Rb establishes a bias path for both input terminals. The
value should be chosen to be as large as the bias current allows; too large a value
will introduce an offset, which can reduce the maximum available voltage swing. A
high value increases the thermal noise, but since it appears on both input terminals
as common mode interference, it can be neglected in comparison with other
(differential mode) noise sources. The value for Rb has to be chosen as high as
the bias currents allows. If its value is too large, it can cause undesired offset
voltages as a result of the voltage drop caused by the bias current. Its value is
therefore usually much smaller than the common mode input impedance of the
amplifier, so that it effectively shunts the latter. Under the extreme assumption that
its value approaches the average source impedances, any mismatch between the
series impedances Z1 + jXC1 and Z2 + jXC2 will lead to a common mode to differ-
ential mode conversion of the interference source. The potential on the
non-inverting terminal Vp deviates considerably from the one at the inverting
terminal Vn. The conversion effect is greater for lower values of Rb, as a greater
portion of the common voltage VCM is dropped on Z1 + jXC1 or on Z2 + jXC2. Note
that even the effective CMRR of an ideal amplifier would be determined by the
source mismatch and the value of the bias resistor. For example, let Rb = 10 MΩ,
the capacitors C1, C2 infinitely large, and the electrode impedances real parts
RSP = ZSP = 1 kΩ and RSN = ZSN = 3 kΩ. The CMRR is then VCM/(Vp-
Vn) = 1/(10/10.001-10/10.003) = 5002 or 74 dB. It will be apparent later on that
the source imbalance is inherent in some electrode configurations and cannot be
avoided despite perfect matching of the geometrical and electrochemical properties
of electrodes.
The CMRR degradation could be mitigated by bootstrapping, as shown in
Fig. 8b (Sansen 2007). Resistor Rbs1 is bootstrapped out by capacitor Cbs1, virtually
eliminating any ac current through that resistor. Thus, both the differential and
4 Electrical Biosensors: Peripheral Nerve Sensors 77

Fig. 8 (a) T-shaped input biasing network. (b) Bootstrapping for high input impedance

common mode input impedances are very high. Due to the capacitive feedback, the
bootstrapping is less effective below the frequency f z¼1 =½2π ðRbs1 þ RB1 ÞCF , and
pffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi
reaches its maximum value at f 2 ¼ 1=ð2πRB1 CF Þ  GBW, where GBW is the gain
bandwidth product of the opamp. As an example, for a capacitor of 100 nF and a
zero frequency of 0.8 Hz, the peak frequency occurs at 1.3 kHz for a GBW of
1 MHz. The input impedance is about 1.6 GΩ. The only drawback of this solution is
78 C. Eder and A. Demosthenous

Fig. 9 Input configuration proposed in Spinelli et al. 2003)

that the input offset voltages of the opamps appear augmented at their outputs by a
factor which is much higher than the mid-band gain of the stage, thus the admissible
gain is limited (Burke and Gleeson 2000).
Removing the ground resistor is a solution proposed by Spinelli et al. (2003) and
is shown in Fig. 9. This was designed for an electrocardiogram amplifier and had a
CMRR of 123 dB at 50 Hz. There is no ground resistor and any bias current
bypasses the capacitor via the series connection Rp-R1 or Rn-R2, where the values
of the resistors R1 and R2 are high (such as 10 MΩ), high enough to limit the dc
current during a single fault condition, which may be allowed to reach several μA
(ANSI 1995). The bias current flows into the electrode, and it must be ensured that
its value – in normal operation – is at the lower nA range or below. This require-
ment can be usually achieved with JFET or CMOS input stages, but bipolar stages
require at the very least bias current cancelation schemes as proposed in Gray and
Meyer (2001). Note that the common mode input impedance is not infinitely large,
but has a capacitive value that, neglecting cable capacitances, is mainly determined
by the parasitic and intrinsic input capacitances of the amplifier. These input
capacitances can be quite large for low noise input stages with large transistor
areas. The commercially available low noise and high CMRR instrumentation
amplifier INA128, for example, has a common mode input capacitance of 9 pF.
At 1 kHz, the reactance would be 17.7 MΩ, a smaller value than that practically
achievable integrated bias resistors. The advantage of removing Rb is therefore lost
at frequencies that are much higher than from a power line. It is important to
recognize that the circuit in Fig. 9 has been motivated for EKG recordings, in which
most of the interference occurs at 50 Hz. The performance will significantly
degrade at higher frequencies, such as within the EMG frequency band. The
performance can only be maintained at these frequencies if the common mode
input capacitance can be kept small.
4 Electrical Biosensors: Peripheral Nerve Sensors 79

Fig. 10 Noise degradation due to bias network

Noise Degradation

With any of the biasing schemes discussed, the introduction of additional resis-
tances can lead to an increase in thermal noise at the amplifier input. The thermal
noise of bias resistors can be much larger than the source noise or the input-referred
noise of the amplifiers, but the capacitors “short” these resistors to the smaller
electrode impedances. The noise at the input terminals should therefore be domi-
nated by the source noise and not the noise of the biasing network. But this is not
necessarily the case when the capacitor is not chosen carefully. Figure 10 shows an
example of a simplified input biasing network that was presented in Donaldson
et al. (2003). The voltage noise spectral density indeed starts to decrease at
frequencies that are higher than the first corner frequency of f C1 ¼ 2π10kΩ30nF
1
, or
530 Hz. However, the second corner frequency is given by f C2 ¼ 2π1:1kΩ30nF , or
1

4.82 kHz. The noise from the (differential) bias resistor can therefore be significant
in the signal passband for neural tripolar recordings, which lies in the range from
one to a few kHz. It is therefore important to choose the capacitors large enough so
that the noise floor in the passband is due to the source resistance only. The noise
performance in Donaldson et al. (2003) might well have been improved by using a
blocking capacitor of much larger value, for instance, 300 nF.

Differential Mode Interference Rejection and Neural Amplifier


Circuits

The degradation of both noise and common mode rejection ratio performance of an
amplifier in the presence of a biasing network has been discussed. It has been
assumed that any unwanted signal acts as an interference which is common to both
amplifier terminals. An example is when electrical activity from a remote muscle
(for instance, the heart) causes common mode voltages at the mV level compared to
the measured differential signal (activity) which is only a few μV of amplitude. The
CMRR of the total system would therefore need to be well above 80 dB if a10 mV
common mode input amplitude should not appear as an input-referred waveform
80 C. Eder and A. Demosthenous

with more than 1 μV amplitude. But there is another type of interference which leads to
a voltage gradient along the nerve, causing differential mode interference between the
recording electrodes. This type of interference is difficult to deal with and can be
converted in the best case into a common mode interference. The situation is depicted
in Fig. 11. A nearby muscle is activated by its motor nerve, causing large currents
along its fibers. These currents and consequently EMG activity up to about 1 kHz
picked up with extracellular electrodes are much larger than that from a nerve. Even
remote electrically active tissue can cause considerable interference currents flowing

Fig. 11 (a) Muscular activity causing differential mode interference for a tripolar electrode
arrangement, in an imbalanced cuff electrode. Dimensions are not to scale. (b) A simplified
equivalent circuit. (c) The phasor diagram for the equivalent circuit
4 Electrical Biosensors: Peripheral Nerve Sensors 81

through the space between cuff electrodes and insulation (Fig. 11a). This space is
mainly resistive, and the values for the modeled resistances Rt1 and Rt2 depend on the
amount of connective tissue ingrowth between the nerve and insulating sheath. The
restriction of the space around the nerve has the effect of linearizing the field, where
the voltage is linearly increasing with the length of the restricted space. Ideally, both
resistances Rt1 and Rt2 should be equal, as the end electrode should be equally spaced
to the center electrode. Any deviation from the center due to fabrication tolerances
(i.e., l/x 6¼ l/y in Fig. 11a) or any irregular growth of tissue can cause an imbalance,
which will mean that the center electrode does not sense the average of the outer
electrodes. This imbalance is very difficult (if not impossible) to control, especially
in vivo. If no further action is taken, then the differential voltage Vd will inevitably
occur as a result of muscle activity nearby an insulated tripolar electrode (see top
phasor diagram in Fig. 11c). The diagram also shows that Vd can be minimized by
making ZE3 larger than ZE1, with the constraint that it should stay on the real axis. This
can be achieved by adding a compensation impedance, ZTRIM, with the same ratio
between real and imaginary part as the end electrode impedances ZE1 and ZE3, which
are both assumed equal.
The problem is now that the ratio between real and imaginary part varies with the
frequency, especially if the compensation network is a simple RC combination.
Recall from the chapter on electrical modeling of electrodes that the frequency
response for both phase angles and amplitudes of ZE1(ω) or ZE3(ω) is very different
from the response of a first-order RC circuit. In order to null the interference voltage
at all frequencies of interest (i.e., for the sections of interference band that are
overlapping the signal band), the compensating impedance should have a response
very similar to the electrode response in Fig. 7.
The problems do not end even if a perfect differential mode interference rejection
can be achieved over a wide bandwidth. If Vd in Fig. 11b is zero, the voltages on both
terminals represent a common mode input to the amplifier. Not only should the
CMRR of the amplifier be high at hundreds of Hz or even kHz, the potential for the
biasing circuit to degrade the CMRR by common mode to differential mode con-
version should be considered as outlined in the previous section.
An integrated amplifier with passive neutralization of myoelectric interference
from neural recording tripoles is described in Demosthenous et al. (2013). The
simplified schematic of the front-end amplifier is shown in Fig. 12a, and the chip
microphotograph of the complete amplifier is shown in Fig. 12b. It is an instru-
mentation amplifier that uses the current feedback technique to achieve high CMRR
performance (99 dB at 1 kHz and 90 dB at 10 kHz). The circuit consists of two
resistive-degenerated transconductors: an input transconductor with a local feed-
back loop and an output transconductor with a local feedback loop. The two
feedback loops are isolated from each other. Due to the use of current feedback,
the drain currents of transistors Mi1 and Mi2 in the input transconductor are forced to
be the same and the input stage acts as a unity-gain buffer, i.e., the input voltage,
Vin, appears across resistor R1. Similarly, the use of current feedback forces the
drain currents of Mo1 and Mo2 in the output transconductor to be the same. Since
currents I3 and I4 are exact copies of I1 and I2, respectively, the output voltage Vout
82 C. Eder and A. Demosthenous

Fig. 12 (a) An instrumentation amplifier using current feedback (Demosthenous et al. 2013). (b)
Chip microphotograph of the complete ENG amplifier described in the same publication
implemented in 0.35 μm CMOS technology

appears across resistor R2. Hence, the dc gain of the amplifier is given by the ratio
R2/R1. Placing capacitor C2 in parallel with R2 creates a dominant pole, which sets
the 3 dB bandwidth of the amplifier.
Another example of a neural amplifier for implanted nerve tripoles is shown in
Fig. 13 (Demosthenous and Triantis 2005). A high CMRR performance could be
achieved (82 dB at 1 kHz). It consists of an input BiCMOS OTA (Q1, Q2, M1,
and M2) terminated in the load resistor R1, followed by a first-order band-pass filter
(for bandwidth restriction). The upper cutoff frequency is set by the combination of
resistor R2 and capacitor C1, while the lower cutoff frequency is set by capacitor C2
with the series combination of transistors M6 and M7, the latter transistor pair
forming a high-value active resistor. In addition to eliminating low frequencies
below the passband of the input neural signal, the high-pass section of the band-pass
filter (BPF) also removes some of the low-frequency flicker noise voltage tail and
ensures a dc offset-free amplifier output (Vout). The dc bias voltages of M6 and M7
are provided by the diode-connected transistors M8 and M9, respectively, which are
4 Electrical Biosensors: Peripheral Nerve Sensors 83

Fig. 13 A BiCMOS amplifier for neural recording tripoles (Demosthenous and Triantis 2005)

in turn biased by the dc current sources IB2 and IB3. Circuitry is also included
(M3–M5 and Q3–Q4) to cancel the base currents of Q1 and Q2. The residual input
bias current is only about 30 nA, which allows for all of the biasing techniques that
were mentioned in the previous sections. These techniques should assure that the
measured CMRR of 82 dB at 1 kHz is preserved. The following discusses two
examples for biasing. In the first example, the bias resistor Rb in the T-shaped bias
input network in Fig. 8a could be selected to 10 MΩ causing a common mode
voltage dc input of V p ¼ V n ¼ 30 nA  2  10 MΩ ¼ 0:6 V. Such voltage lies
well within the common mode range of that amplifier. Although the offset input
bias current has not been measured, a value of 30 nA will be considered the worst
case. If R1 and R2 in Fig. 8a are both 10 kΩ, then the input offset would be only
300 μV, which is much smaller than the linear input range of 85 mV
(Demosthenous and Triantis 2005). In the second example, the biasing circuit of
Fig. 9 is considered. The residual bias current of 30 nA is small enough to allow it to
flow through the electrodes. The input capacitance depends not only on the intrinsic
base–emitter and base–collector capacitances of Q1 and Q2 but also on the chosen
chip package and signal routing. An input capacitance of only a few pF is feasible
and should not spoil the common mode input impedance even in the kHz range.
These are just two of many possible amplifier topologies. A comprehensive
survey and comparison of neural amplifiers for implantable applications is
presented in Demosthenous (2014).

Conclusion

The chain of components for measuring peripheral nerve activity has been exam-
ined, from the origin of the signal to electrode properties, input configuration, and
amplifier design. The performance of a peripheral nerve sensing system is
84 C. Eder and A. Demosthenous

dependent on the interplay between each of these components and not on the
amplifier performance alone.
The characteristics of the recorded signal depend on a number of factors such as
axon diameters that carry the information of interest, nerve diameter, and electrode
type (in terms of distance between electrode and axon), on the distance between
bipolar or tripolar recording electrodes, and on the electrode itself. Electrodes with
smaller surface area may be located in close proximity to the signal of interest. This
is the case with tfLIFE electrodes (Fig. 4). Smaller electrodes tend to have larger
impedances due to their larger access resistance. Having an accurate but simple
model such as the proposed RC ladder network is especially useful for larger
electrode impedances: it allows the designer to accurately estimate the thermal
noise spectra of these electrodes, helping, for example, to evaluate the signal to
noise ratio at the frequency of interest. The electrode model is also useful when
balancing the electrode impedances in a tripolar recording arrangement, as the
compensation network has to follow the frequency response of the electrodes. If
the left- and right-hand side of the equivalent bridge circuit in Fig. 11b is balanced
for the bandwidth of interest, then the differential mode interference can be greatly
reduced. This interference usually stems from the EMG of nearby muscles, with
partly overlapping spectra of much higher magnitude. The latter makes the removal
of interference by filtering techniques alone almost impossible.
Two neural amplifier topologies were provided. They are characterized by small
area, low power consumption, low noise, and excellent CMRR even in the kHz
range. It is the authors’ intention that this overview provides the reader with the
necessary fundamentals to develop their own systems for sensing peripheral ner-
vous activity to provide a command signal for a neural prosthesis of any kind.

References
American National Standard ANSI/AAMI NS15:1995 (1995) Implantable nerve stimulators
Andreasen LN, Struijk JJ, Lawrence S (2000) Measurement of the performance of nerve cuff
electrodes for recording. Med Biol Eng Comput 38(4):447–453
Burke MJ, Gleeson DT (2000) A micropower dry-electrode ECG preamplifier. IEEE Trans
Biomed Eng 47(2):155–162
Cogan SF (2008) Neural stimulation and recording electrodes. Annu Rev Biomed Eng 10:275–309
Demosthenous A (2014) Advances in microelectronics for implantable medical devices. Adv
Electron 2014:21. doi:10.1155/2014/981295
Demosthenous A, Triantis IF (2005) An adaptive ENG amplifier for tripolar cuff electrodes. IEEE
J Solid-State Circuits 40(2):412–421
Demosthenous A, Pachnis I, Jiang D, Donaldson N (2013) An integrated amplifier with passive
neutralization of myoelectric interference from neural recording tripoles. IEEE Sensors J 13
(9):3236–3248
Donaldson N, Zhou L, Perkins TA, Munih M, Haugland M, Sinkjaer T (2003) Implantable
telemeter for long-term electroneurographic recordings in animals and humans. Med Biol
Eng Comput 41(6):654–664
Gray PR, Meyer RG (2001) Analysis and design of analog integrated circuits. Wiley, New York
Grimnes S, Martinsen ØG (2008) Bioimpedance and bioelectricity basics. Elsevier, Amsterdam
4 Electrical Biosensors: Peripheral Nerve Sensors 85

Haugland MK, Hoffer J (1994) Artifact-free sensory nerve signals obtained from cuff electrodes
during functional electrical stimulation of nearby muscles. IEEE Trans Rehabil Eng 2
(1):37–40
Jackson WF, Duling BR (1983) Toxic effects of silver-silver chloride electrodes on vascular
smooth muscle. Circ Res 53(1):105–108
Kurstjens GA (2005) Intraoperative recording of electroneurographic signals from cuff electrodes
on extradural sacral roots in spinal cord injured patients. J Urol 174(4 Pt 1):1482–1487
Kurtyka B, de Levie R (1992) Frequency dispersion associated with a non-homogeneous interfa-
cial capacitance. J Electroanal Chem 322(1–2):63–77
Liu X, Demosthenous A, Donaldson N (2008) Platinum electrode noise in the ENG spectrum. Med
Biol Eng Comput 46(10):997–1003
Mann DM (2014) Peripheral nerves. In: The nervous system in action. Available at http://
michaeldmann.net/mann12.html. Accessed 15 Mar 2014
Micera S et al (2011) Decoding of grasping information from neural signals recorded using
peripheral intrafascicular interfaces. J Neureng Rehabil 8:53. doi:10.1186/1743-0003-8-53
Nielsen T, Sevcencu C, Struijk J (2013) Comparison of mono-, bi-, and tripolar configurations for
stimulation and recording with an interfascicular interface. IEEE Trans Neural Syst Rehabil
Eng 22(1):88–95
Pachnis I, Demosthenous A, Donaldson N (2009) Realization of constant phase element in
metallic electrodes for interference reduction in neural recording tripoles. In: World congress
on medical physics and biomedical engineering. Springer. doi: 10.1007/978-3-642-03889-
1_95
Sansen WMC (2007) Analog design essentials. Springer, Dordrecht
Schrama J (1957) On the phenomenological theory of linear relaxation processes. Leiden Univer-
sity, Netherlands
Spinelli EM, Pallàs-Areny R, Mayosky MA (2003) AC-coupled front-end for biopotential mea-
surements. IEEE Trans Biomed Eng 50(3):391–395
Stein RB, Pearson KG (1971) Predicted amplitude and form of action potentials recorded from
unmyelinated nerve fibres. J Theor Biol 32(3):539–558
Wang JC (1987) Realizations of generalized warburg impedance with RC ladder networks and
transmission lines. J Electrochem Soc 134(8):1915
Impedance Spectroscopy for Biosensing:
Circuits and Applications 5
Marco Carminati, Giorgio Ferrari, Davide Bianchi, and
Marco Sampietro

Contents
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 88
Definition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 88
Impedance Spectroscopy and Data Display . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 89
Modeling the Electrochemical Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 90
Biomedical Applications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 91
Cell Growth Monitoring . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 92
Impedance Flow Cytometry . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 94
Impedimetric Affinity Biosensors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 96
Techniques and Circuits for Impedance Measurements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 97
Current Sensing Circuits . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 99
Lock-In Amplifiers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 103
CMOS Impedance Analyzers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 106
References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 110

Abstract
Impedance spectroscopy is a powerful and versatile technique to investigate
electrically materials and devices. Its compatibility with a liquid environment
combined with low cost and reduced, size, with respect to optical techniques,
makes impedance measurements one of the most promising transducer
mechanisms for lab-on-chip and biochip platforms. This chapter presents the
impedance sensing methodology applied to the detection and monitoring of cells
or molecules in static and dynamic conditions. The electronic circuits and the
signal processing required to perform high-resolution impedance measurements
are reported pointing out the advantages of advanced custom solutions.
The chapter is concluded by a discussion of the design criteria required for the

M. Carminati (*) · G. Ferrari · D. Bianchi · M. Sampietro


Dipartimento di Elettronica, Informazione e Bioingegneria, Politecnico di Milano, Milan, Italy
e-mail: marco1.carminati@polimi.it; giorgio.ferrari@polimi.it; davide.bianchi@polimi.it;
marco.sampietro@polimi.it

© Springer Science+Business Media, LLC, part of Springer Nature 2022 87


M. Sawan (ed.), Handbook of Biochips,
https://doi.org/10.1007/978-1-4614-3447-4_30
88 M. Carminati et al.

implementation in CMOS (Complementary Metal Oxide Semiconductor)


technology of impedance analyzers suitable for impedance biosensing at
micro- and nanoscale.

Introduction

Definition

The electrical impedance of a two-terminal system is a complex quantity equal to


the ratio between the voltage vector signal applied to the two-terminal element and
the corresponding current flowing through it, i.e., the extension in the frequency
(Laplace) domain of resistance, thus sharing the same unit (ohm). The larger is the
impedance, the stronger is the opposition provided by the system to the current
flow. The measurement of impedance represents a very powerful, versatile, and
largely adopted technique for the investigation of the properties of materials, as
well as for the realization of sensors, based on resistive or capacitive transduction
(for instance, adopted in contactless tank level gauging and capacitive MEMS
(Micro Electro Mechanical Systems) inertial sensors). In particular, within the
wide realm of electrochemical applications, impedance spectroscopy is one of the
major characterization techniques. It is used to study the properties of the electrode
surface in contact with an electrolytic solution and, thus, adopted in the study of
corrosion phenomena, coatings, surface roughness, and porosity, as well as the state
of charge of batteries and impedimetric biosensors.
In its basic form, impedance sensing is performed by applying a sinusoidal
voltage to the sample, contacted by means of two electrodes (or applied across an
electrochemical interface), and by simultaneously recording the current that flows
through it. If the linear approximation is valid, i.e., if the perturbation signal is
sufficiently small with respect to the bias point, so that nonlinear responses can be
neglected, the current signal will be a sinusoid as well, at the same frequency of the
voltage stimulation, but modified in amplitude and phase (Fig. 1). Typically, the
range of applied voltages is 0.1–10 mV. However, before any new measurement is
started, it would be advisable to verify that the linear hypothesis holds, i.e., the
absence of significant superior harmonics. This could be done either in time domain

Impedance definition
Ip
Vp
i(t) v(t)
Vp
Z Z(f) = e j2πΔtf
Ip 0 T = 1/f t

Δt

Fig. 1 Definition of the complex electrical impedance Z(f) of a two-terminal system


5 Impedance Spectroscopy for Biosensing: Circuits and Applications 89

(directly checking the absence of any distortion of the current sinusoidal waveform
with an oscilloscope) or, more conveniently, in frequency domain (with a spectrum
analyzer or a lock-in detector, locked at the harmonics of the carrier).

Impedance Spectroscopy and Data Display

In general, the impedance of a system is a function of frequency, and thus it is


characterized by a nonconstant spectrum, which is rich of information. Impedance
spectroscopy is performed by measuring the magnitude and phase of the complex
impedance vector at different frequencies. Besides the applied voltage VAC, the
parameters that define the spectrum are the frequency range (start and stop
frequencies), the number of acquired points (usually logarithmically spaced
between fstart and fstop), and the measurement (averaging) time Tm dedicated to
each point.
Measured data can be plotted in several ways. The most common diagram (in the
engineering community) used to plot impedance spectra is the Bode plot, compris-
ing two separate logarithmic plots: magnitude vs. frequency and phase
vs. frequency (Fig. 2a). Another data display option, more common in the electro-
chemical community, is the Cole-Cole plot (Fig. 2b). It corresponds to a particular
case of the Nyquist plot, where the x-axis reports the real part of impedance, while
the y-axis reports the opposite of the imaginary part. Each point, of coordinates (Re
{Z}, -Im{Z}), corresponds to the value of the impedance vector at a different
frequency. Besides concentrating the information into a single diagram, the Cole-
Cole plot offers the advantage of clearly showing the presence of time constants,
which correspond to semicircles in the plane. On the other hand, being plotted on a
linear scale, it is usually less convenient than the Bode plot to display large
frequency ranges and large dynamic ranges.
The value of impedance at a single frequency f0 can be tracked in time, being
periodically sampled in order to monitor changes in the interface. Impedance time
tracking is more suitable for biosensing applications, since it allows immediate
detection of the sensor state, as well as recording its temporal evolution.

a Bode Plots b Cole-Cole Plot


–Im(Z)
Phase(Z)
Magnitude(Z)

log(f) log(f) Re(Z)

Fig. 2 Alternative graphical representations of an impedance spectrum: (a) Bode plots, (b) Cole-
Cole plot
90 M. Carminati et al.

Modeling the Electrochemical Interface

The real part of impedance (i.e., the resistance) is always related to energy dissi-
pation, while the imaginary part (called reactance) is related to energy storage
within the system. In general, an equivalent (small-signal) impedance model of the
physical system under investigation can be created by connecting in series and in
parallel lumped electric linear components (such as resistors and capacitors) or, in
the case of an electrochemical interface, more sophisticated equivalent systems
(such as the constant phase element, CPE, or the Warburg impedance).
The electrochemical interface, extremely relevant in biosensing applications
since the majority of biological sensors operate in liquid (both because the sample
is liquid and in order to preserve the functionalities of the bioreceptor), is
described by the following components. The electrochemical double-layer due to
the non-Faradic interaction between an electrode and the ions in an electrolytic
solution (creating a potential-modulated concentration profile, which extends in
the liquid usually for less than 10 nm, i.e., the Debye length) is modeled as a
capacitance CDL. The value of this capacitance is a function of the concentration of
ions, of the bias voltage, and of the area of the electrode. The specific capacitance
for a standard physiological buffer solution (such as Dulbecco’s phosphate buff-
ered saline, PBS) is 0.1–0.4 pF/μm2. In series to CDL, there is the solution
resistance (RSOL) given by the bulk conductivity of the ionic buffer (e.g., about
1.5 S/m for PBS). For large electrodes, RSOL depends on the area and distance
between the electrodes, while when the size of the working electrodes scales down
to micrometric dimensions (and radial diffusion replaces planar diffusion), RSOL is
uniquely set by the perimeter of the working electrode. A purely capacitive
behavior of the double-layer interface corresponds to an ideally flat electrode. In
practice, the presence of surface roughness and porosity of the electrode leads to a
distribution of local time constants, which combine to give a global pseudo-
capacitive behavior, describe by a CPE. The CPE impedance has the following
expression ZCPE ðsÞ ¼ 1=Qsn with an exponent n < 1 (corresponding to a linear
system described by fractional derivatives). It is characterized by a decreasing
magnitude (with constant slope smaller than 20 dB/Dec.) and by a constant
phase (n∙π/2). However, for reasonably smooth electrodes, typical values of n
range from 0.8 to 0.9, and thus, for the purpose of modeling the impedance for
the design of the readout electronics, it can be approximated by an ideal
capacitance (n = 1).
If a redox reaction takes place at the interface, a Faradic branch must be added
in parallel to CDL in the equivalent model. The charge transfer resistance (RCT)
models the rate of the charge exchange between the solution and the electrode, and
it is obtained as the linearization of the large-signal current/potential relation (i.e.,
the voltammogram) around the chosen bias point. In series to RCT, a Warburg
impedance (W) must be added in order to account for the mass-transport limitation
of the redox current at low frequencies. In other words, when the period of the
applied sinusoid is large, more reagents must reach the interface in order to support
the redox current, and thus the time necessary for their diffusion from the bulk
5 Impedance Spectroscopy for Biosensing: Circuits and Applications 91

10M
Warburg

Impedance Magnitude [Ω]


1M RCT

100k
CDL (CPE) CDL (CPE)
RSOL
10k

RCT RSOL
1k

1m 10m 100m 1 10 100 1k 10k 100k 1M 10M


Frequency [Hz]

Fig. 3 Bode plot of the impedance magnitude of the Randles equivalent model of an electro-
chemical interface comprising both non-Faradic (CDL) and Faradic (RCT) phenomena

decreases the current and consequently increases the impedance (with the square
root of the inverse of frequency). The complete model is called Randles model
whose magnitude for a generic interface is reported in Fig. 3. Finally, an external
stray capacitance CS (not shown in the figure) due to the parasitic coupling
between the electrodes and the connection wires is often present, shunting the
interface impedance (RSOL) at high frequency.
The values of the model parameters are often fitted from experimental data.
Articulated models can be adopted with several parameters to describe interfaces
composed by the sandwich deposition of several layers. However, note that the
choice of the most suitable model and the interpretation of an impedance spectrum
are not trivial (Orazem and Tribollet 2008). In fact, since impedance is extremely
sensitive to any variation of the surface properties (such as temperature), in the
biosensing context, the correlation between the transducer response and the imped-
ance change must be carefully verified, in order to rule out possible interfering
effects. Furthermore, the physical meaning of the single components of the model
should be always preserved, and thus, in general, simpler model, though approxi-
mated, is to be preferred.

Biomedical Applications

Among several applications in the biomedical field (mainly at full body level, such
as impedance tomography), here three relevant examples of the employment of
impedance sensing at micro- and nanoscale are briefly highlighted, in order to
motivate the design of highly resolved impedance sensing circuits, oriented, in
particular, to the development of biochips. The first two applications concern
92 M. Carminati et al.

cellular biology (in particular, the detection of cells in static and dynamic condi-
tions), while the last example belongs to the variegated family of nanobiosensors
based on molecular affinity.

Cell Growth Monitoring

The presence of a single cell on top of a planar electrode and, thus, the growth of a
cell colony can be monitored in real time by means of impedance sensing. This is
possible thanks to the conductivity contrast that exists between the highly conduc-
tive culture medium (such as PBS) and the cell. The simplified equivalent imped-
ance model of a cell is pictured in Fig. 4. The cell membrane corresponds to a
capacitance CM (~0.01 pF/μm2 due to a thickness of the plasma membrane of about
4 nm). Consequently, when operating at frequencies below the pole that shunts CM
(usually, the frequency is below 100 kHz), the cell can be considered as an
insulating body (most commonly approximated by sphere). Thus, when a cell enters
in the electric field established between the sensing electrodes, it displaces an
equivalent volume of electrolyte that is replaced by an insulating obstacle, and
the measured solution resistance accordingly increases.
This technique, applied to the monitoring of the growth of cells on a conductive
planar substrate, has been pioneered by Ivar Giaever and Charles R. Keese
(Wiegener et al. 2000) who named it ECIS (electric cell-substrate impedance
sensing) and whose consolidated research activity led also to the company Applied
BioPhysics that commercializes electrodes and sensing instrumentation.
Although a thin layer of medium can be present between the electrode and the
cell, the attachment of a cell on the electrode surface alters both CDL and RSOL. CDL
is reduced since some ions are displaced by the presence of the cell. RSOL is
increased since the insulating barrier represented by the cell layer reduces the
conductive paths for solution ions (Fig. 5). Globally, both effects contribute to an
increase of the impedance spectrum. Given the concurrency of both effects, it has

Fig. 4 First-order single-


shell impedance model of a Medium CM
passive cell, dominated at low
frequency by the membrane
capacitance CM ~0.01 pF/μm2
(corresponding to a
membrane thickness of about
RCYTO CCYTO
4 nm)

Membrane

CM 4nm
5 Impedance Spectroscopy for Biosensing: Circuits and Applications 93

a b
Equivalent Impedance
CE
Medium
RSOL
Cells

CDL
Working Electrode

Fig. 5 a Impedance sensing of the adhesion and growth of a cell colony. b Simplest equivalent
model of the interface altered by the presence of the cells

been largely validated, both by means of simulations and experiments (Vergani


et al. 2012), that the optimal frequency (which maximizes the impedance variation)
for tracking the increase of the impedance magnitude is the corner frequency
fc = 1/(2πCDLRSOL) between the CDL slope and the beginning of the RSOL
plateau. More detailed models, considering beyond the time-varying coexistence
of a fraction of electrode area covered by the cells along with the complementary
fraction still uncovered, introduce also an additional RC parallel block in series to
CDL in order to account for the dielectric properties of the cell layer.
Very interestingly, impedance allows monitoring all the phases of the life
cycle of adherent cells. Starting from a bare electrode and inoculating the cells
in suspension, the initial deposition of cells down to the bottom can be tracked by
a significant increase of impedance. Then, during cell adhesion and duplication
(growth of the colony), impedance continues to increase until confluence is
reached, and a constant impedance value is measured. When some cells start to
die due to overpopulation (lack of sufficient space/nutrients) or due to the action
of drugs injected on purpose in order to study the pharmacological response of
the colony, they detach from the electrodes and the signal decreases (Hong
et al. 2011).
The technique is compatible both with static cultures (such as traditional Petri
dishes, suffering from spurious impedance jumps due to the periodic changes of
medium) and with more sophisticated modern microfluidic systems allowing con-
stant perfusion (i.e., delicate and precisely controlled continuous flow of medium).
Two arrangements of the planar sensing electrodes can be adopted: (i) a single
(and usually large) working electrode or (ii) a pair of interdigitated electrodes,
usually spaced by 5–20 μm. In the first case (Fig. 6a), the impedance is measured
between the working electrode and the solution, biased by a large and distant
counter electrode. In the second case (Fig. 6b), the impedance is measured between
the two combs of interdigitated fingers. It has been experimentally demonstrated
(Carminati et al. 2013) that the coplanar configuration provides better sensitivity,
thanks to higher electric fields (edge effects) and to a better matching between the
volume occupied by the cells and the sensitive volume between the fingers.
94 M. Carminati et al.

a b
CE
Vertical Sensing Coplanar Sensing

Working Electrode EL. 1 EL. 2

Fig. 6 Comparison of electrodes configurations: (a) vertical single electrode sensing


vs. (b) coplanar interdigitated electrodes

Impedance Flow Cytometry

Similarly to the cell colony case, the conductivity contrast can be used also to
dynamically detect single cells flowing in a stream within a microfluidic channel in
proximity of properly designed microelectrodes, as sketched in Fig. 7. The main
target of this technique is counting the number of cells, providing a label-free
alternative to standard fluorescent-based flow cytometry based on microscopy.
Optical systems, thanks to highly specific fluorescent markers, can be molecularly
selective and very fast, with an analysis throughput up to about 104 cells/s.
Although impedance flow cytometry reaches a lower throughput (about one order
of less magnitude), it represents an extremely promising alternative counting
technique, able to replace fluorescent cytometry in the context of label-free and
portable lab-on-a-chip microsystems (Sun and Morgan 2010).
Beyond counting, this technique can be used for measuring the size of the
passing cells and for discriminating between different cells (and, thus, for separat-
ing them, for instance, by means of an electrically controlled deviating actuator
placed downstream). Sizing is performed by quantitatively analyzing the shape of
the resistance pulse. The peak amplitude depends on the volume of the cell, thus
scaling with the cube of the radius. The time duration of the peak also depends on
the ratio between the cell size and the length of the sensing (i.e., the flight time of
the cell between the electrodes). This approach is very similar to the Coulter
counting technique for resistive cell counting and sizing (DeBlois and Bean
1970). The main differences are the following: (i) the Coulter approach is based
on the translocation of a single cell through a small orifice (matched with the
maximum particle size, i.e., about tens of μm for eukaryotic cells, down to few
nm for DNA molecules) separating two larger reservoirs, while no constriction is
necessary in the microfluidic system for flow cytometry. (ii) In the Coulter counter,
the measurement of the solution resistance is performed in DC, by means of suitable
electrodes, providing ohmic contact with the electrolytic solution (such as Ag/AgCl
wires), while, in this system, the solution resistance is measured in AC, with
standard electrodes (gold, platinum) characterized by an interfacial double-layer
5 Impedance Spectroscopy for Biosensing: Circuits and Applications 95

Microfluidic Channel Impedance

Cells
Flow

Electrode Electrode
Time

Fig. 7 Basic architecture for impedance flow cytometry based on the detection by means of two
coplanar electrodes of single cells flowing in a stream inside a microfluidic channel

capacitance that must be shunted by operating at a sufficiently high tracking


frequency.
Discrimination and separation of cells with similar size but different electrical
properties have been demonstrated. For instance, viable vs. dead cells can be easily
detected since the permeability of the membrane is very different. Viable cells with
different electrical phenotypes can be also discriminated. In order to achieve this
capability, impedance tracking should be performed at two (or more) frequencies:
one below the cell characteristic frequency (thus sensitive only to the cell volume)
and one above (thus sensitive to the properties of the inner cytoplasm).
The sensing electrodes are usually placed transversally with respect to the
channel direction and can be fabricated on two opposite walls of the channel
(parallel-plate configuration) or both on the same wall (coplanar configuration).
The last option is preferable for three reasons: (i) ease of fabrication of the
microelectrodes on a single substrate, usually on a glass slide that acts as the bottom
of the channel, which is fabricated, for instance, in polydimethylsiloxane (PDMS)
and bonded on top of it; (ii) no critical alignment; and (iii) higher versatility and
reduced risk of clogging. The latter aspect is extremely important and motivates the
use of larger microfluidic channels, so that larger particles or clusters of cells or
debris present in the liquid sample can flow without clogging the conduit. At the
same time, it becomes necessary to introduce a fluidic focusing mechanism that
allows aligning the particles in a single stream and keeping the stream closed the
coplanar electrodes. The distance between the coplanar electrodes sets the vertical
extension of the electric field and correspondingly the sensitive volume, thus
representing the major design parameter that should be matched with the cell size
and with vertical focusing distance.
In order to reject slow drifts of the impedance, due, for instance, to uncontrolled
fluctuations of temperature or salinity of the buffer solution, a differential sensing
configuration can be adopted. In this case, a third electrode is added, and the
difference between the impedance of the two pairs is measured. This can be
straightforwardly implemented with a single current amplifier connected to the
middle electrode, while the lateral ones are driven by two sinusoidal signals in
phase opposition (shifted by 180 by means of an inverting buffer). Consequently,
96 M. Carminati et al.

the current collected at the virtual ground in the middle is the algebraic sum (i.e., the
difference due to the sign opposition) of the two impedances. In the absence of the
cell, the situation is balanced, both impedances are equal, and their difference is
zero. When a cell passes over the triplet, a bipolar current signal is recorded. The
cell concentration and speed should be adjusted in order to avoid the simultaneous
presence of more than a single cell on the electrode triplet. Common mode
fluctuations are rejected in relation to the matching between the electrodes. If the
microelectrodes are fabricated by means of standard photolithographic techniques
such as lift-off, very good matching can be achieved (mismatch below a few
percent). In this case, the dominant source of mismatch can be due to the parasitic
capacitance of the connections (wires, connectors, etc.) that should be carefully
designed as well.

Impedimetric Affinity Biosensors

Thanks to the sensitivity of impedance to the very first ionic layers of the electro-
chemical interface, it represents an excellent technique to transduce into electrical
signals the tiny changes of the surface produced by the deposition of target mole-
cules. The most common architecture of analytical biosensor is pictured in Fig. 8a. It
leverages the specificity of several kinds of macromolecules taken from nature and
inserted into artificial chemical sensors. Usually, these molecules behave like
specific receptors which bind in a very selective way to a target molecule by
means of a key-lock mechanism, which is called molecular affinity. These receptors
have a size (more precisely a gyration radius for globular molecules) of few nm and
are usually immobilized on a planar electrode and immersed in a buffer solution to
preserve their functions. Attachment of these molecules to the solid substrate is often
a challenging task that is achieved by means of proper linking layers (such as self-
assembled monolayers), i.e., short chains chemically engineered to enhance
adhesion.

a b c d
Specific Binding Antibody Enzyme ssDNA

Non Specific

Oxide Oxide
SAM
Gold Gold Gold Gold
Substrate

Fig. 8 (a) General architecture of an impedance biosensor based on molecular affinity, achieved
with biological specific receptors such as antibodies (b), antigens (c), and nucleic acids (d)
5 Impedance Spectroscopy for Biosensing: Circuits and Applications 97

The three major families of macromolecules employed in affinity biosensors are


antibodies (binding to antigens and thus implementing immunosensors (Fig. 8b)),
enzymes (binding to the corresponding substrates, implementing enzymatic sensors
(Fig. 8c)), and nucleic acids, such as single-strand DNA (binding to the mating
strand, implementing genosensors (Fig. 8d)). Furthermore, more proteins, such as
olfactory receptors (targeting volatile compounds) or other transmembrane proteins
(i.e., embedded in the cellular membrane), can be extracted and used.
When the target analyte binds to the matching receptor, a conformational change
takes place in the complex anchored at the surface, and the role of impedance
sensing is to detect such a tiny change in the electrochemical interface. Different
approaches can be followed for the detection either looking at the change in the
charge transfer (Faradic interface) or in the charge induction (non-Faradic inter-
face). If the target molecule is charged, as in the case of DNA having a net negative
charge of about one electron every three bases, usually a capacitive measurement is
performed (Guiducci et al. 2004) since this additional charge interferes with the
charge profile at the interface, thus changing CDL. Instead, if the molecule is
neutral, a redox probe is usually added in the solution (such as ferricyanide), and
the increase of the charge transfer resistance (RCT) is measured when the binding of
the analytes makes it more difficult for the electrons to cross the metal/solution
barrier. The increase of RCT is proportional to the concentration of captured
molecules (Daniels and Pourmand 2007).

Techniques and Circuits for Impedance Measurements

Practical biochips based on impedance sensing require a suitable miniaturization


and customization of the measuring electronics to fulfill the requirements of
compactness, low cost, and performance usually imposed in many biomedical
applications.
The many architectures of impedance analyzer reported in literature can be
categorized in two main categories based on the calculation of the impedance
starting from the measurement of the current flowing in the sample as a conse-
quence of the applied voltage stimulus. One approach is to apply a voltage signal
containing a wide spectrum of frequencies (such as white noise) and calculates the
impedance as the ratio of the Fast Fourier Transform (FFT) of the applied signal and
the FFT of the measured current. The main advantage is that a single measurement
with a duration of T and a number of digital samples of N allows for the extraction
of the full impedance spectrum from the frequency of about 1/T up to about
1/(2∙N∙T) with a frequency resolution of about 1/(N∙T). Two disadvantages limit
the applicability of this technique coupled to a biochip. The first disadvantage is
poor sensitivity. The requirement of a linear response from the sample under
investigation imposes a stimulus voltage limited at a few tens of mV. The wide
spectrum of frequencies of the applied voltage implies a very small power at each
frequency and, consequently, a limited signal-to-noise ratio (SNR) of the
98 M. Carminati et al.

impedance measurement. A second disadvantage is the high processing power and


large quantity of memory required to extract a wide spectrum of frequencies.
A less complex and more sensitive technique is based on coherent detection
using a lock-in amplifier. The sample is stimulated using a sinusoidal voltage at
frequency f0, and a lock-in amplifier measures the in-phase and in-quadrature
components of the current at the same frequency. The real and imaginary compo-
nents of the admittance (i.e., the reciprocal of impedance) are determined by
dividing the two components of the current by the amplitude of the applied voltage.
The frequency f0 is swept over the range of frequencies of interest to calculate the
full impedance spectrum. Although in the case of many frequency points this
technique is slower than the FFT approach, it concentrates the applied signal at
the single frequency f0 allowing a greater SNR and preserving the linear condition.
Moreover, for applications where the tracking of the impedance at one or few
frequencies is sufficient, such as for flow cytometry, the time resolution obtainable
using the lock-in technique is better than the processing-intensive approach based
on the FFT. For the above reasons, the lock-in approach is commonly preferred to
perform top-level impedance spectroscopy. The rest of the chapter discusses this
technique and its practical implementation more in detail.
The basic scheme of an impedance analyzer based on the lock-in technique is
shown in Fig. 9.
The sample under test is stimulated with a sinusoidal voltage, and a low-noise
current detector measures the corresponding current flowing in the sample. A lock-
in amplifier multiplies the output of the current detector by two sinusoids with the
same frequency and phase 0 and 90 with respect to the voltage stimulus. The
result of the multiplication is low-pass filtered to obtain the inphase and quadrature
parts of the current with respect to the excitation voltage. These two terms are,
respectively, proportional to the real and imaginary components of the admittance
at the frequency f0. Since the lock-in amplifier is only sensitive at the frequency f0,
an optional DC bias can be applied to the sample without change in the architecture.
Alternatively, the sample is stimulated with a sinusoidal current, and the voltage
across the sample is measured. For accuracy reasons, the output impedance of the

sin(2πf0t)
Current detector
LPF OUTI
Sample i(t)

0V
VACsin(2πf0t)+VDC LPF OUTQ
Cs1 Cs2

cos(2πf0t)

Fig. 9 Architecture of an impedance analyzer based on a lock-in amplifier. Cs1 and Cs2 are the
stray capacitances added by the connections between the sample and the instrument
5 Impedance Spectroscopy for Biosensing: Circuits and Applications 99

current source and the impedance of the stray capacitances should be much greater
than the impedance under test. This condition is unsatisfied for many biochips,
where the small size of the electrodes implies high values of impedance.
Consequently, the preferred solution is to apply the voltage as shown in Fig. 9.
The main advantages of this approach are:

(i) The current detector has a fixed input voltage (commonly to 0 V) that allows
precise application of the voltage to the sample, regardless of its impedance,
thus controlling the electric field on delicate biological samples.
(ii) The stray capacitances given by the cables connecting the sample to the
impedance analyzer (Cs1 and Cs2 in Fig. 9), which may be orders of magnitude
greater than the values of the impedance under test when working with
microelectrodes or nanoelectrodes, are not measured by the instrument, thus
maintaining a high accuracy over the full frequency range.
(iii) It is possible to explore a wide frequency range by simply changing the
excitation frequency.
(iv) The coherent detection of the lock-in technique effectively rejects the noise
outside the excitation frequency, thus obtaining high SNR.
(v) In the case that many sinusoids are simultaneously applied to the sample, the
impedance at the different frequencies is extracted by adding a lock-in ampli-
fier for each frequency and by exploiting the properties of orthogonality of
sine waves at different frequencies.
(vi) This technique can also be implemented in a single CMOS chip paving the
way for extremely compact biochip systems at a low cost and with a large
number of sensors under test.

The following sections will cover the key aspects of the current detector and of
the lock-in detector. The chapter will be closed with a discussion of the CMOS
solutions.

Current Sensing Circuits

The current detector is a key element of the impedance measurement. Its noise sets the
minimum detectable variation of the impedance, and its bandwidth determines the
frequency range of the impedance spectroscopy. The simpler current detector is based
on a resistor connected directly to the sample and a voltage amplifier to measure the
voltage across the resistor. Wide bandwidth and a good control of the voltage across
the sample impose a small-value resistor and, consequently, a poor SNR. To over-
come this drawback, a closed-loop solution is used, as shown in Fig. 10a.
The resistor RF is used to convert the input current into a voltage. Differently
from the previous solution, the high gain of the operational amplifier A forces the
input node at a value near to 0 V independently of the value of resistor assuring
precise control of the voltage applied to the sample and a bandwidth much wider
than 1/(2πRFCs2). Indeed, the bandwidth is limited at BW = 1/2πRFCF by the
100 M. Carminati et al.

CF
a
Sample b
RF
Rx

Noise [A2/Hz]
I(s)

Cs2
Cx
VAC

frequency

Fig. 10 (a) Schematic and transfer function of a transimpedance amplifier connected to a sample
modeled with a resistance Rx and a capacitance Cx. The capacitance CF is added for stability
reasons or it is the unavoidable stray capacitance of the resistor. (b) Equivalent current noise
spectral density at the input of the amplifier assuming a negligible equivalent input current noise of
the operational amplifier. CTOT is the total capacitance at the input node

feedback capacitor CF, commonly added to grant the feedback stability and roughly
given by
pffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi
1þ 1 þ 8π GBP RF ðCx þ Cs2 þ Ca Þ
CF  (1)
4π GBP RF
where GBP is the gain-bandwidth product of the operational amplifier and Ca is its
input capacitance. The bandwidth could be further limited by the unavoidable
stray capacitance of the resistor RF itself that sets a practical minimum value of
CF at about 0.2 pF.
In addition to the constraint on the bandwidth, the design of a current detector
has to take into account the electronic noise added to the input current. The
equivalent input current noise of the transimpedance amplifier has a power spectral
density of

4kT 2 e2n, OA
i2eq ðf Þ ¼ þ in, OA þ 2
þ e2n, OA ð2πf Þ2 ðCX þ Cs2 þ Ca þ CF Þ2 (2)
RF ðRX kRF Þ

i2n, OA , e2n, OA are, respectively, the equivalent input current noise and the equivalent
input voltage noise of the operational amplifier. High-frequency noise is propor-
tional to the total input capacitance, as shown in Fig. 10b, highlighting the impor-
tance of the stray capacitances. In addition to minimizing the value of Cs2 by
reducing the length of the connection sample – amplifier it is crucial for the design
of the full biochip to avoid spurious coupling with the ground and the driving
electrode. For example, in the usual case of microelectrodes fabricated on silicon
dioxide, the conductive silicon substrate cannot be ignored (Carminati et al. 2012a).
Figure 11 shows a simplified sketch of a biochip based on a couple of coplanar
electrodes to measure the impedance.
5 Impedance Spectroscopy for Biosensing: Circuits and Applications 101

solution RF

isample
gold gold VO

Csub1 SiO2 Csub2


VAC Cs
isub

Silicon substrate

Fig. 11 Microelectrodes fabricated using standard microelectronic technology on a silicon


substrate. In addition to the current through the sample (isample), the current detector measures a
spurious current (isub) given by the capacitive coupling of the electrodes with the conductive
substrate

A stray capacitance between the electrodes and the conductive substrate is


unavoidable. The limited thickness of the silicon dioxide (usually less than 1 μm)
and the large total area of the electrode (including the bonding pad and the strip
connecting the pad at the electrode in contact with the liquid) imply Csub capaci-
tances of tens of pF in many practical cases. Thus, they easily overcome other
capacitances and set the resolution of the impedance measurement at a high
frequency. Note that the Csub capacitances are in parallel to the sample due to the
low resistance of the substrate; thus, they directly affect the accuracy of the
impedance measurement of the sample. By connecting to the ground the silicon
substrate, the current injected by the driving electrode through the Csub is not
measured by the transimpedance amplifier, recovering the accuracy but not the
resolution (the noise still depends on Csub2). The most effective way to obtain small
stray capacitances is to use, when possible, an insulating substrate, as quartz.
In addition to minimizing the total input capacitance, the resistor RF should be
chosen as large as possible to reduce its thermal noise. Therefore, a design trade-off
between low noise (large RF, see Eq. 2) and wide bandwidth (small RF, see Eq. 1) is
required to optimize the performance of the impedance measurements. This trade-off
is removed by changing the perspective on the useful frequency range of the amplifier.
By operating at a frequency greater than fmin = 1/2πRFCF, the gain is well controlled
and equal to 1/2π∙f∙CF up to the closed-loop bandwidth of the amplifier:

CF
f max ffi GBP  (3)
CF þ CX þ Cs2 þ Ca
The impedance of the sample can be consequently measured from fmin to fmax,
which can easily be in the MHz range. Note that the transimpedance amplifier is
now used as an integrator stage. A larger value of RF is now beneficial both to
extend the minimum measurable frequency fmin at a lower frequency and to reduce
the noise (still given by Eq. 2). The maximum value of the feedback resistor is
limited by the saturation of the amplifier due to the stationary input current IDC that
produces an output voltage equal to RF∙IDC. The minimum frequency can be further
102 M. Carminati et al.

IAC CF
a

IDC RF b
H(s) |H(f)|
Sample

Cs2 VO
VAC f

Fig. 12 Integrator scheme with a very low minimum working frequency (a) and transfer function
of the network H(s) (b)

IAC CF

IDC RF
H(s) Rd
Sample
Cd
VAC Cs2
VO

Fig. 13 Integrator-differentiator scheme of the current detector. Thanks to the noise-less capac-
itive amplification Cd/CF, the circuit combines low noise and a wide bandwidth

reduced using an additional network H(s) to deactivate the feedback resistor at very
low frequencies, as shown in Fig. 12 (Ferrari and Sampietro 2007).
The network H(s) has a high gain for the DC component and a strong attenuation
at the desired fmin. Thus, the stationary current IDC is collected into RF, whereas an
input sinusoidal signal is forced to flow in the capacitor CF starting from the
frequency
1
f min ffi (4)
2π RF CF γ
where γ is the attenuation factor of H(s) in the signal bandwidth. It is a free
parameter that can be tuned to set the desired minimum frequency of the impedance
measurement.
The decreasing gain with the frequency given by the integrator stage can be
recovered, if needed, by adding a differentiation stage in cascade at the integrator
stage, as shown in Fig. 13.
The result is a flat gain equal to Cd/CF∙Rd in the frequency range from fmin to fmax
given by Eqs. 4 and 3, respectively. The thermal noise of Rd can be made negligible
by properly setting the capacitive current amplification Cd/CF. With respect to the
5 Impedance Spectroscopy for Biosensing: Circuits and Applications 103

conventional transimpedance amplifier of Fig. 10, the integrator-differentiation


scheme offers the same noise on a bandwidth much larger. For example, take a
resistor RF of 1 GΩ, the bandwidth of the conventional transimpedance amplifier is
a few hundreds of Hz limited by the unavoidable stray capacitance of the resistor
itself. The integrator-differentiation stage, with the same resistor and the same noise
power spectral density, has a bandwidth limited by the GBP of the operational
amplifiers and can easily be in the MHz range.
Note that the differentiation stage is only useful in the case of an impedance of
the sample dominated by resistances. In the case of a capacitive sample, the current
injected into the current detector increases proportionally to the frequency of the
impedance measurement. A current detector implemented with the integrator stage
alone assures an output voltage constant with the frequency, relaxing the require-
ments on the input and output range of the lock-in amplifier.

Lock-In Amplifiers

In order to obtain a high resolution on the extraction of the sinusoidal current


flowing in the impedance under test, an effective filtering of the wide-band noise
added by the current detector is required. A lock-in detector allows the implemen-
tation of a band-pass filter auto-tuned at the stimulus frequency with an equivalent
noise bandwidth BN independent of the frequency.
As shown in Fig. 9, the input current is multiplied by a sinusoidal signal
synchronous with the excitation stimulus to obtain a DC value proportional to the
inphase component OUTI. A low-pass filter reduces the noise and extracts the DC
value. A second multiplier controlled by a 90 shifted synchronous sinusoid allows
the measurement of the in-quadrature component OUTQ of the input current. The
admittance Y = 1/Z of the sample is then calculated as

2  OUTI
Re½Y ¼
VAC G
(5)
2  OUTQ
Im½Y ¼
VAC G
where VAC is the amplitude of the stimulus voltage and G is the overall gain of the
current detector. The minimum detectable variation of the admittance is limited by
the noise at the output of the low-pass filter and can be expressed by the deviation
standard of the measurement as (Carminati et al. 2009):
qffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi
2i2eq ðf 0 ÞBN
σRe½Y ¼ σIm½Y ¼ (6)
VAC

i2eq ðf 0 Þ is the equivalent input noise of the current detector at the measurement
frequency f0 of the impedance, and Bn is the equivalent noise bandwidth of the
low-pass filtered implemented in the lock-in amplifier. Equation 6 assumes a noise
104 M. Carminati et al.

independent of frequency around f0, as can be done for BN much smaller of f0. Since
BN is independent of the measurement frequency, a lock-in amplifier guarantees a
high resolution on the full impedance spectrum. However, note that the noise of the
current detector increases with the frequency as described in Eq. 2, worsening
unavoidably the impedance resolution at a high frequency.
A lock-in amplifier can be implemented in the analog domain by following the
basic scheme of Fig. 9. A direct signal synthesizer (DDS) is a versatile solution to
generate a sinusoidal voltage that spans a wide range of frequencies with sub-Hz
resolution. The in-quadrature signal required for the demodulation is easily
generated using commercial single-chip DDS with two synchronous sinusoidal
outputs, such as the component AD9958 provided by Analog Devices. High-
performance analog four-quadrant multipliers (e.g., AD835 by Analog Devices)
cascaded to low-pass filters complete the lock-in detector. The bandwidth of the
filter is a trade-off between the resolution of the impedance measurement (requir-
ing a narrow band) and the measurement time (roughly inversely proportional to
the band). The slope of the low-pass filters has a limited effect on the noise of the
instrument giving, in the case of a simple first-order filter, a maximum increase of
the noise of 25 % with respect to an ideal filter with the same 3 dB bandwidth.
Consequently, the slope of the filter is mainly chosen in order to effectively filter
out the spurious component at the signal frequency f0 (mainly given by the input
DC offset of the multiplier converted at f0 by the multiplication) and the
unavoidable harmonic at 2∙f0 resulting from the product of two sinusoids with
the same frequency. An example of compact impedimetric cell counting system
based on an analog lock-in is shown in Fig. 14 (Rottigni et al. 2011). A custom
integrated circuit implements the analog multipliers and the high-resolution
(21 bit) analog-to-digital conversion of the inphase and in-quadrature

CMOS demodulator
and ADC

Front-end

DDS

FPGA board Microfluidic


device

Fig. 14 Platform for impedance flow cytometry based on an analog lock-in amplifier. The total
size is 75  50 mm including the FPGA board for real-time counting of the cells
5 Impedance Spectroscopy for Biosensing: Circuits and Applications 105

components. The algorithm for real-time detection of the cells is executed by a


field-programmable gate arrays (FPGA) obtaining a compact and stand-alone
platform for high-throughput (thousands of counts per second) cell counting and
analysis.
A more versatile implementation of the lock-in amplifier is in the digital domain.
An example of high-performance digital architecture is shown in Fig. 15 (Carminati
et al. 2012b).
A digital processor based on a field-programmable gate arrays (FPGA) controls
a digital-to-analog converter to excite the sample with a sinusoidal voltage. The
FPGA synthesize a digital DDS for a precise tuning of the frequency and for the
internal generation of the inphase and in-quadrature reference components. The
output of the current detector is digitized using a fast analog-to-digital converter
and digitally multiplied in real time by the reference signals. A selectable low-pass
filter limits the bandwidth according to the requirements of the measurement in
terms of resolution and speed. An optional sinc filter selectively removes the
frequency components at f0 and 2∙f0 associated with the reference signal. Finally,
decimation reduces the sampling rate of the output for a slow communication with
an external data storage and/or personal computer.
The digital lock-in based on FPGA is the preferred choice whenever the
complexity and power consumption of the realization could be compensated by
the high accuracy (granted by the digital multiplication) and by the flexibility in
the change of all the relevant parameters of the measurement (frequency range,
amplitude of the excitation signal, bandwidth, and slope of the low-pass filter).
An additional feature enabled by a FPGA-based lock-in is a fast tracking of the
impedance at more than one frequency. By replicating in the same FPGA more
DDS and demodulation blocks, the same hardware of Fig. 14 allows an excita-
tion of the sample with a sum of several sinusoidal at different frequencies and
a simultaneous demodulation of the measured current at the excitation
frequencies.

Current detector
Sample

D/A A/D

n 1 bit n 2 bit

IIR LPF
OUTI
DDS
OUTQ

FPGA

Fig. 15 Architecture of an impedance analyzer with a digital lock-in amplifier based on a FPGA
106 M. Carminati et al.

CMOS Impedance Analyzers

In recent years there has been an increased effort toward integrated solutions based
on CMOS technology. The prospective is to achieve biochips that are extremely
compact and at a low cost when manufactured on a large scale. The high level of
integration offered by CMOS technology allows the implementation of tens or
hundreds of channels on a single chip, allowing for a high degree of parallelization
of the measures. In more advanced solutions (Manickam et al. 2010), a single step
of post-processing deposits a biocompatible metal, such as gold or platinum,
directly on the aluminum pads of the CMOS chip, thus combining electronics and
electrodes on a single chip.
The implementation of the current detector in CMOS technology requires new
circuital solutions to maintain a high resolution despite the unavailability of high
value and linear resistors in such technology. An integrator stage is preferred to the
conventional transimpedance amplifier because the current-voltage conversion is
assigned to a capacitor, a component easily integrated in CMOS technology. A reset
network is required in order to discharge the feedback capacitor to prevent satura-
tion of the circuit due to the stationary current coming from the sample. It can be
implemented with a discrete-time approach by discharging the capacitor periodi-
cally with a switch in parallel to it (Crescentini et al. 2014). The suitability of this
approach in CMOS technology makes it the preferred choice for multichannel and
low-power systems. The limit of a discrete-time reset network is the limited
maximum frequency of the impedance measurement, usually of about 10 kHz. A
large extension of the maximum frequency range is feasible exploiting the
continuous-time reset network in which an equivalent high value resistor is syn-
thesized with an active low-noise circuit, as in Fig. 16 (Ferrari et al. 2009).
The integrator uses the same principle of the scheme in Fig. 12 in which the
resistance RF is replaced by the network RA, T1, T2, and OA2. The resistance RA is a
physical resistor that converts the output voltage of the amplifier H(s) into a current,

CF
a IAC
b

T1
800 μm

RA
IDC H(s)
0V
IDC+IAC T2 OA2
0V VO
OA1
670 μm

Fig. 16 CMOS integrator stage with an active network to draw continuously the input stationary
current (a) and silicon implementation in standard 0.35 μm CMOS technology (b). The total area
of the circuit is 0.54 mm2 including the bonding pads
5 Impedance Spectroscopy for Biosensing: Circuits and Applications 107

similarly to RF in the original scheme. To limit the thermal noise injected by RA into
the input node, the current is reduced by a factor M using a couple of properly
matched transistors. T1 and T2 are designed with the same channel length and have
the same bias voltage because of the amplifier OA2. Thus, their current is in a ratio
determined by the channel widths. By designing T1 M-times larger than T2, the
voltage-to-current relation from the output of H(s) and the input node of the
integrator is equivalent to a linear resistance of M∙RA. In terms of noise, the thermal
noise 4kT/RA of the physical resistor RA is reduced at the input node of M2.
Consequently, the circuit combines a low noise, equivalent to a resistor of M2∙RA,
and a high maximum stationary input current, limited by the I–V characteristic of the
smaller equivalent resistance M∙RA. For a high input stationary current (in the range
of 1 nA), the shot noise of the transistor T2 working in subthreshold regime is added
to the thermal noise of RA and can be the dominant noise source.
The amplifier H(s) has the same function discussed for the scheme in Fig. 12.
The large time constants necessary to set its singularities at very low frequency are
obtained by exploiting very high value resistances based on the same concept of
current reduction starting from the current of a smaller resistor that can be physi-
cally integrated. Equivalent resistance value as high as 500 GΩ and good linearity
have been achieved using this technique (Ferrari et al. 2009). Note that from the
minimum measurable frequency of the impedance, given by Eq. 4, the network just
described to manage the stationary input current is bypassed by the feedback
capacitor CF, and therefore its complexity does not affect the accuracy of the gain
that is still determined by CF.
The greater design complexity of an integrated current detector can be compen-
sated by a better resolution of the impedance measurement, in addition to the
advantages already highlighted in terms of compactness and large-scale manufac-
turability. The equivalent input noise given by Eq. 2 can be reduced by a CMOS
implementation both for the term e2n, OA and for the sum of the input capacitances.
When working with microelectrodes or nanoelectrodes, the capacitance of the
sample can be much smaller than the capacitance added by the connections and
the amplifier. The small size of a single-chip current detector, or even the integra-
tion of the electrodes on the chip itself of the current detector, allows for the
significant reduction of the length of the sample connection-current detector and
consequently reduces the total capacity and the high-frequency noise. In addition,
the input MOS transistors of the operational amplifier OA1 can be tailored to
minimize the last term of the equivalent input noise given by Eq. 2. Larger input
transistors reduce the voltage noise e2n, OA but increase the amplifier capacitance Ca
giving an optimum size of the transistors for Ca = Cx + Cs2 + CF (Ferrari
et al. 2009).
Since the digital processing of the current detector output with the resolution
required in many biomedical applications is too demanding for single-chip
implementation, an analog lock-in amplifier is commonly preferred. For simplicity
reasons, the reference signals of the lock-in amplifiers are two 90 shifted
square waves with the same frequency of the sine wave stimulus. A square wave
108 M. Carminati et al.

b f0
a f f(f) 3f0
5f0

f f

Input
noise
f f

Output
noise
f
1/f0
f

Fig. 17 (a) Passive multiplier equivalent to a multiplication by a square waveform. (b) Output
noise of the lock-in amplifier given by the down conversion of the input noise at the odd harmonics
of the square wave ϕ

reference allows for the implementation of the multiplier as a passive chopper


(Fig. 17a) obtaining high linearity, low-power consumption, and a small size in
CMOS technology. In addition, the passive multiplier is almost 1/f noise-free as
required to maintain a high SNR despite the fact that the multiplication translates
the impedance information into DC.
The drawback of this solution is the increased noise due to the down conversion
of the current detector noise at the odd harmonics of the wave square, as sketched in
Fig. 17b. In the case of a white noise at the input of the multiplier, the SNR is
worsened by about 0.9 dB with respect to the case of an ideal multiplication with a
sine wave, an acceptable value considering the advantages in terms of the CMOS
implementation of this solution. In the case of a transimpedance amplifier with a
resistive feedback or of an integrator-differentiator scheme, the gain independent of
frequency implies an output noise growing with the frequency and consequently an
additional noise at the output of the multiplier due to the higher harmonics of the
square wave. For this reason, a passive multiplier should be coupled with an
integrator input stage that shows a constant noise in the high-frequency region.
Note that although the reference signals of the lock-in amplifier can be profitably
square waves, the excitation voltage applied to the sample must be a sinusoid to
ensure a precise measurement of the impedance at the single excitation frequency
without spurious terms due to other frequencies. The complexity of a sinusoidal
generator covering a wide range of frequencies limits the maximum frequency of
single-chip implementation at few tens of kHz (Jafari et al. 2012). Impedance
spectroscopy on a wider frequency range requires off-chip components (Manickam
et al. 2010) or an external sinusoidal generator. Impedance measurements at high
frequency, in the order of 100 MHz, also pose stringent requirements on the
bandwidth of the current detector and of the lock-in amplifier that should be greater
5 Impedance Spectroscopy for Biosensing: Circuits and Applications 109

of the maximum frequency maintaining a low noise over the full bandwidth.
A technique to cope with these difficulties is to include the lock-in amplifier directly
in the current detector as shown in Fig. 18 (Ferrari et al. 2014).
The circuit uses a capacitive feedback to convert the input current into a voltage
similarly to an integrator stage. The lock-in amplifier (multipliers M1 and low-pass
filters LPF implemented as integrators) is inside the feedback loop to assure a high
loop gain at the excitation frequency f0 independently of its value. Specifically, the
input signal is demodulated to DC frequency by the multiplier M1, then the DC is
amplified and the spurious frequencies are filtered by the integrator stage, and
finally the multipliers M2 modulate back at f0 to close the feedback loop properly.
Two paths in parallel are required to process both the inphase (I) and quadrature
(Q) input signal components. The two low-frequency outputs OUTI and OUTQ are
the same of an analog lock-in amplifier; therefore, the admittance of the sample can
be calculated using Eq. 5 with a gain G = 1/(πf0CFGM2), where GM2 is the gain of
the multipliers M2. Since the amplification of the signal is at DC frequency
independently of the frequency f0, the structure allows impedance measurements
up to very high frequencies, determined uniquely by the bandwidth of the multi-
pliers and regardless of the total input capacitance. Regarding the noise perfor-
mance, this architecture is mainly limited by the flicker noises of the multipliers and
integrators. They can be reduced by adopting a chopper technique (not shown in the
Fig. 9) based on a two-step down conversion: the signal is first translated to an
intermediate frequency and amplified and then is down converted to DC frequency
for further amplification. The implementation of this architecture in a 0.35 μm

cos(2πf0t)

CF
M2

Ci1
sin(2πf0t)
R1
iAC(f0)

OUTI
M1
Sample
LPF

VACsin(2πf0t) Cs M1 R2 OUTQ

cos(2πf0t)
Ci2

Lock-in structure

Fig. 18 Basic schematic of the impedance analyzer with the lock-in amplifier included in the
current detector. Inset, the fabricated chip (1.6 mm2) mounted in a standard ceramic chip carrier
110 M. Carminati et al.

CMOS process has shown an operating range from 1 kHz to 150 MHz with a
sub-atto Farad resolution from 100 kHz up to 150 MHz (Ferrari et al. 2014).
The application of CMOS process for developing integrated circuits for imped-
ance measurements compatible with the most demanding biomedical applications
is a recent trend. However, the measurement resolution, compactness, and manu-
facturability already demonstrated by the CMOS technology have the potentiality
to revolutionize the biomedical diagnostics in the coming years.

References
Carminati M, Ferrari G, Sampietro M (2009) Attofarad resolution potentiostat for electrochemical
measurements on nanoscale biomolecular interfacial systems. Rev Sci Instrum 80(12):124701
Carminati M et al (2012a) Accuracy and resolution limits in quartz and silicon substrates with
microelectrodes for electrochemical biosensors. Sens Actuators B 174:168–175
Carminati et al (2012b) Compact FPGA-based elaboration platform for wide-bandwidth electro-
chemical measurements. In: 2012 I.E. international instrumentation and measurement tech-
nology conference proceedings, pp 264–267
Carminati M et al (2013) Theoretical and experimental comparison of microelectrode sensing
configurations for impedimetric cell monitoring. London, UK, vol 4. CRC Press, pp 75–82
Crescentini M et al (2014) Noise limits of CMOS current interfaces for biosensors: a review. IEEE
Trans Biomed Circ Syst 8(2):278–292
Daniels JS, Pourmand N (2007) Label-free impedance biosensors: opportunities and challenges.
Electroanalysis 19(12):1239–1257
DeBlois RW, Bean CP (1970) Counting and sizing of submicron particles by the resistive pulse
technique. Rev Sci Instrum 41(7):909–915
Ferrari G, Sampietro M (2007) Wide bandwidth transimpedance amplifier for extremely high
sensitivity continuous measurements. Rev Sci Instrum 78(9):094703
Ferrari G et al (2009) Transimpedance amplifier for high sensitivity current measurements on
nanodevices. IEEE J Solid State Circ 44(5):1609–1616
Ferrari G et al (2014) CMOS impedance analyzer for nanosamples investigation operating up to
150 MHz with Sub-aF resolution. In: 2014 I.E. international solid-state circuits conference
digest of technical papers (ISSCC), pp 292–293
Guiducci C, Stagni C, Zuccheri G, Bogliolo A, Benini L et al (2004) DNA detection by integrable
electronics. Biosens Bioelectron 19(8):781–787
Hong J et al (2011) Electrical cell-substrate impedance sensing as a non-invasive tool for cancer
cell study. Analyst 136(2):237–245
Jafari H, Soleymani L, Genov R (2012) 16-channel CMOS impedance spectroscopy DNA
analyzer with dual-slope multiplying ADCs. IEEE Trans Biomed Circ Syst 6(5):468–478
Manickam et al (2010) A CMOS Electrochemical Impedance Spectroscopy (EIS) biosensor array.
IEEE Trans Biomed Circ Syst 4(6):379–390
Orazem ME, Tribollet B (2008) Electrochemical impedance spectroscopy. Wiley, New Jersey
Rottigni M, Carminati G, Ferrari MD, Vahey J Voldman, Sampietro M (2011) Handheld 2-channel
impedimetric cell counting system with embedded real-time processing. In: Proceedings of the
SPIE 8068, bioelectronics, biomedical, and bioinspired systems V; and nanotechnology V,
80680S
Sun T, Morgan H (2010) Single-cell microfluidic impedance cytometry: a review. Microfluid
Nanofluid 8(4):423–443
Vergani M et al (2012) Multichannel bipotentiostat integrated with a microfluidic platform for
electrochemical real-time monitoring of cell cultures. IEEE Trans Biomed Circ Syst 6
(5):498–507
Wiegener J, Keese CH, Giaver I (2000) ECIS as a non invasive means to monitor the kinetics of
cell spreading to artificial surfaces. Exp Cell Res 259:158–166
Low-Power Design Technique
for Multichannel Neural Recording 6
Interface

Wen-Sin Liew and Yong Lian

Contents
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 112
Multichannel System Architecture . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 113
Analog Multiplexing Scheme . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 113
Digital Multiplexing Scheme . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 115
Design Example: Multichannel Recording Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 116
Dual-Capacitive-Array Structure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 116
Multichannel SAR ADC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 122
Multichannel Neural Recording Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 122
Measurement Results . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 124
Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 125
References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 127

Abstract
This chapter presents a low-power design technique for multichannel neural
recording interface. Conventional multichannel design employs analog multi-
plexer to share one ADC among a number of channels. Such approach is not
power efficient due to the need of buffers before and after analog multiplexer. In
this chapter, we introduce a digital multiplexing scheme to improve the power
efficiency in a multichannel recording interface. A multichannel SAR ADC is

W.-S. Liew (*)


Department of Electrical and Computer Engineering, National University of Singapore,
Singapore, Singapore
e-mail: liewwensin@gmail.com
Y. Lian (*)
Department of Electrical Engineering and Computer Science of Lassonde School of Engineering,
York University, Toronto, Canada
e-mail: plian@yorku.ca

© Springer Science+Business Media, LLC, part of Springer Nature 2022 111


M. Sawan (ed.), Handbook of Biochips,
https://doi.org/10.1007/978-1-4614-3447-4_29
112 W.-S. Liew and Y. Lian

used to facilitate digital multiplexing among multichannels and thus eliminates


the analog multiplexer and associated power-consuming buffers. The new
scheme is demonstrated using a design example and verified through measure-
ment results.

Introduction

Simultaneous neural recording without data reduction across a large cortical area is
an important step in understanding the functioning of the brain. Optical imaging is
useful for topographic imaging at the cerebral cortex but inadequate for simulta-
neous recording at different depths. Multichannel electrode recording within a 3D
volume, on the other hand, enables a neuroscientist to investigate the simultaneous
activity of cortical neurons at different layers within a cortical column, as well as
the activity of cortical neurons between cortical columns. This is a dramatic
advancement compared to 2D recording but demands hundreds of recording chan-
nels to facilitate simultaneous recording. Although existing multichannel recording
chips support as many as 256 channels (Harrison et al. 2007; Chae et al. 2008; Aziz
et al. 2009; Shahrokhi et al. 2010; Walker et al. 2011; Wattanapanitch and
Sarpeshkar 2011; Lopez et al. 2012), the architectures of these systems may not
be scalable to support simultaneous recording of several hundred channels in a 3D
volume, considering the constraints on chip size and power dissipation. Further-
more, low power is the key requirement if the recording interface is to be
powered by inductive link (Harrison et al. 2007; Lee et al. 2010), energy harvesting
(Aktakka et al. 2011; Ayazian et al. 2011), miniature battery (Heller 2006), or
biofuel cell (Halámková et al. 2012; Rapoport et al. 2012) with very limited energy
capacity.
The most direct method of implementing a multichannel recording interface is to
employ independent analog front end and analog-to-digital converter (ADC) for
each channel, but this requires a large silicon area (Gosselin et al. 2009; Azin
et al. 2011; Walker et al. 2011). A cost-effective implementation is to share one
ADC among several channels through analog multiplexer (MUX) and the ADC is
to switch among a number of inputs within a limited settling time (Yazicioglu
et al. 2008; Chae et al. 2009; Rizk et al. 2009; Zou et al. 2010; Wattanapanitch and
Sarpeshkar 2011; Lopez et al. 2012). Nonetheless, this analog multiplexing tech-
nique leads to more stringent dynamic requirements at system level and often
demands large bandwidth and high slew rate buffers in the signal path, which
results in high power dissipation.
An approach to get rid of analog multiplexing in the multichannel architecture is
to use pulse width modulation (PWM) and time-domain multiplexing (TDM)
techniques (Lee et al. 2010). However, this architecture does not provide on-chip
complete analog-to-digital (AD) conversion and therefore is impossible to perform
on-chip data manipulation, e.g., data compression and signal analysis. Furthermore,
although on-chip oscillator is avoided in the design, the associated triangular wave
generator (TWG) in return dissipates a significant amount of power.
6 Low-Power Design Technique for Multichannel Neural Recording Interface 113

To reduce power dissipation and enable high channel density, conventional


multichannel system architecture has to be refined. In this chapter, a low-power
design technique called digital multiplexing will be discussed. This technique aims
to minimize the power dissipation in a multichannel recording interface by elimi-
nating the use of analog MUX and buffers while preserving the complete AD
conversion. In the following sections, we first discuss the conventional analog
multiplexing scheme and explain the digital multiplexing architecture. We then
present a design example on the use of the digital multiplexing architecture as well
as the circuit implementation. Measurement results are followed to verify the
digital multiplexing scheme.

Multichannel System Architecture

Analog Multiplexing Scheme

Analog multiplexing, as shown in Fig. 1a, which allows an ADC being shared
among multichannels, is the most widely used technique for multichannel system.
Such approach imposes a stringent dynamic requirement at system level. Assume
that the inputs of adjacent channels are at maximum amplitude but completely out
of phase. The maximum difference between two consecutive input samples could
be as large as ADC input full scale, FS, when the ADC switches from one channel
to another.
Figure 2 shows an example of the timing diagram for analog multiplexing.
If n+1 clock cycles, Tclk, are used for an n-bit ADC and the ADC is multiplexed

a
Vin[0] Channel[0] 1X
Multiplexer
Analog

1X ADC
Dout
Vin[1] Channel[1] 1X

b
Vin[0] Channel[0] 1X S/H
Digital
MUX

Vin[1] Channel[1] 1X S/H

DAC SAR
Dout

Fig. 1 (a) Conventional analog multiplexing, (b) digital multiplexing architecture


114 W.-S. Liew and Y. Lian

a Tclk (n+1)Tclk
Clock
n-bit
conversion Conv[m-1] Conv[0] Conv[m-1] Conv[0]
SAMP[0]

SAMP[m-1]

b T clk (n + 1) T clk

Clock
n-bit
conversion Conv[m-1] Conv[0] Conv[m-1] Conv[0]
SAMP[0]
[1+(m-1)(n+1)]Tclk

SAMP[m-1]
[1+(m-1)(n+1)]Tclk

Fig. 2 Timing diagram for (a) conventional analog multiplexing, (b) digital multiplexing
architecture

to support m input channels with bandwidth of fsignal, the sampling time ts1 available
for each channel is given by Eq. 1 according to the Nyquist-Shannon sampling
theorem, i.e.,

1 1
ts1 ¼  ¼ T clk : (1)
ðn þ 1Þ 2  m  fsignal

while the holding time th needed for n-bit conversion is given by

n 1
th ¼  ¼ n  T clk : (2)
ðn þ 1Þ 2  m  fsignal

The system bandwidth requirement can be estimated by considering a first-order


model during tracking phase. To achieve a tracking error of less than 1/2 LSB, it is
given by

1 FS
FS  e2πf1ts1 <  : (3)
2 2n
From Eqs. 1–3, the required system bandwidth f1 is approximately

m  ðn þ 1Þ2  ln2
f1 >  f signal : (4)
π
6 Low-Power Design Technique for Multichannel Neural Recording Interface 115

And the required slew rate is given by

FS
SR1 > ¼ 2  m  ðn þ 1Þ  FS  f signal : (5)
ts1

As revealed by Eqs. 4 and 5, the system requires excessively large bandwidth and
slew rate comparing to the bandwidth of target signal. Consequently, the ADC and
analog MUX must be preceded by a buffer with sufficient bandwidth and slew rate to
address the tracking error. This explains the excessive power dissipation for buffers in
Chae et al. (2009), i.e., the power dissipation for buffer is more than 30 times than that
of the low-noise preamplifier of the recording interface. This is inefficient from a
system perspective, i.e., the overall power consumption is high due to the need of
buffers even if a highly efficient ADC with the best figure of merit (FOM) is used in the
recording interface. In addition, the use of analog MUX is the same as inserting
additional switches in the critical signal path that introduces undesirable signal distor-
tion, especially under low-voltage operation with limited voltage headroom. Channel
crosstalk and interference is also a common issue in an analog multiplexing system.

Digital Multiplexing Scheme

Analog multiplexing can be avoided through digital multiplexing architecture that


readily supports multichannels and eliminates the use of large bandwidth buffers
and analog MUX. Figure 1b illustrates the digital multiplexing architecture and the
resulting multichannel ADC. The multichannel ADC consists of independent time-
interleaved sample-and-hold (S/H) circuits and comparators for every channel
while sharing the large digital-to-analog converter (DAC) among all channels.
Comparison results are being multiplexed to the successive approximation register
(SAR) using a digital multiplexer. As opposed to analog multiplexing, this tech-
nique is regarded as digital multiplexing since the signals are multiplexed only after
quantization. Both of the ADC-required input clock rate and total output rate are the
same as that in conventional design based on analog multiplexing while the output
rate is evenly distributed among all channels. As illustrated by the timing diagram
in Fig. 2b, an n-bit conversion is performed for each input channel in sequential
order. The holding time, th2, is the same as in conventional case as given by Eq. 2.
Since only one input channel is activated during conversion and each channel has
an independent S/H circuit, the sampling time for each channel is much longer
under the proposed architecture as compared to the conventional counterpart. As
shown in Fig. 2b, the available sampling time, ts2, is now

ts2 ¼ ½1 þ ðm  1Þ  ðn þ 1Þ  T clk


1 1
¼ ½1 þ ðm  1Þ  ðn þ 1Þ  
ðn þ 1Þ 2  m  f signal (6)
1
 ð m  1Þ  :
2  m  f signal
116 W.-S. Liew and Y. Lian

Table 1 System bandwidth and slew rate requirements for analog multiplexing and digital
multiplexing
Architecture Analog multiplexing Digital multiplexing
Sampling time Tclk 64 Tclk
Holding time 8 Tclk 8 Tclk
Required bandwidth 143 fsignal 2.27 fsignal
Required slew rate 144 FSfsignal 2.29 FSfsignal

By extending the sampling time, digital multiplexing architecture effectively


provides larger window for signal settling. Consider the worst case when the
maximum change in input signal is close to rail-to-rail. From Eqs. 3–6, the required
system bandwidth, f2, to achieve 1/2 LSB tracking error is now approximately

½m=ðm  1Þ  ðn þ 1Þ  ln2


f2 >  f signal : (7)
π
And the required slew rate can be found as

FS 2m
SR2 > ¼  FS  f signal : (8)
ts2 ðm  1Þ
Based on the above estimations, Table 1 compares analog multiplexing with
digital multiplexing in the case of an 8-bit 8-channel system. Obviously, digital
multiplexing architecture provides 64 times longer sampling time. Consequently,
both of the bandwidth and slew rate requirements are relaxed by about 63 times.
From a system perspective, the digital multiplexing readily supports multichannels
with minimum overhead on buffer. Knowing that power dissipation in buffer is a
strong function of bandwidth and slew rate, this directly translates to a significant
power savings. Additionally, channel crosstalk and interference is also avoided in
digital multiplexing because every channel is now independent of each other and
the multiplexing happens only after quantization.

Design Example: Multichannel Recording Interface

Dual-Capacitive-Array Structure

As shown in Fig. 2b, the core of digital multiplexing is a multichannel ADC.


Therefore, a right structure is needed for the implementation of the ADC. The
requirements are: (1) low-voltage operation which is essential to achieve
low-power dissipation, (2) rail-to-rail input range which is required under
low-voltage operation to maximize the dynamic range, and (3) low switching
energy for the ADC without degradation on performance.
Both of the conventional SAR ADC architectures introduced in Sauerbrey
et al. (2003) and Hong and Lee (2007) may be modified to implement the
multichannel ADC. However, one of them (Sauerbrey et al. 2003) has limited
6 Low-Power Design Technique for Multichannel Neural Recording Interface 117

input range with respect to supply voltage while the other (Hong and Lee 2007)
requires a rail-to-rail comparator to achieve rail-to-rail full-scale range. A rail-to-
rail comparator often introduced common-mode dependent offset which will
degrade the ADC performance.
In order to avoid the common-mode dependent offset associated with rail-to-rail
comparator, dual-capacitive-array architecture is introduced for the ADC imple-
mentation. Figure 3 shows the schematic of an 8-bit SAR ADC based on dual-
capacitive-array architecture. Instead of using single sampling capacitor, a capac-
itive array is used to implement the S/H. This S/H array performs both signal
sampling and quantization. Considering the proposed architecture in Fig. 3, the
ADC without the DAC array is actually a coarse ADC analogous to the classical
SAR ADC design in McCreary and Gray (1975), while the ADC without the S/H
array is in fact a fine ADC analogous to the designs in Sauerbrey et al. (2003) and
Hong and Lee (2007). Therefore, the dual-capacitive-array structure can be seen as
a hybrid of the conventional designs.
The additional S/H array is binary weighed and can be of any size between 1 bit
and n1 bits for an n-bit ADC design. Hence, its optimum size has to be determined
based on the switching energy consumed by each setting. In the case of an 8-bit
design, the normalized average switching energy for different S/H array sizes can
be estimated through circuit simulation, as shown in Fig. 4. The result reveals that
lowest switching energy is achievable if a 4- or 5-bit S/H array is introduced on the
top of the 8-bit DAC array. However, with the same unit capacitor size, a 5-bit array
requires twice the area as compared to a 4-bit array. Therefore, a 4-bit array is

SAMP S7 S6 S5 S4
113C0 8C0 4C0 2C0 C0
VDD
VDD

8C0 4C0 2C0 C0


rst S3 S2 S1 S0

SAMP S7 S6 S5 S4
8C0 4C0 2C0 C0 C0 VSH Cp
C7 C6 C5 C4 VDAC
Vni
Bootstrapped 4-bit S/H Array

S4~S7 SAMP
C3 C2 C1 C0
Latch
128C0 113C0 8C0 4C0 2C0 C0
SAR Dout
rst rst S3 S2 S1 S0 S0~S3 8
rst
8-bit DAC Array

Fig. 3 Dual-capacitive-array architecture for SAR ADC


118 W.-S. Liew and Y. Lian

Fig. 4 Normalized average switching energy versus size of S/H array

chosen. As a rule of thumb, it is suggested to use a (n/2)-bit S/H array for an n-bit
ADC design.
The detailed state transitions for the ADC are shown in Table 2. It resembles the
conventional SAR ADC operation, except that the successive approximation is
performed on both S/H and DAC arrays. Nonetheless, it is important to note that the
control signals for S/H array and DAC array are complimentary. This is because the
two arrays are connected to the complimentary comparator input, and thus, an
addition on S/H array is equivalent to subtraction on DAC array and vice versa. AD
conversion always starts from Cycle 0 in which signal is being sampled onto the
S/H array while DAC array is purged of residue value by shorting both of the top
and bottom plates to GND. Throughout sampling period, C7 on S/H array is
switched to VDD and sampling is performed using top plate. As compared to
bottom-plate sampling, this technique demands only one sampling switch and
thus reduces the complexity in circuit implementation. Figure 5 illustrates the
signal conversion using dual-capacitive-array structure as well as the capacitive
array outputs, VSH and VDAC. In this example, sampled input voltage, VIN, is two
third of FS which corresponds to a digital output of 10101010. The digital code is
generated based on comparator output, Cp, from MSB to LSB. With the proposed
dual-capacitive array, the successive approximation of first four bits is performed
using C4 to C7 on the 4-bit S/H array while the remaining four bits use C0 to C3 on
the 8-bit DAC array. From Cycles 1 to 4, VDAC serves as reference at half of VDD
and the sampled VIN on S/H array converges toward VDAC through successive
subtractions or additions. After Cycle 4, VSH is held constant and AD conversion
6

Table 2 State transition for dual-capacitive-array structure


Switching on capacitive array
S/H array DAC array
Cycle State Dout SAM S7 S6 S5 S4 S3 S2 S1 S0 rst
0 Sampling with purging of DAC – 1 0 1 1 1 1 1 1 1 1
Sampling without purging of DAC – 1 0 1 1 0 0 0 0 0 0
1 D7=Cp7 0 Cp7 0 1 1 0 0 0 0 0
2 D6=Cp6 0 Cp7 Cp6 0 1 0 0 0 0 0
3 D5=Cp5 0 Cp7 Cp6 Cps 0 0 0 0 0 0
4 Successive approximation D4=Cp4 0 Cp7 Cp6 Cp5 Cp4 1 0 0 0 0
5 D3=Cp3 0 Cp7 Cp6 Cp5 Cp4 Cp3 1 0 0 0
6 D2=Cp2 0 Cp7 Cp6 Cp5 Cp4 Cp3 Cp2 1 0 0
7 D1=Cp1 0 Cp7 Cp6 Cp5 Cp4 Cp3 Cp2 Cp1 1 0
8 D0=Cp0 0 Cp7 Cp6 Cp5 Cp4 Cp3 Cp2 Cp1 Cp0 0
S0:7 = 0 ! switched to VDD, S0:7 = 1 ! switched to GND; rst = 0 ! switched to VDD or high impedance, rst = 1 ! shorted to GND; Cp0:7 are the
comparator output
Low-Power Design Technique for Multichannel Neural Recording Interface
119
120 W.-S. Liew and Y. Lian

Fig. 5 Example on signal conversion using dual-capacitive-array structure

continues using DAC array through Cycles 5–8. At the end of conversion, all C0 to
C7 are switched back to their default positions and the ADC operation restarts at
Cycle 0.
Considering the conversion example in Fig. 5, it can be proved that the VSH at the
end of conversion is given by

V SH ¼ V IN  VDD 21  21  D7  22  D6  23  D5  24  D4 ; (9)

where Di is i-th bit and Di is the complement of i-th bit. On the other hand, VDAC is
given by

V DAC ¼ VDD 21  25  D3  26  D2  27  D1  28  D1 : (10)

Taking into consideration of all possible values for VSH and VDAC, it can be
proved that the common-mode voltages, VCM, of the comparator inputs are always
limited to

VDD 24  VDD VDD


  V CM  : (11)
2 28 2

By limiting the common-mode input range of comparator, the dual-capacitive-


array ADC attains rail-to-rail full-scale range without the need of a rail-to-rail
6 Low-Power Design Technique for Multichannel Neural Recording Interface 121

comparator as in Hong and Lee (2007). Consequently, common-mode dependent


nonlinearity associated with rail-to-rail comparator is avoided.
Conversion example in Fig. 5 also reveals that approximation steps with larger
changes in voltage level are actually performed using smaller S/H array while
approximation steps with lesser changes in voltage level, i.e., finer resolution, are
resolved using larger DAC array. Knowing that the switching energy is propor-
tional to total capacitance to be switched and changes in voltage level, switching
energy required by each successive approximation step is now considerably smaller
as compared to conventional single DAC array design. Furthermore, purging of
DAC array after every conversion is not necessary. As a result, switching of the S/H
array and DAC array back to their default positions after each conversion involves
only relatively smaller capacitors, i.e., C4 to C7 on S/H array and C0 to C3 on DAC
array. Comparing to the case in conventional single DAC array structure, in which
the whole DAC array has to be reset after each conversion, the dual-capacitive-
array structure effectively achieves higher energy efficiency by retaining most of
the charge stored in DAC array after each conversion.
The simulated switching energy in capacitive array with respect to the ADC
output code is shown in Fig. 6. The proposed dual-capacitive-array structure
consumes significantly less switching energy, and it is less dependent on ADC
output code. Even if purging of DAC array is performed for each conversion, the
proposed structure saves as much as 45 % of switching energy as compared to
conventional single DAC architecture. Moreover, a further saving of 38 % is
achievable when purging of DAC array after every conversion is not executed.
The achieved saving in switching energy could be as high as 83 % in total.

Fig. 6 Simulated switching energies versus output code


122 W.-S. Liew and Y. Lian

Ch[7]
Ch[6] SAMP[0] S7 S6 S5 S4

X
U
8C0 4C0 2C0 C0 C0 Cp[0]

M
Ch[1]
Ch[0]
4-bit S/H Array
Cp
Bootstrapped

S4 ~S7 SAMP [0:7]


Latch
128C0 113C0 8C0 4C0 2C0 C0

SAR Dout
rst rst S3 S2 S1 S0 S0 ~S3 8
with Ring Counter
rst
8-bit DAC Array

Fig. 7 Schematic of an 8-bit 8-channel SAR ADC based on dual-capacitive-array structure

Multichannel SAR ADC

The dual-capacitive-array structure is used to implement the multichannel ADC


needed for digital multiplexing. Figure 7 illustrates an 8-bit 8-channel SAR ADC
based on dual-capacitive array. Duplicated S/H array and comparator form the
time-interleaved S/H stage while comparator results are multiplexed to SAR using
digital MUX. On the other hand, state transitions in Table 2 are now rewritten as in
Table 3, illustrating the state transitions for one of the ADC channels. As opposed to
the single-channel design in Table 2, signal SAMP[m] (m = 0:7) is used to clock
gate the S/H array or toggle the successive approximation among different chan-
nels. For the first 63 cycles (Cycle 0 to Cycle 62), the respective S/H array is in
sampling mode while the shared DAC array is performing successive approxima-
tion on other channels, and any switching on DAC array is irrelevant at this
moment. Sampling continues into Cycle 63 and the DAC is reset for successive
approximation. In the last eight cycles, clock gating is disabled (i.e., SAMP
[m] = “0”) and an 8-bit successive approximation is carried out for this specific
channel in order to produce the corresponding digital output code.

Multichannel Neural Recording Interface

The multichannel SAR ADC can then be used to implement a low-power neural
recording interface (Liew et al. 2011), as shown in Fig. 8. The idea of digital
multiplexing based on multichannel ADC is demonstrated through a 16-channel
recording interface using two 8-channel blocks as building blocks. Each 8-channel
block consists of eight instrumentation amplifier (IA) blocks and an 8-channel SAR
ADC. The first stage of IA is a fully differential low-noise amplifier based on the
structure presented in Zou et al. (2010) followed by a passive low-pass filter (LPF),
while the second stage serves as tunable high-pass filter (HPF) and variable gain
6

Table 3 State transition for 8-bit 8-channel SAR ADC based on dual-capacitive-array structure
Switching on capacitive array
S/H array DAC array
Cycle State Dout SAM[m] S7 S6 S5 S4 S3 S2 S1 S0 rst
0–62 Sampling – 1 X X X X X X X X X
63 Sampling with purging of DAC – 1 0 1 1 1 1 1 1 1 1
Sampling without purging of DAC – 1 0 1 1 1 0 0 0 0 0
64 D7=Cp7 0 Cp7 0 1 1 0 0 0 0 0
65 D6=Cp6 0 Cp7 Cp6 0 1 0 0 0 0 0
66 D5=Cp5 0 Cp7 Cp6 Cp5 0 0 0 0 0 0
67 Successive approximation D4=Cp4 0 Cp7 Cp6 Cp5 Cp4 1 0 0 0 0
68 D3=Cp3 0 Cp7 Cp6 Cp5 Cp4 Cp3 1 0 0 0
69 D2=Cp2 0 Cp7 Cp6 Cp5 Cp4 Cp3 Cp2 1 0 0
70 D1=Cp1 0 Cp7 Cp6 Cp5 Cp4 Cp3 Cp2 Cp1 1 0
71 D0=Cp0 0 Cp7 Cp6 Cp5 Cp4 Cp3 Cp2 Cp1 Cp0 0
S0:7 = 0 ! switched to VDD, S0:7 = 1 ! switched to GND; rst = 0 ! switched d to VDD or high impedance, rst = 1 ! shorted to GND; Cp0:7 are the
comparator output; X ! does not care
Low-Power Design Technique for Multichannel Neural Recording Interface
123
124 W.-S. Liew and Y. Lian

amplifier (VGA). Since digital multiplexing architecture significantly relaxes the


requirement on system bandwidth and slew rate requirement, the recording inter-
face in Fig. 8 does not require dedicated high bandwidth or slew rate buffer, and the
ADC can be simply preceded by the IA stage. This significantly reduces the overall
power dissipation of the recording interface.

Measurement Results

Figure 9 shows the die photo of a 16-channel neural recording chip fabricated in a
standard 0.13-μm CMOS process without using any low-Vt devices. The active area
is 1.17 mm2. The overall system consumes 18 μW from a 0.5-V supply, where
Vcm HPF/VGA Control
Vin[0]
to 8-Channel Block
Vin[15] 8-Channel Block
RST
8-Channel SAR ADC clk
Vin[7]
Vin[6]

MUX
S/H 8
Vin[1]
Vin[0] Ch[0] 8
Low- HPF to DAC SAR Dout
Noise + LPF + Ch[7]
Amplifier VGA
Instrumentation Amplifier Block

Fig. 8 System block diagram of the 16-channel neural recording interface

Fig. 9 Die photo of the prototype 16-channel recording interface: insets show the 8-bit 8-channel
SAR ADC (left) and the IA block (right)
6 Low-Power Design Technique for Multichannel Neural Recording Interface 125

0.5
Input Referred Noise (V/√Hz)

0.25

(LSB)
DNL
0
10–6 –0.25
–0.5
0 32 64 96 128 160 192 224 255

–7
Output Code
10 0.5

(LSB )
0.25

INL
0
–0.25
10–8 –0.5
101 102 103 104 0 32 64 96 128 160 192 224 255
Frequency (Hz) Output Code

60 0
fin=14.001kHz (full scale),
–25 SNDR=45.8dB, SFDR=71.26dB,
Output PSD
50
Gain (dB)

ENOB=7.32b
(dBFS) –50
40
–75

30 –100
101 102 103 104 0 5 10 15
Frequency (Hz) Frequency (kHz)

Fig. 10 Measured input-referred noise (top left) and frequency responses (bottom left) of IA block
and measurement results of a typical ADC channel (right)

16.4 μW is dissipated by the analog IA blocks while ADC dissipates 1.6 μW at


30 kS/s-per-channel. Measurement results are shown in Fig. 10. The input-referred
noise for IA is 5.32 μVrms, with a noise efficiency factor (NEF) of 3.09. For a
typical ADC channel, measured DNL and INL are 0.34/+0.25 LSB and 0.29/
+0.42 LSB, respectively. With a full-scale 14.001 kHz input tone, the SNDR is
45.8 dB (7.32 ENOB) and the SFDR is 71.3 dB. This translates to a figure of merit
(FOM) of 21 fJ/conversion-step. The performances are summarized and compared
with other multichannel designs in Table 4. This work based on digital multiplexing
achieves the lowest average power per channel and average area per channel among
the multiple-channel designs, which are 1.13 μW and 0.073 mm2, respectively.
The recording interface was integrated into a wireless neural recording chip and
verified through neural spike recording from a rat (Tan et al. 2014). The recording is
shown in Fig. 11. It shows good recording quality in which neural spike trains
across different channels were clearly recorded.

Conclusion

A low-power design technique for multichannel recording interface has been


presented in this chapter. It demonstrates the feasibility of a multichannel recording
system without the need of analog multiplexer and thus relaxes the system band-
width and slew rate requirements in order to reduce the overall system power
dissipation.
126

Table 4 Performance summary and comparisons


(Harrison et al. 2007) (Chae et al. 2009) (Lee et al. 2010) (Muller et al. 2011) This work
System (front-end amplifiers + ADC)
Technology 0.5 μm 0.35 μm 0.5 μm 65 nm 0.13 μm
Supply 3.3 V 1.65 V 1.5 V 0.5 V 0.5 V
Number of channel 100 128 32 1 16
Total power 4.32 mWa 3 mW 1.17 mW 5.04 μW 18 μW
Avg. power per channel 142.2μWb 23.4 μW 36.6 μW 5.04 μW 1.13 μW
Total area 15.4 mm2c 46 mm2 c 8.44 mm2 c 0.013 mm2 1.17 mm2
Avg. area per channel 0.15 mm2 0.36 mm2 0.26 mm2 0.013 mm2 0.073 mm2
Front-end amplifiers
LNA current 12.8 μA 2 μA 25 μA – 1.72 μA
Gain 60 dB 57–60 dB 67.8 dB, 78 dB >32 dB 48 dB, 54 dB
High-pass frequency 30Hz–1 kHz 0.1–200 Hz 0.1 Hz–1 kHz 300 Hz 40–400 Hz
Low-pass frequency 5 kHz 2–20 kHz 8 kHz 10 kHz 7.5 kHz
Input ref. noise 5.1 μVrms 4.9 μVrms 4.39 μVrms 4.9 μVrms 5.32 μVrms
NEF – – – 5.99 3.09
ADC
Resolution 10 bits 6–9 bits (adjustable) N.A. 8 bits 8 bits
Sampling rate per channel 15 kS/s 40 kS/s N.A. 20 kS/s 30 kS/s
DNL/INL <0.6 LSB – N.A. – 0.34 LSB/0.42 LSB
SNDR/SFDR – – N.A. – 45.8 dB/71.3 dB
FOM – – N.A. 84 fJ/conversion-step 21 fJ/conversion-step
a
(100  12.8 μA  3.3 V) + 100 μW = 4.32 mW
b
ADC supports single channel only, no simultaneous multichannel recording
c
Estimated
W.-S. Liew and Y. Lian
6 Low-Power Design Technique for Multichannel Neural Recording Interface 127

CH[7]

CH[6]

CH[5]

CH[4]

CH[3]

CH[2]

CH[1]

CH[0]

0 0.05 0.1 0.15


Time (s)

Fig. 11 Continuous 8-channel recording recorded from the brain of a rat (marked area shows the
neural spike trains)

References
Aktakka EE, Peterson RL, Najafi K (2011) A self-supplied inertial piezoelectric energy harvester
with power-management IC. In IEEE Int. Solid-State Circuits Conf. (ISSCC) Dig. Tech.
Papers, pp 120–121, IEEE, San Francisco
Ayazian S, Soenen E, Hassibi A (2011) A photovoltaic-driven and energy-autonomous CMOS
implantable sensor. In Symp. VLSI Circuits Dig. Tech. Papers, pp 148–149, IEEE, Honolulu
Azin M, Guggenmos DJ, Barbay S, Nudo RJ, Mohseni P (2011) A battery-powered activity-
dependent intracortical microstimulation IC for brain-machine-brain interface. IEEE J Solid-
State Circ 46:731–745
Aziz JNY, Abdelhalim K, Shulyzki R, Genov R, Bardakjian BL, Derchansky M et al (2009)
256-channel neural recording and delta compression microsystem with 3D electrodes. IEEE J
Solid-State Circ 44:995–1005
Chae MS, Yang Z, Yuce MR, Hoang L, Liu W (2009) A 128-channel 6 mW wireless neural
recording IC with spike feature extraction and UWB transmitter. IEEE Trans Neural Syst
Rehabil Eng 17:312–321
Chae M, Liu W, Yang Z, Chen T, Kim J, Sivaprakasam M et al (2008) A 128-channel 6mW
wireless neural recording IC with on-the-fly spike sorting and UWB transmitter. In IEEE Int.
Solid-State Circuits Conf. (ISSCC) Dig. Tech. Papers, pp 146–147, IEEE, San Francisco
Gosselin B, Ayoub AE, Roy J-F, Sawan M, Lepore F, Chaudhuri A et al (2009) A mixed-signal
multichip neural recording interface with bandwidth reduction. IEEE Trans Biomed Circ Syst
3:129–141
Halámková L, Halámek J, Bocharova V, Szczupak A, Alfonta L, Katz E (2012) Implanted biofuel
cell operating in a living snail. J Am Chem Soc 134:5040–5043
Harrison RR, Watkins PT, Kier RJ, Lovejoy RO, Black DJ, Greger B et al (2007) A low-power
integrated circuit for a wireless 100-electrode neural recording system. IEEE J Solid-State Circ
42:123–133
128 W.-S. Liew and Y. Lian

Heller A (2006) Potentially implantable miniature batteries. Anal Bioanal Chem 385:469–473
Hong H-C, Lee G-M (2007) A 65-fJ/conversion-step 0.9-V 200-kS/s rail-to-rail 8-bit successive
approximation ADC. IEEE J Solid-State Circ 42:2161–2168
Lee SB, Lee H-M, Kiani M, Jow U-M, Ghovanloo M (2010) An inductively powered scalable
32-channel wireless neural recording system-on-a-chip for neuroscience applications. IEEE
Trans Biomed Circ Syst 4:360–371
Liew W-S, Zou X, Lian Y (2011) A 0.5-V 1.13-μW/channel neural recording interface with digital
multiplexing scheme. In Proc. European Solid-State Circuits Conf. (ESSCIRC), pp 219–222,
IEEE, Helsinki
Lopez CM, Prodanov D, Braeken D, Gligorijevic I, Eberle W, Bartic C et al (2012) A multichannel
integrated circuit for electrical recording of neural activity, with independent channel pro-
grammability. IEEE Trans Biomed Circ Syst 6:101–110
McCreary JL, Gray PR (1975) All-MOS charge redistribution analog-to-digital conversion tech-
niques – part I. IEEE J Solid-State Circ SC-10:371–379
Muller R, Gambini S, Rabaey JM (2011) A 0.013mm2 5μW DC-coupled neural signal acquisition
IC with 0.5V supply. In IEEE Int. Solid-State Circuits Conf. (ISSCC) Dig. Tech. Papers, pp.
302–4, IEEE, San Francisco.
Rapoport BI, Kedzierski JT, Sarpeshkar R (2012) A glucose fuel cell for implantable brain-
machine interfaces. PLoS One 7:1–15
Rizk M, Bossetti CA, Jochum TA, Callender SH, Nicolelis MAL, Turner DA et al (2009) A fully
implantable 96-channel neural data acquisition system. J Neural Eng 6:026002
Sauerbrey J, Schmitt-Landsiedel D, Thewes R (2003) A 0.5-V 1-μW successive approximation
ADC. IEEE J Solid-State Circ 38:1261–1265
Shahrokhi F, Abdelhalim K, Serletis D, Carlen PL, Genov R (2010) The 128-channel fully
differential digital integrated neural recording and stimulation interface. IEEE Trans Biomed
Circ Syst 4:149–161
Tan J, Liew W-S, Heng C-H, Lian Y (2014) A 2.4 GHz ULP reconfigurable asymmetric
transceiver for single-chip wireless neural recording IC. IEEE Trans Biomed Circuits Syst
8:497–509
Walker RM, Gao H, Nuyujukian P, Makinwa K, Shenoy KV, Meng T et al (2011) A 96-channel
full data rate direct neural interface in 0.13μm CMOS. In Symp. VLSI Circuits Dig. Tech.
Papers, pp 144–145, IEEE, Honolulu
Wattanapanitch W, Sarpeshkar R (2011) A low-power 32-channel digitally programmable neural
recording integrated circuit. IEEE Trans Biomed Circ Syst 5:592–602
Yazicioglu RF, Merken P, Puers R, Van Hoof C (2008) A 200μW eight-channel acquisition ASIC
for ambulatory EEG systems. IEEE J Solid-State Circ 43:3025–3038
Zou X, Liew W-S, Yao L, Lian Y (2010) A 1V 22μW 32-channel implantable EEG recording
IC. In IEEE Int. Solid-State Circuits Conf. (ISSCC) Dig. Tech. Papers, pp 126–127, IEEE,
San Francisco
On-Skin Chemical Sensors
7
Bowen Zhu

Contents
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 130
Soft Materials for Skin Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 130
Inorganic Materials . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 132
Conducting Polymers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 132
Softening Rigid Materials . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 133
Skin-Device Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 133
On-Skin Chemical Sensors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 134
Electrochemical Sensors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 134
Field-Effect Transistor-Based Sensors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 137
On-Skin Sensor System Integration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 139
Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 140
References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 140

Abstract
On-skin sensors that integrate with the skin seamlessly are of paramount impor-
tance for next-generation wearable electronics, biomedical, and healthcare.
On-skin chemical sensor can detect several parameters in external biofluids that
are important indicators for bio-diagnosis and health management. To achieve
high-performance on-skin sensors requires rational design and engineering soft
materials and devices capable of forming conformal interface with the skin.
Various materials and micro-/nanostructures have been developed to obtain
excellent interfaces with the skin. Electrochemical and field-effect transistor
sensors are widely utilized as the device platform for constructing on-skin
chemical sensors. The sensor devices need to be connected with data processing

B. Zhu (*)
School of Engineering, Westlake University, Hangzhou, China
e-mail: zhubowen@westlake.edu.cn

© Springer Science+Business Media, LLC, part of Springer Nature 2022 129


M. Sawan (ed.), Handbook of Biochips,
https://doi.org/10.1007/978-1-4614-3447-4_41
130 B. Zhu

and transmission chips and portable power supply to achieve wireless real-time
monitoring, providing highly integrated sensor systems for future healthcare in
Internet of things (IoTs) applications.

Introduction

The skin is the largest organ of human body. It contains many kinds of sensory
receptors which not only recognize and interpret the surrounding environments but
also protect and reflect the healthy status of an individual. Particularly, the skin offers
an excellent sensing interface for noninvasive health monitoring and bio-diagnosis.
Such an important interface has inspired the rise of wearable electronics and
epidermal sensors, opening new opportunities for future consumer, clinical, and
military applications.
Wearable sensors are devices that can transduce parameters in surrounding envi-
ronment into readable signals, e.g., electrical signals. With advances in nanomaterials
and nanoelectronics over the past two decades, a variety of wearable sensors have
been devised and account for a large proportion of wearable electronics in market
share (Ling et al. 2020). Based on the input and target signals, wearable sensors can be
categorized into three categories: chemical, physical, and electrophysiological sen-
sors. With chemical sensors, wide range of analytes, such as protons (e.g., pH), ions
(e.g., K+, Na+), and molecules (e.g., glucose), can be accurately detected and effec-
tively reflect our health status (Heikenfeld et al. 2019; Sempionatto et al. 2020).
Recently, “on-skin” sensors that can be conformally attached onto human skins have
emerged as a new platform to monitor the chemical signals continuously and nonin-
vasively. Compared with the conventional sensors based on the silicon semiconductor
techniques (e.g., smart watches), on-skin sensors are lighter, thinner, and softer and do
not need additional bands or bandages to fix them onto the skin. They can adapt to the
skin more easily and survive from severe conformation change such as bending,
twisting, and stretching. Even at soft and dynamic epidermal interfaces, they can still
maintain good performance. The seamless and robust contact between these on-skin
sensors and the skin enables more precise health monitoring in real time. Development
of such on-skin wearable sensors may reshape the future healthcare in aspects of
advanced human-machine interface, bio-diagnosis, and biomedical treatment.
As illustrated in Fig. 1, an exceptional on-skin sensor system requires the design
of soft materials for specific chemical analytes and rational integration of electronic
components with skin interfaces. To this end, this chapter will introduce the on-skin
chemical sensor techniques with focuses on aspects of soft materials, chemical
sensing, skin-device interfaces, and integrated sensor system.

Soft Materials for Skin Interface

Electronic materials are the basis to construct on-skin chemical sensors as they
directly contact and respond with analytes in environments (Rogers et al. 2010;
Wang et al. 2020). However, the skin is soft, but sensing materials are often rigid.
7 On-Skin Chemical Sensors 131

Materials Chemicals

Interfaces

Fig. 1 Illustration of “on-skin” chemical sensors. Sensitive materials, targeted chemicals, and skin/
device interfaces are important parameters needed to be considered to achieve high-performance
on-skin chemical sensing

Fig. 2 Young’s moduli of different materials

Such a mechanical mismatch needs to be addressed. A comparison of mechanical


properties between human tissues and sensing materials is shown in Fig. 2. Active
components in conventional sensors are typically based on electronic materials with
Young’s moduli >GPa, while the skin is soft and stretchy with Young’s moduli in
~kPa range. This requires the development of novel nanomaterials and structures for
on-skin integration and sensing applications.
Several engineering strategies have been proved effective to fabricate soft elec-
tronic materials for on-skin sensors. On one hand, materials engineering offers a
variety of materials candidates in formats of one-dimensional (1D) (nanowires,
nanotubes, etc.), two-dimensional (2D) (nanoflakes, sheets), and three-dimensional
(3D) (nanoparticles, spheres) nanomaterials. These nanomaterials can be deposited
onto elastomer surfaces to form thin skin-conformal films or be embedded inside
elastomer matrix to form percolation networks, providing soft and elastic electronic
composites for on-skin sensors. On the other hand, rigid materials can be engineered
132 B. Zhu

to be flexible and stretchable by applying special stretchable structural designs, such


as wavy, horseshoe shapes, and honeycomb nets.

Inorganic Materials

In the last several decades, tremendous progress has been witnessed in pursing novel
inorganic electronic materials for sensing applications, including semiconductor
nanostructures, metallic nanomaterials, and carbon-based materials. Metal nano-
wires, especially silver nanowires (AgNWs) and gold nanowires (AuNWs), have
been successfully utilized in constructing soft and intrinsically stretchable on-skin
sensors by virtue of their high electrical conductivity, high durability, and ease of
fabrication. Carbon-based materials, such as carbon nanotubes (CNTs) and
graphene, have attracted tremendous attention in recent years due to their excellent
electrical and mechanical properties. The nanoscale dimensions and mechanical
flexibility of CNTs and graphene render them suitable for a wide range of wearable
electronic components for sensing applications.
These nanomaterials can either be deposited on the top of the soft substrates
or be embedded inside the elastic matrix to serve as the active chemical-sensitive
components or conductive electrodes. Nanomaterials can be assembled on elas-
tomer substrates to reach stretchable films. They are suitable for on-skin appli-
cations because nanomaterial films can provide highly conductive pathways with
a relatively small amount of materials. Alternatively, nanomaterials could be
applied as intrinsically stretchable electronics by embedding them into elastomer
matrix by virtue of low percolation thresholds of 1D nanomaterials. Importantly,
the nanomaterial can possess ultrathin film thicknesses down to nanometer range,
which can benefit films with robust mechanical compliance. Thus, the nano-
material films hold high mechanical flexibility and can maintain performance
under deformation, which is crucial for integration with soft and dynamic skin
interfaces.

Conducting Polymers

Conducting polymers are electrically conductive polymers that possess good con-
ductivity, mechanical flexibility, biocompatibility, and ease of fabrication, attracting
wide interests in the applications of wearable sensors and other electronic devices.
Typical examples of conducting polymers are polypyrrole (PPy), poly(3,4-ethylene
dioxythiophene):poly(styrene sulfonate) (PEDOT:PSS), polyaniline (PANI), etc.
Conducting polymers can be facilely synthesized via chemical or electrochemical
reactions. A distinctive property of conducting polymer is that its conductivity can
be configured from semiconducting to conductive, offering both electrodes and
semiconducting channel materials for chemical sensors. For example, conducting
polymers can be applied as pH-sensitive electrodes, and PEDOT:PSS are widely
utilized as channels in organic electrochemical transistors (OECTs).
7 On-Skin Chemical Sensors 133

Conducting polymers can be engineered into highly flexible thin films for on-skin
sensor applications. However, the conductivity of conducting polymers originates
from the conjugated aromatic polymeric chains, which are rigid and not stretchable.
Micro-/nano-cracks will form when the polymer films suffered strain, deteriorating
the conductivity and stability. To render them suitable for on-skin sensors that
require conformal and stretchable materials, ionic additives are often mixed with
conducting polymers to reduce the latter’s Young’s modulus and improve
stretchability.

Softening Rigid Materials

Soft on-skin sensors do not necessarily require entire device to be made of soft
materials. In practical, rigid and brittle materials can be integrated with soft elasto-
meric substrates by constructing geometrical micro /nanostructures to produce
hybrid devices of soft and hard materials, preserving the intrinsic electronic proper-
ties under large deformation. In this way, inorganic materials with high electrical
performance can be mounted on skin interfaces. For example, silicon wafers and
glasses are not flexible, but when their thicknesses were reduced to nanometer range,
they can be flexible and be mounted on curved surfaces. Furthermore, silicon is
intrinsically non-stretchable, but silicon nanostructures can possess high stretchabil-
ity by utilizing stretchable structures such as wave, serpentine shapes, and open
mesh structures on elastomeric substrates. In these stretchable structures,
deformation-induced strain on the electronic materials can be dramatically reduced,
offering high adaptability for on-skin sensors.

Skin-Device Interface

On-skin sensors ought to be able to conformally laminate onto the skin to enable
precise signal detection and stability. However, huge mechanical mismatch exists
between the skin and conventional silicon-based sensor devices (Fig. 3a). This
results in poor sensing accuracy and discomfort experienced in long-term wearing

a b Ultrathin sensors
c
Conventional sensors Conventional sensors

Skin Skin Skin

Adhesive layer

Fig. 3 Schematic illustration of interface designs for on-skin sensors. (a) Conformal contact
between conventional rigid sensors and the skin is hard to achieve because of the mechanical
mismatch between the skin and electronics. (b) Ultrathin sensors can form conformal contacts with
the skin. (c) Conformal contact can also be achieved by utilizing an interfacing adhesive layer
between conventional rigid sensors and skin
134 B. Zhu

(Zhu et al. 2019; Gong et al. 2019). Many effective strategies have been developed to
achieve conformal interfaces between sensors and soft biological surfaces.
On one hand, conformal contacts can be formed when sensors possess ultrathin
thicknesses (Fig. 3b). Typically, air gaps will exist between thick devices and curved
biological surfaces. When the thickness of an electronic film was reduced to 5 μm,
excellent conformal contacts were obtained (Jeong et al. 2013). The ultrathin sensor
designs can effectively improve the adhesion between device and the skin, providing
highly precise and reliable signals during long-term monitoring in dynamic
environments.
On the other hand, various adhesive materials and structures have been developed
to bridge the gaps between conventional sensor devices and the skin (Fig. 3c). To
choose a suitable interface layer, several properties should be considered including
chemical stability, biocompatibility, gas permeability, and adhesion. To this end,
hydrogels and biomaterials with low Young’s moduli and high adhesiveness are
often employed. Beyond adhesive materials, nature-inspired microstructure arrays,
e.g., micropillars, have also been proved effective in enhancing the contact forces
with the skin by increasing the contact areas.

On-Skin Chemical Sensors

To detect and record chemical signals in skin interface is important for health
monitoring and management. Several biological fluids such as sweat, tears, and
interstitial fluid (ISF) can be obtained in a noninvasive way for on-skin sensor
applications. They contain several chemicals such as pH, K+, Na+, glucose, and
lactic acid, which are important biomarkers for health monitoring (Zhao et al. 2019).
For example, the concentration of cationic ions and glucose is correlated with mental
stress and diabetes, respectively. Monitoring of these biomarkers with on-skin
sensors provides an alternative solution for painless and continuous healthcare
diagnostics. To achieve high-resolution and precise chemical signals, on-skin sen-
sors should have conformal and seamless contact with the soft surfaces of the skin.
This demands on-skin sensors with soft, lightweight, and biocompatible properties
(Zhai and Cheng 2019; Bandodkar et al. 2016).

Electrochemical Sensors

Real-time, noninvasive on-skin monitoring of pH and other metal ions (e.g., Na+,
K+, etc.) in sweat are important for healthcare. For instance, sweat pH in diabetics is
often more acidic than normal individuals. Also, Na+ and K+ are the major electro-
lyte compositions of sweat, which can reflect physiological conditions related to
health status. Potentiometry-based electrochemical ion sensors and field-effect tran-
sistors (FETs) sensors are well-established ion-sensing techniques, and both are
capable of wearable on-skin monitoring.
7 On-Skin Chemical Sensors 135

Ion sensor based on potentiometry is one of the most commonly applied electro-
chemical sensor techniques. Potentiometric sensors based on ion-selective electrodes
(ISEs) are attractive for wearable applications by virtue of high sensitivity, high
portability, and low power consumption. A potentiometric pH sensor measures the
potential differences between a working electrode (WE) and a reference electrode
(RE, e.g., Ag/AgCl) under different pH values. Commercially available pH sensors
usually utilize hygroscopic glass membrane for H+ sensing, which are hard to be
miniaturized for wearable sensor applications. To realize on-skin pH sensing, ISE
materials with high mechanical deformability, high conductivity, and good biocom-
patibility are required. A variety of materials have been developed to serve as ISE
materials for pH sensing, including conducting polymers, carbon-based materials,
molecular redox couples, etc. Conducting polymer films, such as PPy and PANi, are
widely applied as H+ sensitive films for solid-state pH sensors, which can be
integrated with wearable and miniaturized sensor systems.
Figure 4 illustrates a representative on-skin potentiometric sensor capable of
detecting pH, Na+, and K+ (Zhai et al. 2020). Typically, an on-skin pH sensor
composes of stretchable electrodes and pH-responsive ISEs. The stretchable elec-
trodes can be fabricated by integrating metal nanowires in elastomer thin films,
providing conformal contacts with the skin even under deformation (Fig. 4a).
Figure 4b shows the schematic of a typical on-skin sensor. Conducting polymers
(e.g., PNAi) are deposited on stretchable electrodes via electrochemical deposition
for pH sensing. Commercially available Ag/AgCl paste is often used and mounted to
another stretchable electrode to serve as reference electrode. The open circuit
potential (OCP) between sensing electrode and reference electrode is measured to
evaluate the pH responses (Fig. 4c). The sensitivity of a potentiometric pH sensor is
defined by Nernst equation, which is temperature sensitive. At room temperature
(25 °C), a sensor could possess a maximum sensitivity of 59 mV pH 1, because a
potentiometric pH sensor measures relative potential of working electrode under no
current, offering low-power operation and rapid response time.

Fig. 4 On-skin electrochemical pH sensors. (a) A photo of a tattoo-like on-skin chemical sensor
that maintains conformal contacts with the skin even under twisting. (b) Schematic of the on-skin
chemical sensors for pH, Na+, and K+ sensing, with vertical gold nanowires (V-AuNWs) as
stretchable electrodes and Ag/AgCl as reference electrode. (c) Open-circuit potential curves of
pH sensor with different pH values, showing high selectivity. (Reprinted with permission. Copy-
right 2020, American Chemical Society) (Zhai et al. 2020)
136 B. Zhu

The potentiometric on-skin sensor can be used for detecting other cationic ions by
depositing proper ion-selective membranes onto working electrodes to form specific
ISEs for different ions. The ion-selective membrane can be prepared by using a
polyvinyl chloride (PVC) membrane incorporated with plasticizer and ion-selective
ionophore molecules, which can be deposited on stretchable sensor electrodes by
drop-casting to generate wearable ISEs. The selectivity of the sensors depended on
specific ionophore molecules that can selectively bind to different ions. The ISEs can
respond to perturbation in the ion-exchange equilibrium at the interface between
on-skin sensors and sweat. As a result, changes in ion concentration will lead to
variations in potential. The sensitivity of the on-skin ISEs is also defined by the
Nernst equation.
Glucose sensors are one of the most important chemical biosensor techniques for
healthcare. The glucose concentration in blood is a critical biomarker for diabetes,
and the classic measurement needs invasive and painful blood sampling. On-skin
sensors capable of measuring glucose concentration in external body biofluids such
as sweat and tears provide a novel, noninvasive way for glucose monitoring. In
particular, on-skin chemical sensor can be integrated with microfluidic system to
enable a continuous way for detecting glucose concentration. However, as shown in
Table 1, the concentration of glucose in external body biofluids is lower than that in
blood (Lee et al. 2018). To this end, highly sensitive materials and devices are
required for on-skin glucose sensing.
Enzyme-based glucose sensor can be dated back to the “enzyme electrode”
developed by Leland Clark in 1962 (Clark and Lyons 1962), which symbolized
the invention of the first biosensor. Glucose oxidase (GOx) is widely utilized as the
enzyme to catalyze the glucose oxidation reaction, where glucose reacted with
oxygen and water, generating gluconic acid and H2O2. The changes in oxygen or
H2O2 are correlated with the glucose concentration stoichiometrically, which can be
used for measuring glucose levels.
Enzyme-based glucose sensors can be facilely integrated with wearable sensor
systems, providing a noninvasive manner of glucose monitoring in sweat. An
on-skin glucose sensor can take a three-electrode configuration, using thin and
stretchable materials as electrodes (Zhai et al. 2019). The working electrode (WE)
is modified with GOx for glucose reactions. The WE is often modified with medi-
ators such as Prussian blue (PB) to lower the overpotential and reduce interfering
electrochemical reactions. A representative on-skin glucose sensor is illustrated in
Fig. 5, where highly stretchable AuNWs on Ecoflex substrates are used as elec-
trodes. Additional mediators including PB, carbon nanotubes, and chitosan are
coated on the WE to obtain high sensitivity and stability. As a typical

Table 1 Comparison of glucose concentration in different biofluids. (Data taken from Lee et al.
(2018))
Blood ISF Saliva Sweat Tears
Concentration (mM) 2–40 1.99–22.2 0.008–1.77 0.01–1.11 0.05–5
7 On-Skin Chemical Sensors 137

Fig. 5 Stretchable enzyme-based glucose sensor. (a) Schematic of sensor structure. AuNWs grown
on elastomer are used as stretchable electrodes in a three-electrode system. (b) Cyclic voltammetry
(CV) curves of the glucose sensor with/without the addition of 2 mM glucose. (Reprinted with
permission. Copyright 2019, American Chemical Society) (Zhai et al. 2019)

electrochemical sensor system, cyclic voltammetry and chronoamperometry are


commonly applied to evaluate the performance of a sensor toward glucose sensing.

Field-Effect Transistor-Based Sensors

Field-effect transistors (FET) are three-terminal devices with gate, source, and
drain electrodes, where source and drain electrodes are bridged with semicon-
ducting channels and separated with gate electrodes by gate dielectric layers. The
channel conductance is controlled by the electric field potential applied at the
gate electrodes. In FET-based sensors (Fig. 6), the gate electrode is often
replaced by a reference electrode, and the gate dielectric is replaced by an
electrolyte solution to provide a sensing environment. The binding behaviors
of analytes at the solid-liquid interface can be transduced into measurable
conductance change in semiconducting channels via the field effect, leading to
a shift in the threshold voltage of FETs. The shift is related to the concentration
of the target analytes (Rim et al. 2017).
FET sensors have been well studied to detect chemicals and biomolecules,
providing high sensitivity, low detection limits, fast response, the capability of
miniaturization, and ease of integration with soft biological interfaces. Selectivity
can be realized by modifying the exposed surfaces of FETs – either gate dielectric
layers as in typical ion-sensitive FETs (ISFET) or channels – with receptors or
specific functional groups like self-assembly monolayers (SAMs). The FET sensors
with specific receptors can detect targeted analytes at very low concentration by
virtue of the intrinsically amplification property of FETs.
The capability of miniaturization of transistor techniques makes FET sensors
promising for wearable sensor systems for on-skin applications. To construct an
on-skin FET sensor for applying on skin interfaces, ultrathin active electronic and
passive supporting materials are needed. Ultrathin materials not only provide a large
138 B. Zhu

Fig. 6 A schematic Gate


illustration of a FET sensor.
Analytes can influence the
surface charge density of
channel

S/D Channel S/D

Substrate

surface-to-volume ratios and thus enhanced sensitivity but also offer mechanical
flexibility required for conformal contacts with the skin.
On one hand, a variety of 1D and 2D electronic nanomaterials have been
developed as highly sensitive channels in FET sensors, such as silicon nanowires,
graphene, and metal oxide semiconductors. On the other hand, a variety of materials
can serve as ultrathin substrates for active components. Most commonly applied
substrates are polymers, elastomers, and some biomaterials like silk. For substrates
that cannot survive from annealing processes at high temperature, transferring
techniques are often employed to move sensor devices fabricated on rigid wafers
to those soft substrates for on-skin applications.
Recently, to construct large-area, low-cost on-skin FET sensor platforms,
solution-based processing techniques have been developed. FET sensors based on
ultrathin oxide semiconductor films (In2O3, ~3.5 nm thick) were fabricated via
sol-gel chemistry on 1.5-μm-thick polyimide substrate (Rim et al. 2015). The
ultrathin nature makes the sensors highly sensitive to analytes and provides confor-
mal contacts with the skin even under deformation.
The semiconductor channels can be modified with different functional groups as
acceptors for specific chemical sensing. For example, amine-terminated silane like
(3-aminopropyl)triethoxysilane (APTES) is often modified on channels to form
SAM layer via gas-phase or liquid-phase salinization for pH sensing. H+ can cause
the protonation of amine groups of APTES and thus change the local electric fields,
leading to alterations in channel conductance. In such ultrathin FET sensors, the
channels are directly exposed in the liquid environment, providing low operation
voltage, high sensitivity, and rapid response.
FET-based chemical sensors can also be modified with specific acceptors, such as
peptides, aptamers, and DNA, to detect metal ions and important molecular in
biofluids, like Ca2+, Mg2+, glucose, dopamine, etc. Enzyme-free detection of glucose
based on FET sensors has also been demonstrated (Chen et al. 2017). One of the
most distinctive advantages of FET based sensors is that they care compatible with
microfabrication techniques, offering high capability for device miniaturization for
future on-skin sensing applications.
7 On-Skin Chemical Sensors 139

On-Skin Sensor System Integration

To acquire important chemical signals at skin interface with sensors is the very first
step in a highly integrated on-skin sensor system for Internet of things (IoTs). For
continuous wearable sensing applications, communication hardware and user inter-
faces are required to integrate with sensor devices and thus provide end users with a
convenient user-friendly way for wearable healthcare monitoring and management.
For example, wireless modules such as Bluetooth, Wi-Fi, and near-field communi-
cation (NFC) chips are needed to transmit sensor data to Cloud via wireless
communication. Also, corresponding interactive graphical user interfaces and apps
are needed to help end users with data interpretation and understanding. This opens
new opportunities for shifting the centralized healthcare in hospital to future remote
health monitoring-based wearable on-skin sensor systems.
A schematic of typical wearable integrated sensor system is illustrated in Fig. 7a.
Wearable on-skin sensors are integrated with electrical chipset and portable power
supply, enabling the real-time monitoring and wireless data transmission. The
electronic chipset usually contains microcontrollers, filters, amplifiers, memory,
and communication units, to facilitate sensor signal processing, data storage, and
communication with IoTs.
A representative integrated on-skin electrochemical sensor system is shown in
Fig. 7b. The sensor system composes on-skin sensors, mini-battery, and electrical
chips integrated on a flexible printed circuit board (PCB). The whole sensor system
can be attached on users’ wrist for monitoring pH, Na+, and K+ in sweat. The sensor
signals can be transmitted and displayed on smart phone interface via customer-
designed apps, providing a real-time and wireless solution for wearable health
monitoring (Zhai et al. 2020).

Fig. 7 Integrated on-skin sensor system. (a) Schematic illustration of important electrical compo-
nents in an integrated sensor system. (Reprinted with permission. Copyright 2020, Wiley) (Ling
et al. 2020). (b) An integrated on-skin chemical sensor system attached on user’s wrist. (Reprinted
with permission. Copyright 2020, American Chemical Society) (Zhai et al. 2020)
140 B. Zhu

Conclusion

The last several decades have witnessed remarkable advancements in soft chemical
sensors for on-skin wearable applications. Soft electronic materials are the basis for
wearable sensors. To this end, tremendous progresses have been achieved in devis-
ing chemical sensors by engineering a variety of functional nanomaterials including
metallic/semiconductor nanostructures, carbon nanomaterials, and conducting poly-
mers, providing a prosperous materials family for constructing electronic sensor
devices. Also, interface design plays a significant role for achieving high sensitivity,
data accuracy, and durability. Numerous efforts have been devoted to improving the
mechanical mismatch between soft skin and electronics. In addition to soft materials
and devices that can directly seamlessly contact with the skin, effective interface
engineering approaches have been developed to enable conventional rigid devices to
be conformally attached on the skin and adapt to dynamic deformation. Continuous,
noninvasive monitoring of important chemical analytes, such as cationic ions and
glucose, in sweat at skin interfaces has received intense research efforts. Various
types of on-skin chemical sensors have been devised based on electrochemical
sensing and FET sensors, demonstrating high sensing performance and capability
for miniaturization and system integration. Many on-skin sensors have been inte-
grated with microprocessors and wireless modules for remote sensing, providing
novel health monitoring solutions that are highly demanded in future wearable
sensor techniques. Next-generation on-skin sensors will be smarter, lighter, more
powerful, and multifunctional. In the future, a lasting explosive development of soft
on-skin sensor systems will be expected. Multidisciplinary research from engineer-
ing, chemistry, electronics, and materials, will continuously boost the advances in
next-generation bio-integrated sensor techniques for IoTs.

References
Bandodkar AJ, Jeerapan I, Wang J et al (2016) Wearable chemical sensors: present challenges and
future prospects. ACS Sens 1:464–482
Chen H, Rim YS, Wang IC et al (2017) Quasi-two-dimensional metal oxide semiconductors based
ultrasensitive potentiometric biosensors. ACS Nano 11:4710–4718
Clark LC, Lyons C (1962) Electrode systems for continuous monitoring cardiovascular surgery.
Ann N Y Acad Sci 102:29–45
Gong S, Yap LW, Zhu B et al (2019) Multiscale soft–hard interface design for flexible hybrid
electronics. Adv Mater 31:1902278
Heikenfeld J, Jajack A, Feldman B et al (2019) Accessing analytes in biofluids for peripheral
biochemical monitoring. Nat Biotechnol 37:407–419
Jeong JW, Yeo WH, Akhtar A et al (2013) Materials and optimized designs for human-machine
interfaces via epidermal electronics. Adv Mater 25:6839–6846
Lee H, Hong YJ, Baik S et al (2018) Enzyme-based glucose sensor: from invasive to wearable
device. Adv Healthc Mater 7:1701150
Ling Y, An T, Yap LW et al (2020) Disruptive, soft, wearable sensors. Adv Mater 32:1904664
Rim YS, Bae S-H, Chen H et al (2015) Printable ultrathin metal oxide semiconductor-based
conformal biosensors. ACS Nano 9:12174–12181
7 On-Skin Chemical Sensors 141

Rim YS, Chen H, Zhu B et al (2017) Interface engineering of metal oxide semiconductors for
biosensing applications. Adv Mater Interfaces 4:1700020
Rogers JA, Someya T, Huang Y (2010) Materials and mechanics for stretchable electronics. Science
327:1603–1607
Sempionatto JR, Jeerapan I, Krishnan S et al (2020) Wearable chemical sensors: emerging systems
for on-body analytical chemistry. Anal Chem 92:378–396
Wang T, Wang M et al (2020) Cyber–physiochemical interfaces. Adv Mater 32:1905522
Zhai Q, Cheng W (2019) Soft and stretchable electrochemical biosensors. Mater Today Nano
7:100041
Zhai Q, Gong S, Wang Y et al (2019) Enokitake mushroom-like standing gold nanowires toward
wearable noninvasive bimodal glucose and strain sensing. ACS Appl Mater Interfaces
11:9724–9729
Zhai Q, Yap LW, Wang R et al (2020) Vertically aligned gold nanowires as stretchable and wearable
epidermal ion-selective electrode for noninvasive multiplexed sweat analysis. Anal Chem
92:4647–4655
Zhao J, Guo H, Li J et al (2019) Body-interfaced chemical sensors for noninvasive monitoring and
analysis of biofluids. Trends Chem 1:559–571
Zhu B, Gong S, Cheng W (2019) Softening gold for elastronics. Chem Soc Rev 48:1668–1711
Optical Biosensors: Implantable Multimodal
Devices in Freely Moving Rodents 8
Jun Ohta, Kiyotaka Sasagawa, and Makito Haruta

Contents
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 144
Fundamentals of Optoelectronic Devices: LEDs and CMOS Image Sensors . . . . . . . . . . . . . . . . . 145
LEDs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 145
CMOS Image Sensors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 145
Classification and Examples of Optical Measurement and Control Systems Under Free
Movement . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 147
Method Involving the Attachment of an Optoelectronic Device to the Exterior
of the Body . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 148
Method Involving the Installation of an Optoelectronic Device on a Living Body . . . . . . . . 149
A Method Involving the Implantation of an Optoelectronic Device in a Living Body . . . . 150
Implantable Optoelectronic Devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 152
Conclusion and Future Scope . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 156
References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 157

Abstract
This chapter describes small optoelectronic devices for measuring and controlling
biological functions, in particular, the devices that enable optical measurement and
optical stimulation under the free action of rodents. First, an overview of optoelec-
tronic devices for small experimental animals such as mice and rats is presented.
Next, based on the place where the device is installed in the living body, such a
system is classified into three categories: in vitro, in contact with the living body,

J. Ohta (*)
Division of Materials Science, Graduate School of Materials Science, Nara Institute of Science and
Technology (NAIST), Ikoma, Nara, Japan
e-mail: ohta@ms.naist.jp
K. Sasagawa · M. Haruta
Division of Materials Science, Nara Institute of Science and Technology (NAIST), Ikoma, Japan
e-mail: sasagawa@ms.naist.jp; m-haruta@ms.naist.jp

© Springer Science+Business Media, LLC, part of Springer Nature 2022 143


M. Sawan (ed.), Handbook of Biochips,
https://doi.org/10.1007/978-1-4614-3447-4_45
144 J. Ohta et al.

and in vivo. The system is explained using typical examples of each category. In
particular, the in vivo implantation method is described in detail. Finally, the last
section outlines the summary and plans for future development.

Introduction

Behavioral experiments are important for research focused on topics such as mem-
ory and learning. In electrophysiology, the development of a small implantable
device typified by a silicon probe enables the measurement of neural activity in
the brain of small laboratory animals, such as mice, under free behavior, where
electrical stimulation is also possible. Recently, with the development of optical
measurement and stimulation technology, which utilizes genetic engineering such as
GCaMP (Nakai et al. 2001) and Optogenetics (Deisseroth 2011), research on the
development of devices capable of such measurement and stimulation under free
behavior has gained significant attention.
In particular, development of active optoelectronic devices has significant
applications. Here, an active optoelectronic device refers to a light-emitting ele-
ment such as a light-emitting diode (LED) and laser diode (LD), and a light-
detecting element such as photodiode (PD), charge coupled device (CCD) image
sensor, and complementary metal oxide semiconductor (CMOS) image sensor
(Ohta 2007). Although these devices require a power supply, their compact size
and high-performance optoelectronic characteristics make them suitable for free-
movement experiments. In the case of light-emitting devices, the recently devel-
oped blue LED and blue LD are suitable for the excitation of Channelrhodopsin-2
(ChR2) (Deisseroth 2011) and GCaMP (Nakai et al. 2001), whereas with respect to
image sensors, electron multiplying CCD (EMCCD) (Ohta 2007) and scientific
CMOS (sCMOS) are highly sensitive with capability for high-speed imaging. In
particular, compared with the CCD, the CMOS image sensor is often appropriate
for free behavior experiments owing to its small pixel size and low power con-
sumption. In recent years, the performance and function of CMOS image sensors
have improved, with these sensors even serving as an alternative to CCD sensors in
many cases (Ohta 2007).
In contrast to optical fibers, electrical cables, such as metal cables, are used to
connect active optoelectronic devices. These cables offer the advantage of not
interfering with the movements of small animals owing to their flexibility.
In this chapter, we describe several systems capable of optical measurement and
stimulation under rodent free movement using small optoelectronic devices. This
chapter is organized as follows. First, LEDs and CMOS image sensors are intro-
duced for implantable multimodal devices in freely moving rodents. Second, based
on the location of the optoelectronic device, such a system is divided into three
categories: at a distance from, in contact with, and implanted in a living body. Third,
some typical examples of each system are described. In particular, the imaging/
optical stimulation devices currently being developed for in vivo implantation are
explained in detail. Finally, the scope for future work and summary are provided.
8 Optical Biosensors: Implantable Multimodal Devices in Freely Moving Rodents 145

Fundamentals of Optoelectronic Devices: LEDs and CMOS Image


Sensors

In this section, the basic structure and operating principle of an implantable opto-
electronic device is described using an LED and CMOS image sensor.

LEDs

Light emitting diodes (LEDs) emit light when current is injected into these devices.
When current flows through the p–n junction diode in the forward direction,
electrons and holes recombine near the p–n junction. In the case of direct transition
semiconductors, such as GaAs and GaN, the efficiency of light emission (spontane-
ous light emission) via this recombination is high, resulting in a large light output.
Such a device is called an LED. In LEDs, the center wavelength of light emission is
approximately determined by the band gap of the material. For example, as GaAs
has a band gap of 1.43 eV, the light emission wavelength is approximately 0.86 μm.
The current-voltage characteristics are the same as the forward characteristics of the
pn diode, with the rise voltage almost determined by the band gap.
Currently, blue LEDs are commonly used in bioscience technology (wavelength
of ~480 nm), which can be employed for both GFP and ChR2 excitations with
sapphire, a transparent material, used for the substrate. In many cases, InGaN
constitutes the light-emitting part. It should be noted that as the blue LED emits
light with a wavelength of ~480 nm, the corresponding rise voltage is ~3 V, which is
higher than that of a Si pn diode (~1 V). It should be further noted that a broad
spectrum is obtained (full width at half maximum (FWHM) of ~20 nm for a blue
LED) owing to spontaneous emission, with the light emission being omnidirectional.
A narrow wavelength width and high directivity can be obtained when using a
laser diode (LD); however, device destruction due to excessive current and spike
noise, the need for an airtight package, and speckle noise might occur. In many cases,
the handling of a LD requires more attention than an LED owing to its temperature
sensitivity. The LED is more suitable, particularly when it comes into contact with or
is implanted in biological systems.

CMOS Image Sensors

Before describing the CMOS image sensors, a brief description of the PD, which is a
basic device for light reception, is provided. While a PD is a p–n junction diode
similar to the LED, it is used with a reverse bias unlike the LED in which the current
flows in the forward direction. When a reverse biased PD is irradiated with light,
electron-hole pairs are generated internally. As a depletion layer exists near the p–n
junction where an internal electric field is generated, the generated electron-hole
pairs are separated by the electric field, causing the electrons to flow into the n-type
region and holes to flow into the p-type region. Therefore, a photocurrent Iph that is
146 J. Ohta et al.

Fig. 1 CMOS image sensor. (a) Chip block diagram. (b) Pixel circuits

proportional to the incident light intensity P flows from the anode terminal (p side) to
the cathode terminal (n side) outside the PD. It should be noted that this photocurrent
Iph is in the reverse direction compared with the direction of the injection current
in LEDs.
The CMOS image sensor consists of an image capture unit in which pixels func-
tioning as light-receiving units are arranged two-dimensionally, vertical- and horizontal-
scanning circuits that scan the pixels, and a readout circuit, as shown in Fig. 1a. The
pixel part generally comprises a PD, known as the active pixel sensor (APS), along with
three or four transistors. The case referring to three transistors is abbreviated as 3T-APS,
while that with four is abbreviated as 4T-APS. Figure 1b shows the pixel configuration
of the 3T-APS, with the operating process involving three operations: (1) reset, (2) accu-
mulation, and (3) read. The details of each process are given below.

1. Reset: First, MRST is turned on, and the power supply voltage VDD is applied to
the PD. This process is called a reset. The PD has a capacitance CPD with a p–n
junction depletion layer connected in parallel with the PD, as shown in Fig. 1b.
Resetting is equivalent to charging CPD to VDD. The reset operation is performed
simultaneously for all pixels in the row direction by the vertical scan circuit.
2. Accumulation: Next, MRST is turned off. Consequently, the PD becomes electri-
cally floating, and CPD is discharged by the photocurrent Iph generated by the
incident light, causing its voltage to fall below VDD at the time of reset. This is
called an accumulation operation. The discharge of CPD by Iph can be interpreted
as a process involving the accumulation of electrons in the potential of the
depletion layer. The accumulation continues until the next reset, i.e., the time
taken by the vertical scanning circuit to complete one round, which is usually at a
video rate of 1/30 s. Therefore, the accumulation time is 1/30 s. The reciprocal of
the accumulation time is called the frame rate, which in this case is 30 fps.
8 Optical Biosensors: Implantable Multimodal Devices in Freely Moving Rodents 147

3. Read: After the accumulation operation, the read operation is performed before
the reset. As shown in Fig. 1b, the anode of the PD is connected to the gate of the
source follower transistor MSF. As the current load for the source follower is
connected to the vertical signal line, the PD potential VPD appears on the column
signal line (in effect, the output signal has a lower voltage than VPD because the
gain of the source follower is 1). In the read operation, the select transistor MSEL
is simultaneously turned ON in the same row by the vertical scan circuit, causing
each pixel output to appear on all the column signal lines, with this voltage
applied to the sample and hold (S/H) circuit in the readout circuit. This voltage is
output as a pixel value from the chip for each column by the horizontal scan
circuit.

By repeating (1) to (3) for all rows, all output pixel values can be obtained.
The horizontal scan circuit completes scanning all the rows before the vertical
scan circuit moves to the next row. If the number of horizontal and vertical pixels is
M and N, respectively, and the frame rate is 30 fps, the vertical scan frequency is
30  N (Hz), while the horizontal scan frequency is 30  M  N (Hz). An output
buffer circuit is also integrated in the readout circuit. As each of these buffer circuits
requires a bias voltage and a clock for the horizontal and vertical scan circuits, the
number of inputs and outputs is often 10.
Here, the CCD and CMOS image sensors are compared from the viewpoint of
implantable optoelectronic devices. While CMOS image sensors output the PD
voltage using a source follower, the electrons generated by the PD in CCDs are
finally output to the exterior of the chip by a transfer path in which MOS capacitors
are arranged. As the gate of the MOS capacitor overlaps with the gate of the adjacent
capacitor, charge transfer with high efficiency is feasible. CCDs require a high-
applied voltage for complete charge transfer and consume more power than CMOS
image sensors. In addition, as CCDs require a special fabrication process, their
integration with other CMOS circuits is difficult compared with CMOS image
sensors, thus not offering high functionality. Based on the above points, CMOS
image sensors are suitable for implantable optoelectronic devices.

Classification and Examples of Optical Measurement and Control


Systems Under Free Movement

Based on the installed location of active optoelectronic devices such as a light source
or light detection element, a system that realizes light-based measurement control of
neural activity caused by free movement in the mouse brain can be classified into the
following three categories:

1. Attached to the exterior of the body


2. Attached to a living body
3. Implanted in a living body
148 J. Ohta et al.

Fig. 2 Classification of microimaging devices: (a) Fiber optics, (b) head-mountable fluorescence
microscope, and (c) implantable microimaging device. (Adapted from Ohta et al. 2017)

Each method is shown in Fig. 2 and is explained below.

Method Involving the Attachment of an Optoelectronic Device


to the Exterior of the Body

In this method, an optical fiber is inserted in the mouse brain, with the optoelectronic
device connected to the other end as shown in Fig. 2a. Subsequently, light stimula-
tion is performed using the excitation light generated by an LED, LD, or solid-state
laser. The fluorescence produced by excitation is detected by a photodetector
connected via an optical fiber; this method is called fiber photometry (Gunaydin
et al. 2014). The advantage of this system lies in its ability to easily implement both
advanced optical measurements and light stimulation, as existing high-performance
equipment, such as a fluorescence microscope and large laser equipment, can be
connected to the optical fiber purely by inserting the fiber.
A disadvantage of this method is that it limits the animal behavior to some extent, as
the optical fiber is inflexible compared with an electrical cable. In addition, as this
method employs incident or emitted light from the end face of the optical fiber, the light
range is limited to a certain extent, indicating its unsuitability for a wide range of
measurements and stimuli as shown in Fig. 2a. The exit (incident) angle θ of the optical
fiber as shown in Fig. 3a can be expressed by the following equation (Hecht 1987):
pffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi
1 2Δn f ∙n f
θ ¼ sin
nb

Here, Δnf, n f , and nb represent the difference in the refractive index between
the core and the cladding of the optical fiber, average refractive index, and
refractive index on the living body side (refractive index of interstitial fluid),
respectively.
Therefore, in the case of tissues in the living body, it should be noted that as the
refractive index nb is larger in air (nb ¼ 1), its corresponding θ is smaller. In
8 Optical Biosensors: Implantable Multimodal Devices in Freely Moving Rodents 149

Fig. 3 Fiber optic devices.


(a) Transmission of light
through an optical fiber. The
refractive indices of the core
and cladding are n1 and n2,
respectively. The refractive
index of the biological side is
nb. The exit angel is θ. (b)
Transmission of light in the
GRIN lens

particular, as the interstitial fluid is nearly water and nb ≈ 1.3, θ approaches zero
because the interstitial fluid is close to the refractive index n f  1:5 of quartz, which
is the material of the optical fiber. This indicates that the light from the optical fiber is
emitted as is without spreading, with the light incidence being only substantially
vertical. Light stimulation is performed in a very narrow range, and during optical
measurement, light in a very narrow region of the order of the fiber core diameter is
measured. To expand the measurement/stimulation region, an optical fiber bundle,
which consists of many optical fibers and is bundled as one light guide, is employed,
although it results in loss of flexibility.

Method Involving the Installation of an Optoelectronic Device


on a Living Body

In this method, light-emitting devices such as LEDs and LDs, and light-detecting
devices such as PDs and CMOS image sensors, are installed on the head of the target
animal, as shown in Fig. 2b. In the case of fluorescence measurement, the compact-
ness of the CMOS image sensor makes it possible to reduce the size of the entire
camera system that includes an LED and optics. It offers the advantage of being
more flexible than the optical fiber as the input and output of the optoelectronic
device are electric wires, resulting in less hindrance in the animal behavior.
Depending on the amount of data, a wireless system might also be feasible, which
would not place any restrictions on the free behavior. A disadvantage is that
depending on the system configuration, the entire system might become heavy,
which might negatively affect the animal behavior.
To introduce light or detect fluorescence, a passive optical system should be
implanted in and efficiently transmit light into (or from) a living body. An optical
fiber, shown in Fig. 3a, is often used during optical stimulation, whereas a bundle
fiber, rod lens, or gradient index (GRIN) lens can be employed for imaging as shown
in Fig. 3b (Ghosh et al. 2011). As the bundle fiber consists of bunches of optical
fibers, it lacks light collection and lens function capabilities. However, in the case of
150 J. Ohta et al.

Fig. 4 Optical waveguide


device. The input light is
transmitted in the waveguide
and exits via multiple ports.
Such a device is usually
composed of glass

a GRIN lens, as the relevant lens function is provided, the numerical aperture (NA)
becomes larger than the optical fiber, enabling image formation. Here, NA is defined
as (Hecht 1987).

NA ¼ nb sinθ:

The field of view widens in proportion to the diameter of the bundle fiber or rod
lens, while increasing the risk of insertion damage. In addition, only the image of the
bottom part can be acquired with a bundle fiber and rod lens. Attempts have been made
to bend the 90° optical axis by attaching a small prism to the end face of an optical
fiber. However, imaging over the penetration depth direction remains challenging.
Even with light irradiation (light stimulation), there are cases where it is desirable
to perform light stimulation, such as multipoint excitation, in different layers, e.g.,
the cortex. Such excitation is demanding in the case of bundle fibers and rod lenses.
In this case, a device with a waveguide structure, similar to that of the silicon probe,
achieves a multipoint light output (port) and permits integration with an electrode
array, as shown in Fig. 4 (Zorzos et al. 2010). An LED or LD is directly connected to
the device, and thus, the animal and outside instrument are connected via an
electrical cable. An optical fiber can be coupled to the device; however, in this
case, the cabling involves an optical fiber. A disadvantage of this device is that the
output light is attenuated with increasing distance from the light source. In addition,
it is difficult to control each output light independently.

A Method Involving the Implantation of an Optoelectronic Device


in a Living Body

In this method, an active light-emitting/detecting element or image sensor is


implanted in a living body, and optical measurement or light stimulation is
performed as shown in Fig. 2c. This method is advantageous because as with scheme
(2), the outside connection involves a wire rather than an optical fiber, thereby not
restricting animal movement. In addition, as all device parts are implanted in the
8 Optical Biosensors: Implantable Multimodal Devices in Freely Moving Rodents 151

Fig. 5 Light stimulation


device. Four small LEDs are
placed on a flexible substrate
made of polyimide

living body, the restriction on animal behavior is not greater than that in method (2).
Another advantage of this method lies in its ability to easily form an array and
improve functions, such as combining fluorescence measurement and light stimula-
tion, and the integration of electric measurement function. A low-cost, high-perfor-
mance optical measurement and stimulation device can be realized by utilizing
mature semiconductor technology.
In contrast to using optical fibers, micro LEDs have been employed for light
stimulation in some studies on optical stimulation devices. A micro-LED array-
based device has been developed for stimulating the surface and deep regions of the
brain, as shown in Fig. 5. Heat generation is a critical aspect of this method. In
particular, the heat generated by an LED introduces challenges in light stimulation
and requires detailed analysis (Goncalves et al. 2018).
In the case of optical measurement devices, a device that integrates an ultrasmall
image sensor and excitation LED has been developed for implantation in rat or
mouse brain to perform fluorescence measurements. The details of this device are
described in the next section. Furthermore, imaging is performed without a lens, as it
is difficult to install the lens on the image sensor. The reason behind this is described
later. Therefore, the spatial resolution is of the order of the cell body, and the
measurement of cell activity in the region is a suitable application at this resolution
range. The lens system is difficult to implement owing to a few reasons. To reduce
the overall thickness, the focal length should be reduced to the extent possible.
However, as the lens is in direct contact with the interstitial fluid in the living body
(refractive index ≈1.3), it is mandatory to increase the difference in the refractive
index between the lens material (glass refractive index ≈1.5) and lens curvature.
Therefore, it is challenging to suppress the thickness of the entire device, which is
directly related to tissue damage, and should thus be as small as possible. The next
section describes the in vivo implantable optoelectronic device in detail.
152 J. Ohta et al.

Implantable Optoelectronic Devices

In this section, imaging and light stimulation in the role of in vivo implantable
optoelectronic devices are described. The developed imaging device, in Fig. 6,
consists of a dedicated CMOS image sensor and LEDs on a flexible polyimide
substrate (Takehara et al. 2015; Ohta et al. 2017). The CMOS image sensor on the
device has been developed to measure the activity in the brain of a small animal,
such as a mouse under free movement. The pixel configuration comprises a con-
ventional three-transistor active pixel sensor (3T-APS) (Haruta et al. 2017) described
in the previous section. However, the image sensor architecture has been designed
keeping in mind the following for the above purpose. As this device has a wired
connection, it is necessary to minimize the number of input/output lines so as not to
hinder the free movement of the mouse. Therefore, in addition to the power supply
VDD and GND, only a total of four inputs and outputs, including clocks (CLK) and
analog outputs (OUT), are used. Figure 6a shows a photograph of the chip, while
(b) shows the corresponding block diagram. The different bias voltages required for
each circuit block are realized by dividing the power supply voltage. The horizontal
and vertical scanner clocks have different frequencies; however, by generating them
from one input clock, the number of clock inputs can be reduced to one. Based on the
above ideas, four inputs and outputs have been realized. By arranging the input/
output pads at the four corners, two fluorescent excitation LEDs can be placed above
and below the chip, as close to the chip as possible as shown in Fig. 6a. The
specifications of the sensor chip are shown in Table 1.
The device is either installed on the brain surface, as shown in Fig. 7a, or inserted
in the brain, as illustrated in Fig. 7b. Figure 8 presents the cross-sectional structure of
the device. In fluorescence measurement, it is critical to suppress the PD incidence of
the excitation light and detect only the fluorescence. In a fluorescence microscope,
which is usually used for fluorescence measurement, normal interference filters are
employed, such as an emission filter having a band pass characteristic for narrowing

Fig. 6 CMOS image sensor for implantation in the mouse brain. (a) Microphotograph of the chip.
(b) Block diagram of the chip. (Adapted from (Takehara et al. 2015) with permission)
8 Optical Biosensors: Implantable Multimodal Devices in Freely Moving Rodents 153

Table 1 Specifications of Process technology 0.35-μm 2P4M standard CMOS process


the fabricated CMOS image
Supply voltage (V) 3.3
sensor
Chip size (μm2) 450  1500
Pixel type 3-transistor active pixel sensor
Pixel size (μm2) 7.5  7.5
Pixel number 40  120
Fill factor (%) 44

Fig. 7 Photographs of the


needle-type device (a) and the
planar-type device. (Adapted
from (Ohta et al. 2017) with
permission)

Fig. 8 Photograph of a needle-type device (a), and a schematic showing a cross-sectional view of
its implantation in the brain (b). (Adapted from (Ohta et al. 2017) with permission)

the wavelength of the excitation light source, and an absorption filter having a high-
pass characteristic to cut off only the fluorescence by excluding the excitation light.
This ensures the complete suppression of the excitation light and efficient detection
of the fluorescence.
However, interference filters cannot be used for implantable devices because the
scattered excitation light has a component other than normal incidence that can pass
154 J. Ohta et al.

Fig. 9 Emission spectra from a blue LED, GFP excitation, and GFP fluorescence. The figure also
shows the transmittance spectrum of the absorption filter

through the interference filter. The interference filter has been designed for vertical
incidence. Therefore, an absorption-type filter without angle dependence is
employed. In addition, as the excitation light source uses an LED without an
emission filter, it has a broad wavelength distribution. Furthermore, as shown in
Fig. 9, the LED partially passes through the absorption-type filter. Therefore, an
important relationship exists between the absorption characteristics of the applied
filter and emission spectrum of the LED.
The fabricated device weighs ~0.02 g and is extremely lightweight, introducing
much fewer constraints on the rat behavior (Ohta et al. 2017). Owing to its com-
pactness, the simultaneous insertion of two devices into the animal brain is also
feasible, enabling the analysis of network activity in the brain for the same individual
over a long period.
Next, the optical stimulation device is described. Various reports/studies have
realized multiple light LEDs by placing several micro LEDs on the Si probe. As the
above-described implanted device is equipped with an LED, it can be used to
perform light stimulation. By installing a blue LED for cell stimulation and green
LED for fluorescence detection around the image sensor in the brain surface device,
the simultaneous measurement of cell stimulation and activity becomes feasible,
while communication with the cell by light is actualized (Haruta et al. 2017;
Kobayashi et al. 2016).
Figure 10 shows the implantable device in which an image sensor chip and blue
LED array chip are stacked, with green LEDs placed around the chip (Haruta et al.
2017). The blue LED is used to stimulate ChR2 optically, while the green LED is
8 Optical Biosensors: Implantable Multimodal Devices in Freely Moving Rodents 155

Fig. 10 Implantable device stacked with a CMOS image sensor chip and blue LED array chip,
with eight green LEDs placed around the chip. (a) Microphotograph of the CMOS image sensor
chip, (b) microphotograph of the blue LED array chip, (c) schematic showing the cross-sectional
view of the stacked device, (d) fabricated device with eight green LEDs, and (e) chip block diagram.
(Adapted from (Haruta et al. 2017) with permission)

used to illuminate the brain surface. As the blue LEDs are placed in a
two-dimensional array and can be accessed independently, a patterned optical
stimulation can be achieved.
The experimental results obtained by using the above-detailed device are shown
in Fig. 11. The fabricated device is placed on the surface of the mouse brain.
The above device employs blue LEDs to evoke ChR2 and observes brain activity
using the green LEDs. The following device is used for optical stimulation and
fluorescence measurement. Specifically, O-GECCO (red fluorescence), which is a
Ca ion indicator, and ChR2, which is a photosensitivity channel, are coexpressed,
while the blue LEDs stimulate cells to perform cell activity (Kobayashi et al. 2016).
The excitation result is detected by exciting fluorescence with a green LED. Based
on calcium dynamics, cellular activity can be detected with the image sensor as red
fluorescence of O-GECCO. Thus, various functions can be realized by mounting and
implanting an active device using the above-detailed procedure.
156 J. Ohta et al.

Fig. 11 Experimental results for the implantable device stacked with a CMOS image sensor chip
and blue LED array chip. (a) Device placement on the brain surface of the transgenic mouse; the
electrodes for electrophysiology are also shown. (b) Microphotograph of the mouse head following
device setup. (c) Microphotograph of the brain surface acquired using an optical microscope; the
square box indicates the region from which the images were captured by the implantable device. (d)
Images captured using the device; the black dots show the electrodes of the LED array, while the
arrow in the right photograph indicates the turned-on LED. (Adapted from (Haruta et al. 2017) with
permission)

Conclusion and Future Scope

In this chapter, optoelectronic devices for the measurement and control of a living
body by light were reviewed. Three cases covering the placement of active opto-
electronic devices were summarized, namely placement of the device outside, on,
and implanted inside the living body. In vivo optoelectronic devices were introduced
in detail.
With the relevant technological developments, compact and high-performance
optoelectronic devices can be produced in the future. Meanwhile, detailed measure-
ment and control of biological functions will be feasible in more various fields. In
particular, the simultaneous measurement of the action potential along with light and
even more complicated functions could be realized using integrated circuit technol-
ogy. Furthermore, when the data transfer rate is not significantly demanding, such as
in the case of the ON/OFF signal in optical stimulation, RF transmission is also
possible, which can realize the behavior experiment of rodents under completely
free-moving condition. However, at present, the size and weight of the RF transmit-
ter and receiver increase when combined with a battery. In addition, owing to the
8 Optical Biosensors: Implantable Multimodal Devices in Freely Moving Rodents 157

large amount of data, it is difficult to secure a certain operating time, as the


transmission speed in current wireless systems is insufficient. Recently, an optical
wireless power and data delivery system was proposed and demonstrated for
optogenetics (Tokuda et al. 2018). Optical wireless technology is promising owing
to its high-speed capabilities combined with compact size.

References
Deisseroth K (2011) Optogenetics. Nat Methods 8:26–29
Ghosh KK, Burns LD, Cocker ED, Nimmerjahn A, Ziv Y, El Gamal A, Schnitzer MJ (2011)
Miniaturized integration of a fluorescence microscope. Nat Methods 8(10):871–878
Goncalves S, Palha JM, Fernandes HC, Souto MR, Pimenta S, Dong T, Yang Z, Ribeiro JF, Correia
JH (2018) LED Optrode with integrated temperature sensing for Optogenetics. Micromachines
9(9):473
Gunaydin LA, Grosenick L, Finkelstein JC, Kauvar IV, Fenno LE, Adhikari A, Lammel S,
Mirzabekov JJ, Airan RD, Zalocusky KA, Tye KM, Anikeeva P, Malenka RC, Deisseroth K
(2014) Natural neural projection dynamics underlying social behavior. Cell 157(7):1535–1551
Haruta M, Kamiyama N, Nakajima S, Motoyama M, Kawahara M, Ohta Y, Yamasaki A,
Takehara H, Noda T, Sasagawa K, Ishikawa Y, Tokuda T, Hashimoto H, Ohta J (2017)
Implantable optogenetic device with CMOS IC technology for simultaneous optical measure-
ment and stimulation. Jpn J Appl Phys 56:057001-1–057001-17
Hecht E (1987) Optics, 2nd edn. Addison-Wesley, Reading, p 171
Kobayashi T, Haruta M, Sasagawa K, Matsumata M, Eizumi K, Kitsumoto C, Motoyama M,
Maezawa Y, Ohta Y, Noda T, Tokuda T, Ishikawa Y, Ohta J (2016) Optical communication with
brain cells by means of an implanted duplex micro-device with optogenetics and Ca2+
fluoroimaging. Sci Report 6(21247):1–13
Nakai J, Ohkura M, Imoto K (2001) A high signal-to-noise Ca2+ probe composed of a single green
fluorescent protein. Nat Biotechnol 19:137–141
Ohta J (2007) Smart CMOS image sensors and applications. CRC Press, Boca Raton
Ohta J, Ohta Y, Takehara H, Noda T, Sasagawa K, Tokuda T, Haruta M, Kobayashi T, Akay YM,
Akay M (2017) Implantable microimaging device for observing brain activities of rodents. Proc
IEEE 105(1):158–166
Takehara H, Ohta Y, Motoyama M, Haruta M, Nagasaki M, Takehara H, Noda T, Sasagawa K,
Tokuda T, Ohta J (2015) Intravital fluorescence imaging of mouse brain using implantable
semiconductor devices and epi-illumination of biological tissue. Biomed Opt Express
6(5):1553–1564
Tokuda T, Ishizu T, Nattakarn W, Haruta M, Noda T, Sasagawa K, Sawan M, Ohta J (2018) 1 mm3-
sized optical neural stimulator based on CMOS integrated photovoltaic power receiver. AIP Adv
8(4):45018
Zorzos AN, Boyden ES, Fonstad CG (2010) A multi-waveguide implantable probe for light
delivery to sets of distributed brain targets. Opt Lett 35(24):4133–4135
Optical Interfacing of Neuronal Activity
9
Qiantao Lv, Dandan Chen, Jing Ning, Xingjiang Zhang, and Yi Sun

Contents
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 160
Neuronal Indicators . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 160
Genetically Encoded Calcium Indicators (GECIs) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 161
Genetically Encoded Voltage Indicators (GEVIs) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 163
Genetically Encoded Neurotransmitter Indicators (GETIs) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 164
Optical Imaging Systems . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 165
Head-Fixed Recording . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 166
Free-Behaving Animal Recording . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 170
Analyzing the Neuronal Activity . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 170
Offline Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 172
Online Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 174
Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 175
References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 175

Abstract
Monitoring electrical activities of the neuronal circuits in the brain is pivotal both
to understanding the brain and to interfacing the brain. While electrical method-
ologies have traditionally made significant contributions to neuroscience and
neuroengineering, optical approaches are evolving rapidly, hastened by the recent

Qiantao Lv and Dandan Chen contributed equally.

Q. Lv · D. Chen · J. Ning · X. Zhang · Y. Sun (*)


Key Laboratory of Growth Regulation and Translation Research of Zhejiang Province,
School of Life Sciences, Westlake University, Hangzhou, Zhejiang, China
Institute of Basic Medical Sciences, Westlake Institute for Advanced Study, Hangzhou,
Zhejiang, China
e-mail: sunyi@westlake.edu.cn

© Springer Science+Business Media, LLC, part of Springer Nature 2022 159


M. Sawan (ed.), Handbook of Biochips,
https://doi.org/10.1007/978-1-4614-3447-4_37
160 Q. Lv et al.

introduction of optogenetic neuronal indicators in combination with novel photon-


ics. In this chapter, we review topics on the optical recording of neuronal activity,
highlighting how molecular tools and optical devices are seamlessly integrated to
readout the neural dynamics in behaving animals. These methods are revolution-
izing our understanding of how neuronal circuits process information.

Introduction

Understanding and controlling neural circuits for behavior are central goals of
neuroscience and neuroengineering, respectively. What sits at the core of behavior
is neural activity. Dynamics of neural activity are highly distributed throughout the
brain and exist across diverse frequencies, posing enormous challenges for recording
and creating neuronal activity. Optical measurements are inherently fast and parallel.
Progress in protein engineering brings about high efficiency tools that offer flexible
transduction between light and neural activity. These molecular devices, namely,
optogenetic sensors and actuators, when combined with novel photonic devices such
as those for wave front engineering, have realized optical readout of neural activity.
When further combined with latest genetic engineering technologies, real-time
single-cell interfacing of neural activity in vivo becomes a possibility.
In this chapter, we introduce the relevant molecular and photonic devices as well
as systems that enable optical recording of neuronal activity.
A prerequisite for precise monitoring of brain activities is simultaneous recording
from large-scale neuronal circuits with cellular resolution on behaving animals.
Toward this end, combination of optogenetic sensors and optical microscopes for
optical recording of neuronal activity is the preferred approach nowadays. Targeted
expression of fluorescent indicators in specific neurons enables optical recording of
specific neural activity with cellular resolution.

Neuronal Indicators

Electrical activity in neurons exists in the form of membrane potential (Vm), which
controls the opening of the voltage-gated calcium channels and thus intracellular
calcium concentrations ([Ca2+]). Calcium concentration in turn regulates neurotrans-
mitters release (Fig. 1). Recently, extensive efforts have been made on developing
indicators for the recording of neuronal activity, focusing on the three components
that reflect dynamic changes in neural activity, namely, Vm, [Ca2+], and neurotrans-
mitters. In particularly, tremendous progress has been made in calcium indicators, as
we highlight below. Indicators for membrane potential and neurotransmitters are also
emerging. Protein sensors generally consist of the sensing domain, which translates
parameters under detection (e.g., Vm, [Ca2+]) into conformational changes, and the
reporting domain that reads out the conformational changes in the form of optical
parameters, generally fluorescence changes. Fluorescent proteins are regularly used
in the reporting domains.
9 Optical Interfacing of Neuronal Activity 161

Fig. 1 Neuronal indicators for key steps of synaptic transmission. Membrane potential changes
such as action potential (AP) propagates across the membrane, GEVIs are capable of capturing
neural activity by detecting membrane potential. AP transmits along the nerve fiber and voltage-
gated calcium channels open accordingly and extracellular Ca2+ flow into the cytoplasm. GECIs
detect the dynamics of intracellular calcium concentration to report neural activity. Ca2+ influx
triggers neurotransmitter release from synaptic vesicles into synaptic cleft, allowing GETIs to
record neural activity

Genetically Encoded Calcium Indicators (GECIs)

Neuronal activity triggers rapid changes in intracellular free calcium concentration.


The concentration of cytoplasmic free Ca2+ is relatively low when cells are at rest
and rises substantially as action potential drives extracellular Ca2+ influx. Therefore,
calcium imaging is widely used to track the activity of individual neurons and neural
populations.
Small-molecule synthetic dyes are sensitive and rapid calcium indicators to
measure neuronal spiking. However, several limitations of synthetic calcium indi-
cators (e.g., difficulty in targeting specific cell types, invasiveness, and toxicity)
prevented its widespread adoption, especially for in vivo studies.
GECIs recently emerged as the preferred calcium indicators. Combination of
GECIs and targeted expression systems enables long-term noninvasive monitoring
of specific neurons. There are two different forms of GECIs that act differently in
principle. The single fluorophore GECI, GCaMP, consist of circularly permuted
green fluorescent protein (cpGFP), the calcium-binding protein calmodulin (CaM)
and CaM-interacting M13 peptide (Fig. 2a). The fluorescence intensity of cpGFP is
modulated by calcium-binding-dependent changes in the fluorophore environment.
Two fluorophore GECIs (Fig. 2b) are based on fluorescence resonance energy
transfer (FRET) between fluorescent proteins. Structure-guided mutagenesis and
screening has yielded improved GCaMP variants and made GCaMPs the most
162 Q. Lv et al.

Fig. 2 GECI architecture. (a) Architecture of single fluorophore GECI. Single fluorophore GECI
consists of cpFP, CaM, and CaM-interacting M13 peptide. (b) Architecture of FRET-based GECIs
that report calcium binding through fluorescence resonance energy transfer between two fluorescent
proteins. (c) Kinetic variants and spectral variants of GCaMP. CaM-based mutagenesis produces
kinetic variants, while replacing cpGFP leads to spectral variants

Fig. 3 Optical recording of neuronal activities with GCaMPs in sensory and motor systems.
(a–b) Two-photon imaging of the fly olfactory circuits with GCaMP6 (Chen et al. 2013), GCaMP6f
captures the ON and OFF kinetics of odor responses in PN neurons. (c–d) Widefield imaging with
electrical stimulation using GCaMP6/7 in fly neuromuscular junction (Dana et al. 2019), showing
strong calcium responses to individual spikes (black ticks in panel d) in motoneurons

widely used GECIs. GCaMP6 variants outperform other GECIs as well as synthetic
calcium dyes with greatly improved properties (Fig. 3a–b), enabling reliable detec-
tion of single action potential and exhibit excellent signal-to-noise ratio (SNR)
(Chen et al. 2013). The latest jGCaMP7 family feature much improved sensitivity
while offering enhanced brightness, thus demonstrating unprecedented SNR (Dana
et al. 2019) (Fig. 3c–d).
9 Optical Interfacing of Neuronal Activity 163

TB t1 t2 t3
a b
1,020nm PMT1

PMT2
Max
c Ring 5 µm

Min

d t1 t2 t3

100% AF/F0 200% AF/F0


Glomerulus 3

50 s

Fig. 4 Two-color two-photon calcium imaging (Sun et al. 2017). (a) Schematic of the setup for
two-color two-photon calcium imaging of the fly visual system. PMT, photomultiplier tube. (b, c)
Representative fluorescence snapshots of the presynaptic TB neurons (b) and postsynaptic ring
neurons (c). (d) Example traces of a pair of presynaptic TB (green) and postsynaptic ring (purple)
neurons (G3 in b and c), showing the transformation across the synapse

Red-shifted GECIs (Fig. 2c) exhibit several advantages such as compatibility


with blue light excited opsins such as Channelrhodopsin 2 (ChR2), deeper penetra-
tion, and less phototoxicity. In addition, it enables dual-color calcium imaging (Sun
et al. 2017) when combined with GCaMP (Fig. 4). The architecture of red GECIs is
similar to GCaMPs, with circularly permuted RFPs (mApple and mRuby) replacing
cpGFP. Currently the most advanced red GECIs include mApple-based jRGECO1a
and mRuby-based jRCaMP1a/b, each with pros and cons. jRGECO1a is the most
sensitive, albeit suffering from photoswitching. jRCaMP1a/b are photostable,
though less sensitive (Dana et al. 2016). There are still some challenges for red
GECIs, such as inferior maximum fluorescence changes, relatively low SNR and
protein precipitation. To further expand the spectral palette, quadricolor GECIs
called XCaMPs have been developed (Inoue et al. 2019). Detailed comparisons of
different GECI variants are listed in Table 1.

Genetically Encoded Voltage Indicators (GEVIs)

Monitoring membrane potential is the most straightforward way for measur-


ing neuronal activity. Small molecule voltage-sensitive dyes were tradition-
ally used for tracking electrical events with high spatiotemporal resolution
and sensitivity, yet falling short of toxicity and targeting specificity as all
chemical dyes.
164 Q. Lv et al.

Table 1 Comparison of GECIs. Properties of different GECI variants are listed


Variants Properties
jGCaMP7 jGCaMP7s (“sensitive”): High response to small APs, fivefold larger ΔF/F0
amplitude and faster rise time than GCaMP6s
jGCaMP7b (“bright”): Threefold increase in 1 AP ΔF/F0 response and 50%
increase in resting fluorescence
jGCaMP7c (“contrast”): Lower resting fluorescence, 1.7-fold increase in 1 AP
response and greater ΔF/F0 to longer (20–160) AP trains
jGCaMP7f (“fast”): Threefold larger 1 AP ΔF/F0 than GCaMP6f, 1 AP half-rise
time: 27  2 ms, half-rise time: 265  20 ms
GCaMP6 Fast, sensitive, and bright
jRGECO1a Fast decay kinetics, peak response amplitudes of jRGECO1a and jRCaMP1a
outperform GCaMP6s in the 15 Hz stimulation range (single AP ΔF/F0 amplitude
11.6  0.9%, 8.6  0.5%, and 4.5  0.3%, respectively)
mApple-based, photoswitching
jRCaMP1 Enhanced imaging depth (down to 900 μm); mRuby-based, no photoswitching
XCaMPs Multicolor; larger ΔF/F0, improved SNR

Targeted expression of GEVIs solves this problem. GEVIs are generally com-
posed of the voltage-sensing domain and the fluorescent protein domain. The
voltage-sensing domains are adapted from either voltage-sensitive phosphatase
(VSP), voltage-dependent microbial rhodopsin, or voltage-gated ion channels.
VSP-based GEVI ArcLight robustly detected subthreshold events and action poten-
tials with dramatically improved signals and SNR ratio in genetically targeted
neurons (Cao et al. 2013). Accelerated Sensor of Action Potentials (ASAP), a
voltage sensor design in which a cpGFP is inserted in an extracellular loop of a
voltage-sensing domain, demonstrated on and off kinetics of ~2 ms, reliably detected
single action potentials and subthreshold potential changes, and tracked trains of
action potentials up to 200 Hz in single trials. VSP-based ASAP2f has the ability to
record responses in dendrites and axons; such subcellular resolution facilitates the
observation of transformations in the sign, kinetics, and amplitude across synapses
(Yang et al. 2016a). Acetabularia acetabulum rhodopsin-based GEVIs exhibit fast
response (<1 ms) to resolve action potentials. SomArchon reports both spikes and
subthreshold voltage dynamics in awake, behaving mice (Piatkevich et al. 2019).
Voltage-activated red neuronal activity monitor (VARNAM), a fusion of the fast
Acetabularia opsin and the bright red fluorophore mRuby3, enables dual-color
imaging (Kannan et al. 2018). Voltron, which uses bright and photostable synthetic
dyes instead of protein-based fluorophores, extends the number of neurons imaged
simultaneously in vivo and enables imaging for significantly longer durations
relative to existing GEVIs (Abdelfattah et al. 2019). Detailed comparisons of
different GEVI variants are listed in Table 2.

Genetically Encoded Neurotransmitter Indicators (GETIs)

In chemical synapses, neurons use neurotransmitters as messengers to transmit


information (Fig. 1). Neurotransmitters, including neuromodulators, play important
9 Optical Interfacing of Neuronal Activity 165

Table 2 Comparison of GEVIs. Properties of different GEVI variants are listed


Variants Properties
ArcLight Report subthreshold events and AP, improved signals and SNR ratio
ASAP2 Enable two-photon imaging of graded potentials, subcellular spatial resolution
Voltron Uses bright and photostable synthetic dyes instead of protein-based fluorophores,
allows single-trial recording of spikes and subthreshold voltage signals
Archon Millisecond response, compatible with optogenetic control, increased sensitivity
and SNR
Ace2N- Bright, fast, resolving fast spike trains with 0.2-ms timing precision
mNeon
VARNAM Red-shifted, enable all-optical electrophysiology and dual-color spike imaging,
enhanced sensitivity to subthreshold voltages

roles in animal behavior, and measurement of neurotransmitter release helps to


understand what information modulatory neurons represent and how animal behav-
iors are modulated. Electrochemical techniques were employed to detect the con-
centration of neurotransmitters, but the spatial and temporal resolution cannot be
obtained reliably and cellular resolution is hard.
In order to detect neurotransmitter release events that occur on subsecond time
scale, fluorescent protein-based sensors were developed. For example, dLight1, a set
of single FP-based dopamine sensors, can reliably detect and image dopamine
transients with high spatial and temporal resolution in behaving animals (Patriarchi
et al. 2018). GPCR-activation-based-DA (GRABDA) sensors exhibit large fluores-
cence increases (~90%) with subcellular resolution, subsecond kinetics, nanomolar
to submicromolar affinities, and excellent molecular specificity (Sun et al. 2018). A
family of ACh sensors (GACh) has the sensitivity, specificity, signal-to-noise ratio,
kinetics, and photostability suitable for monitoring Ach signals in vitro and in vivo,
providing a broadly applicable tool for monitoring cholinergic transmission (Jing
et al. 2018). Variants of intensity-based glutamate-sensing fluorescent reporter
(iGluSnFR) can detect submicromolar to millimolar amounts of glutamate and
have blue, cyan, green, or yellow emission profiles (Marvin et al. 2018). Variants
of GABA sensing fluorescence reporter (iGABASnFR) detect GABA release
evoked by electric stimulation and produce readily detectable fluorescence increases
in vivo (Marvin et al. 2019). Detailed properties of different GETI variants are listed
in Table 3.

Optical Imaging Systems

While neuronal indicators perform electro-optical transduction by changing neural


activity signal into fluorescence changes, the optical microscopes carry out opto-
electrical transduction by recording such changes into electronic signal and eventu-
ally present them in digital format. Optical imaging is well suited for large-scale
recording from three-dimensional structures such as the brain. Both 2D planes and
3D volumes can be examined by optical imaging. Temporal resolution is critical to
these systems as the transients of the neural responses occur rapidly.
166 Q. Lv et al.

Table 3 Comparison of GETIs. Properties of different GETI variants are listed


Variants Properties
dLight1 DA sensor, subsecond resolution, submicromolar affinity, fast kinetics, fast
temporal resolution (10 ms on, 100 ms off)
GRABDA DA sensor, exhibit large fluorescence increases (ΔF/F0 ~ 90%) with subcellular
resolution, subsecond kinetics, nanomolar to submicromolar affinities, and
excellent molecular specificity, resolve a single-electrical stimulus-evoked DA
release
iGluSnFR Glutamate sensor, bright, sensitive, have blue, cyan, green, or yellow emission
profiles, allow imaging at kilohertz rates
iGABASnFR GABA sensor, detect GABA release and produce readily detectable fluorescence
GACh Acetylcholine sensor, photostability, weak pH dependency, response kinetics
(280  32 ms on, 762  75 ms off), sensitive, specific

Head-Fixed Recording

A major consideration for in vivo imaging system design is the behavioral state of
the animal under examination. Movement is detrimental to imaging, although
corrections can be made via computational approaches, as discussed below. Tradi-
tionally, physiological recordings were carried out in vitro. Anesthetization confers
convenience to imaging by keeping animals stationary. However, the neuronal
activities differ dramatically between the awake and the anesthetized states. A
good balance between physiology and behavior is recording from head-fixed ani-
mals in a virtual reality environment. Recording from head-fixed animals during
locomotion is usually carried out on a treadmill ball, where simultaneous brain
imaging and ball tracking are performed.

2D Imaging
For laser scanning microscopy, the image is sequentially acquired by scanning
(Fig. 5). The most commonly used scanners are galvanometric mirrors and resonant
scanners (Fig. 6). As the mirror position changes, the laser spot sweeps across the
focal plane. The sequential nature of acquisition of every pixel in the field of view
limits the temporal resolution, although the scanning rates can be increased by
reducing the number of sample points. To optimize the scanning efficiency of raster
imaging, Kazemipour et al. (2019) developed the scanned line angular projection
microscopy (SLAP) technique (Fig. 7a) to scan lines at different angel and recon-
struct the image at a rate up to 1 kHz at depth over 250 um in vivo in head-fixed
mice. It is also possible to monitor the action potentials and neurotransmitter release
at high frame rate (Kazemipour et al. 2019). Acousto-optic deflector (AOD) is an
alternative way (Nadella et al. 2016). AOD deflects the laser according to the
wavelengths of acoustic waves inside it, acting like a diffraction grating. In this
way, the scan angel can be changed by AOD regularly. Two AODs or combination of
one AOD and a galvo mirror can be used for 2D scanning. Detailed comparisons of
2D scanning methods are listed in Table 4.
9 Optical Interfacing of Neuronal Activity 167

Fig. 5 Lateral scanning strategy. (a) Raster scanning. (b) Spiral scanning. (c) Random scanning

Fig. 6 Two-photon
schematics. The laser beam
scans across different
positions of the sample via a
pair of galvanometric mirrors

3D Imaging
Neural circuits are three-dimensional in nature, thus it is important to develop 3D
imaging techniques to record from a large number of neurons simultaneously.
Optogenetic indicators feature fast kinetics (Chen et al. 2013; Dana et al. 2016,
2019), and imaging system should offer comparable temporal resolution. The
simplest way is to mechanically move the objective focal plane to different axial
planes, usually through piezoelectric motors, and perform 2D imaging on each plane
(Fig. 8a). However, the speed of axial scanning is limited due to the high inertia of
the objectives. To solve this issue, remote focusing (Fig. 8b) was developed, where
168 Q. Lv et al.

Fig. 7 Prior based scanning strategies. (a–c) Scanned line angular projection microscopy
(SLAP) (Kazemipour et al. 2019). (a) Comparison of scanning strategy of raster scanning and
SLAP scanning. (b) SLAP scanning in iGluSnFR-expressing dendrites. Shaded region is blocked
by SLM. (c) Example of SLAP recordings. (d–f) Random-access scanning microscopy using AOD
(Nadella et al. 2016). (d) Schematic diagram showing increasing the speed via AOD scanning. (e)
Partial reconstruction of a layer 5 neuron within the imaging volume (red box) together with the
location of three user-selected patches intersecting the somata, apical dendrite, and distal dendritic
tuft. (f) Averaged images of the somata and dendritic structures scanned near-simultaneously at
100 ns/voxel in the 3 patches

the objective remains stationary while an auxiliary objective and a moveable mirror
upstream realize the focal shift. The relatively light-weighted mirror makes it
possible to move rapidly. Botcherby et al. (2012) described a remote focusing system
scanning more than 200 μm deep without aberration with a speed comparable to the
galvanometer system. The alternative is to optically change the focus without
mechanical movements (Fig. 8c). One important factor that limits the imaging
speed of piezoelectric motor driven objectives is the axial shifting time between
different planes. Yang et al. (2016b) used spatial light modulator (SLM) to switch
9 Optical Interfacing of Neuronal Activity 169

Table 4 Comparison of 2D scanning methods. Properties of different 2D scanning methods are


listed
Scanning Sampling
methods Scanning speed Temporal resolution strategy
Galvo + Galvo <1 kHz per line (Dana et al. 2019) <10 frame per second Succession
Galvo + 4–12 kHz 8000 lines/s, 240 lines Succession
resonant Galvo per frame
Galvo + AOD Between a few kilohertz to a few >1 kHz at 80 scan lines Random
thousand kilohertz per frame
AOD + AOD Up to a few thousand kilohertz 500 Hz in seven multi- Random
(Nadella et al. 2016) site recordings

Fig. 8 Axial imaging strategies. (a) Objective movement. (b) Remote focusing with galvo or
voice coil motor. (c) Defocusing. (d) Multiplexing, including multifocal multiphoton (upper right)
and temporal multiplexing (lower right)

between different planes in less than 3 ms. In many cases, the neurons of interest are
sparsely distributed, so conventional methods fall short of efficiency by scanning
along due courses covering regions without objects. Random scanning can solve this
problem (Fig. 7d) by free-focusing with AOD, making it possible to scan between
regions of interest. In addition to sequential approaches, multiplexing, either through
spatial multiplexing via multifocal beamlets or temporal multiplexing with nanosec-
ond delay, provides a further dimension (Fig. 8d). Finally, as the temporal resolution
of volume imaging is mainly limited by the axial scanning rate, the frame rate can be
increased significantly when acquiring the volume with 2D scanning, which is
feasible for sparsely labeled samples. In this regard, Lu et al. (2017) achieved
volume scanning in vivo at a rate of 30 Hz by elongating the Bessel beam, which
is equivalent to 2D scanning. Detailed comparisons of 3D scanning methods are
listed in Table 5.
The impulse response of imaging systems, generally called the point-spread func-
tion (PSF), characterize its spatial resolution, with smaller and sharper PSF providing
better spatial resolution. Thus PSF engineering provides the potential to leverage
between spatial resolution and speed, both with two-photon implementations
(Fig. 9a–c, temporal focusing, Bessel beam, vTwins) (Lu et al. 2017; Prevedel et al.
2016; Song et al. 2017) and one-photon light-sheet or lightfield imaging (Fig. 9d–f)
(Power and Huisken 2017; Bouchard et al. 2015; Prevedel et al. 2014).
170 Q. Lv et al.

Table 5 Comparison of 3D scanning methods. Properties of different 3D scanning methods are


listed
Scanning
methods Scanning range Volume imaging rate Strategy
Piezo- Tens of microns (Dana Most are no more than 10 Hz Mechanical
controlled et al. 2019) movement
objectives
Remote Over 200 um Over 300 Hz for arbitrary Mechanical
focusing (Botcherby et al. 2012) trajectories in 3D (Botcherby et al. movement
2012)
Electrically Hundreds of Two planes at 30 Hz Wave front
tunable lenses micrometers control
(ETL)
Spatial light Over 500 um depth <3 ms transition between Wave front
modulator (Yang et al. 2016b) different depths (Yang et al. control
(SLM) 2016b)
Acoustic-optic Hundreds of 56 Hz for 400 um  400 um  Wave front
deflector micrometers (Nadella 500 um volume control
(AOD) et al. 2016)
Multiplexing Up to 1 mm 17 Hz within large volume Multiple
(Weisenburger et al. (Weisenburger et al. 2019) foci
2019)

Finally, combinations of abovementioned methods yield practically useful sys-


tems (Fig. 10). 2-photon random access mesoscope (2p-RAM) (Sofroniew et al.
2016) combines galvo pairs in lateral scanning with remote focusing in axial
scanning, realizing single cell resolution in both direction with a 2 Hz frame rate
for 16 mm3 volume. Hybrid multiplexed sculpted light microscopy (HyMS)
(Weisenburger et al. 2019) combines several state-of-the-art technologies to reach
large volume high-speed imaging, with 1.22 mm3 volume at a frame rate up to 17 Hz.

Free-Behaving Animal Recording

While behavior can be recorded in head-fixed animals, imaging from free-behaving


animals is desirable, especially during social interactions. Conventional microscopes
are not compatible with wearable applications due to their large footprint, therefore
extensive miniaturization is required, for both one-photon and two-photon applica-
tions (Fig. 11). For these techniques, the devices are mounted on the head of free-
behaving animals with imaging information collected through the miniaturized
scopes (Ghosh et al. 2011; Zong et al. 2017; Skocek et al. 2018).

Analyzing the Neuronal Activity

Neural dynamics of the circuits are high dimensional in nature, generated by


functional imaging. Image analysis is therefore required to extract the neuronal
activities cell by cell.
9 Optical Interfacing of Neuronal Activity 171

Fig. 9 PSF engineering (Lu et al. 2017; Prevedel et al. 2014, 2016; Song et al. 2017; Power and
Huisken 2017; Bouchard et al. 2015). Schematics of different imaging strategies (i) and the PSFs
(ii). According to the acquisition mode, the imaging modalities can be categorized into zero-
dimensional (abbreviated as 0D as follows) (a), 1D (b, c), 2D (d, e), and 3D (f). For 0D and 1D
imaging modalities, the photons are collected by the point detectors (shown at the bottom) while the
array detectors collect the photon for 2D and 3D imaging modalities
172 Q. Lv et al.

Fig. 10 Imaging systems combining multiple technologies. (a) Layout of two-photon random
access mesoscope (2p-RAM) including remote focusing unit and lateral scanning unit (Sofroniew
et al. 2016). (b) Schematic of the HyMS microscope including multiplexing, temporal focusing, and
remote focusing modules (Weisenburger et al. 2019). (c) Simultaneous volumetric imaging using
HyMS microscope: c(i) HyMS microscope configuration. c(ii) HyMS imaging of posterior parietal
cortex and hippocampus CA1 simultaneously. c(iii) Ca2+ traces of thousands of active neurons and
zoom-in of example traces

Offline Analysis

The pipeline of offline analysis could be categorized into three major steps: pre-
processing, segmentation, and deconvolution.

Preprocessing
As a major obstacle to functional recording, displacements inevitably occur in the
process of in vivo imaging. Displacements are detrimental to image analysis and
motion correction is essentially required to spatially align the image sequences, as
the subsequent source extraction step requires temporal neighborhood information.
The most common motion is lateral motion, where the movement of the images can
be regarded as rigid body motion. On the other hand, tissue deformation and
9 Optical Interfacing of Neuronal Activity 173

a CMOS b
SFB
Collecting
Emission lens
Focusing filter Dichroic
mechanism Collector Holder mirror

Achromat lens
lens
LED MEMS
Dichroic
Excitation
mirror Scan lens
filter
5mm Objective 10 mm Objective

c
CMOS sensor
Microlens array

Tube lens
Filters

LED
Baseplate Ball lens
GRIN objective Filter

Fig. 11 Schematics of wearable scopes for neural activity detection in free-behaving animals.
(a) Cross-section view of an integrated microscope designed by Ghosh et al. (2011). (b) A 3D view
and a cross-section view of the miniature microscope designed by Zong et al. (2017). (c) A head-
mounted miniaturized light-field microscope (MiniLFM) designed by Skocek et al. (2018)

displacements during scanning process leads to nonrigid motion. For rigid motion,
template-based rigid registration employs a template image to align every other
images, where the template image is generated by averaging frames with negligible
motion sampled from raw imaging sequences (Sun et al. 2017). Nonrigid motion can
be fitted with combinations of rigid motion, for example, by splitting the frame into
multiple blocks, and each one is registered and then stitched together to synthesize
the final result. While template-based registration is widely used, it requires extra
time for template generation thus does not lend well to real-time analysis. Alterna-
tively, the tracking-based methods perform registration by detecting bright cells as
landmarks, thus suitable for real-time analysis. Displacement along the axial dimen-
sion is more challenging to correct as it introduces defocusing, which can be
compensated for by shifting the focus in real time.
In order to achieve high temporal resolution, SNR needs to be balanced with
scanning speed. Therefore, denoising steps are often added before analysis to
remove meaningless signal components. PCA (Principal Component Analysis)
decomposes data into components with differed variances, and higher-order com-
ponents accounting for small variances from background noise can be removed.
Statistical noise model-based methods are also used for denoising.
174 Q. Lv et al.

Segmentation
Segmentation, also called cell sorting or demixing, delineates individual cells and
even subcellular compartments out of images. In the early days, cell sorting gener-
ally relied on manual or semi-automated methods. With the development of imaging
technology, the increasing amount of data challenges manual operation. Moreover,
empirical approaches are difficult to define the region boundary accurately.
Segmentation purely based on anatomical information, regardless of spatial-
temporal attributes, leads to extraction of non-active cells or missing active but
weak cells. To solve this problem, spatial-temporal correlations can be considered
for identifying covarying pixels that represents dendrites (Sun et al. 2017), but pixel-
pixel correlation calculation is time-consuming, thereby restricted regions need to be
selected manually. Nowadays, the dominant methods for source extraction are
activity-based, for the purpose of finding the regions with significant activity in
the field of view. These approaches consider the source extraction as a decomposing
problem. A common approach for decomposition is Independent Component Anal-
ysis (ICA), often used for blind signal extraction. Combined with constrained
deconvolution method, constrained nonnegative matrix factorization (CNMF)
(Pnevmatikakis et al. 2016) imposes sparseness constraint and deals with over-
lapping of neuron sources. This framework can perform denoising, deconvolution,
and demixing simultaneously and requires minimal parameter tuning. With addi-
tional labeling for ground truth and training process, supervised learning methods
identify somata efficiently.

Deconvolution
The fluorescence intensity sequence of calcium responses can be seen as the
convolution of Vm with specific calcium response kernel. The goal of deconvolution
is to restore the spike train from the fluorescence sequence. Temporal deconvolution
with an exponentially decaying kernel on filtered signals generates an estimation of
[Ca2+], and probabilistic models further give rise to discrete spike events. Since the
ground truth training sets are usually generated by simultaneously recording of
electrophysiology along with calcium imaging (Chen et al. 2013; Dana et al. 2016,
2019), the usage of deconvolution is limited.

Online Analysis

Currently, functional imaging is leaning toward real-time processing of large data


volume. This is particularly true for closed-loop experiments with dynamically
changing sensory input or optogenetic stimulation (Vladimirov et al. 2018). Parallel
processing on computing clusters which has been widely used by the industry is also
suitable for large-scale imaging data analysis, and frameworks for this purpose were
introduced (Freeman et al. 2014). Parallelization can be achieved by splitting images
apart and processing separately with a final combination operation. Deep
learning-based methods can be fast and accurate with appropriate training data and
fine-tuning of parameters, thereby suitable for online analysis. Unsupervised
9 Optical Interfacing of Neuronal Activity 175

learning-based methods facilitate information of history data without these specific


processes. Toolsets for complete pipeline for all the three steps discussed above are
also available and most of them are qualified for real-time processing (Marius
Pachitariu et al. 2017; Giovannucci et al. 2019).
High-performance hardware including can accelerate data analysis. Since most
image processing problems are computationally intensive, GPUs that provide par-
allelism are more suitable for processing images. Furthermore, large-scale neural
data would require a private cluster (Freeman et al. 2014). Rational use and alloca-
tion of computing resources can help researchers analyze data faster and increase
productivity. Interactive interfacing of neural activity requires real-time data analysis
to form closed-loop. By recording and analyzing the neural data in real time, specific
behavioral stimuli or neural manipulation (such as activation or inhibition of specific
neurons) can be applied to the animal based on the results of the analysis, and
changes in behavior and neural activity can be monitored accordingly. In order to
ensure the real-time performance of the closed-loop stimulation, it is necessary to
speed up the processing of the neural data. The time cost of closed-loop stimulation
mainly includes data acquisition, data processing, and stimulus delivery. Data
acquisition and stimulus delivery requires relatively simple control system, and the
delay is relatively short. On the other hand, data processing could consume substan-
tial amount of time. To maximize the speed of data processing, embedded systems
such as MCU (microcontroller unit), DSP (digital signal processor), FPGA (field
programmable gate array), or their combinations are usually employed. Under such
framework, FPGA mainly performs image forwarding (switching between various
video interfaces, memories, and buses), while DSP is used to perform complex
image processing algorithms. Recently GPU-based platforms for complex pro-
cessing are emerging that facilitates machine learning-based image. For very large
data, the computing cluster can also be used (Vladimirov et al. 2018). In summary,
the experimenter should leverage the experimental requirements and the develop-
ment cost to select the appropriate data processing platform.

Conclusion

As we have shown in this chapter, tremendous progress has been made in the
development of optogenetic indicators, optical imaging systems, and image analysis
methods. Such interdisciplinary efforts have already made profound changes in the
field of systems neuroscience as well as neuroengineering. When combined with the
ongoing development of optogenetic stimulation and closed-loop systems, this
would enable novel paradigms in understanding and interfacing the brain.

References
Abdelfattah AS et al (2019) Bright and photostable chemigenetic indicators for extended in vivo
voltage imaging. Science 365:699–704. https://doi.org/10.1126/science.aav6416
176 Q. Lv et al.

Botcherby EJ et al (2012) Aberration-free three-dimensional multiphoton imaging of neuronal


activity at kHz rates. Proc Natl Acad Sci U S A 109:2919–2924. https://doi.org/10.1073/
pnas.1111662109
Bouchard MB et al (2015) Swept confocally-aligned planar excitation (SCAPE) microscopy for
high speed volumetric imaging of behaving organisms. Nat Photonics 9:113–119. https://doi.
org/10.1038/nphoton.2014.323
Cao G et al (2013) Genetically targeted optical electrophysiology in intact neural circuits. Cell
154:904–913. https://doi.org/10.1016/j.cell.2013.07.027
Chen TW et al (2013) Ultrasensitive fluorescent proteins for imaging neuronal activity. Nature
499:295–300. https://doi.org/10.1038/nature12354
Dana H et al (2016) Sensitive red protein calcium indicators for imaging neural activity. elife
5. https://doi.org/10.7554/eLife.12727
Dana H et al (2019) High-performance calcium sensors for imaging activity in neuronal populations
and microcompartments. Nat Methods 16:649–657. https://doi.org/10.1038/s41592-019-0435-6
Freeman J et al (2014) Mapping brain activity at scale with cluster computing. Nat Methods
11:941–950. https://doi.org/10.1038/nmeth.3041
Ghosh KK et al (2011) Miniaturized integration of a fluorescence microscope. Nat Methods
8:871–878. https://doi.org/10.1038/nmeth.1694
Giovannucci A et al (2019) CaImAn an open source tool for scalable calcium imaging data analysis.
elife 8. https://doi.org/10.7554/eLife.38173
Inoue M et al (2019) Rational engineering of XCaMPs, a multicolor GECI suite for in vivo imaging
of complex brain circuit dynamics. Cell 177:1346–1360.e24. https://doi.org/10.1016/j.
cell.2019.04.007
Jing M et al (2018) A genetically encoded fluorescent acetylcholine indicator for in vitro and in vivo
studies. Nat Biotechnol 36:726–737. https://doi.org/10.1038/nbt.4184
Kannan M et al (2018) Fast, in vivo voltage imaging using a red fluorescent indicator. Nat Methods
15:1108–1116. https://doi.org/10.1038/s41592-018-0188-7
Kazemipour A et al (2019) Kilohertz frame-rate two-photon tomography. Nat Methods
16:778–786. https://doi.org/10.1038/s41592-019-0493-9
Lu R et al (2017) Video-rate volumetric functional imaging of the brain at synaptic resolution. Nat
Neurosci 20:620–628. https://doi.org/10.1038/nn.4516
Marius Pachitariu CS, Dipoppa M, Schröder S, Rossi LF, Dalgleish H, Carandini M, Harris KD
(2017) Suite2p: beyond 10,000 neurons with standard two photon microscopy. bioRxiv. https://
doi.org/10.1101/061507
Marvin JS et al (2018) Stability, affinity, and chromatic variants of the glutamate sensor iGluSnFR.
Nat Methods 15:936–939. https://doi.org/10.1038/s41592-018-0171-3
Marvin JS et al (2019) A genetically encoded fluorescent sensor for in vivo imaging of GABA. Nat
Methods 16:763–770. https://doi.org/10.1038/s41592-019-0471-2
Nadella KM et al (2016) Random-access scanning microscopy for 3D imaging in awake behaving
animals. Nat Methods 13:1001–1004. https://doi.org/10.1038/nmeth.4033
Patriarchi T et al (2018) Ultrafast neuronal imaging of dopamine dynamics with designed geneti-
cally encoded sensors. Science 360. https://doi.org/10.1126/science.aat4422
Piatkevich KD et al (2019) Population imaging of neural activity in awake behaving mice. Nature
574:413. https://doi.org/10.1038/s41586-019-1641-1
Pnevmatikakis EA et al (2016) Simultaneous denoising, deconvolution, and demixing of calcium
imaging data. Neuron 89:285–299. https://doi.org/10.1016/j.neuron.2015.11.037
Power RM, Huisken J (2017) A guide to light-sheet fluorescence microscopy for multiscale
imaging. Nat Methods 14:360–373. https://doi.org/10.1038/nmeth.4224
Prevedel R et al (2014) Simultaneous whole-animal 3D imaging of neuronal activity using light-
field microscopy. Nat Methods 11:727–730. https://doi.org/10.1038/nmeth.2964
Prevedel R et al (2016) Fast volumetric calcium imaging across multiple cortical layers using
sculpted light. Nat Methods 13:1021–1028. https://doi.org/10.1038/nmeth.4040
9 Optical Interfacing of Neuronal Activity 177

Skocek O et al (2018) High-speed volumetric imaging of neuronal activity in freely moving rodents.
Nat Methods 15:429–432. https://doi.org/10.1038/s41592-018-0008-0
Sofroniew NJ, Flickinger D, King J, Svoboda K (2016) A large field of view two-photon mesoscope
with subcellular resolution for in vivo imaging. elife 5. https://doi.org/10.7554/eLife.14472
Song A et al (2017) Volumetric two-photon imaging of neurons using stereoscopy (vTwINS). Nat
Methods 14:420–426. https://doi.org/10.1038/nmeth.4226
Sun Y et al (2017) Neural signatures of dynamic stimulus selection in Drosophila. Nat Neurosci
20:1104–1113. https://doi.org/10.1038/nn.4581
Sun F et al (2018) A genetically encoded fluorescent sensor enables rapid and specific detection of
dopamine in flies, fish, and mice. Cell 174:481–496.e419. https://doi.org/10.1016/j.
cell.2018.06.042
Vladimirov N et al (2018) Brain-wide circuit interrogation at the cellular level guided by online
analysis of neuronal function. Nat Methods 15:1117–1125. https://doi.org/10.1038/s41592-018-
0221-x
Weisenburger S et al (2019) Volumetric Ca(2+) imaging in the mouse brain using hybrid multi-
plexed sculpted light microscopy. Cell 177:1050–1066.e1014. https://doi.org/10.1016/j.
cell.2019.03.011
Yang HH et al (2016a) Subcellular imaging of voltage and calcium signals reveals neural processing
in vivo. Cell 166:245–257. https://doi.org/10.1016/j.cell.2016.05.031
Yang W et al (2016b) Simultaneous multi-plane imaging of neural circuits. Neuron 89:269–284.
https://doi.org/10.1016/j.neuron.2015.12.012
Zong W et al (2017) Fast high-resolution miniature two-photon microscopy for brain imaging in
freely behaving mice. Nat Methods 14:713–719. https://doi.org/10.1038/nmeth.4305
Optogenetic Implants
10
Hubin Zhao

Contents
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 180
Biomedical Applications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 182
Design Requirements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 183
Miniaturized Size . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 183
Device Materials . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 184
Fabrication Process . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 184
Thermal Effect . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 184
Power Consumption and Power Delivery . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 184
Light Sources . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 185
Neural Inhibition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 185
Intensity Programmability . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 185
Spatial-Temporal Resolution . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 186
Closed-Loop System . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 186
Integrated and Intelligent Devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 186
Diagnostic Function . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 187
Technology Developments . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 187
Discrete Optogenetic Implants . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 187
Integrated Optogenetic Implants . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 192
Design Example: HUBIN Optrode – A Microchip-Based Optogenetic Implant . . . . . . . . . . . . . . 199
Open-Loop HUBIN Optrode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 199
Closed-Loop HUBIN Optrode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 202
Exploration: Scalable Architecture of HUBIN Optrode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 202
Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 202
References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 204

Abstract
Using optogenetics for neuromodulation demonstrates a high potential, and it
may become a useful tool to analyze complicated neural circuits and provide an

H. Zhao (*)
Department of Medical Physics and Biomedical Engineering, University College London,
London, UK
e-mail: hubin.zhao@ucl.ac.uk

© Springer Science+Business Media, LLC, part of Springer Nature 2022 179


M. Sawan (ed.), Handbook of Biochips,
https://doi.org/10.1007/978-1-4614-3447-4_48
180 H. Zhao

effective gene therapy for chronic brain illnesses. One of the key challenges is to
develop a miniaturized, intelligent, integrated, multi-site/multilayer, multimodal
optogenetic implant. This chapter first introduces the optogenetics and its typical
biomedical applications. Then this chapter gives an overview of the recent
advance in the technology developments in optogenetic implants. Both discrete
optogenetic implants and integrated optogenetic implants are described. Particu-
larly, a microchip-based integrated approach (HUBIN optrode) demonstrated a
possibility toward the development of new-generation intelligent, integrated,
miniaturized, multimodal optogenetic implants.

Keywords
Optogenetics · Implants · Microchip · Intelligent · Multimodal · Neural
Stimulation · Neural Recording · Closed-loop

Introduction

Optogenetics, a combination of genetic and optical methods for neuromodulation,


can enable individual neurons controlled by light. This technology thus can have the
feasibility to be a useful neuroscience tool to explore complicated neural circuits and
potentially provide effective gene therapies for chronic brain illnesses such as
Parkinson’s disease, blindness, and epilepsy (Zhao 2017).
The first exploration of using light for neural stimulation was performed at
1971, and a blue light source was adopted to successfully generate action poten-
tials in Aplysia ganglia. Subsequently, at 1999, Nobel laureate Francis Crick came
up with a delicate assumption of optogenetics: a new optical method which could
be used to trigger or silence specific types of neurons without any influence on
other neuron populations (Zhao 2017; Fan and Li 2015). In the past 20 years,
numerous attempts of optogenetic tools have been made, but these tools are stem
from exogenous cofactors or combining the expression of different types of pro-
teins, which are challenging to be applied into in vivo studies (Kravitz and
Kreitzer 2011). In the year 2003, Nagel et al. found an expression of a single
photosensitive protein – ChR2, which can be transgenically expressed in individ-
ual nerve cells; with appropriate illumination from light sources (~470 nm wave-
length), the photosensitized neurons can be activated. This new optogenetic
method has been increasingly adopted to control both in vitro and in vivo neuronal
activities with relatively precise spatial-temporal resolution (Boyden et al. 2005;
Nagel et al. 2005). While ChR2 demonstrated great potential and suitability to
activate neural activities, an increasing demand for a specific tool that can be used
to silence nerve cells has been identified. Neuroscientists thus have made signif-
icant advancement on this topic, and in 2007 Natronomas pharaonis halorhodopsin
(NpHR) was discovered from archaebacterium Natronomas pharaonic (Zhang
et al. 2007) that can be utilized to inhibit neural activities from individual neurons
using yellow light (with a wavelength of ~570 nm). The field of optogenetics has
10 Optogenetic Implants 181

been fully established since then. Figure 1 illustrates how ChR2 and NpHR can be
used for optogenetic stimulation.
Compared to conventional electrical stimulation methods, optogenetic stimula-
tion provides a cell-specific approach for neuromodulation. Moreover, both CHR2
and NpHR require comparatively low optical intensity (1 and 7 mW/mm2) to
activate/inhibit neurons. And many neuroscience and biological studies have been
conducted to realize deep explorations of complies neural circuits and complex
neural illness.
For wider and better applications of optogenetics, technology development of
novel optogenetic implants using suitable engineering techniques is highly
demanded, so as to accurately and reliably deliver the light into the targeted area
of the brain with satisfactory spatial-temporal resolution. In the past 10 years,
significant progress has been made toward a miniaturized, lightweight, integrated,
multi-site optogenetic implant. To achieve an intelligent-oriental system, microchip-
based optoelectronic implant demonstrates a new possibility for next-generation
optogenetic engineering tools.
Based on the approaches of light delivery, all the optogenetic implants can be
catalogued into two different categories: discrete optogenetic implants and inte-
grated optogenetic implants. This chapter gives an overview and tutorial of the
state of the art of technology development in (both types of) optogenetic implants.
Moreover, the design requirement of an “ideal” optogenetic implant is characterized.

Fig. 1 Diagram of using


a Ca
ChR2 and NpHR for Na
optogenetic stimulation (Luan H
K Cl
et al. 2015). (a) The default
“off” states of the ChR2 and
NpHR ion channels. (b) With
the stimulation of blue/yellow
light (460/570 nm), positive Ch R2 HR
and chloride ions pass through
the neuron via ChR2 and
NpHR ion channels, 460nm light 570nm light
respectively. (c) While ChR2
activates action potentials of b
neurons, NpHR deactivates
neural activities. (This figure
is reprinted with permission)

K
Ca Cl
Na H

1s 2ms
182 H. Zhao

Furthermore, a design example of microchip-based optogenetic implant is also


introduced. The following sections are organized as follows: section “Biomedical
Applications” describes some examples of typical applications of optogenetic tech-
nologies; section “Design Requirements” illustrates a list of requirements toward an
“ideal” new-generation intelligent optogenetic implant; section “Technology Devel-
opment” introduces both types of discrete optogenetic implants and integrated
optogenetic implants, and the limitations in current designs are correspondingly
recognized; section “Design Example: HUBIN Optrode – A Microchip-Based
Optogenetic Implant” demonstrates the trend toward the development of
new-generation integrated, intelligent optogenetic implant; section “Conclusion”
concludes the whole chapter.

Biomedical Applications

In recent 10 years, the applications of optogenetics into clinical studies have been
increasingly progressed. An encouraging application is to apply optogenetic tech-
nologies to analyze possible principles and treatment mechanisms of Parkinson’s
disease. In the year 2009, Gradinaru et al. (2009) proposed an integrated ChR2-
NpHR optogenetic device, equipped with a fiber-coupled laser diode as light source.
An animal experiment was conducted on freely moving rodents which were parkin-
sonian. The ChR2-NpHR optogenetic device was implanted into the animal subjects,
and the optical stimulation was set as switchable: activation (ChR2, 473 nm) or
inhibition (NpHR, 561 nm). The therapeutic effects of deep brain stimulation (DBS)
within the subthalamic nucleus (STN) were studied by performing activation/inhi-
bition to corresponding afferent axons. This device enabled a better understanding of
the working principle of DBS and meanwhile demonstrated the feasibility to use
optogenetic devices to analyze abnormal brain circuity and subsequent brain illness.
Apart from the applications of Parkinson’s disease, epilepsy can also be poten-
tially investigated using optogenetic technologies. A closed-loop optogenetic system
was developed, aimed to provide effective treatment for temporal lobe epilepsy
(TLE) (Krook-Magnuson et al. 2013). A fiber-coupled laser was used as light source,
equipped with both blue light and yellow light (blue 473 nm, yellow 589 nm), so as
to achieve optical neural activation and inhibition within a single system. This
optogenetic system was used to simultaneously stimulate (activate and inhibit) two
different types of hippocampal neurons in a mouse, while in situ electroencephalog-
raphy (EEG) recording electrodes were placed to record neural signals of seizure. A
sophisticated seizure detection algorithm was implemented in Matlab running on a
PC. Once the neural signals of seizure was detected by the EEG electrodes, the
seizure signals would be transmitted via front-end amplification component and
analog-to-digital (ADC) component to the PC terminal. Then the optogenetic stim-
ulator would be then triggered to deliver light with specific wavelength (473 nm or
589 nm) to region of interest in the hippocampus area of the mouse. This optogenetic
study illustrated a promising detection and controllability of seizures from TLE.
10 Optogenetic Implants 183

Besides this, visual prosthesis is another emerging application for optogenetic


technologies. Bi et al. (2006) conducted an experiment on a mouse in which the
majority of photoreceptors of its retinal ganglion cells had been degenerated. During
the experiment, the ChR2 expression was injected into the retinal ganglion neurons
of the subject, which enabled the retinal ganglion neurons to receive and code light
signals. This initial success leads to further clinical explorations. In 2014, a clinical
trial on patients to use optogenetic technologies to explore the possible treatment for
retinitis pigmentosa (RP) has been approved by the US Food and Drug Administra-
tion (FDA). In 2016, the optogenetic clinical trial was performed for the first time on
a patient (called the RP RST-001). As the first human experiment ever, this
optogenetic clinical trial was a milestone for the field of optogenetics.
Optogenetics demonstrated its potential to be a promising tool for neuromodulation,
and it has been widely utilized for complex brain illnesses, such as Parkinson’s disease,
visual prosthesis, and epilepsy. More explorations of using optogenetics to address
broad diseases and health issues will be further investigated by neuroscientists and
clinical neurologists. Meanwhile, there is an increasing need for neural engineers to
develop high-quality optogenetic implants that can be easy to use.

Design Requirements

This chapter would highlight the significant progress that has been made toward a
miniaturized, lightweight, integrated, multi-site optogenetic implant. In the process
of compelling this review, the author has observed obvious difference in the descrip-
tions about the performance of optogenetic implants published in peer-reviewed
journal articles. It is not rare that some key features of these published implants were
missed from the articles altogether. In an attempt to optimize this situation, the author
suggests the following list of design requirements, which identify a reasonable
minimum expectation for the peer-reviewed description of any optogenetic implants
published later, and also proposes a conception of an “ideal” optogenetic implant
that might be realized by neural engineers in the future.

Miniaturized Size

The size of optogenetic implants must be small, which could only cause minimal
injury of subjects. The ideal length of shaft of the optogenetic implants should be
around 4 mm, which can have a good matching of the thickness of the human brain,
so as to potentially achieve multi-site multilayer stimulation. The width and thick-
ness (or diameter) should be fitted within the scale of micrometer, for example, 200–
400 μm, which can reduce the area of tissue damage meanwhile still keep the
sharpness and robustness of the tip of the implant. The components used on the
implants, such as light sources, drive, and control circuitry, should be fabricated with
miniaturized size as well, to increase the illuminance intensity and further reduce the
implant dimensions.
184 H. Zhao

Device Materials

The choice of the substrate materials is vital for the development of optogenetic
implants. As an optical-based implantable device, the opto-thermal effect is a key
concern. It would be encouraging if the substrate materials can hold great thermal
conductivity. Flexibility is another important factor. The substrate materials should
be with reasonable flexibility, as high rigidity could lead to tissue damage and other
side effects. While maintaining the flexibility, the sharpness of the implant still needs
to be guaranteed. Biocompatibility is another feature that needs to be taken into
consideration, and any toxic risks should be excluded. Besides this, the ideal
substrate materials should be compatible with the most of available fabrication
process. In short, a satisfactory choice of substrate materials should be with out-
standing flexibility, biocompatibility, thermal conductivity, and easy access to stan-
dard fabrication technologies.

Fabrication Process

Currently, most of the optogenetic implants are developed using custom-designed


fabrication technologies, and the majority of them are relatively time- and money
consuming, which could cause limitations for wider access and reproduction. It
would be encouraging if there was a commercial available fabrication technology
that can be used for the development of optogenetic implants. In the past several
years, complementary metal-oxide-semiconductor (CMOS) process has been uti-
lized to fabricate implants for electrical neural recording and stimulation. Using the
CMOS process, the shaft area of the implant can be used to fit the active CMOS
electronics in, and this strategy holds the feasibility to be transferred for fabrication
of new type of optogenetic implants.

Thermal Effect

Thermal effect is a practical and critical factor for any type of implantable devices,
particularly for optogenetic implants that with light sources integrated on the device
itself. The heat dissipation from the light source is the primary source to contribute to
the thermal effect. Thus suitable thermal analysis and management are required to
regulate the thermal increase of optogenetic implants within 2  C and ideally within
1  C. In order to monitor the temperature increase, it would be helpful if in situ
temperature sensors can be developed and integrated into the optogenetic implants to
achieve real-time monitoring of the thermal effect.

Power Consumption and Power Delivery

Power consumption is another important factor for the development of optogenetic


implants. Current optogenetic implants are powered with battery or wireless
10 Optogenetic Implants 185

transmission; power consumption is a key concern for either approach. The opto-
electronic components incorporated in the optogenetic implants usually require
relatively high voltage and strong current; this will further add limitations to the
power budget of the implants. Some approaches of low power circuit and system
design (such as energy harvesting, power gating, asynchronous circuit, etc.) perhaps
can be considered to be adopted for the optimization of the power consumption of
optogenetic implants. Moreover, in order to get rid of the tethered setting of
experimental subjects, the technology of wireless power transmission can be con-
sidered to be applied into optogenetic implants.

Light Sources

To ensure the accuracy and stability of light delivery from optogenetic implants, the
performance of the light sources does matter. Also the choice of the suitable light
sources will influence the characteristics of Implant Size, Device Materials, Fabri-
cation Process, Thermal Effect, and Power Consumption (i.e. all the factors pre-
sented above). Typical light sources for current optogenetic implants are laser and
(micro) light-emitting diode (LED). LEDs seem to hold better stability for light
delivery, while lasers can provide coherent light with lower divergence than LEDs’
(Zhao 2017). The emergence of the technology of vertical-cavity surface-emitting
laser (VSCEL) provides another potential choice for light sources of optogenetic
implants. Compared to most of conventional lasers and LEDs, VSCELs hold
narrower bandwidth, higher emission efficiency, and lower energy consumption. It
would be a promising option for light sources in optogenetic implants when suitable
blue VSCELs are available in the commercial market.

Neural Inhibition

As described above, optogenetics not only can activate neurons (usually using
CHR2) but also can inhibit neural activities (using NpHR). However, most of the
optogenetic implants in the field only hold the capacity for neural activation using
blue light, and few of them can achieve neural inhibition using yellow light. There is
a demand to develop a device that can achieve two-way control simultaneously.
Suitable dual-wavelength (or multi-wavelength) light sources can be a possible
approach to meet the requirement.

Intensity Programmability

The programmability of light intensity is a useful feature for optogenetic implants,


which could affect the thermal effect, the energy efficiency, system safety, and
penetration depth. Most of the existing devices change the light intensity by man-
ually adjusting the supply voltage/current, or the pulse duration of the stimulation. It
would be meaningful if more sophisticated modulation scheme (in hardware and/or
186 H. Zhao

algorithm) can be incorporated into the optogenetic implants to realize finer pro-
grammability of light intensity.

Spatial-Temporal Resolution

An “ideal” optogenetic implant should be with high spatial resolution, and a


modality of “high-density” should be realized so as to accomplish high-resolution
multi-site multilayer stimulation. Meanwhile, in order to ensure the precision and
efficiency of the optical stimulation, the resolution of temporal control of the optical
stimulation should be kept in a reasonable range.

Closed-Loop System

In conventional electrical neural stimulations, neural recording electronics can be


integrated into the implantable devices to record the in situ local signals of neural
activities. But for most of existing optogenetic implants, no neural recording
function is achieved. To develop the new generation of optogenetic implants,
appropriate neural recording electronics can be considered to be integrated so as
to monitor local neural activities in real time and afford effective feedback
information for optical stimulators. The development of this type of closed-loop
optogenetic implants could enhance the stimulation accuracy, energy efficiency,
and overall performance.
Algorithms design to control the closed-loop system is also important. Suitable
algorithms such as threshold detection and spike classification need to be considered.
Driven by the advanced technology of artificial intelligence (AI), appropriate AI
algorithms such as machine learning may be possible to be embedded into the
new-generation optogenetic implants to further improve the precision, efficiency,
and overall performance of the closed-loop system.

Integrated and Intelligent Devices

So far, almost all the conventional optogenetic implants are controlled by various
external instruments, which have to tether the subjects on the laboratory benches.
Also most of these devices are not developed using standard hardware and
software platforms, which constraint their wider access from researchers in the
community. Besides this, the relatively bulky size and high power consumption of
these external controlling devices further limit their broad and long-term usage.
Driven by the technology of intelligent hardware particularly microchip technolo-
gies, perhaps the new-generation optogenetic implants can be developed using
integrated microchip platforms with intelligent control algorithms and standard
communication protocols embedded, which can be potentially adopted by the
broad communities.
10 Optogenetic Implants 187

Diagnostic Function

Due to the nature of implantable devices, it is extremely challenging to assess the


conditions particularly the integrity of the implant during and after implantation. If
any fracture of implant shaft or component failure occurs, it would be harmful for the
patients and may cause unpredictable side effects. If the feasibility is available, it
would be promising that a self-diagnostic sensing function can be implemented and
integrated into the optogenetic implant so as to monitor the functioning status of the
implant and its key components (i.e., light sources, recording electrodes)
continuously.

Technology Developments

In the past 10 years, various explorations toward miniaturized, multi-site, multilayer,


intelligent optogenetic implants have been made. In these existing optogenetic
implants, based on the approaches of light delivery and settings of the systems,
they can be classified into two different types of systems: (1) discrete optogenetic
implants and (2) integrated optogenetic implants. For discrete systems, usually an
external waveguide structure such as optical fibers is used to achieve light coupling
(with lasers or LEDs) that the light can be delivered to the targeted area of the brain
with some distances. In comparison, light sources (usually LEDs) are directly
embedded on the integrated optogenetic implants, and there is no extra light cou-
pling devices required. In this section, some typical systems of these two types of
implants are respectively introduced.

Discrete Optogenetic Implants

In the past years, there are different types of discrete optogenetic implants that have
been developed. In Fig. 2, LeChasseur et al. (2011) developed a glass fiber-based
optogenetic implant in 2011, and the functionalities of optical neural stimulation and
electrical neural recording were both achieved, in which an optical core was
designed for optical stimulation and a hollow core was developed for electrical
recording, so as to realize a hybrid optical-electrical stimulation-recording system.
The light intensity provided by this system was about 10 mW/mm2, and an alumi-
num coating was used as an optical shield to minimize the optical loss during the
stimulation process. External instruments such as dichroic mirrors, photomultiplier
tube (PMT) detectors, shutters, and band-pass filters were applied into this system
for effective light coupling.
At the same period, Wang et al. (2012) proposed a MEA (microelectrode array)-
based optogenetic implantable system, which achieved simultaneous optical neural
stimulation and electrical neural recording. A 6  6 Utah array structure was used to
construct this system which equally mixed with fiber-based optical stimulation sites
and neural recording electrodes. Each microelectrode had a length of 1 mm and the
188 H. Zhao

Fig. 2 Diagram of the opto-


electro optical fiber-coupled
discrete optogenetic implant
in LeChasseur et al. (2011).
(This figure is reprinted with
permission)

pitch in between was about 400 μm. A single-site stimulation can be achieved with
30-site concurrent neural recording during each operation.
Besides conventional fiber-based system, LED-coupled discrete optogenetic
implants were also explored in the similar period. Still in 2012, Stark et al. (2012)
developed a LED-based fiber coupling implantable system optogenetic stimulation.
A 50-mm-long optical fiber was used for light guide, which included four-stepped
sections (Fig. 3a). Particularly, the last section was the effective optical shaft, with a
length of ~5 mm and a diameter of 60–70 μm. A 12-degree shaft tip was constructed
to ensure the sharpness. The fabricated probe was reproduced by extra five times to
complete a multi-diode stimulation array.
Two years later, Schwaerzle et al. (2014) proposed another LED-based discrete
optogenetic implant, based on a polyimide substrate on which the LED chip was
embedded (Fig. 3b). The polyimide materials demonstrated outstanding bendability
10 Optogenetic Implants 189

Fig. 3 (a) A single four-step fiber-coupled discrete optogenetic implant (Stark et al. 2012). The
length of the implant is 50 mm, and the last shank is 5 mm long with a 12 tip. (This figure is
reprinted with permission.) (b) Schematic diagram of the fiber-coupled LED optical implant
(Schwaerzle et al. 2014). (This figure is reprinted with permission)

and flexibility. On the polyimide substrate, a silicon housing was constructed to fix


the LED chip on the substrate. An optical fiber (5 mm long) was used for light
coupling.
Although abovementioned discrete optogenetic implants demonstrated different
merits, all these devices only realized single-site single-layer stimulation. To achieve
multilayer setting, Zorzos et al. (2012) proposed 3D waveguide structure-based
190 H. Zhao

Fig. 4 Diagram of the 3D


waveguide optogenetic array
(Zorzos et al. 2012). (This
figure is reprinted with
permission)

discrete optogenetic implant as shown in Fig. 4. A number of optical probes were


constructed in parallel with different lengths so as to achieve the setting of multilayer
stimulation. In addition, light coupling was achieved using external light coupling
devices.
Schwaerzle et al. (2017) further developed an optical-electro optogenetic implant
using a silicon substrate. The implant held two identical probe shafts (~8 mm long).
Two laser diose chips were bonded on each shaft to attain two optical stimulation
sites, while four electrical recording sites were placed on every shaft. Each laser
diode coupled with a waveguide for light guiding.
There are also some other discrete optogenetic implants developed in recent
years, and a list of recently published discrete optogenetic implants is summarized
in Table 1. Although all of these discrete optogenetic implants held various advan-
tages, there are several limitations that need to be overcome. Single-site stimulation
is an obvious drawback. It is challenging to apply this type of devices to conduct
multi-site even multilayer stimulation, which largely limit their wider applications.
Some devices used array setting (by reproducing several probes) to achieve “multi-
site” stimulation, but the penetration depth was still fixed that cannot be used for
multilayer stimulation. Moreover, for discrete optogenetic implants, external light
coupling devices were usually required, but almost all of these devices had relatively
cumbersome structures that would be difficult to use the implants for broad appli-
cations particularly in untethered settings. In addition, the low coupling efficiency of
light guides significantly constrained the light emission efficiency and overall energy
efficiencies.
10

Table 1 List of recently published discrete optogenetic implants. (This table is modified with permission from Zhao 2017)
Light No. of
Developers/ source/ Sti. Power Max Sti. Electrical Fabrication Substrate
year wavelength Size sites Light intensity consumption frequency recording process material
LeChasseur Laser/ Diameter, 200 μm; shaft tip diameter, 1 10 mW/mm2 – – Yes Custom –
et al./2011 488 nm 10 μm fabricated
Wang et al./ Laser/ Shaft length (L), 1 mm; 1 5 mW/mm2 40 kHz Yes Custom
Optogenetic Implants

– –
2012 473 nm spacing, 400 μm fabricated
Zorzos Laser/ Apertures, 9  30 μm 1  25 148  56 mW/ 1500 mW – No Custom Silicon
et al./2012 473 nm mm2 fabricated
Schwaerzle Laser/ Shaft L, 8 mm; W, 250 μm; 2  2 96.9 mW/mm2 12.82 mW 100 kHz Yes Custom Silicon
et al./2017 650 nm laser diode dimensions, fabricated
300  300  100 μm3
Rubehn Laser/473, Shaft length, 7 mm; width, 200 μm 1 – 21 mW – Yes Custom Polyimide
et al./2011 593 nm (for blue fabricated
light)
Wu et al./ Laser/ Shaft length, 5 mm; width, 200 μm 1 9400 mW/ 50 mW 25 Hz Yes Custom Silicon
2013 473 nm mm2 fabricated
Son et al./ Laser/ Diameter: 150 μm 1 0.9 mW – – Yes Custom Silicon
2015 473 nm (~51 mW/ fabricated
mm2)
Stark et al./ LED/470, Shaft L, 5 mm; diameter, 60–70 μm (blue); 1  6 40 mW/mm2 Current: – No Custom –
2012 589, 639 nm LED dimensions, 1.6  0.6 mm2 (blue light) 60 mA fabricated
Schwaerzle LED/ Total length: 5 mm 1 1.71 mW/mm2 Current: – No Custom Polyimide
et al./2014 460 nm Diameter, 125 μm; LED dimensions, 30 mA fabricated
270  220  50 μm3
Schwaerzle LED/ Total length: 5 mm 19 1.28 mW/mm2 Current: – No Custom Polyimide
et al./20159 460 nm Diameter, 125 μm; LED dimensions, 30 mA fabricated
270  220  50 μm3
191
192 H. Zhao

Integrated Optogenetic Implants

Compared to the discrete optogenetic implants, the light sources (usually LEDs)
were directly bonded on the integrated optogenetic implants. This setting can
potentially have some advantages: smaller size, higher optical emission effi-
ciency, and multi-site/multilayer stimulation. Moreover, the implantable system
can be integrated on a single probe and no external devices/components required.
Several explorations of integrated optogenetic implants have been made in recent
years.
One example is in 2014; Fan et al. (2014) has proposed an integrated optogenetic
implant using SU-8 as substrate material (Fig. 5a). The implant was 4.2 mm long and
0.86 mm wide. A micro-LED (with dimensions of 550  600  200 μm3) was positioned
at the tip of the implant as a stimulation site. The total power consumption of this
integrated implant was 130 mW, producing a light intensity of about 0.9 mW/mm2.

Fig. 5 (a) SU-8-based optogenetic implant using an off-the-shelf Samsung LED, developed by Fan
et al. (2014). (This figure is reprinted with permission.) (b) Flexible polyimide-based integrated
optical implant (Cao et al. 2013). (1) The assembly of the implant using a PCB. (2) A scanning
electron microscope (SEM) imaging of the LED and recording electrode. (This figure is reprinted
with permission)
10 Optogenetic Implants 193

At the same period, Cao et al. (2013) developed another integrated implant using
polyimide as a flexible substrate (Fig. 5b). Micro-LED (1000  200  600 μm3) was
positioned at the tip of the implant as a stimulation site, and there were three neural
recording sites in the surrounding area to achieve concurrent opto-electro neural
stimulation and recording within a single integrated implant. The length of shaft the
implant is about 12 mm and a width about 900 μm. This implant provided relatively
low light intensity (only ~0.7 mW/mm2).
Although these two devices made integrated implant become possible, there were
some limitations with the designs. First, the utilization of the bulky LEDs leads to
high challenge to accomplish multi-site multilayer stimulation, and a micro-LED
with miniaturized profile would be a more appropriate choice. More crucially, the
light intensity generated by both abovementioned integrated implants were low (0.9
and 0.7 mW/mm2, respectively), which are difficult to be used for most of applica-
tions which require higher light intensity.
To resolve these issues, McAlinden et al. (2013) developed a sapphire-based
integrated implant embedded with custom-designed miniaturized micro-LEDs
(Fig. 6a) so as to achieve multi-site and multilayer stimulation. The implant held a
length of 7 mm and a width of 80 μm, and five stimulation sites were evenly
distributed along the implant shaft (1 mm long). The diameter of each stimulation
site (micro-LED) was only about 40 μm, which can produce a 600 mW/mm2
maximum light intensity of implant. Under such high light intensity, this optogenetic
implant demonstrated strong thermal dissipation performance, and maximum ther-
mal increase was constraint in the range of 1.5  C.
Building upon this multi-site high-intensity integrated implant, a more
advanced optogenetic implantable array was proposed by Scharf et al. in
2016. This implant utilized silicon as the substrate, as displayed in Fig. 6b. This
implantable array consisted of six optical probes, on which each probe had 16 evenly
distributed micro-LEDs that can be individually controlled. In total, this array was
with 96 stimulation sites. The maximum light intensity generated by this system was
~400 mW/mm2, and the temperature increase was constraint averagely around
~0.5  C when light intensity was regulated to 150 mW/mm2 with 50 ms stimulation
duration.
In the similar period, Wu et al. (2015) proposed a silicon-based optical-electro
integrated implantable optogenetic array; the functionalities of concurrent
stimulation-recording, multi-site/multilayer stimulation, and individual control of
stimulation sites were all achieved. This array consisted of four branches; each
branch contained three optical stimulation points and eight electrical recording
electrodes. The length of each probe shaft was about 5 mm, and width was 70 μm.
Driven by a current of 13 mA, this optogenetic integrated array can generate fairly
high light intensity (353 mW/mm2).
The optogenetic stimulators developed by McAlinden et al. (2013), Scharf et al.
(2016), and Wu et al. (2015) explored different approaches to achieve multi-site
high-intensity integrated implants; particularly Wu et al. implemented simultaneous
optical stimulation and electrical recording. Through promising, all of these devices
still had some drawbacks, in particular external bulky control (printed circuit board)
194 H. Zhao

Fig. 6 (a) Sapphire-based integrated optogenetic implant using GaN LED (McAlinden et al.
2013). (This figure is reprinted with permission.) (b) Silicon-based high-density optogenetic array
(Scharf et al. 2016). (This figure is reprinted with permission)

PCB were required, which add inconveniences for wider applications, especially in
freely-moving experiments.
At the same time, Kim et al. (2013) explored a different approach to develop a
multilayer multifunctional integrated optogenetic implant (Fig. 7). This four-layer
design was based on a soft polymer substrate that maintained high flexibility of the
system, in which different layers performed the different functions (L1, electrical
neural recording; L2, optical detection; L3, optogenetic neural stimulation; and L4,
temperature sensing). In particular, this implant used a resolvable substrate that can
10 Optogenetic Implants 195

Fig. 7 Multilayer
multimodal integrated
optogenetic implant using a
flexible resolvable base (Kim
et al. 2013). (This figure is Layer #1
reprinted with permission) microelectrode
Layer #2
µ-IPD

Layer #3
µ-ILEDs

temperature Layer #4
sensor

200 µm injection microneedle releasable


base

multifunctional, integrated system

200 µm

be easily dissolved with specific dissolving liquid, and this strategy can potentially
improve the system safety and reliability. The implant also demonstrated good light
intensity (avg. 17.7 mW/mm2, max ~40 mW/mm2) and thermal dissipation perfor-
mance (1  C thermal increase). This design was also compatible with wireless power
transmission setting. Although promising, this design was not without shortcomings.
The length of the implant was only about 1 mm that would be challenging to be used
for deeper stimulation. More crucially, the fabrication process was fairly compli-
cated, which is costly, labor-intensive, and hard to be adopted by other researchers.
Table 2 summarized the recently developed integrated optogenetic implants. The
integrated optogenetic implants that made direct interaction with brain tissues
become possible. Compared to the discrete optogenetic implants, the integrated
design got rid of the external (bulky) waveguide structures so as to reduce the overall
size of the system and also can potentially improve the efficiency of optical emis-
sion. Moreover, the integrated optogenetic implants would facilitate the multi-site/
multilayer stimulation. Despite the success of these integrated implants, there are
still some challenges that need to be overcome. To integrate neural recording
circuitry into the implant for closed-loop applications is a trend that can achieve
much better system performance (Zhou et al. 2019). To ensure relatively high-
intensity optical stimulation is another concern. Besides this, suitable thermal
196

Table 2 List of recently published integrated optogenetic implants. (This table is modified with permission from Zhao 2017)
No.
of Sti Light Max Control Diagnostic Thermal Thermal Electrical Substrate
Ref./Year Dimensions sites intensity power electronics sensing increment sensing recording material
Cao et al./ Shaft, 12 mm; width 1 0.7 mW/ Power: External No – No Yes Polyimide
2013 (W), 900 μm; μLED, mm2 14.5 mW instruments
1000  600  200 μm3
Fan et al./ Length(L), 4.2 mm; W, 1 0.95 mW/ Power: – No 0.5  C increase No No SU-8
2014 0.86 mm; μLED, mm2 >216 mW with 7 mW
550  600  200 μm3 power and
2.74 V input
voltage
Fan et al./ Shank L, 5 mm; W, 1 1.5 mW/ Voltage: External No 1  C increase No Yes Polycrystalline
2016 0.9 mm; μLED, mm2 3.6 V instruments with 3.6 V input diamond
550  600  200 μm3 voltage
McAlinden L, 7 mm; shaft L, 5 600 mW/ – External No 1.5  C increase No No Sapphire
et al./2013 1 mm; W, 80 μm; mm2 instruments with 600 mW/
μLED, 40 μm diameter mm2 and 200 ms
pulse
Scharf Total L, 3 mm; shaft L, 16 400 mW/ Current: External No 0.5  C increase No No Silicon
et al./2016 750 μm; μLED, 25 μm mm2 5 mA PCB with 150 mW/
diameter control mm2 radiance
boards 50 ms pulse;
max: 4  C
H. Zhao
10

Wu et al./ Shank L, 5 mm; W, 3  4 353 mW/ Current: External No < 1.0  C No Yes Silicon
2015 70 μm; μLED, mm2 5 mA PCB increase with
11  13 μm2 control 3.4 V voltage
boards
Kim et al./ Shaft L, 1 mm; W, 4 ~40 mW/ Power: External No 1.0  C with Yes Yes Platinum,
2013 ~400 μm; thickness, mm2 40 mW flexible/ 17.7 mW/mm2 silicon,
~20 μm; LED rigid radiance and polymer
dimensions, control 10 ms pulse;
50  50 μm2 boards max: 10  C
Optogenetic Implants

Zhao et al./ Shaft L, 4400 μm; W, 6–18 1256 mW/ Power: In-built Yes 0.8  C with Yes Yes Silicon
2018 200 μm μLED mm2 6.04 mW active 6 mW power
dimensions, electronics
20  20 μm2
197
198 H. Zhao

management for this type of integrated designs (directly embedded emitters on) is
vital. More importantly, the integrity and operation stability of the implants during
and after implantation are of great concern.
In the recent years, a different approach to develop integrated optogenetic implant
is to use commercially available microchip CMOS design process. During 2014–
2018, Zhao et al. (2014, 2015, 2017, 2018) proposed different versions (both open-
loop and closed-loop) of microchip-based optogenetic implants, as shown in Fig. 8.
In these microchip designs, multi-site and multilayer stimulation, multiple function-
alities (optogenetic stimulation, electrical recording, and thermal sensing), high light
intensity, and miniaturized profile have been all achieved. Moreover, intelligent
electronics have been developed to realize active control (of stimulation/recording
sites individually), two-way communication, and power management. Sophisticated

Fig. 8 (a) System architecture of the microchip-based open-loop HUBIN optrode (Zhao et al.
2018). (b) A multimodal closed-loop design HUBIN optrode (Zhao et al. 2015). (This figure is
reprinted with permission)
10 Optogenetic Implants 199

logic control system, sensitive data converters, light drivers, recording front end, and
sensing circuitry have all been implemented in the chips to act as an intelligent
standalone platform. This microchip-based design approach for optogenetic
implants may provide a possibility toward the development of new-generation
integrated intelligent multimodal optogenetic implants. Details of this type of
optogenetic implants can be found in the next section “Design Example: HUBIN
Optrode – A Microchip-Based Optogenetic Implant.”

Design Example: HUBIN Optrode – A Microchip-Based


Optogenetic Implant

Open-Loop HUBIN Optrode

The HUBIN optrodes (optrode: optical probe) named from the first name of the leading
developer Dr. Hubin Zhao, which include both open-loop and closed-loop versions
(Fig. 8a, b). In the first-introduced open-loop design (Zhao et al. 2014, 2018), there are
2 main chunks of the implant: the head section of the optical probe which includes all
active control circuits and the probe shaft (4.4 mm) to maintain all 18 stimulation sites.
The length of probe shaft (4.4 mm) matches the thickness of the brain cortex, and each
of the three stimulation sites (1 main site and 2 backup sites) is classified into a
stimulation cluster to achieve six stimulation clusters that match with the number of
cortex layers. Thus, multi-site multilayer stimulation can be readily realized.
This implant not only achieved the functional of optical neural stimulation but
also implemented an advanced self-diagnostic sensing function. To achieve precise
stimulation, each stimulation site (LED) is individually controlled by a dedicated
stimulation control block. The stimulation circuitry demonstrated high spatial reso-
lution with 50–100 μs minimum optical switching time. The purpose of the diag-
nostic sensing circuitry is to evaluate the integrity of the implant and long-term
usability of the emitter of the implant during and after implantation. After long-time
observations and tests, Zhao et al. (2014, 2015, 2018) found that there are two main
issues associated with the implant integrity and normal functionality of emitters:
implant breakage and contact corrosion of light emitters. If the breakage occurs, an
open circuit would be formed at the south of the light emitter; if the contact
corrosions are formed, the serial resistance of the emitter would be significantly
increased. Zhao et al. further found that both abnormal scenarios can be reflected by
the voltage value at that point if the corresponding I-V profile can be characterized.
Figure 9 illustrated the circuit designs of stimulation control circuitry and diag-
nostic sensing circuitry. In the stimulation circuitry, the signals of LEDON and
LEDOFF determined the working status of the stimulation site. A pulse width
modulator (PWM) was implemented to regulate the stimulation duration with high
spatial resolution. A Read signal is fetched in real time to monitor the operation
status of the specific emitter. In the diagnostic sensing circuitry, sophisticated,
miniaturized digital-to-analogue converter (DAC) and ADC have been implemented
to characterize corresponding I–V profiles of normal operation status and two
200

Fig. 9 Circuit diagrams of the open-loop HUBIN optrode (Zhao et al. 2018). (a) Schematic of a stimulation control block. (b) Schematic of diagnostic sensing
block. (c) Schematic of a miniaturized DAC. (d) Diagnostic sensing component. (This figure is reprinted with permission)
H. Zhao
10 Optogenetic Implants 201

abnormal operation statuses (implant breakage, and contact corrosion). The dynamic
range of the ADC is >100 dB; given the input of the DAC (VSensing) to achieve
voltage scanning, corresponding output of diagnostic sensing, VDia, can be corre-
spondingly detected and recorded by the ADC. If the voltage profile of an open
circuit is detected, then implant breakage happens, and the implant should be entirely
switched off and taken out by the clinician; if the recorded voltage is obviously
higher than the voltage of normal working status, the contact corrosion of the emitter
could be existed, and the malfunctioned emitter should be shut down and be
replaced. There are 18 stimulation control blocks and diagnostic sensing blocks in
this integrated open-loop implant to individually control and diagnosis each stimu-
lation site, and all of these blocks have been implemented on a microchip along with
other logic control circuitry, commutation and power circuitry; a detailed chip layout
can be found in Fig. 10.

Fig. 10 Compact chip layout of the open-loop HUBIN optrode (Zhao et al. 2018). The 18 local
control blocks consume 90  900 μm die area in total. (This figure is reprinted with permission)
202 H. Zhao

Closed-Loop HUBIN Optrode

Building upon the open-loop HUBIN optrode, a closed-loop HUBIN optrode has
also been proposed. In this closed-loop optogenetic implant, while maintaining the
functionalities of optical neural stimulation and self-diagnostic sensing, concurrent
electrical neural recording and in situ thermal sensing have been further achieved
(Zhao et al. 2015). Figure 8b demonstrates the overall architecture of the proposed
closed-loop HUBIN optrode, and Fig. 11 illustrates the block diagrams of the
stimulation control circuitry and diagnostic sensing circuitry. In the stimulation
circuitry, an 8-bit DAC has been utilized to achieve high-resolution regulation of
the magnitude of light intensity that the drive current of the light emitters can be
modulated in 256 levels. Combining the original pulse width modulation scheme
and this new magnitude modulation method, this implant holds great programma-
bility and controllability of light intensity and ensures the precision of light delivery.
This will also be beneficial for suitable thermal management. Moreover, an H-Bridge
has been implemented into the stimulation circuitry so as to achieve biphasic optical
stimulation for the first time. Furthermore, the diagnostic circuitry has still been kept
and integrated into the H-Bridge, which can significantly improve the operational
safety and functioning reliability of this closed-loop optogenetic implant. More
importantly, electrical neural recording circuitry has been integrated into this implant
so as to detect and record local field potentials (LFPs), which can provide effective
information to the optical stimulator. This could improve stimulation efficiency and
accuracy and overall system performance. In addition, thermal sensors have been
implemented on each stimulation site. This resistor-based sensor has been placed
within the stimulation site, providing in situ real-time thermal monitoring. This
setting can further improve the system thermal management and operation safety.

Exploration: Scalable Architecture of HUBIN Optrode

Based on the development of HUBIN optrodes, a scalable architecture has been


explored for possible future use (Fig. 12). Owing to the merits of individual
miniaturized control blocks, this type of HUBIN optrodes can be potentially scalable
with up to 49 stimulation sites evenly distributed along the 4-mm-long optrode shaft.
Neural recording sites can also be readily increased. This scalable architecture has
demonstrated the feasibility to develop an ultrahigh-density integrated optogenetic
implant, using commercially available microchip design process.

Conclusion

In the recent years, significant progress toward the development of


new-generation optogenetic implants has been made. An “ideal” optogenetic
implant should hold miniaturized profile, intelligent electronics, multilayer
10 Optogenetic Implants 203

Fig. 11 (a) Block diagram of the optical stimulation circuitry. IDrive is the LED drive current
generated via the DAC and TCA, and it then go through the LED via P1 and N2. An H-Bridge,
which is adopted, is utilized for μLED emissions. (b) Block diagram of the diagnostic sensing
circuit. It consists of DAC module, TCA module, analogue DEMUX & MUX, shared H-Bridge,
ADC module, and supplementary cells (S-to-P, P-to-S, and local counter). The diagnostic sensing
circuit receives global commands from the FSM. A local counter is utilized for the timing control of
the ADC readout

stimulation sites, and multimodal functionalities. Driven by microchip, AI, and


advanced material technologies, more explorations of new-generation
optogenetic implants (such as the IntegraBrain Project) will be firmly conducted
in the next 5 to 10 years.
204 H. Zhao

Fig. 12 Scalable architecture of a HUBIN optrode (Zhao et al. 2018). Using the outlined dimen-
sions of the open-loop OPTRODE [length 4400 μm, width 200 μm, thickness 200 μm], 49 emitters
could be integrated along the optrode shaft. The area outlined in part (b) allows for integration of
neural recording circuitry in the future. (This figure is reprinted with permission)

References
Bi A, Cui J, Ma Y-P, Olshevskaya E, Pu M, Dizhoor AM et al (2006) Ectopic expression of a
microbial-type rhodopsin restores visual responses in mice with photoreceptor degeneration.
Neuron 50:23–33
Boyden ES, Zhang F, Bamberg E, Nagel G, Deisseroth K (2005) Millisecond-timescale, genetically
targeted optical control of neural activity. Nat Neurosci 8:1263–1268
Cao H, Gu L, Mohanty SK, Chiao JC (2013) An integrated μLED Optrode for optogenetic
stimulation and electrical recording. IEEE Trans Biomed Eng 60:225–229
Fan B, Li W (2015) Miniaturized optogenetic neural implants: a review. Lab Chip 15:3838–3855
Fan B, Kwon KY, Weber AJ, Li W (2014) An implantable, miniaturized SU-8 optical probe for
optogenetics-based deep brain stimulation. EMBC 2014, Chicago, IL, pp 450–453
Fan B, Kwon K-Y, Rechenberg R, Becker MF, Weber AJ, Li W (2016) A hybrid neural interface
optrode with a polycrystalline diamond heat spreader for optogenetics. Technology 4:15–22
Gradinaru V, Mogri M, Thompson KR, Henderson JM, Deisseroth K (2009) Optical deconstruction
of parkinsonian neural circuitry. Science 324:354
Kim T-I, McCall JG, Jung YH, Huang X, Siuda ER, Li Y, Song J, Song YM, Pao HA, Kim R-H et al
(2013) Injectable, cellular-scale optoelectronics with applications for wireless optogenetics.
Science 340:211–216
10 Optogenetic Implants 205

Kravitz AV, Kreitzer AC (2011) Optogenetic manipulation of neural circuitry in vivo. Curr Opin
Neurobiol 21:433–439
Krook-Magnuson E, Armstrong C, Oijala M, Soltesz I (2013) On-demand optogenetic control of
spontaneous seizures in temporal lobe epilepsy. Nat Commun 4:1376
LeChasseur Y, Dufour S, Lavertu G, Bories C, Deschenes M, Vallee R, De Koninck Y (2011) A
microprobe for parallel optical and electrical recordings from single neurons in vivo. Nat
Methods 8:319–325
Luan S, Williams I, Nikolic K, Constandinou TG (2015) Neuromodulation: present and emerging
methods. Front Neuroeng 7:27
McAlinden N, Massoubre D, Richardson E, Gu E, Sakata S, Dawson MD, Mathieson K (2013)
Thermal and optical characterization of micro-LED probes for in vivo optogenetic neural
stimulation. Opt Lett 38:992–994
Nagel G, Brauner M, Liewald JF, Adeishvili N, Bamberg E, Gottschalk A (2005) Light activation of
Channelrhodopsin-2 in excitable cells of Caenorhabditis elegans triggers rapid behavioral
responses. Curr Biol 15:2279–2284
Rubehn B, Wolff SBE, Tovote P, Schuettler M, Lüthi A, Stieglitz T (2011) Polymer-based shaft
microelectrodes with optical and fluidic capabilities as a tool for optogenetics. In Proceedings of
the 2011 Annual International Conference of the IEEE Engineering in Medicine and Biology
Society, Boston, MA, USA, pp 2969–2972
Scharf R, Tsunematsu T, McAlinden N, Dawson MD, Sakata S, Mathieson K (2016) Depth-specific
optogenetic control in vivo with a scalable, high-density μLED neural probe. Sci Rep 6(28381)
Schwaerzle M, Elmlinger P, Paul O, Ruther P (2014) Miniaturized tool for optogenetics based on an
LED and an optical fiber interfaced by a silicon housing. EMBC 2014, Chicago, IL, 26–30,
pp 5252–5255
Schwaerzle M, Elmlinger P, Paul O, Ruther P (2015) Miniaturized 33 optical fiber array for
optogenetics with integrated 460 nm light sources and flexible electrical interconnection. In
Proceedings of the 2015 28th IEEE International Conference on Micro Electro Mechanical
Systems (MEMS), Estoril, Portugal, pp 162–165
Schwaerzle M, Paul O, Ruther P (2017) Compact silicon-based optrode with integrated laser diode
chips, SU-8 waveguides and platinum electrodes for optogenetic applications. J Micromech
Microeng 27:065004
Son Y, Lee HJ, Kim J, Lee CJ, Yoon ES, Kim TG, Cho IJ (2015) A new monolithically integrated
multi-functional MEMS neural probe for optical stimulation and drug delivery. In Proceedings
of the 2015 28th IEEE International Conference on Micro Electro Mechanical Systems
(MEMS), Estoril, Portugal, pp 158–161
Stark E, Koos T, Buzsaki G (2012) Diode-probes for spatiotemporal optical control of multiple
neurons in freely-moving animals. J Neurophysiol 108:349–363
Wang J, Fabien W, David AB, Jiayi Z, Ilker O, Rebecca DB, Arto VN, van Rick W, Ilka D, Karl D
(2012) Integrated device for combined optical neuromodulation and electrical recording for
chronic in vivo applications. J Neural Eng 9:016001
Wu F, Stark E, Im M, Cho I-J, Yoon E-S, Buzsáki G, Wise KD, Yoon E (2013) An implantable
neural probe with monolithically integrated dielectric waveguide and recording electrodes for
optogenetics applications. J Neural Eng 10:056012
Wu F, Stark E, Ku P-C, Wise KD, Buzsáki G, Yoon E (2015) Monolithically integrated μLED on
silicon neural probes for high-resolution optogenetic studies in behaving animals. Neuron
88:1136–1148
Zhang F, Wang L-P, Brauner M, Liewald JF, Kay K, Watzke N, Wood PG, Bamberg E, Nagel G,
Gottschalk A et al (2007) Multimodal fast optical interrogation of neural circuitry. Nature
446:633–639
Zhao H (2017) Recent progress of development of optogenetic implantable neural probes. Int J Mol
Sci 18(8):1751
Zhao H, Sokolov D, Degenaar P (2014) An implantable optrode with Self-diagnostic function in
0.35μm CMOS for optical neural stimulation. In: 2014 IEEE biomedical circuits and systems
conference (BioCAS), Lausanne, pp 244–247
206 H. Zhao

Zhao H et al (2015) A CMOS-based neural implantable optrode for optogenetic stimulation and
electrical recording. In: 2015 IEEE biomedical circuits and systems conference (BioCAS),
Atlanta, pp 1–4
Zhao H, Soltan A, Maaskant P, Dong N, Sun X, Degenaar P (2018) A scalable optoelectronic neural
probe architecture with self-diagnostic capability. IEEE Trans Circuits Syst Regul Pap
65(8):2431–2442
Zhou A, Santacruz SR, Johnson BC et al (2019) A wireless and artefact-free 128-channel
neuromodulation device for closed-loop stimulation and recording in non-human primates.
Nat Biomed Eng 3:15–26
Zorzos AN, Scholvin J, Boyden ES, Fonstad CG (2012) Three-dimensional multiwaveguide probe
array for light delivery to distributed brain circuits. Opt Lett 37:4841–4843
Sensors for Vital Signs
Body Temperature Sensors
11
R. M. Rossi and S. Annaheim

Contents
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 208
Invasive Measurements and Possible Errors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 209
Noninvasive Sensors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 210
Models for Prediction Core Body Temperature . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 212
Limitations and Outlook . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 214
Literature . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 218

Abstract
The human body is homoiothermic and has to keep its core body temperature
within a narrow range around 37 °C. However, core body temperature as well as
skin temperatures can vary in dependence of body heat production (e.g., through
muscle activity) and climatic conditions. Core body temperature above 39–40 °C
and below 35 °C can potentially lead to severe health problems and therefore, it is
very important to be able to determine core body temperature precisely for
patients or persons exposed to harsh climatic environments or physiological
conditions like firefighters or sportspeople exercising in very hot or cold condi-
tions. Core body temperature is not a constant value throughout the body and
therefore, the invasive or noninvasive body temperature measurements are sub-
jected to partly large uncertainties and therefore, practitioners have to be aware of
the precision of the temperature sensors used. This chapter reviews the different
methods of body temperature measurement and critically discusses the validity
and limitations of each method.

R. M. Rossi (*) · S. Annaheim


Laboratory for Biomimetic Membranes and Textiles, Empa, Swiss Federal Laboratories for
Materials Science and Technology, St. Gallen, Switzerland
e-mail: rene.rossi@empa.ch

© Springer Science+Business Media, LLC, part of Springer Nature 2022 207


M. Sawan (ed.), Handbook of Biochips,
https://doi.org/10.1007/978-1-4614-3447-4_43
208 R. M. Rossi and S. Annaheim

Introduction

Homoiothermic organisms, such as the human being, keep their core body temper-
ature in a narrow range independent of the outside temperature and clothing worn in
order to ensure effectivity of vital biochemical and physiological processes. Besides,
hyper- or hypothermic conditions affect comfort and even result in reduced physical
and mental performance. In order to maintain the normothermic core body temper-
ature, i.e., 36.5 to 37.5 °C, thermoregulatory processes are involved such as skin
perfusion, sweating, shivering, and changes in skin surface properties.
Basically, the organism can be divided into two compartments; the core contains
organs susceptible to temperature damage and is the main site of heat production
while the shell mainly comprises skin and subcutaneous fat tissue and controls heat
transfer from the core to the environment. The shell perfusion is adjusted by
vasomotion in order to control body heat loss and, thus, maintain core temperature.
For cold exposures, blood perfusion of the extremities is restricted by vasoconstric-
tion resulting in a reduced body heat loss and a heterogeneous skin temperature
distribution with skin temperatures close to the core at the trunk and lowest shell
temperatures at the distal parts of the extremities. If core temperature drops further
despite reduction in heat loss, involuntary shivering occurs for additional metabolic
heat generation. In contrast, vasodilation increases shell perfusion to enhance heat
loss in case of heat strain occurring in conditions of high physical activity and/or heat
exposure. This condition rather leads to a homogenous distribution of skin temper-
ature. In case environmental conditions do not allow further heat loss (due to air
temperature being above skin temperature and/or radiative heat gain), evaporative
heat loss is increased by sensible perspiration. This induces cooling of tissues and
blood and further increases heat loss to contribute to thermal homeostasis.
The different thermal interactions of the human body with its environment are
included in the heat balance equation:

M-W-E  K  C  R ¼ S ð1Þ

Where M describes the metabolic energy production and W the physical work
performed by the human body. The term (M-W) indicates the metabolic heat
production. Furthermore, E stands for the evaporative heat loss, which sums up
the heat loss by insensible perspiration due the evaporation of moisture in the outer
layers of the skin (typically 15–25 g/h, in dependence of the climatic conditions) as
well as the evaporative heat loss of the wetted skin surface. K designates the
conductive heat exchange by direct contact of the skin with solid bodies such as a
chair. Depending on the temperature of the body, heat loss () or heat gain (+) might
occur. The same applies for convection (C) and radiation (R). The convective heat
transfer describes the interaction of skin with liquid media (mainly air, water in some
cases) while the radiation includes the exchange of radiative heat between the skin
and surrounding surfaces such as walls or the sun. Finally, the balance of the
different heat transfer phenomena affects heat storage (S) of the body. For thermal
homeostasis, the heat balance equation shall remain balanced and any disturbances
are leveled off by the thermo-physiological responses of the human body.
11 Sensors for Vital Signs 209

Therefore, body temperature measurements are conducted for a detailed under-


standing of local thermal interactions and the quantification thereof (e.g., effect of
clothing on heat balance), while sensors provide data for (single) thermal aspects as
well as for changes in heat storage reflected by changes in core body temperature. In
addition to the environmental thermal interactions, other physiological and patho-
physiological mechanisms concerned with thermoregulation and metabolism affect
body temperature (i.e., infections, tissue ischemia, and drug reactions) and can be
monitored as well by these sensors. For this reason, a continuous and localized body
temperature monitoring provides critical inputs for research and safety purposes and
is an important component for the development of new smart healthcare solutions
and new medical systems, which justifies the application in various situations (from
controlled laboratory studies to uncontrolled field tests). The purpose and constraints
of application define the requirements on the sensor system such as sensor handling,
the sensor application on the skin as a deformable and resilient tissue as well as
measurement accuracy and precision.
This chapter gives an overview of the different approaches for body temperature
measurement including invasive measurements, noninvasive measurements, and
predictive models and discussion opportunities, and limitations.

Invasive Measurements and Possible Errors

The thermal properties of human biological tissues are not homogeneous and there is
a permanent heat transfer between heat sources (including tissues with high meta-
bolic activity and thus heat production, like organs and skeletal muscles) and heat
sinks including rather passive compartments with low metabolic activity (like bones
or fatty tissue). This heat transfer occurs either through heat conduction between the
tissues or by convection due to the blood circulation. Due to these complex mech-
anisms of heat exchange between the different tissues, the definition as well as the
measurement of core body temperature is not trivial. There exists no single method
for the determination of core body temperature and the precision and accuracy of
each method has to be known to determine its validity for specific requirements. In
clinical environments, such measurements can be made in thermal steady-state
conditions of the body with an accuracy directly dependent on the sensor used.
However, in highly transient situations, core body temperature has to be measured in
the proximity of the central blood system to monitor variations in real-time.
The main methods for measuring core body and skin temperature are described in
the ISO standard 9886 (ISO 9886:2004 Ergonomics – Evaluation of thermal strain
by physiological measurements). An excellent review of the validity of the different
methods was given by Nigel Taylor and coworkers (Taylor et al. 2014) and this
paragraph summarized the main findings based on these two documents. The core
body temperature can be determined by the measurement in the following body sites:

• Esophageal temperature
• Rectal temperature
• Intraabdominal temperature
210 R. M. Rossi and S. Annaheim

• Oral temperature
• Tympanic temperature
• Auditory canal temperature
• Urine temperature

The esophageal temperature is measured by introducing the temperature sensor in


the esophagus in the proximity of the pulmonary artery. The correct placement of the
sensor (in dependency of the stature of the subject) is important as a temperature
gradient exists: in the upper part of the esophagus, the temperature is dependent on
ventilatory heat exchanges. Furthermore, swallowing saliva and drinking can also
influence the temperature reading. For this reason, the esophageal temperature is
recorded as the peak values and not the mean values.
The rectal temperature is measured by introducing the temperature sensor 12–15
centimeters beyond the anal sphincter. This temperature is independent from ambi-
ent conditions due to the large mass of the abdominal tissues with low thermal
conductivity as well as the relatively small blood vessels. Therefore, heat stress
induced by fast changing climatic conditions may be underestimated. On the other
hand, the rectal temperature can be influenced by muscle activity leading to an
overestimation of core body temperature.
The intraabdominal temperature is measured by a telemetric pill swallowed by the
subject and recorded by a data logger placed in proximity of the abdomen. The
recorded temperature depends on the location of the pill in the intestinal tract due to
the varying thermal inertia of the local tissues. Therefore, the interpretation of the
results depends on the time when the pill is swallowed and the individual gastro-
intestinal activity, being first similar to the esophageal temperature and then to the
rectal temperature, with comparable measurement artifacts.
As the tympanum is supplied with blood from the carotid arteries, which provide
the main blood supply for the brain and, in particular, the hypothalamus, its
temperature is very similar to the one of the thermoregulatory center. A precise
measurement requires direct contact of the sensor with the tympanum. However, the
temperature can be influenced by local heat exchanges in the ear region with a
possible bias due to too high or too low outside temperatures. Furthermore, the
widespread measurement method with infrared thermometers bears the risk of
assessment of the auditory-canal temperatures rather than tympanum due to the
curvature of the auditory canal and the presence of cerumen, with an increasing
influence of the external climate. Obviously, ambient air and radiant temperatures as
well as wind speed affect measurement errors more directly when the temperature is
assessed in the proximity of the body periphery, i.e., for auditory canal, oral or urine
temperatures.

Noninvasive Sensors

Skin temperatures are often measured conjointly to core body temperature to deter-
mine the overall body temperature, as well as for the assessment of thermal comfort.
As the interface between the body and the environment, the skin is the main organ
11 Sensors for Vital Signs 211

for external heat transfer. In order to measure mean skin temperatures, different body
sites and respective weighting factors are defined by the ISO standard 9886. The
sensors are usually attached to the skin with an adhesive tape, which has an influence
on the measurement error: as the sensors have a nonnegligible size and mass, they
measure a mix of skin and microclimate temperature (McRae et al. 2018). Further-
more, the sensors may influence the skin temperature itself by locally cooling the
measurement spot and/or prevent the evaporation of sweat. The adhesive tape can
either cover the sensor or leave it uncovered by attaching the connective cable.
Recent studies showed that the influence of the environment can be minimized by
using a tape with good thermal conductivity and possibly sufficient water vapor
permeability. Alternatively, the skin temperature can be determined by infrared
thermometry. This contactless method avoids a disturbance of the skin temperature
through the sensing system. However, it is obviously only practicable for the bare
parts of the skin. Furthermore, the presence of sweat may falsify the readings as
sweat does not have the same emissivity as the dry skin and other relevant aspects
such as skin color, distance, and alignment of the body part of interest to the camera
might affect the accuracy of the temperature reading as well.
Different devices have been developed to measure the core body temperature
noninvasively, possibly using only one measurement site. A principle described in
several studies consists of using two temperature sensors separated by an insulating
layer with known thermal properties and a heating element. One sensor is directly
placed on the skin and covered by the insulating layer. The other sensor is located on
the outer side of the insulating layer, in contact with the heating element. The
measurement method consists of heating the outer surface to the same temperature
as the skin sensor. In steady-state conditions, there is no heat flux through the
insulating layer and concurrently no heat flux between the body core and the
periphery. Thus, the “zero-heat-flux” method allows an estimation of the core
body temperature by determination of the skin temperature. Such zero-heat-flux-
transducers were mainly used in hospital settings with well-defined environmental
conditions and stable core body temperature of the patients. Zeiner et al. (2010)
reported differences of 0.59 °C to +0.36 °C between such a device and an
esophageal temperature sensor.
Similarly to the zero-heat-flux, a methodology based on temperature and heat flux
measurements was applied by Gunga et al. (2008) to predict the core body temper-
ature in working conditions with changing ambient conditions and physical activity.
This so-called double sensor was integrated into firefighters helmets and consisted of
two temperature sensors separated by a material with known thermal properties to be
able to assess the heat flux between both sensors. However, unlike the zero-heat-flux
method, this device does not use an active heating element. Gunga et al. concluded
that the method provided reliable results to assess thermal strain of subjects in
activity to improve situational awareness and safety in action, while pointing out
some limitations in cold environments.
Recent developments in wearable technologies have proposed the monitoring of
one or more physiological parameters to determine heat strain and thus prevent heat-
related illnesses in occupational and leisure activities. However, information on the
practical use and limitations of such systems in changing environments and activities
212 R. M. Rossi and S. Annaheim

is mostly missing. Therefore, the prevention of work-related heat injuries has mostly
focused on the assessment of environmental heat stress and several heat stress
indices such as the wet-bulb globe temperature are commonly used. However,
interindividual (age, sex, etc.) and intraindividual (level of fitness, hydration, accli-
matization, etc.) limit the general validity of such indices. In order to improve the
precision of such wearable technologies, the measured data can be interpreted and
extrapolated to different working and environmental scenarios using empirical or
data-driven models.

Models for Prediction Core Body Temperature

The use of invasive and noninvasive sensors for the measurement of body temper-
ature are mostly limited to laboratory settings, as they require a controlled and
supervised application. The direct measurement of core body temperature in field
conditions, such as occupational or clinical environments, is in most cases not
practical, or even possible.
Over the last decades, new sensors for the noninvasive measurement of biosignals
and physiological parameters as well as increased capabilities for signal processing
provided the basis for the development of predictive models for the early recognition
of thermal strain. These models involve and combine different approaches such as
mechanistic modeling, empirical modeling, or data-driven model development. The
mechanistic models describe physical properties and mechanisms in the human
body, such as the bioheat equations for heat transport mechanisms in the human
body (Pennes 1948). In the human thermoregulation model introduced by Fiala et al.
(1999), this part of the model is called “the passive system.” The “active system”
simulates the regulatory responses of shivering, sweating, and peripheral
vasomotion based on empirical models obtained from statistical regression analyses
of data obtained from 26 independent experiments (Fiala et al. 2001). Based on input
parameters such as physical activity and environmental parameters (air temperature,
radiation temperature, relative humidity, air velocity), the model predicts responses
in thermo-physiological parameters such as core body temperature (with an accuracy
of approximately 0.3 °C root mean square deviation [RMSD]) and skin temperature
(RMSD of approx. 1.3 °C). Yokota et al. (2008) follows a similar approach to predict
core body temperature based on the real-time estimation of the metabolic heat
production with heart rate and environmental temperature as input parameters. The
model was validated based on five laboratory studies revealing a predictive accuracy
of 0.05 °C to 0.31 °C). Another example for a combined approach is the heat strain
decision aid (HSDA) model including biophysical components and empirical equa-
tions for thermo-physiological responses (Potter et al. 2017). This model does no
directly estimate core body temperature but predicts thermal tolerance time consid-
ering physiological, clothing, and environmental parameters.
Buller et al. (2011) presented a new approach for the development of a human
thermoregulatory system applying a Dynamic Bayesian Network model to estimate
internal temperature, heat production, and heat transfer from observations of heart
11 Sensors for Vital Signs 213

rate, accelerometry, and skin heat flux including experimental data for model
training and validation. Based on the validation data set (data not included for the
model development), the model performance was characterized by an average
deviation of 0.28 °C and a correct estimation of 83.2% of core body temperature
values within the range of 0.5 °C. They concluded that particularly information
about body heat gain and body heat loss is critical for modeling the rise in core body
temperature. As heart rate is closely related to heat gain induced by metabolic heat
production and heat loss by skin perfusion, Buller et al. (2013) chose heart rate as a
single parameter for the observation of the expected core body temperature. They
further developed a previously applied Kalman Filter-based method and included
additional experimental data including core body temperature observations above
40 °C. An average model accuracy of 0.30 °C (RMSD) was observed. Even though
the performance of the model was considered to be comparable to other approaches
such as the zero-heat-flux method, the application of this model might be limited as
heart rate is affected by various physiological and psychological conditions. A
multiparameter approach for the estimation of core body temperature potentially
provides a more robust approach for the estimation of core body temperature.
Niedermann et al. (2014) investigated the relevance of different physiological
input parameters (including skin temperature measured at different body sites,
temperature of clothing microclimate, skin heat flux and heart rate) for the estimation
of core body temperature for exercising in cold (10 °C) and warm (30 °C) conditions.
Applying a principle component analysis approach, two components were defined
with skin temperatures at three body sites and heart rate as main input parameters for
one component and heat flux values at two body sites for the other. A model
accuracy of 0.25 °C (RMSD) was observed for the validation set. In addition, the
model was applied on data obtained from other independent studies reaching RMSD
values from 0.14 °C to 0.33 °C. Eggenberger et al. (2018) followed the same
approach as Niedermann et al. (2014) while including additional heat flux and
insulated skin temperature measurements for monitoring participants equipped
with protective clothing during physical activity in a hot condition (35 °C). Their
main finding was that the reduction of input parameters down to two (i.e., heart rate
and insulated skin temperature at scapula) resulted in a similar model accuracy
(standard error of estimate ¼ 0.29 °C) as reported for previous models. However,
the model was validated based on data from the same heat exposure only. In the
study of Richmond et al. (2015), 21 participants (12 males and 9 females) were
included to investigate 30 potential parameters for the estimation of core body
temperature, including physiological parameters, clothing microclimate parameters
and anthropometrical parameters. Participants exercised in moderate (25 °C) to
warm conditions (40 °C) with protective clothing. A stepwise multiple regression
analysis was applied for model development. Instead of having a training and a
validation data set, a bootstrap methodology was used. The overall model accuracy
reached 0.27 °C for the standard error of the estimate while a high sensitivity (97%)
and specificity (85%) was observed for the prediction of individuals who reached
39 °C core body temperature. A Kalman Filter-based methodology was applied by
Welles et al. (2018) to estimate core body temperature including heart rate, skin
214 R. M. Rossi and S. Annaheim

temperatures, and heat fluxes measured at different body sites. Predictive models
were developed for each body site and compared based on data obtained from eight
study participants exercising in moderate (25 °C) and warm conditions (40 °C; dry
and humid). The pectoralis (RMSE 0.18 °C), rib (RMSE 0.20 °C), and sternum body
site (RMSE 0.22 °C) were found to reveal the best model performance, while
including three parameters. Interestingly, model performance did not decrease sta-
tistically significant when including two input parameters only (skin temperature and
heat flux or heart rate).

Limitations and Outlook

The exact determination of mean body temperatures (core and skin) is complex due
to the numerous influencing inter- and intraindividual parameters, as well as envi-
ronmental conditions. The emergence of new wearable and implantable technologies
certainly offers new possibilities in the long-term monitoring of body temperatures.
Recently, telemetric pills were proposed as a good means for the prediction of heat
strain during sports competitions in hot conditions. However, as pointed out in this
chapter, the temperature reading depends on the location of the sensor and even
invasive methods are subjected to measurement uncertainties due to the influence of
the thermal inertia of surrounding tissues. The same applies to implantable bio-
sensors to measure subcutaneous temperatures or temperatures of particular tissues
of interest. Even though the measurement site is somewhat shielded from direct
impacts of the volatile environmental conditions, the use of new sensor technologies
requires a detailed understanding of the temperature reading including the represen-
tative value for the tissue of interest (i.e., skin) and its susceptibility to confounding
factors. As for the skin surface temperature, spatial heterogeneities in tissue temper-
atures occur due to local (anatomical or cellular) structures such as the vascular
system or spot with high metabolic activity. Finally, implantation of biosensors as
well as the removal thereof requires a medical intervention and goes along with
infection risks. In our opinion, the additional costs and risks are not yet outweighed
with increased signal quality and/or information content of the temperature reading.
A less invasive method consists of the application of flexible temperature sensors on
the skin. One approach includes the investigation of combinations of conductive
fillers and polymer matrices (Fig. 1; see Li et al. 2017 for detailed information and
references to related scientific publications). In general, it was found that the sensor
performance, including temperature sensitivity, measurement accuracy, and repeat-
ability as well as sensor stability and measurable temperature depends on the
conductive fillers used (such as carbon black, carbon nanofibrils, or graphene
nanowalls dispersed in polydimethylsiloxane).
In addition, several other research and development approaches have been
followed to develop flexible temperature sensor for the noninvasive measurement
of skin temperature prove the great efforts in the field to find new solutions for
temperature sensing biochips (Fig. 2).
11 Sensors for Vital Signs 215

Fig. 1 Overview of flexible temperature sensors based on conductive polymers (from Li et al.
2017). (a) SEM images of carbon black in PDMS. (b) Carbon nanofibers in PDMS. (c) The cross-
sectional SEMimage of a graphite-PDMS composite. (d) Photograph of a film of copolymer with
graphite filler. (e) SEM image of microfibrillar CB/PET/PE composite. (f) A schematic illustration
of a Ni microparticle-filled binary polymer composite. (permission for reproduction by John Wiley
and Sons License, License Number 4896331143678)

Whatever kind of sensors are used for the noninvasive measurement of body
temperatures and the prediction of related physiological parameters, a detailed
understanding of the sensor performance and its limitations is needed. This depends
on the sensor technology itself as well as the substrate used for the skin-sensor
interface. Particularly for sensor networks, microchips as an additional electronic
skin are applied. This is mainly achieved by different printing technologies, such as
inkjet, gravure, screen printing, and dip-coating applied on a flexible substrate
(Li et al. 2017). With this regard, textiles are of high interest as substrate as they
can be provided as a thin, lightweight, soft, flexible, deformable, porous, durable,
and washable layer. Due to the low thermal capacity of the flexible and thin
substrate, it can be expected that this technology accurately records local skin
temperature (Chad Webb et al. 2013). However, the sensor foil might lack breath-
ability, which affects local thermoregulation and, thus, local skin temperature.
Furthermore, the sensor network has to mimic the mechanical behaviors of the
human skin to accommodate large deformation without affecting the performance
of the temperature sensors (Fig. 3). As for established skin surface temperature
sensors, the local interaction of the sensor network and the skin needs to be
216 R. M. Rossi and S. Annaheim

Fig. 2 Examples for other types of flexible temperature sensors (Li et al. 2017). (a) The schematic
diagrams of the (one transistor)–(one thermistor) temperature sensor. (b) Schematic for GNWs/
PDMS temperature sensors. (c) Transparent and stretchable integrated platform of temperature and
strain sensors. (d) Electrical sign and enlarged schematic diagram of a single temperature sensor. (e)
A photograph of an 8  8 Ni temperature sensor arrays on a piece of PET substrate. (f) Flexible
microtemperature sensor. (g) A schematic of the artificial skin including temperature sensors. (h)
Picture of a temperature sensor array on the skin deformed by pinching in a twisting motion. (i) A
self-healing chemiresistor consisting of AuNP film. (permission for reproduction by John Wiley and
Sons License, License Number 4896331143678)

understood in detail to make accurate assumptions and conclusions for absolute


temperature values as well as changes in temperatures. The new emerging biochip
technologies provide new approaches and contribute to a higher precision of tem-
perature readings. However, a detailed understanding of the measurement technol-
ogy and its limitations is needed for a realistic and relevant interpretation. This is
even more critical in case information about the thermal status of the whole human
body inferred from local measurements. Not taking into account measurement
uncertainties and the impact of the measurement itself can result in misinterpreta-
tions, particularly when an exposure leads to a heterogeneous temperature distribu-
tion. The thermal inertia of the body tissues is another a limiting factor when
predicting the influence of rapidly varying thermal environments. Highly
11 Sensors for Vital Signs 217

Fig. 3 Examples for ultrathin, compliant, skin-like arrays of precision temperature sensors (a) and
heaters (b) applied on the human skin (Chad Webb et al. 2013, permission for reproduction by
Springer Nature License, License Number 4772090476255)

heterogeneous temperature conditions like those encountered by firefighters on a fire


ground, coupled with rapidly changing levels of activities are too complex for an
accurate estimation of core temperature increase based on the measurement of local
skin temperatures and physiological models become important for this kind of
applications.
As it can be inferred from the model accuracies, the different approaches have all
certain strengths and weaknesses and none of the models was able to fully represent
the complexity of the human thermoregulatory system. Particularly, transient con-
ditions are of a great challenge as local conditions become relevant by affecting the
thermoregulatory response. In addition, interindividual differences in thermoregula-
tion are affected by the individual health status and fitness level, the level of
acclimatization and hydration status as well as sex, age, and body composition.
This further increases the challenge to accurately estimate core body temperature for
218 R. M. Rossi and S. Annaheim

any individual. The range of application and the accuracy of the model highly
depends on the input data for the model development (i.e., training data set). With
this regard, the new machine learning tools and approaches for data acquisition
provide new opportunities to deal with big data sets and to increase the range of
application as well as improve the accuracy for the estimation of individual core
body temperatures even in (highly) transient conditions. Nevertheless, the potential
use of wearables and data-driven models for unattended measurements of body
temperatures still imply limitations and challenges, which users might are not
aware of. The correct placement and application of the sensors is decisive for a
precise data management. However, also with this respect, the machine learning
tools will certainly help for the selection and evaluation of the acquired data in long-
term monitoring and the development of new models for a correct interpretation of
the data and the generation of large data quantities will help increasing precision of
the predictive models. Apart from a sufficient time resolution, a minimum spatial
resolution is necessary to account for temperature heterogeneities in and around the
body. A particular challenge to implement such multisensory systems is the accep-
tance of the wearer. Apart from the health conditions of a patient and the social
influence from family and friends, the perceived ease of use of such wearables is
determinant for the perceived usefulness of the system and therefore the willingness
to use them (Li et al. 2019). For this reason, the integration of sensors in the clothing
for a multisensory recording at different body sites looks promising (Quandt et al.
2015; Trung et al. 2018). Such disappearing electronics or “disappearables” can
make a large contribution for the prevention of heat-related diseases, especially for
the elderly population.

Literature
Buller MJ, Castellani J, Roberts WS, Hoyt RW, Jenkins OC (2011) Human thermoregulatory
system state estimation using non-invasive physiological sensors. In: 2011 Annual International
Conference of the IEEE Engineering in Medicine and Biology Society. IEEE, pp 3290–3293
Buller MJ, Tharion WJ, Cheuvront SN, Montain SJ, Kenefick RW, Castellani J, Latzka WA,
Roberts WS, Richter M, Jenkins OC, Hoyt RW (2013) Estimation of human core temperature
from sequential heart rate observations. Physiol Meas 34(7):781
Chad Webb R, Bonifas AP, Behnaz A, Yu KJ, Cheng H, Shi M, Bian Z, Liu Z, Kim Y-S, Yeo W-H,
Park JS, Song J, Li Y, Huang Y, Gorbach AM, Rogers JA (2013) Ultrathin conformal devices for
prcise and continuous thermal characterization of human skin. Nat Mater 12:938–944
Eggenberger P, MacRae BA, Kemp S, Bürgisser M, Rossi RM, Annaheim S (2018) Prediction of
core body temperature based on skin temperature, heat flux, and heart rate under different
exercise and clothing conditions in the heat in young adult males. Front Physiol 9:1780
Fiala D, Lomas KJ, Stohrer M (1999) A computer model of human thermoregulation for a wide
range of environmental conditions: the passive system. J Appl Physiol 87:1957–1972
Fiala D, Lomas KJ, Stohrer M (2001) Computer prediction of human thermoregulatory and
temperature responses to a wide range of environmental conditions. Int J Biometeorol
45:143–159
Gunga HC, Sandsund M, Reinertsen RE, Sattler F, Koch J (2008) A non-invasive device to
continuously determine heat strain in humans. J Therm Biol 33(5):297–307
11 Sensors for Vital Signs 219

Li J, Ma Q, Chan AH, Man SS (2019) Health monitoring through wearable technologies for older
adults: smart wearables acceptance model. Appl Ergon 75:162–169
Li Q, Zhang L-N, Tao X-M, D X (2017) Review of flexible temperature sensing networks for
wearable physiological monitoring. Adv Healthc Mater 6:1601371
McRae B, Annaheim S, Spengler C, Rossi RM (2018) Skin temperature measurement using contact
thermometry: a systematic review of setup variables and their effects on measured values. Front
Physiol 9:29
Niedermann R, Wyss E, Annaheim S, Psikuta A, Davey S, Rossi RM (2014) Prediction of human
core body temperature using non-invasive measurement methods. Int J Biometeorol 58(1):7–15
Pennes HH (1948) Analysis of tissue and arterial blood temperatures in the resting human forearm.
J Appl Physiol 1:93–121
Potter AW, Blanchard LA, Friedl KE, Cadarette BS, Hoyt RW (2017) Mathematical prediction of
core body temperature from environment, activity, and clothing: the heat strain decision aid
(HSDA). J Therm Biol 64:78–85
Psikuta A, Niedermann R, Rossi RM (2014) Effect of ambient temperature and attachment method
on surface temperature measurements. Int J Biometeorol 58(5):877–885
Quandt BM, Scherer LJ, Boesel LF, Wolf M, Bona GL, Rossi RM (2015) Body-monitoring and
health supervision by means of optical fiber-based sensing Systems in Medical Textiles. Adv
Healthc Mater 4(3):330–355
Richmond VL, Davey S, Griggs K, Havenith G (2015) Prediction of core body temperature from
multiple variables. Ann Occup Hyg 59:1168–1178
Taylor NAS, Tipton MJ, Kenny GP (2014) Considerations for the measurement of core, skin and
mean body temperatures. J Therm Biol 46:72–101
Trung TQ, Le HS, Dang TML, Ju S, Park SY, Lee NE (2018) Freestanding, fiber-based, wearable
temperature sensor with tunable thermal index for healthcare monitoring. Adv Healthc Mater
7(12):1800074
Welles AP, Xu X, Santee WR, Looney DP, Buller MJ, Potter AW, Hoyt RW (2018) Estimation of
core body temperature from skin temperature, heat flux, and heat rate using a Kalman filter.
Comp Biol Med 99:1–6
Yokota M, Berglund L, Cheuvront S, Santee W, Latzka W, Montain S, Kolka M, Moran D (2008)
Thermoregulatory model to predict physiological status from ambient environment and heart
rate. Comput Biol Med 38:1187–1193
Zeiner A, Klewer J, Sterz F, Haugk M, Krizanac D, Testori C, Losert H, Ayati S, Holzer M (2010)
Non-invasive continuous cerebral temperature monitoring in patients treated with mild thera-
peutic hypothermia: an observational pilot study. Resuscitation 81(7):861–866
Sensors for Vital Signs: ECG Monitoring
Systems 12
Sameer Sonkusale

Contents
Background and Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 222
Low Power ECG Monitoring System . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 224
Bandwidth Tunable Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 224
Circuit Operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 225
Operational Amplifier Design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 228
Measurement Results on Biopotential Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 229
Electrocardiogram Recording . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 231
Adaptive Asynchronous Level Crossing A-to-I Converter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 231
Need for Adaptive Resolution LC-ADC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 234
LC-ADC Implementation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 234
LC-ADC Performance Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 236
ECG Monitoring System Results . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 237
Other ECG Monitoring Systems . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 238
Conclusions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 241
References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 242

Abstract
Electrocardiography (ECG) is used to measure the electrical activity resulting
from the beating of the heart. ECG is used to diagnose heart conditions such as
arrhythmia or heart attack and is typically performed using a holter monitor
connected to the electrode leads placed around the chest and limbs. There is a
need for continuous real-time wearable ECG monitoring systems for managing
chronic heart conditions. The chapter will offer a general understanding of the
circuits and systems issues in such monitoring. This includes the need for low
power front-end circuits and for activity-dependent digitization instead of con-
ventional nyquist-rate converters. While many circuit architectures are available to

S. Sonkusale (*)
School of Engineering, Tufts University, Medford, MA, USA
e-mail: sameer@ece.tufts.edu

© Springer Science+Business Media, LLC, part of Springer Nature 2022 221


M. Sawan (ed.), Handbook of Biochips,
https://doi.org/10.1007/978-1-4614-3447-4_2
222 S. Sonkusale

choose from, this chapter will provide a sample of such systems with IC
implementations. More specifically, a bandwidth tunable capacitive coupled ana-
log front-end amplifier will be presented. This will be followed by an adaptive
resolution asynchronous Analog to Digital converter for direct compressed
Analog-to-Information (A-to-I) acquisition of ECG signals. A brief survey of
other integrated systems will be presented. An outlook on where the field of
ECG monitoring system is headed in the future will also be discussed.

Keywords
Electrocardiography · ECG · Biopotential amplifier · Asynchronous ADC ·
Event-driven ADC

Background and Introduction

An electrocardiogram abbreviated as either EKG or ECG measures the electrical


activity resulting from a beating heart. With every heartbeat, an electrical impulse
(or “wave”) travels through the heart. This wave causes the cardiac muscles to perform
a series of operation including squeezing and pumping blood from the heart. A normal
heartbeat on ECG shows a very regular timing pattern of both the top and lower
chambers. For example, the right and left atria or upper chambers are responsible for
the creation of the first “P wave.” This is followed by flat line when the electrical
impulse goes to the bottom chambers. The right and left bottom chambers or ventricles
are responsible for the next wave called a “QRS complex.” The final wave or “T wave”
represents the return to a resting state for the ventricles. An ECG gives two major kinds
of information. First, by measuring the time intervals on the ECG, a doctor can
determine how long the electrical wave takes to pass through the heart. This can help
diagnose if the electrical activity is normal or slow, fast or irregular. Second, by
measuring the amount of electrical activity passing through the heart muscle, a cardi-
ologist may also be able to find out if parts of the heart are too large or are overworked.
ECG is often used to diagnose problems with the heart such as arrhythmia, stroke,
or heart attack. This is often done in a clinical environment under the care of a
physician. For at risk patients, a battery operated holter monitor is used to monitor
the patient for 24–48 h for any signs of distress. The patient is either hospitalized or
sent home with a bulky and holter monitor limiting patient’s mobility. A more
effective approach would be to use a truly portable ambulatory system which can
monitor the patient’s heart throughout the day over multiple days with little or no
discomfort to the patient. Moreover this would provide physician a long-term
assessment of patient’s health for asymptomatic or intermittent heart problems.
The system could also be designed to allow the physician to remotely access the
recorded data, which would allow for a more timely response to any potential
warning signs. Wireless ambulatory systems such as the one mentioned herein for
ECG have the potential for timely care to the patient at reduced overall costs to the
user and reduced burden to the healthcare system. To make the system practical, any
ECG ambulatory monitor must be low cost, low power, and unobtrusive to the
12 Sensors for Vital Signs: ECG Monitoring Systems 223

patient. The system must also be capable of storing the recorded data for later
download or be able to wirelessly transmit the data to an external device. CMOS
technology provides an ideal platform for the implementation of all the electronic
components and eventual miniaturization of such monitors.
A typical ECG monitoring system consists of: an analog front-end amplifier
(AFE) to provide initial gain and reduce the effect of noise in the following stages;
a low-pass filter to reject noise at frequencies above the bandwidth of ECG signals; a
variable gain amplifier to bring the signal within the dynamic range of the analog to
digital converter (ADC); and a Nyquist ADC which samples the ECG signal at a rate
of at least twice its bandwidth as shown in Fig. 1a. The resulting sampled signal can
then be processed with a digital signal processor (DSP) and either stored in memory
or wirelessly transmitted to an external device. In order to reduce the storage and
transmission requirements, data compression algorithms can be used to reduce the
amount of data needed to represent the recorded ECG signal. Since transmission
power dominates in sensor applications, this will reduce the power consumption of
the system and allow for smaller batteries or a longer lifetime.
In this chapter we propose an asynchronous ECG acquisition system applicable
for ambulatory ECG monitoring applications (Hwang et al. 2010a). A block diagram
of the system is shown in Fig. 1b. The system consists of a low noise AFE with
tunable bandwidth and an asynchronous ADC followed by transmitter. No high
performance DSP or large-scale memory is needed. The asynchronous architecture
of the ADC leads to a sample rate, which scales with signal activity. No clock is used
for sampling resulting in zero aliasing noise from the signal. For burst like signals
typical in ECG applications, the resulting average sample rate will be less than the
Nyquist rate, which is the minimum sample rate required by a conventional ADC. As
a result, data compression is achieved without the need for complex data compres-
sion algorithms. This reduces the complexity and power of the system.

Fig. 1 (a) Conventional ECG monitoring system relies on nyquist sampling of amplified ECG
signal and processed by microntroller or DSP for compression before wireless transmission.
(b) Improved ECG monitoring system which directly converts analog to information (A-to-I)
followed by wireless transmission. An asynchronous level crossing A-to-I converter is described
in this chapter in great detail
224 S. Sonkusale

Low Power ECG Monitoring System

Figure 1b shows the architecture of a low power ECG monitoring system that does
not involve clocked digitization or use of DSP and memory (Hwang et al. 2010a).
ECG signals are very weak in magnitude (typically tens of μV) and have a varied
frequency range (1–10 kHz) (Yazicioglu et al. 2007). Before processing these signals
further, amplification and band pass filtering is needed to minimize noise and
amplify relevant signal information. Many designs of biopotential amplifiers have
been reported in the literature (Yazicioglu et al. 2007; Harrison and Charles 2003;
Olsson et al. 2005; Wattanapanitch et al. 2007). They employ additional band-pass
stages to further filter out flicker noise and reduce the overall noise contribution
(Harrison and Charles 2003; Wattanapanitch et al. 2007; Olsson et al. 2005). Energy
efficient approaches have also been proposed (Harrison and Charles 2003;
Wattanapanitch et al. 2007) that drive the main OTA into subthreshold operation
for lower power consumption. Instrumentation amplifier based biopotential acqui-
sition system has also been proposed (Yazicioglu et al. 2007). In this chapter, we
will describe a fully differential implementation ideally suited to perform direct
difference detection of ECG signals from left and right electrodes in a three-electrode
configuration (Hwang et al. 2010a, b). Moreover the design is amenable for other
biopotential recording applications such as EEG and EMG if needed. At the end of
chapter, we will discuss some new trends in biopotential amplifiers for recording
from dry electrodes.
This architecture (Hwang et al. 2010b) is based on a well-known design proposed
in Harrison and Charles (2003), where a MOS-bipolar pseudo-resistor is used to
achieve very low high-pass cutoff frequency, with very low power and low noise
realization of the OTA. As is well known, the proposed fully differential structure
will provide twice the output swing and higher common-mode suppression com-
pared to those of the single-ended architecture. A significant contribution of this
paper is an ability to correct for process-variations that will result in fluctuations of
the lower frequency high pass cutoff by employing a tunable sub-pA current source
for active tuning of the resistance of the pseudo-resistor. Also, this ability offers
bandwidth control to optimize for flicker noise performance and thus additional
band-pass filter stages are no longer needed. ECG detection and cardiac electro-
physiology results have been presented that show promising results of the proposed
architecture.

Bandwidth Tunable Amplifier

Figure 2 shows a proposed biopotential amplifier. MOS-Bipolar pseudo-resistor is


used to realize a very high value of resistance (rf) needed to implement ultra-low
high-pass cutoff frequency for the amplifier. Due to process temperature and voltage
variation, the value of this AC resistance (rf) is prone to fluctuation from its nominal
desired value, resulting in a wide variation in the cutoff frequency. The resistance of
the MOS-bipolar pseudo-resistor is given by Harrison and Charles (2003)
12 Sensors for Vital Signs: ECG Monitoring Systems 225

Fig. 2 Schematic of fully differential three-electrode biopotential amplifier based on Hwang


et al. (2010b). ADC represents the following digitizing block

   
1 ΔIds I0 Vgs  Vth  Voff Vds
¼ ¼ exp exp (1)
rf ΔVds Vt nVt Vt

where Vt is the thermal voltage, Vth is the threshold voltage, Voff is the offset voltage,
and n is the subthreshold swing parameter.
In Harrison and Charles (2003), a simple solution to adjust the resistance of the
MOS-bipolar pseudo-resistor is provided. It is based on tuning the sub-pA biasing
current through the pseudo-resistor. A novel depletion-mode PMOS acting as PN
diode in reverse bias serves as a biasing current for the pseudo-resistor and the
current through this depletion mode PMOS is adjusted through gate/bulk voltage
control as seen in Fig. 2. Also, it is imperative to have a very low power and low
noise operational amplifier. This is achieved by following the systematic analysis
derived in Harrison and Charles (2003), with the exceptions of the fully differential
implementation for high CMRR, PSRR, and dynamic range, and the use of replica
bias circuit to pin the operating point of the OTA to make it independent of the
process-temperature and voltage fluctuations.

Circuit Operation

As shown in Fig. 2, the PMOS transistors in feedback loop act as MOS-bipolar


pseudo resistances in their configuration. The pseudo resistance element functions as
a diode connected PMOS transistor in reverse bias exhibiting an extremely high
resistance for small voltages (ΔVds) across itself (Harrison and Charles 2003). This
226 S. Sonkusale

huge AC resistance (rf) and the feedback capacitance (CF) set the high-pass cutoff
frequency (fH) of amplifier as

1
fH ¼ (2)
2πCF rf
The gain in the mid-band is determined by the ratio of CI/CF in case CL is much
greater than CF and the low-pass cutoff frequency (fL) is shown as

gm
fL ¼ (3)
Ao CL
where gm, Ao and CL are the transconductance of the input pair, the mid-band gain
(39.5 dB in our implementation), and the loading capacitance of the OTA,
respectively.
From an Eq. (2), it is clear that fH is inversely proportional to rf, and to achieve
tunability, this value can be controlled. We make an interesting observation, in that rf is
dependent on ΔVds (the voltage drop across itself) and has extremely high values only
for small values of ΔVds. Simulated results shown in Fig. 3 indicate that MOS-Bipolar
pseudo-resistor in series has resistances of 1013 Ω and 1010 Ω for ΔVds of 0.1 V and
0.7 V, respectively. As a result, by tuning ΔVds, we can change the values of resistance
(rF) and thus fH can be adjusted over a broad frequency range while maintaining a
constant mid-band gain and a fixed fL. ΔVds is set by the leakage current flowing
through the MOS-Bipolar pseudoresistor and is typically in the range of 1 pA–1 fA. In
order to tune fH, we can adjust the current through these pseudoresistors. However, it is
extremely challenging to generate currents at such low values.

Fig. 3 Resistance variation of two MOS-bipolar pseudo elements. (From Hwang et al. 2010b)
12 Sensors for Vital Signs: ECG Monitoring Systems 227

We propose to use a depletion mode pMOS with its source/drain tied, thereby
forming a PN junction diode between the source-drain and bulk terminal that operates
over a large voltage range, as an analog tunable ultra-low current generator. This
depletion mode MOS diode is a good candidate for this application because the
reversed bias current in the diode is typically in the range of 1012–1015, and also it
can be easily built in a standard CMOS process in small areas. This diode is shown in
the amplifier schematic in Fig. 2 at the input terminals of the OTA. The gate terminal
and the bulk terminal (cathode of the diode) are connected together to “Vtune”
terminal to set bias voltage of bulk (and of this diode). The drain and the source
terminal (anode of the diode) are connected to MOS-bipolar pseudoresistor. The
“Vtune” adjusts the potential of the depletion mode PMOS diode to control the
amount of current flowing through the MOS-bipolar pseudo-resistor and thus con-
trols the resistivity of the MOS-Bipolar pseudo-resistor. Figure 4 plots changes of
voltage across MOS-bipolar pseudo-resistor with respect to “Vtune.” One caveat to
this tuning circuit is that it should always maintain a reverse bias across the depletion
mode pMOS diode configuration, which is reasonably wide for our application. The
plot also shows that ΔVds can be changed by “Vtune” over a high dynamic range with
fine controllability. From Eqs. (1), (2), and (3), it is clear that the tuning mechanism
only alters the low frequency cutoff while maintaining the midband gain and the
higher low-pass cutoff frequency. The ability to tune the lower cutoff allows filtering
out flicker noise and therefore, improves the overall SNR of the amplifier. It also
provides for automatic adjustment of the frequency response due to process-voltage-
temperature induced variation in the resistivity of the MOS-Bipolar pseudo-resistor.

Fig. 4 Controllability of ΔV by depletion-mode pMOS transistor acting as PN diode. (From


Hwang et al. 2010b)
228 S. Sonkusale

Fig. 5 Schematic of
operational transcoductance
amplifier (OTA) used in the
design of biopotential
amplifier front end. (Taken
from Hwang et al. 2010b)

As seen in an Eq. (1), adjusting Vgs can also be useful for compensating for the high-
pass cutoff frequency (fH) fluctuation due to process and temperature variation as well
as the proposed technique (Olsson et al. 2005). For an instance, the thermal voltage
and the threshold voltage, which affect cutoff frequency, will be changed due to
temperature variation. In this case, temperature sensor integrated in sensing system
gives the amplifier the temperature information, and then cutoff frequency will be
trimmed by adjusting “Vtune” in the amplifier. To control the output common-mode
voltage at differential output, a resistive common-mode feedback circuit with a buffer
is employed and connected to M7–M8 as shown in Fig. 5.

Operational Amplifier Design

Figure 5 shows a schematic of the current-mirror Operational Transconductance


Amplifier (OTA) used in the proposed design. Sizing of the transistor in this OTA is
important to achieve low noise at a low current level because of power-noise
tradeoff. The fully differential structure used in the OTA allows a robust rejection
of common mode and power supply noise. Replica bias circuits on the chip gener-
ated the bias current and cascode bias voltages. The noise contribution mainly
consists of thermal noise and flicker noise. Analysis of this OTA shows the input-
referred thermal noise power of the OTA as
  
16kT g g
v2ni,thermal ¼ 1 þ 2 m3 þ m7 Δf (4)
3gm1 gm1 gm1

We make (W/L)1  (W/L)3, (W/L)7 to decrease the thermal noise of the amplifier.
Flicker noise is another source of noise in the output spectrum and is usually
problematic for low frequency applications such as in biomedical signal acquisition.
12 Sensors for Vital Signs: ECG Monitoring Systems 229

Flicker noise in a PMOS transistor is typically much smaller than that in an NMOS
transistor. For these reasons, we chose PMOS input stage in our design. All transistor
sizes in the OTA are set as large as possible without affecting the stability of the
amplifier due to increased parasitic capacitance. The input-referred noise of the
amplifier can be seen as (Harrison and Charles 2003)
 
C I þ C F þ C in 2 2
v2ni,amp ¼  vni (5)
CI

where Cin is input capacitance of the OTA. As Cin affects noise performance of the
amplifier, an optimum value of the size of the input pairs should be found to
minimize flicker noise.
Although we use depletion-mode MOS at the gate of the input pairs, noise induced
by this circuit is negligible compared to noise from the OTA because of a smaller
transistor size (1 μm/1 μm) and negligible DC current in the range of fA to pA.

Measurement Results on Biopotential Amplifier

The biopotential amplifier was fabricated in a 0.18 um CMOS process (Hwang et al.
2010b). All capacitors were implemented as metal-insulator- metal capacitors. Gain
is designed to be 40 dB by setting the value of CI to 10 pF and CF to 100 fF. For the
measurement, we did not use any bandpass filter to shape the bandwidth. The area of
the chip is 980  140 μm. Consumption of current in the amplifier excluding bias
circuit and buffer is 20 uA from 2.5 V. Figure 6 shows a micrograph of the chip.
Measured midband gain and bandwidth are shown in Fig. 7.
Midband gain and low-pass cutoff frequency are measured to be 39.8 dB and 10 kHz
when CL is 9 pF. As the voltage of “Vtune” is varied from 1.3 V to 0.5 V, we measured
that high-pass cutoff frequency (fH) is shifted from 0.1 Hz to 300 Hz while maintaining
gain and low-pass cutoff frequency (fL). See Fig. 7 for this tunable bandwidth response.
Figure 8 shows the measured input-referred noise spectrum density (mainly thermal

Fig. 6 Die micrograph of


proposed biopotential
amplifier (Hwang et al.
2010b)
230 S. Sonkusale

Fig. 7 Measurement results of midband gain and tuned bandwidth shows the 3 dB high-pass
frequency changes as a function of Vtune = 1.3 V, 1 V, 0.7 V, and 0.5 V, respectively. (From Hwang
et al. 2010b)

Fig. 8 Measured input referred noise spectral density for the amplifier. (From Hwang et al. 2010b)

noise) of our amplifier. This data is obtained by dividing the output noise spectrumpby
ffiffiffiffiffiffithe
mid-band gain of the amplifier. The measured input referred noise level is 20 nV/ Hz at
1 kHz. All performances are summarized in Table 1 (Hwang et al. 2010b).
12 Sensors for Vital Signs: ECG Monitoring Systems 231

Table 1 Summary of electrical performances


Performances Amplifier
Process Standard 0.18 μm CMOS
Core area 0.137 mm2
Core power consumption 20 μA@ 2.7 V for differential mode
Bandwidth 0.1~300 Hz <fo < 10 KHz
Gain(dB) 39.8
Output swing 2 Vpp
pffiffiffiffiffiffi pffiffiffiffiffiffi
Input referred noise 20 nV/ Hz @1 KHz, 200 nV/ Hz @10 Hz
CMRR(dB) in band >62
PSRR(dB) in band >70

Electrocardiogram Recording

We performed ECG measurement using a three-electrode arrangement for ease of


wireless ambulatory monitoring. The fully differential architecture facilitates direct
difference detection from left and right electrodes. The procedure for detecting ECG
is as follows: Electrodes individually placed on right and left shoulders are directly
connected to each input of differential amplifier without any circuitry. As reference,
one electrode attached on right ankle is tied to ground of the amplifier. Figure 9
shows a result of ECG detection. Normal parameters of ECG components such as
P wave, PR interval, Q wave, and QRS complex is clearly recognized from the ECG
curve in the figure.

Adaptive Asynchronous Level Crossing A-to-I Converter

The fully differential architecture of the asynchronous level crossing ADC


(LC-ADC) is shown in Fig. 10 and was originally presented in Trakimas and
Sonkusale (2008, 2011). The theory behind the operation of asynchronous
LC-ADC is discussed in Li et al. (2005), Akopyan et al. (2006), and Allier et al.
(2003). The basic principle behind LC-ADC is that instead of sampling the input
signal at fixed intervals in time determined by a clock, the input is only sampled
when it crosses predefined amplitude thresholds (Sayiner et al. 1996). The informa-
tion is now contained in the amplitude level crossed, and the time between crossings.
In a simplest version of this LC-ADC, one can envision a flash-like converter where
each comparator operated in continuous-time (not clocked) providing instantaneous
result on whether the signal crossed its threshold (Akopyan et al. 2006; Sayiner et al.
1996). This leads to nonuniformly spaced crossings in time and an effective data rate
based on the rate of change of the input signal. As a result, for a signal that is sparse
in time domain, such as an ECG, the average sample rate can be much lower than the
sample rate of a continuously clocked conventional nyquist-rate ADC at more than
twice the signal bandwidth. This creates the potential for large data compression
especially for signals with burst-like appearance such as ECG. The signal to noise
232 S. Sonkusale

Fig. 9 Measured ECG signals using three electrodes (Hwang et al. 2010b)

ratio (SNR) for any LC-ADC is given by a relationship different than the one for
nyquist-sampled converter and is given as follows (Kuang 2013)

SNR ¼ 20log R  14:2 ðdBÞ (6)

Where R is the timer resolution or in other words, it represents the timing


quantization error in the estimate of the time between level crossings. Note that
the converter does not have any amplitude quantization error and is therefore also not
limited by the linearity and other issues related to shrinking voltage headroom in
scaled CMOS technology.
The flash-like realization of the LC-ADC mentioned above, however, is not
particularly attractive for low-power biomedical applications because of the number
of comparators needed in its implementation. The alternative LC-ADC shown in
Fig. 10a is much more suitable. It seems equivalent to the successive-approximation
nyquist converters is more suitable (Trakimas and Sonkusale 2011). It consists of
two digital-to-analog converters (DACs), which create threshold levels bounding the
input; two comparators, which detect when the input crosses one of the threshold
levels; a resolution controller, which sets the threshold step size; and control logic,
which includes a timer to keep track of the time between samples. The input signal is
subtracted from the threshold levels within the DACs. This allows the threshold level
crossings to be detected by the comparators connected to the output of the DACs.
A brief overview of the operation of the LC-ADC is described next (more details
can be found in Trakimas and Sonkusale (2011)). Please consider the timing diagram
for the operation shown in Fig. 10b. Assume that the two DACs have initially been set
to create threshold levels, which bound the differential input signal from above and
below. When the input crosses one of the threshold levels, the output of the
corresponding comparator (INC or DEC) will toggle high. This triggers the control
logic to output the value of the threshold level crossed (DOUT), the value of the timer
12 Sensors for Vital Signs: ECG Monitoring Systems 233

Fig. 10 (a) Architecture of


the adaptive asynchronous
level-crossing A-to-I
converter (Trakimas and
Sonkusale 2011). (b) Example
waveforms demonstrating
operation of proposed ADC.
The converter produces a
series of increment or
decrement tokens when signal
crosses levels that are
adaptively set based on the
slope of the signal.
Information about the input is
contained in these tokens and
the time between them.
(c) Simplified block diagram
of the resolution controller to
adaptively set the threshold
levels of the level crossing
A-to-I converter

(Δt), and a 1-bit request signal (REQ), for synchronization with subsequent stages. The
timer is then reset and the control logic then updates the threshold levels by setting the
value of the upper DAC to DOUT + STEPINC and the lower DAC to DOUT  STEPDEC,
where STEPINC and STEPDEC are the threshold level step sizes (DAC resolution) set by
the resolution controller. In conventional LC-ADC, these step sizes are fixed. How-
ever, in the proposed LC-ADC, the resolution controller allows the threshold level step
sizes to be adjusted based on the slope of the input signal to optimize performance. Its
importance is discussed in the section below. The LC-ADC then waits for the input to
cross one of the new threshold levels before repeating the previously described steps.
The resulting output of the LC-ADC consists of nonuniform time-amplitude samples
(Δt, DOUT), which track the input signal and can be processed using an asynchronous
logic circuitry or asynchronous DSP. Alternatively, an interpolator can be used to
reconstruct the input signal first and then processed by conventional DSPs. It is
interesting to note here that the interpolator or the DSP need not be implemented at
the sensor node. The nonuniformly spaced tokens can be transmitted directly to the
234 S. Sonkusale

base station where an interpolator or the DSP can be implemented to further process the
signal. We need an effective 1.5 bit word to represent the tokens (1 for increment, 0 for
no change, 1 for decrement), implying the overall data rate could be significantly
reduced especially for sparse or burst-like signals.

Need for Adaptive Resolution LC-ADC

LC-ADC requires us to update threshold levels after each crossing activity.


In regular LC-ADC, this is equal to the step size or DAC resolution in Fig. 10.
However, the update process takes time, which we call the loop delay (σ) since the
signal needs to propagate through the comparator, digital logic, and the settling time
of the threshold levels of DAC. One can show that in regular LC-ADC where the
step sizes are fixed, this would limit the maximum input frequency bandwidth
(BW) that can be faithfully reconstructed (Trakimas and Sonkusale 2011).

1
BW ¼ : (7)
π σ2M
where M corresponds to the resolution of the DAC and is related to the fixed step size
used after each increment/decrement. Note that M is not the resolution of the entire
LC-ADC, which rather depends on the timer resolution or timing quantization error
(see SNR expression in Eq. 6 above). Equation (7) shows that in conventional
LC-ADC, there is trade-off between the amplitude resolution (or number of ampli-
tude levels) and the input frequency. For fast moving signals, the amplitude levels
will have to be coarse and vice versa. It will be harder to achieve both high resolution
and dynamic range at the same time. To overcome this trade-off, an adaptive
resolution LC-ADC was proposed and described in Fig. 10 where the step size is
adapted based on the slope of the input signal or equivalently on the rate of
increment or decrement tokens generated by the LC-ADC. Figure 11 shows the
example waveforms for the need of adaptive resolution in LC-ADC. One can show
that maximum input frequency bandwidth in case of adaptive resolution LC-ADC
solely depends on the loop delay and is now surprisingly independent of the
amplitude levels and given by Trakimas and Sonkusale (2011).

1
BWMAX ¼ (8)
4πσ

LC-ADC Implementation

The LC-ADC was fabricated in a 0.18 μm process and operated with 0.7 V power
supply (Trakimas and Sonkusale 2011). All transistors were biased in subthreshold
region for improved energy efficiency. The most important building block in the
12 Sensors for Vital Signs: ECG Monitoring Systems 235

Fig. 11 Example waveforms


of a signal asynchronously
sampled with the quantizer
resolution: (a) set to the
maximum value that meets the
input bandwidth requirement
of the signal; (b) set to the
minimum value that meets the
dynamic range requirement of
the signal; (c) varies with the
input slope, allowing both the
input bandwidth and dynamic
range requirements of the
signal to be met. (Taken from
Trakimas and
Sonkusale 2011)

LC-ADC is that of the DAC which was implemented as a 10-bit fully differential
hybrid switched-capacitor/resistor-string architecture shown in Fig. 12. The resolu-
tion is chosen to be 2 bits above the needed value to calibrate for the mismatch
between DACs by adjusting the lower bits of the DAC. The size of the unit
capacitors, CU, was set to 150 fF to allow for 10-bit matching. The unit resistor
size, R, was set to 50 kΩ in order to minimize power dissipation without reducing the
settling time of the DAC. The simulated reset and settling times of the DAC were
2.2 μs and 5.7 μs, respectively. In a fully integrated design, these times would
approximately set the loop-delay of the ADC, which would give a maximum sample
rate of approximately 100 kS/s. The OTA in the DAC is a standard 2-stage miller
236 S. Sonkusale

Fig. 12 10-bit fully-differential hybrid switched-capacitor/resistor-string DAC and example


timing waveform. For clarity, only one side is shown. The least 2-bits are used for mismatch
calibration between the two DACs used in the design. (Taken from Trakimas and Sonkusale 2011)

compensated OTA. Simulations show the OTA achieves a DC gain of 70 dB and a


unity-gain bandwidth of 6 MHz for a 5 pF load on each output and has a static power
consumption of 7.5 μW at a supply voltage of 0.7 V.
A regular two-stage open loop amplifier was chosen as a comparator. Two
inverters were added at the output to allow for rail-to-rail (logic level) output swing
and to reduce the decision time. The static power consumption of the comparator was
1.4 μW at a supply voltage of 0.7 V. The decision time of the comparator will add to
the loop delay, but it will also introduce aperture error in estimating the exact time
when the signal crossed the levels. This time error will create an error in amplitude,
which is dependent on the slope of the input signal. Simulations show a decision time
of 0.55 μs for the worst-case input slope of 4375 V/s and a supply voltage of 0.7 V.
This value is less than the resolution of the timer (1 μs) and therefore will have
minimal effect on the output resolution of the LC-ADC. One could add internal
positive feedback to increase speed of conversion and also add some hysteresis to this
open loop comparator to avoid unwanted switching from noise when signal is
hovering around the threshold. One such design implemented at just 0.25 V power
supply using bulk-driven transistors in weak inversion was presented in the context of
another architecture (Ferreira and Sonkusale 2014, #179).

LC-ADC Performance Summary

The die micrograph is shown in Fig. 13. The digital control logic was implemented
off-chip in an FPGA to allow for flexibility in testing different control algorithms. As
mentioned, in order to increase power efficiency, the LC-ADC was optimized for
subthreshold operation and a supply voltage of 0.7 V. The digital I/O supply, which
drove the DAC switches, was set to 1.4 V to lower their on-resistance and thus
reduce loop delay from digital switching. The output spectrum for a 1.1 kHz input is
shown in Fig. 14 when the DAC resolution was set to 4-bits and the timer resolution
to 1 μs. The measured signal-to-noise and distortion ratio of 50.9 dB corresponds to
an effective number of bits of 8.2. This result demonstrates another attractive
12 Sensors for Vital Signs: ECG Monitoring Systems 237

Fig. 13 Die micrograph of 4mm


the ADC (Trakimas and
Sonkusale 2011)
Comparators

DACs

4mm
Fig. 14 ADC output 0
spectrum for a 1.1 kHz SNDR = 50.94dB
ENOB = 8.17
sinusoidal input (Trakimas -20
and Sonkusale 2011)
Magnitude (dB)

-40
3rd
-60 5th

-80

-100

-120
0 2 4 6 8 10
Frequency (kHz)

property of asynchronous ADCs, which is that the effective output resolution does
not depend on the number of DAC quantization levels (Kozmin et al. 2009), as is
typical in conventional ADCs. The maximum sampling rate of the ADC was 50 kS/s,
which was set by the minimum time required to update the threshold levels. The
input bandwidth for a full-scale input was 1 kHz. The bandwidth will increase as the
input amplitude decreases as discussed in Allier et al. (2003). The bandwidth of
1 kHz is more than enough for ECG monitoring applications. The measured power
consumption was 25 μW. An overview of the measured results is given in Table 2
(Trakimas and Sonkusale 2011).

ECG Monitoring System Results

An ECG monitoring system was built using a two-chip solution (Hwang et al.
2010a), where the front end was the tunable bandwidth amplifier discussed in section
“Bandwidth Tunable Amplifier” followed by the adaptive resolution LC-ADC
238 S. Sonkusale

Table 2 ADC performance summary


Parameter Value
Technology 0.18 μm CMOS
Supply voltage 0.7 V
Quantizer resolution Variable (4–8 bits)
Timer resolution 1 μs
Maximum sampling rate 50 kS/s
Input bandwidth 1 kHz (for full-scale input)
Peak SNDR 52.2 dB
ENOB 8.4 bits
Differential input swing 1.4 Vp-p
Power consumption 25 μW
Area 0.96 mm2 (w/o off-chip logic)

discussed in section “Adaptive Asynchronous Level Crossing A-to-I Converter.” A


three-electrode arrangement was used to measure the ECG signal where one signal
electrode was placed on the left and right shoulders of the test subject and the
reference electrode was placed on the right ankle. The electrodes were then
connected to the input of the AFE. A buffer was added between the AFE and
LC-ADC to bring the common mode level within the range required by the ADC.
Note that in a single chip solution, one can ensure the common mode levels match
between AFE and the LC-ADC to get the expected performance. The output of the
ADC was processed in MATLAB.
Figure 15 shows the output of the AFE spanning two ECG pulses (Hwang et al.
2010a). The important ECG components including the P wave, PR interval, Q wave,
and QRS complex are discernable. The output of the LC-ADC and the time varying
sample rate for a separate measurement is shown in Fig. 16 for a DAC resolution of
7-bits. The important ECG components are clearly visible. The sample rate is seen to
vary from the minimum sample rate of 15 S/s during periods of inactivity up to a
maximum level of 5 kS/s during periods of high activity. The ADC thereby provides
large oversampling when needed while having an average sample rate of 150 S/s.
This is lower than the Nyquist rate of 300 Hz assuming a signal bandwidth of 150 Hz
(Trakimas and Sonkusale 2011).

Other ECG Monitoring Systems

There are other noteworthy realizations of ECG monitoring systems recently


published in the literature with substantially improved performance. The most sig-
nificant improvement is the direction towards dry ECG recording. However, this
implies that the electrode-skin interface impedance will fluctuate over time and from
motion. A nice review covering the latest options for dry ECG electrodes and the
challenges is presented in Chi et al. (2010). One pressing need for dry ECG electrodes
is a need for extremely high input impedance (>1 GΩ) front end. Moreover, there is
12 Sensors for Vital Signs: ECG Monitoring Systems 239

Fig. 15 Measured ECG signal at AFE output (Hwang et al. 2010a)

Fig. 16 Measured ECG signal at ADC output and corresponding sample rate. The plot shows input
dependent sampling activity (Hwang et al. 2010a)

also a need for high input capacitance cancellation and common noise suppression. A
discrete amplifier-based design for such an analog front end with high input imped-
ance and capacitance neutralization and common mode noise suppression was
published (Chi and Cauwenberghs 2009). The key idea behind input capacitance
neutralization and common mode noise suppression is the use of positive feedback
and bootstrapping (Fig. 17).
Yet another architecture that uses direct DC coupled three opamp-based instru-
mentation amplifier was presented in Zhang et al. (2016). A baseline stabilization
circuitry is added to mitigate the electrode offset from motion artifact and contact
impedance imbalance. This design was also integrated with LC-ADC architecture on
240

Fig. 17 Biopotential recording from dry electrodes (Chi and Cauwenberghs 2009)
S. Sonkusale
12 Sensors for Vital Signs: ECG Monitoring Systems 241

DC-Coupled ECG AFE Level-Crossing ADC

IA VH
- CH
LC
+ Logic
Baseline VA
Reset PGA
Stabilizer Delta
- CL Modulator
+
IA VL
Acumulative
Dual DAC

DIR REQ
DIR
REQ

On-Chip Raw
1B2B Encoder
Antennna Impulse Radio UWB ECG

IR-UWB Transmitter

Fig. 18 DC-coupled ECG AFE with level crossing ADC and Impulse Radio UWB transmitter
(Zhang et al. 2016)

the same chip and it showed an impressive five times reduction in sampling points
compared to Nyquist sampling. Moreover, the design also included a digitally
implemented impulse-radio ultra-wideband transmitter with an on-chip antenna for
wireless communications. Implemented in 0.13 μm CMOS technology, the ECG-on-
chip consumed just 2.89 μW under 1.2 V supply while transmitting the raw ECG
data. This architecture is shown in Fig. 18, details of which can be found in Zhang
et al. (2016).

Conclusions

A design of an adaptive asynchronous sampled low-power ECG monitoring system


was presented. This design utilizes a bandwidth tunable analog front end amplifier
followed by a level crossing analog to digital converter which provides an activity-
dependent clockless digitization of the ECG signal. Instead of nyquist sampling the
signal in time and quantizing the amplitude, it samples when the signal crosses
discrete known amplitude levels and quantizes the time information between level
crossings. Such designs scale power dissipation with input signal activity and
therefore provide effective data compression for signals that are sparse in time
such as ECG signals. A new architecture of LC-ADC was shown that overcomes
the bandwidth and resolution trade-off by using an adaptive resolution converter that
adapts the amplitude levels based on the slope of input signal. Silicon results were
presented. The trend for ECG monitoring is towards recording using dry electrodes
242 S. Sonkusale

which necessitates a different design for the analog front end, one where input
impedance is very high and additional circuitry for offset cancellation and baseline
stabilization. The need for real-time continuous ambulatory monitoring of ECG
means that the new designs will have to be based on recording from dry ECG
electrodes with aggressive architectures for digitation and data compression for
low-power operation. One may have to also have to consider direct feature extraction
for ECG recording to reduce the power of data transmission. One such architecture
for direct feature extraction of QRS complex directly from asynchronously sampled
ECG waveform using adaptive LC-ADC was presented in Agarwal and Sonkusale
(2011). A future may also employ advanced analog techniques for machine learning
on the ECG sensor node for improved signal classification.

References
Agarwal R, Sonkusale SR (2011) Input-feature correlated asynchronous analog to information
converter for ECG monitoring. IEEE Trans Biomed Circuits Syst 5:459–467
Akopyan F, Manohar R, Apsel AB (2006) A level-crossing flash asynchronous analog-to-digital
converter. In: 12th IEEE international symposium on asynchronous circuits and systems, pro-
ceedings, pp 12–22
Allier E, Sicard G, Fesquet L, Renaudin M (2003) New class of asynchronous A/D converters based
on time quantization. In: Ninth international symposium on asynchronous circuits and systems,
proceedings, pp 196–205
Chi YM, Cauwenberghs G (2009) Micropower non-contact EEG electrode with active common-
mode noise suppression and input capacitance cancellation. In: 2009 annual international
conference of the IEEE engineering in medicine and biology society, vols 1–20, pp 4218–+
Chi YM, Jung TP, Cauwenberghs G (2010) Dry-contact and noncontact biopotential electrodes:
methodological review. IEEE Rev Biomed Eng 3:106–119
Ferreira LHC, Sonkusale SR (2014) A 60-dB gain OTA operating at 0.25-V power supply in
130-nm digital CMOS process. In: IEEE transactions on circuits and systems I: regular papers,
61(6):1609–1617
Harrison RR, Charles C (2003) A low-power low-noise CMOS amplifier for neural recording
applications. IEEE J Solid State Circuits 38:958–965
Hwang S, Trakimas M, Sonkusale S (2010a) A low-power asynchronous ECG acquisition system
in CMOS technology. In: 2010 annual international conference of the IEEE engineering in
medicine and biology society (EMBC), pp 5262–5265
Hwang S, Aninakwa K, Sonkusale S (2010b) Bandwidth tunable amplifier for recording
biopotential signals. In: 2010 annual international conference of the IEEE engineering in
medicine and biology society (EMBC), pp 662–665
Kozmin K, Johansson J, Delsing J (2009) Level-crossing ADC performance evaluation toward
ultrasound application. IEEE Trans Circuits Syst I Regul Pap 56:1708–1719
Kuang WD (2013) An adaptive resolution asynchronous ADC architecture for data compression
in energy constrained sensing applications (vol 58, pg 921, 2011). IEEE Trans Circuits Syst
I Regul Pap 60:1097–1099
Li YW, Shepard KL, Tsividis YP (2005) Continuous-time digital signal processors. In: 11th IEEE
international symposium on asynchronous circuits and systems, proceedings, pp 138–143
Olsson RH, Buhl DL, Sirota AM, Buzsaki G, Wise KD (2005) Band-tunable and multiplexed
integrated circuits for simultaneous recording and stimulation with microelectrode arrays. IEEE
Trans Biomed Eng 52:1303–1311
Sayiner N, Sorensen HV, Viswanathan TR (1996) A level-crossing sampling scheme for A/D
conversion. IEEE Trans Circuits Syst II Express Briefs 43:335–339
12 Sensors for Vital Signs: ECG Monitoring Systems 243

Trakimas M, Sonkusale S (2008) A 0.8 V asynchronous ADC for energy constrained sensing
applications. In: Proceedings of the IEEE 2008 custom integrated circuits conference,
pp 173–176
Trakimas M, Sonkusale SR (2011) An adaptive resolution asynchronous ADC architecture for data
compression in energy constrained sensing applications. IEEE Trans Circuits Syst I Regul Pap
58:921–934
Wattanapanitch W, Fee M, Sarpeshkar R (2007) An energy-efficient micropower neural recording
amplifier. IEEE Trans Biomed Circuits Syst 1:136–147
Yazicioglu RF, Merken P, Puers R, Van Hoof C (2007) A 60 mu W 60 nV/root Hz readout front-end
for portable biopotential acquisition systems. IEEE J Solid State Circuits 42:1100–1110
Zhang XY, Zhang Z, Li YF, Liu CR, Guo YX, Lian Y (2016) A 2.89 uW dry-electrode
enabled clockless wireless ECG SoC for wearable applications. IEEE J Solid State Circuits
51:2287–2298
Sensors for Vital Signs: Humidity Sensors
13
Wagner Coimbra and Arnaldo Leal-Junior

Contents
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 246
Humidity Sensing Technologies . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 248
Humidity-Responsive Materials . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 250
On-Chip Humidity Sensing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 252
Optical Waveguides for Humidity Sensing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 254
Final Remarks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 259
References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 260

Abstract
In addition to their use in environmental monitoring, chemical and processes
industries, the humidity assessment plays important roles in vital signs monitor-
ing as well as general healthcare application. The humidity sensors can be used on
the detection of breathing cycles (due to the high humidity associated to human
breath) as well as indicators for the microclimate conditions that can be related to
pressure ulcers. In wearable technology, humidity assessment also is used on the
thermal comfort estimation through the microclimate condition. Following these
important applications of humidity sensing, this chapter presents the develop-
ments on humidity sensors for on-chip and optical waveguide applications. The
operation principles of capacitive, resistive, and optical-based humidity sensors
are discussed. However, as the humidity sensor needs a sensitive layer, the
properties and humidity dependency of polymers, ceramics, metal-oxides, and
composites are discussed. The development of humidity sensing on-chip appli-
cations results in an even higher miniaturization of biocompatible sensors with
important applications in health care. In addition, the use of photonics wave-
guides as humidity sensors with multiplexing capabilities and biocompatibility

W. Coimbra · A. Leal-Junior (*)


Mechanical Engineering Department, Federal University of Espírito Santo, Vitória, Brazil
e-mail: leal-junior.arnaldo@ieee.org

© Springer Science+Business Media, LLC, part of Springer Nature 2022 245


M. Sawan (ed.), Handbook of Biochips,
https://doi.org/10.1007/978-1-4614-3447-4_4
246 W. Coimbra and A. Leal-Junior

without sensitivity to electromagnetic interferences enables their use in magnetic


resonance imaging environments as well as in conjunction with wearable robots.

Introduction

Humidity and moisture absorption monitoring play an important role in many


applications such as structural health monitoring (SHM), pharmaceutical, medical,
and food process and storage. The assessment of moisture absorption offers impor-
tant information regarding the structural integrity, which can be comprised by water
absorption in many situations. For example, water penetration in diaphragm inner
walls of dikes or dams can indicate problems of the structural integrity, which can
lead to major accidents. In addition, the humidity measurement is one of the core
assessments for environmental monitoring, including the investigation of the envi-
ronmental balance in different biomes at different regions around the world. It is also
worth noting that process industries such as pharmaceutical and food processes as
well as chemical industries in general highly rely on the strict humidity control of the
chemical processes and the materials storage (Kolpakov et al. 2014).
In humans, the humidity is generally related to the thermal comfort, where many
devices have the control of both temperature and humidity, which leads to the
necessity of measuring both parameters in order to obtain a closed loop control
with higher accuracy. However, humidity sensors also present an important appli-
cation on vital signals monitoring. The human breath contains high humidity, which
can also reach water saturation. In this case, humidity sensors based on different
technologies (including micro- and biochips) can be placed close to the air exhale
region in order to track humidity variations of a user. The tracked humidity shows
periodic variations related to the respiration rate of the user. Thus, it is possible to
estimate the breathing rate of different individuals by means of humidity sensing,
resulting in a contactless method for assessing such important vital sign in human
health assessment (Li et al. 2018). Such parameter is comprised of voluntary and
involuntary components, which are important indicators of some cardiovascular
diseases, fatigue, apnea (Nishyama et al. 2011), and respiratory abnormalities
(Strauß et al. 2014). For this reason, the assessment of breathing rate is important
for the surveillance and prevention of some pulmonary diseases that affect a signif-
icant part of the worldwide population.
Another important application of the humidity monitoring is on microclimate
assessment, defined as the temperature and humidity (i.e., climate conditions) in a
predefined region (generally a region area of some centimeters) that presents a
climate condition different from the one of its surrounding region. Although the
microclimate conditions are monitored for many of the aforementioned applications,
it plays an important role in the prevention of pressure ulcers, which are mainly
caused by the skin deformation (Kottner et al. 2018). However, temperature and
humidity variations affect the skin properties, making it prone to injuries under small
deformations as well as continuous pressures. In addition, the increase of moisture in
the region between the skin and the object in contact with the skin (e.g., chair, bed
13 Sensors for Vital Signs: Humidity Sensors 247

mattress and wearable device) also increases the coefficient of friction in this region,
which contributes to the pressure ulcers (Shaked and Gefen 2013). For this reason,
the recommendation is to always keep the skin dry, especially on the occluded
regions, where there is an accumulation of body fluids (such as sweat) that increases
the moisture content (or humidity). Therefore, the continuous humidity assessment
in these regions provide important information regarding the microclimate condi-
tions in such skin-support regions, which can aid in the prevention of pressure ulcers
and skin maceration (Kottner et al. 2018).
In wearable devices, the measurement of the temperature and humidity is applied
on monitoring the microclimate conditions between the human skin and the worn
device. Besides the possibility of pressure ulcers and skin maceration when the
humidity or moisture content in the contact region are high, adverse microclimate
conditions cause discomfort and it is a possible cause for the user to abandon the use
of such technological solution. Thus, even if the unfavorable humidity conditions do
not result in skin or tissue wounds, the humidity increase (or decrease) above a
threshold of a comfort zone can negatively affect the wearable device’s usability and
acceptance by the users, indicating a necessity of humidity assessment in such
devices on the region in contact with the user’s skin. There are three human thermal
comfort regions, defined as a function of the microclimate temperature and humidity.
Such regions are defined as: (i) comfort in temperatures in the range of 29 °C and
34 °C with relative humidity (RH) below 70%; (ii) neutral comfort in relative
humidity below 80% and temperature between 27 and 36 °C; (iii) discomfort in
temperature lower than 27 °C, higher than 36 °C, or humidity higher than 80%
(Moreno et al. 2008). Figure 1 shows the thermal comfort regions, which indicate the
operation limits of wearable devices in terms of microclimate conditions. If the
temperature or humidity reaches values outside the comfort regions, it is
recommended to interrupt the use in order to dry the contact region between the
skin and device. Therefore, microchips for humidity sensing can be positioned on

Fig. 1 Human thermal


comfort regions as a function
of the temperature and
humidity
248 W. Coimbra and A. Leal-Junior

these interfacial regions to monitor the microclimate conditions in such regions and
set alarms when thermal discomfort regions are reached, as depicted in Leal-Junior
et al. (2019).
For these reasons, humidity monitoring finds important applications in health
care. The constant advances in microelectromechanical systems (MEMS) have
enabled further miniaturization of humidity sensors, where millimeter-scale chips
for humidity and temperature assessment are commercially available and fill a large
share of the sensors market. It is worth noting that such continuous advances in
MEMS result in an even higher miniaturization of the sensors, where microchips are
also widespread, especially on healthcare applications. On the other hand, photonics
devices also experience a large widespread, where multipurpose optical waveguides
have been developed also in humidity sensing applications. In this chapter, the
development of humidity sensor biochips is discussed, where the conventional
humidity sensing technologies are described as well as the humidity-responsive
materials, which are a fundamental part of the majority of humidity sensors. Then,
the developments of on-chip humidity sensors and the ones based on optical
waveguides are presented and discussed.

Humidity Sensing Technologies

The general definition of humidity is the relation between the water vapor in the
atmosphere containing all other gases, which can assume different representations.
The most common ones are relative humidity and absolute humidity, where the latter
is the ratio between the mass of vapor in air and the total volume of air. The relative
humidity is the ratio between the water vapor in air and the maximum moisture level
possible in the air for a predefined temperature and pressure conditions. In summary,
the relative humidity is the relation between the moisture in the air and the moisture
saturation in air for the same temperature and humidity conditions. In this case, the
saturation humidity is the ratio between the mass of water vapor at saturation
condition and the air volume. Thus, it is possible to estimate the relative humidity
from the absolute humidity and vice versa (Farahani et al. 2014).
The humidity sensing technologies can be divided into three main classes,
considering the type of the sensor or transduction mechanism, which are the
electric/electronic, mechanical, and photonic sensors. All these sensors are generally
based on physical, electrical, or optical properties variations of a predefined sensitive
element as a function of the humidity variation. Depending on the material’s
properties and composition, the humidity variations can lead to expansion or con-
traction of the material as well as variations on its stiffness and mechanical proper-
ties. In contrast, some materials present variations on the electrical impedance and/or
capacitance when subjected to different humidity conditions (Farahani et al. 2014).
Moreover, another class of materials shows variations on their optical properties
when subjected to humidity variations, where such materials present variations on
their refractive index, which can also be related to other physical changes in the
material such as the swelling. There are also the materials that present colorimetric
13 Sensors for Vital Signs: Humidity Sensors 249

changes under high (or low) humidity conditions as well as absorbance and
fluorescence effects, which can be used as a humidity sensing approach (Kolpakov
et al. 2014).
Conventional technologies for humidity measurement include the application of
materials that contract or expand with the humidity variation. However, some
materials can present slow and nonlinear variations, which indicate the importance
of the sensitive material selection on the humidity sensor’s performance, since
linearity, sensitivity, and response time of the sensor is directly influenced by the
sensitive material chosen, as discussed in the next section. In this case, mechanical,
capacitive, and optical transduction mechanisms can convert the material expansion
(or physical variation) into signal variation (in different natures) proportional to the
humidity variation. Wet and dry bulb psychrometers consist of two thermometers
measuring the dry and wet bulb temperatures and estimate the relative humidity from
them. Although it provides a reliable measurement with a low-cost system, this
method has several limitations on its use in small or enclosed areas, as they need a
large contact area, which hinder its application on microclimate sensing applications
(Moreno et al. 2008).
Electronic sensors with capacitive and resistive transducers are commonly used,
using operation principles related to the materials expansion (especially in the
capacitive case) or the change of the electrical properties, where the latter can be
used in conjunction with conductive polymers, metal-oxide ceramics or metal
conductors (Lee et al. 2006). The materials variations can be transduced into
impedance (conductance) variation by means of using metal electrodes (generally
made of gold and silver) on a substrate, where the humidity-sensitive film is
deposited on a region between the electrodes. Thus, the change of the electrical
impedance in the hygroscopic medium is directly related to the humidity in the
sensitive film region. Capacitive sensors can present similar configuration, with the
electrodes positioned on each side of the sensing region, or it can be stacked in a
“sandwich-like” configuration in which there are upper and lower electrodes with the
sensitive film in-between. The coating layers are of nanometer-scale in some cases,
allowing the fast detection of humidity variations through small variations on the
system’s capacitance. The capacitive humidity sensors generally operate in temper-
atures as high as 200 °C with a fast response and reversibility, where these features
enable the widespread of such sensors, which represent around 75% of the humidity
sensors market. It is important to mention that the capacitive configuration are also
used with polymer sensing films, where the polymer swelling or variations in the
dielectric constant as well as permittivity lead to the variations on the capacitance as
a function of the surrounding humidity (Farahani et al. 2014). However, many of the
electronic sensors discussed may present response time higher than 30 s and can
suffer from electromagnetic interference (Moreno et al. 2008). This interference is
especially undesired when the humidity sensor is used on the microclimate assess-
ment in wearable devices due to the constant activation of electric actuators if the
sensor is used on a wearable robot. Nevertheless, the electronic sensors are a popular
technology for the microclimate sensing, and the MEMS have enabled the develop-
ment of on-chip technologies for humidity sensing, as discussed in next sections.
250 W. Coimbra and A. Leal-Junior

As another approach for the humidity sensor development, the humidity-sensitive


films can be used on photonic-based systems. In this case, a light source can be used
to illuminate the material, whereas a photodetector or spectrometer is used on the
detection of the transmitted and/or reflected optical signal in which the intensity
and/or spectral features of the light transmitted through (or reflected by) the sensitive
film is related to the relative humidity. There is also the possibility of using optical
fibers as a waveguide for the transmitted or reflected light, which results in a system
immune to electromagnetic interferences and a compact system due to the
micrometer-scale dimensions of optical fibers. Silica optical fiber sensors for humid-
ity sensing need to be in contact with a sensing material, which include gelatin films,
polymeric coatings, and application of different dopants. The fiber coating results in
an additional step on the sensor fabrication and, depending on the process used on
the coating, it can reduce the sensor reproducibility, which can also lead to variations
on the sensor performance parameters such as detecting range, linearity, and sensi-
tivity. As an alternative for humidity sensing using optical waveguides, polymer
optical fibers (POF) can be employed to measure the relative humidity with addi-
tional advantages of intrinsic sensitivity to humidity, excluding the necessity of
applying humidity sensitive coatings. For these reasons, sensors for measuring
humidity and moisture based on POFs have been proposed throughout the years.

Humidity-Responsive Materials

As discussed in the previous section, the vast majority of humidity sensors with the
different technologies previously discussed use a humidity-sensitive film that suffers
structural variations when subjected to different humidity conditions. Thus, mate-
rials that absorb and/or desorb the water molecules are employed, where the
advances in materials characterization and processing have enabled the development
of low-cost materials and solutions for humidity sensing applications, which results
in inexpensive sensors widely available in the market (Moreno et al. 2008). More-
over, specialized materials (especially the 2D materials) for applications with high
resolution, accuracy, or response time requirements. The materials used in humidity
sensors development can be classified into the following main groups: polymers,
ceramics (metal-oxides), and composite or advanced materials (including the 2D
materials) (Lee et al. 2006). Each group of materials results in different performance
for the humidity sensors in terms of accuracy, repeatability, measurement range, and
long-term stability. Furthermore, the choice of the material for the humidity-sensitive
film needs to consider additional fabrication parameters such as chemical stability,
ease of fabrication, and cost of the sensor.
In polymers, the water molecules absorption can lead to the swelling of the
polymer, resulting in a change of volume, where such feature is used in many
sensing approaches especially the mechanical-based sensors previously reported
decades ago in which the polymer is connected to a mechanism in order to indicate
the humidity. This approach, using the polymer swelling, is also employed in some
photonic sensors and can be used in capacitive sensors as well. As another effect of
13 Sensors for Vital Signs: Humidity Sensors 251

water absorption in polymeric materials such as porous and cross-linked polymer


films as well as the grafted polymer plate, there is a resistance change that ranges
from several hundred ohms to several hundred mega ohms. Such approach can result
in a wide measurement range (higher than 50% relative humidity variation) with
high linearity, close to 99%. However, this approach is not suitable for high humidity
condition due to the water solubility of the aforementioned polymers. Polymers such
as polymethyl methacrylate (PMMA) and poly (vinyl cinnamate) present a capaci-
tance variation (in addition to the swelling) when subjected to humidity variation
conditions, which can be employed in a capacitive humidity sensing solutions for
relative humidity variations below 50% (Lee et al. 2006). As an alternative for
enhancing the humidity sensor performance using polymer films, the polymer
membranes doped with fluorescence dyes show absorbance or fluorescence variation
when the material is subjected to humidity variations, which enables the assessment
of humidity using optical approaches where the transmitted or reflected light features
(such as intensity or central wavelength) are used to estimate the environmental
humidity. It is also worth noting that such materials can also present variation in the
refractive index as a function of the environmental humidity, where such variation
can also be used in optical sensor employing interferometric configurations in order
to translate the variations in the sensitive film refractive index into variations in
transmitted optical power or spectral features (Wang et al. 2016).
In the case of ceramics (including the metal-oxides), they are generally used in
solid-state sensors using capacitance or resistance approaches with a wide measuring
range and stability, which make them suitable for the developments of humidity
sensors in a wide applications range, where there is a linear increase of the dielectric
constant with the humidity. These materials also support much higher temperatures,
as high as 300 °C, resulting in a high flexibility on their use that enable their vast
widespread in the market, despite their linearity similar to the ones of the polymer
materials. As a drawback of the ceramics and metal-oxides, the capacitance variation
of these materials is on the order of tens pF to nF, which leads to the necessity of
using smaller connecting cables as possible, as they influence the sensors responses
(Lee et al. 2006). However, this drawback acts favorably for the sensors miniatur-
ization, which does not hinder the development of on-chip applications as well as the
biochips developments.
Composite and advanced materials are referenced as the electronic grade films,
porous polysilicon, nanostructured carbon films, and 2D materials such as graphene.
As these materials are generally used in nanotechnology and micromachine appli-
cations, they are well aligned with the requirements of biochips and microchips
applications of humidity sensors. Thus, these materials are used as nanometer-scale
thin films in wafer configuration with the thin metal films for the capacitive humidity
sensor development. Such approach has the advances of fast response and high
linearity in a wide range (higher than 50% of relative humidity variation). However,
the absorption and desorption properties show a large variation (especially when
compared with ceramics) that results in a hysteresis on the sensor. The use of carbon
nanotubes for humidity sensing purposes was reported in the last few years, where
the hollow geometries and sizes lead to the possibility of fast and effective
252 W. Coimbra and A. Leal-Junior

absorption (Lee et al. 2006). In this case, there is also a change on the carbon
nanotube resistance when subjected to different humidity conditions, where such
variation can be as high as 15%, which can be used in sensors configurations. In
addition, the resistance variation can also be employed on humidity sensors using
the carbon nanotubes as humidity-sensitive material. It is also worth noting that the
sensing film can be comprised of nanoparticles or other 2D materials to enhance
the surface plasmon resonance that enables the humidity sensing in optical-based
approaches through the refractive index changes of the sensitive material as a
function of the relative humidity (Li et al. 2018).

On-Chip Humidity Sensing

Research in the design and fabrication technologies of humidity sensors has led to a
diversity of humidity sensing materials and measurement techniques (Boltshauser
et al. 1993; Huang et al. 2016). Integrated silicon humidity sensors are small, have a
fast response time due to the thin layers for moisture absorption, and can benefit from
batch fabrication for cost reduction (Boltshauser et al. 1993). Sensor performance is
enhanced by the combination of sensor and signal processing circuitry on the same
chip (Boltshauser et al. 1993). Complementary Metal Oxide Semiconductor
(CMOS) MEMS humidity sensors can be fabricated by a post-CMOS process, in
which a film of a humidity-sensitive material is deposited over a CMOS circuit
(Huang et al. 2016). Capacitive and resistive humidity sensors are the most prom-
inent types (Chen et al. 2014). These sensors measure dielectric constant
(i.e., capacitance) and resistance changes, respectively, to estimate the relative
humidity (RH) in the environment. Capacitive humidity sensors are widely used
for their advantages, such as low power consumption, high linearity, resolution, and
long-term stability. Interdigitated structures are generally used for their simple
fabrication process and capacity of exposing one side to the ambient conditions
(Huang et al. 2016). The small sensitive capacitance and sensitivity of an interdig-
itated capacitive structure are improved by smaller geometries, limited by the
lithography process. Enhancement mechanisms can further improve the perfor-
mance of capacitive sensors, such as increased height, multi-stacked metal structure,
capacitive coupling structure (Huang et al. 2016), external magnetic field (Chen et al.
2014), and so on.
In one of the earliest developments of humidity sensing microchips, there is a
development of a CMOS capacitive sensor composed of a capacitive element,
covered with polyimide as humidity sensing material, and a readout circuit
(Boltshauser et al. 1993). Due to the small nominal capacitance (typically smaller
than 1 pF), the inclusion of a precise on-chip measurement circuit is necessary to
avoid large parasitic capacitances that hinder device characterization by an external
capacitance meter. The circuit for capacitance measurement implemented was a
capacitance-to-current converter, which provides better accuracy compared to
capacitance-to-frequency converter and output voltage measurement techniques.
The converter provides an output current signal related to the absolute value of the
capacitance, for precisely known input values of reference voltage and clock
13 Sensors for Vital Signs: Humidity Sensors 253

frequency. This current is the charging (or discharging) current of the sensor
capacitance, and do not depend on the availability of a reference capacitor. The
circuit used was integrated in an industrial 3 μm Self Aligned Contact CMOS, and
connected to an array of different capacitive humidity sensors (six sensors with
different geometries) integrated on the same chip through simple multiplexing. The
electrodes were made of aluminum and polysilicon in various combinations. A
photosensitive polyimide layer was deposited on top of the electrodes, with a
thickness of around 6 μm, by a curing cycle at 350 °C. Then, the sensors were
bonded on standard ceramic substrates. The tests were conducted from 30% to 95%
RH. A response time below 30 s, maximum sensitivity of S ¼ 0.90, hysteresis lower
than 1%, and temperature coefficient smaller than 0.1%RH °C1 were obtained. The
drift over 48 h for room temperature was smaller than 1%RH for lower humidity
and less than 4%RH for 90%RH. The capacitance values range from 0.1 to 4.0 pF
and clock frequencies can go up to 500 kHz. The converter is insensitive to parasitic
capacitances to ground, offsets and charge injection from switches. This humidity
sensor can be integrated with a gas flow sensor on the same chip, since its response to
humid airflow is smaller than 2%RH.
As an alternative for sensitivity enhancement in capacitive humidity sensors
based on porous anodic aluminum oxide (AAO), there is the use of magnetic fields
(Chen et al. 2014). The capacitance variation of the sensing mechanism is derived
from the AAO film, air, and water molecules. Despite the high dielectric constant of
water, the first layer of water molecules is immobilized, with a reduced contribution
on low RH conditions. External magnetic field re-aligns the water molecules to
increase the capacitance of sensor at low and high RH conditions. The sensitive film
of the capacitive humidity sensor was fabricated using a porous AAO film prepared
by means of a two-step hybrid pulse anodization (HPA) process. The magnetic field
was applied using an NbFeB magnet fixed at two heights (5 and 14 mm) below the
sensor, with corresponding field strengths of 0.058 and 0.028 T (Tesla), respectively.
The tests were conducted from 15% to 80%RH, 1 kHz, and 1.0 Vpp at ambient
temperature (25 °C). Under low RH conditions (<45%RH), the sensor exhibits
nonlinear response without magnetic application. The capacitance relationship to
RH becomes more linear-like as the field strength increases under low and high RH
conditions. The magnetic field strongly enhances the sensitivity of the sensor at low-
to-high humidity (sensitivity has increased from 5.2 to 23.9 at 45%RH, and from
79.7 to 166.5 at 80%RH). It enhances also the sensor capacitance (from 4.03 nF to
16.16 nF at 45%RH, and from 52.47 nF to 108.9 nF at 80%RH), and has no
significant effect under a very low RH (<15%RH).
The sensitivity of a CMOS MEMS humidity sensor can be enhanced by a
capacitive coupling structure (Huang et al. 2016). A coupling electrode enhances
the sensitive capacitance as well as the sensitivity of an interdigitated humidity
sensor, by means of combining an interdigitated structure and a parallel plate
structure. The interaction between the ramifications of the interdigitated electrodes
and the coupling electrode on top of a thinner sensitive layer creates a higher
coupling capacitance, which increases the sensitive capacitance of the sensor. This
structure is more robust than a conventional parallel plate structure. In this case, the
humidity sensor was fabricated by a two-step post CMOS process. First, a polyimide
254 W. Coimbra and A. Leal-Junior

layer was deposited as the humidity-sensitive material. Then, silver nanowires


(diameter of 40 nm) were dispersed onto the polyimide. The sensitive capacitor
dimensions were 509 μm  3200 μm. The tests were conducted from 15% to 90%
RH and 100 kHz at 5, 15, 25, and 35 °C, for both adsorption and desorption
processes. The sensor exhibited good sensitivity (0.29%/%RH, or 32.8 fF/%RH,
compared to 0.1%/%RH in conventional interdigitated structure), good linearity and
low temperature coefficient, as well as fast response (10s) and recovery (17s) times
at 25 °C. The hysteresis of the sensor was 1%RH at 25 °C, and the maximum
hysteresis was 3.5%RH at 75%RH and 5 °C. The sensitive capacitance in a dry air
atmosphere is about 1.4 pF in a conventional interdigitated structure and about
11.3 pF in a capacitive coupling structure. The improved sensor sensitivity increased
2.9 times and the response time reduced 3.8 times as compared to that of a
conventional structure.
In order to obtain a fully integrated humidity sensor system-on-chip (SSoC), the
micro-stamping technology was used in the sensor fabrication (Huang et al. 2012).
The resistive humidity sensor is based on the resistance change of the polyaniline
film (due to an acid-base reaction stimulated by humidity) to estimate the RH in the
environment. Polyaniline (specifically Fe-Al-polyaniline) was used as humidity
sensing material, and micro-stamped on the top of CMOS circuits to form pseudo-
3D sensor chip architecture. This architecture integrates heterogeneous layers to
improve performance and create new functions for the chips. An analog front-end
(AFE) circuit provided an output voltage signal related to the humidity sensor
variable resistance. This sensing signal was amplified, translated into digital data
by a 10-bit successive approximation register analog-to-digital converter (SAR
ADC), packaged into RS232 by a built-in digital signal processor (8051-based).
Then, on-off keying (OOK) wireless circuits sent it to an external host. Despite the
good temperature stability of polyaniline, a temperature sensor was integrated to
calibrate temperature information. All of these components were integrated in a
2.28 mm  2.48 mm SSoC, with a low total power consumption of 750 μW without
RF operation. The wireless circuit can either transmit data or receive command codes
to activate the SSoC from standby mode to operation mode. The humidity sensor
exhibits high sensitivity of about 30 mV/%RH (from 32% to 60%RH, saturated after
60%RH due to limitations of AFE circuit) and fast response time of about 5 s (from
36% to 64%RH) at room temperature, with an exponential sensing behavior.
The operation principles of the discussed on-chip technologies are schematically
represented in Fig. 2, where there is the resistance and capacitance based methods in
the different configurations, including the materials used on the fabrication of
electrodes and sensitive layers.

Optical Waveguides for Humidity Sensing

Optical sensors for humidity assessment have different operating principles, such as
interferometry, fiber Bragg gratings (FBGs), long period gratings (LPGs), intensity
variation, and surface Plasmon resonance, which will be discussed throughout this
section. The use of optical fiber sensors for humidity sensing applications are due to
13 Sensors for Vital Signs: Humidity Sensors 255

Fig. 2 Schematic representation of the top view and cross section of an interdigitated humidity
sensor

some limitations of electronic, mechanical systems or those that use free space
optics. Such limitations, for electronic systems, include sensitivity to electromag-
netic interference and inability to operate in aqueous environments and in hazardous
areas. For mechanical devices, the main difficulties are the long response time,
hysteresis, and nonlinearity of these sensors (Moreno et al. 2008). Finally, optical
devices in free space operate through the difference of water or moisture absorption
at different wavelengths of light, despite being a safe and highly reliable technique,
problems such as sensitivity to misalignments, high cost of equipment hinder some
of the humidity sensing applications in health care discussed in the introductory
section.
Silica optical fibers, conventionally used in communication systems and as
sensors, are not intrinsically sensitive to humidity or water concentration in envi-
ronments. For this reason, it is necessary to coat the fiber with different materials
(polymeric, nanoparticles, or metallic films, the materials discussed in previous
sections to achieve the sensitivity and responsiveness requirements necessary for
humidity sensing applications).
Among many optical-based techniques for sensing applications, interferometric
approaches for measuring relative humidity are generally employed using humidity-
sensitive materials such as composite film (graphene oxide and PVA) (Wang et al.
2016). In this case, a Mach-Zehnder interferometer (MZI) was produced from two
processes of changing the diameter in the fiber, where there is an interference
between propagation modes that are propagating in the optical fiber
(or waveguides) in different paths: a reference path and a sensing path (as shown
in Fig. 3). The latter path is sensitive to variations in the refractive index of the
medium. Thus, by covering the waveguide with the composite film, comprised of
graphene oxide and PVA, which presents refractive index variation as a function of
the relative humidity, there is the possibility of sensing the environmental humidity
through changes in the transmitted optical signal (see Fig. 3).
Similarly, another interferometer configuration for relative humidity assessment
is based on a Fabry-Perot interferometer (FPI), which is formed from a resonant
cavity within the fiber (intrinsic FPI) or at the tip of the fiber (extrinsic FPI), as
256 W. Coimbra and A. Leal-Junior

Fig. 3 Operation principle of MZIs, FPIs, and FBGs for humidity sensing

depicted in Fig. 3. In this case, an extrinsic FPI is formed with a 168 nm Ti3O5 film
on the fiber tip with another 1621 nm SiO2 film (sensitive to humidity changes) and
another 168 nm Ti3O5 film, where the Ti3O5 films are used as reflective surfaces to
create a resonant cavity. The cavity is filled with the SiO2 material that acts as the
humidity-sensitive film. Thus, when there is a change in the relative humidity of the
air, there is a change in the refractive index of the SiO2 film that leads to a variation in
the FPI resonance wavelength. Thus, variations in relative humidity between 10%
and 80% were detected and the sensor showed high stability in the measurement in
long-term tests (24 h period) (Xie et al. 2014). The equipment needed to acquire the
sensor signal is a broadband source and an optical spectrum analyzer
(or spectrometer) as shown in Fig. 3. It is important to note that as FPIs are operated
from the reflected signal, which results in the necessity of using an optical circulator,
a component that directs the input optical signal to the optical fiber (or waveguide)
and receives the reflected signal for the optical spectrum analyzer. This component
has a magneto-optic material configuration that enables the light transmission only in
one direction, as schematically represented in Fig. 3. Another possibility of using
extrinsic FPI for measuring humidity is filling the cavity with optical adhesive on the
fiber tip, which is composed of humidity-sensitive polymers. Thus, with the increase
in humidity, the polymer absorbs water and changes its dimensions, causing a
change in the physical length of the cavity, generating a variation in the wavelength
of the cavity.
13 Sensors for Vital Signs: Humidity Sensors 257

As shown in Fig. 3, FBGs are periodic perturbations marks in the waveguide with
predefined period that acts as selective mirrors, where only a predefined wavelength
region of the input optical signal is reflected. Such reflected region depends on the
grating period (or distance between consecutive perturbations). Thus, by performing
periodic perturbations with different periods along the waveguide, it is possible to
obtain different sensors in the same region (Broadway et al. 2019). As the reflected
signal depends on the grating period, changes of temperature and strain on the fiber
lead to variations in the grating period, resulting in shift of the reflected wavelength
(see Fig. 3), which is the operation principle of an FBG sensor. For this reason, if the
FBG is coated with polymer films with humidity sensitivity, the film swelling due to
humidity increase leads to a strain in the grating region, resulting in changes on the
grating period. For example, a PEG/PVA composite film can be used on a humidity
sensor. As the humidity increases, there is an increase in the volume of the film,
generating a deformation in the FBG. In addition, there is the possibility of removing
the cladding of the waveguide, which leads to the dependency of the refractive index
of the waveguide’s core with the external refractive index, where the humidity
sensing can also be achieved using a coating material with refractive index sensi-
tivity as a function of the humidity variation.
In all the applications mentioned above, it was necessary to coat the waveguide
with intrinsically humidity-sensitive materials. However, POFs are, in general,
intrinsically sensitive to moisture since they have water absorption. Therefore,
some sensors based on POFs have been proposed, where POFs made of PMMA
were used in a humidity measurement system based on variations in the optical
power transmitted in different humidity. In addition, the application of a stress on the
POF can increase the humidity sensitivity and reduce the response time of the
sensors. Thus, predefined stress is applied to the optical fiber, where the change of
the PMMA physical properties as a function of the relative humidity is used as the
sensing principle (Broadway et al. 2019).
Advances in photonics components, polymer processing, and characterization
have led to the possibility of inscribing FBGs in POFs, which resulted in an
intrinsic humidity sensor with micrometer scale dimensions. In addition, the
influence of etching treatment on the response time of sensors based on plastic
fibers was investigated. In this case, etching is performed in acetone and causes
the fiber to decrease its diameter, reducing the response time of the sensors as the
water molecules are quicker absorbed into the fiber core. The proposed system
was able to measure the relative humidity in a range of 5–90% with a response
time of 4 s. In addition to the chemical treatment (etching) discussed, thermal
treatments have also been applied to PMMA fibers with FBGs, where the
annealing treatment was applied to POFs with FBGs inscribed. In this case, an
annealing was carried out for 24 h at a temperature of 80 °C and humidity of
90% to reduce internal stresses created in the fiber manufacturing. After the
annealing treatment, the FBGs demonstrated not only the sensitivity to relative
humidity but also insensitivity to temperature, which is an important result since
the majority of humidity sensors need a temperature compensation. In addition,
the sensor also demonstrated low hysteresis after the heat treatment. Thus, the
258 W. Coimbra and A. Leal-Junior

sensor is suitable for applications with relative humidity between 10% and 90%
(Woyessa et al. 2016).
Figure 3 shows the operation principles of the optical sensors for humidity
measurement, where the MZI, FPI, and FBG operation principles and components
are presented. It is worth noting that the majority of the proposed humidity sensors
are based on optical fibers as the waveguides. However, recent developments in
optical waveguides with rectangular shapes as well as slab configurations and
integrated photonics have led to the development of these optical devices (gratings
and interferometers) in photonic chips with micro- or nanometer-scale dimensions
(Girschikofsky et al. 2016). Thus, the optical sensors previously discussed can be
applied to FBGs inscribed in the optical waveguides as well as the interferometer
approaches (such as MZIs) also fabricated in photonic waveguides. The configura-
tions of FBGs inscribed in waveguides as well as the MZI fabricated in an optical
waveguide are presented in Fig. 4.
The reported humidity sensors based on optical waveguides generally have a
coating of the humidity-sensitive material, where the variations on the optical power
as a function of the humidity are analyzed. The coating materials include polymer
and composites that present refractive index variations as a function of the environ-
mental relative humidity, where many of these coating present stable and repetitive
responses due to the larger areas for the interaction with water molecules when
compared planar waveguides with optical fibers. In addition, the small (micrometric)
dimensions enable a fast absorption or desorption of the water molecules, which

Fig. 4 Schematic representation of the FBGs and interferometers in waveguides as well as the
interrogation modes for the waveguides based on surface plasmon resonance
13 Sensors for Vital Signs: Humidity Sensors 259

results in a humidity sensor with small response time. An optical biochip for
humidity sensing can also use the surface plasmon resonance principle in conjunc-
tion with the sensitive coatings to measure the relative humidity. In this case, the
optical substrate is covered with a thin metal layer, in order to obtain the surface
plasmon resonance. Then, the sensitive film is positioned on the top of the metal
layer, where the variation in the sensitive film refractive index (as a function of the
relative humidity) leads to variations in the resonance signal, which can be acquired
with photodetectors and/or spectrometers. The choice of using a photodetector or a
spectrometer in this analysis depends on the interrogation mode (angular or wave-
length mode) used for the biochip. In the angular mode, a light source with
predefined (and constant) wavelength is employed, where the incidence angle
between the light source and the waveguide structure is varied in a predefined
range. In this interrogation mode, a photodetector acquires the optical signal at
each angular condition in order to analyze the intensity variations and acquire the
resonance signal. In the wavelength interrogation mode, the angle of incidence is
constant, where the variation, in this case, is on the central wavelength of the light
source. A spectrometer or optical spectrum analyzer is employed to obtain the
optical power as a function of the wavelength (optical spectrum) of the biochip,
which enables analyzing the resonance signal (Oliveira et al. 2013). The schematic
representations of both interrogation modes are shown in Fig. 4, where it can be seen
the possibilities of using optical waveguides on the humidity assessment in which it
is presented all previously discussed approaches for humidity sensing, including the
FBGs, interferometers, and surface plasmon resonance.

Final Remarks

In this chapter, the development and techniques for humidity sensing were
discussed. The humidity assessment plays important roles in many applications
and the development of such sensors have been driven from numerous advances in
MEMS and CMOS technologies. In recent years, there is a widespread of photonics
technologies, including the development of optical waveguides with micrometer
(and even nanometer) scale, which enable biocompatible chips for different appli-
cations. In humidity sensing, the majority of techniques are based on resistive,
capacitive, physical, and even optical properties variations of sensitive films
(or coatings) used in conjunction with a predefined transduction technique. Despite
the developments in resistive, capacitive, and optical sensing technologies, the
performance of humidity sensors is mainly affected by the properties of the coating
materials or sensitive films. Thus, the development of humidity sensors with high
performance is intrinsically related to the advances in material processing, where the
nanotechnology has enabled high performance materials with small sizes. Therefore,
the humidity sensors will experience an even higher reduction in sizes in conjunction
with a high performance in terms of sensitivity, resolution, and stability, as the
material technologies evolve. The recent developments in photonic waveguides
also points toward a next generation of humidity sensors with multiplexing
260 W. Coimbra and A. Leal-Junior

capabilities and biocompatibility without sensitivity to electromagnetic interfer-


ences, which can be used in clinical environments such as the ones of magnetic
resonance imaging as well as in conjunction with wearable robots as the robotic
assistance technology continues to spread around the world.

References
Boltshauser T, Azeredo Leme C, Baltes H (1993) High sensitivity CMOS humidity sensors with
on-chip absolute capacitance measurement system. Sensors Actuators B Chem 15(1–3):75–80.
https://doi.org/10.1016/0925-4005(93)85030-E
Broadway C, Min R, Leal-Junior AG, Marques C, Caucheteur C (2019) Toward commercial
polymer fiber Bragg grating sensors: review and applications. J Light Technol 37(11):
2605–2615. https://doi.org/10.1109/JLT.2018.2885957
Chen SW, Khor OK, Liao MW, Chung CK (2014) Sensitivity evolution and enhancement mech-
anism of porous anodic aluminum oxide humidity sensor using magnetic field. Sensors Actu-
ators B Chem 199:384–388. https://doi.org/10.1016/j.snb.2014.03.057
Farahani H, Wagiran R, Hamidon MN (2014) Humidity sensors principle, mechanism, and fabri-
cation technologies: a comprehensive review. Sensors (Switzerland) 14(5):7881–7939. https://
doi.org/10.3390/s140507881
Girschikofsky M, Förthner M, Rommel M, Frey L, Hellmann R (2016) Waveguide bragg gratings
in ormocer hybrid polymers. Opt Express 24(13):14,725–14,736. https://doi.org/10.1364/OE.
24.014725
Huang CW, Huang YJ, Lu SS, Lin CT (2012) A fully integrated humidity sensor system-on-chip
fabricated by micro-stamping technology. Sensors (Switzerland) 12(9):11,592–11,600. https://
doi.org/10.3390/s120911592
Huang JQ, Li B, Chen W (2016) A CMOS MEMS humidity sensor enhanced by a capacitive
coupling structure. Micromachines 7(5):74. https://doi.org/10.3390/mi7050074
Kolpakov SA, Gordon NT, Mou C, Zhou K (2014) Toward a new generation of photonic humidity
sensors. Sensors (Switzerland) 14(3):3986–4013. https://doi.org/10.3390/s140303986
Kottner J, Black J, Call E, Gefen A, Santamaria N (2018) Microclimate: a critical review in the
context of pressure ulcer prevention. Clin Biomech 59:62–70. https://doi.org/10.1016/j.
clinbiomech.2018.09.010
Leal-Junior AG, Díaz CR, Pontes MJ, Marques C, Frizera A (2019) Polymer optical fiber-
embedded, 3d-printed instrumented support for microclimate and human-robot interaction
forces assessment. Opt Laser Technol 112:323–331. https://doi.org/10.1016/j.optlastec.2018.
11.044
Lee CY, Lin CH, Fu LM (2006) Techniques in MEMS devices for micro humidity sensors and their
applications. Springer, pp 1055–1084. https://doi.org/10.1007/0-387-25786-1_27
Li B, Xiao G, Liu F, Qiao Y, Li CM, Lu Z (2018) A flexible humidity sensor based on silk fabrics for
human respiration monitoring. J Mater Chem C 6(16):4549–4554. https://doi.org/10.1039/
C8TC00238J
Moreno JC, Bueno L, Pons JL, Baydal-Bertomeu JM, Belda-Lois JM, Prat JM, Barberá R (2008)
Wearable robot technologies. Wiley, pp 165–200. https://doi.org/10.1002/9780470987667.ch6
Nishyama M, Miyamoto M, Watanabe K (2011) Respiration and body movement analysis during
sleep in bed using hetero-core fiber optic pressure sensors without constraint to human activity.
J Biomed Opt 16(1):017,002. https://doi.org/10.1117/1.3528008
Oliveira LC, Moreira CS, Thirstrup C, Melcher EUK, Lima AMN, Neff H (2013) A surface
plasmon resonance biochip that operates both in the angular and wavelength interrogation
modes. IEEE Trans Instrum Meas 62(5):1223–1232. https://doi.org/10.1109/TIM.2012.
2232433
13 Sensors for Vital Signs: Humidity Sensors 261

Shaked E, Gefen A (2013) Modeling the effects of moisture-related skin-support friction on the risk
for superficial pressure ulcers during patient repositioning in bed. Front Bioeng Biotechnol 1(9).
https://doi.org/10.3389/fbioe.2013.00009
Strauß R, Ewig S, Richter K, König T, Heller G, Bauer TT (2014) The prognostic significance of
respiratory rate in patients with pneumonia. Dtsch Arztebl Int 111(29–30):503–508. https://doi.
org/10.3238/arztebl.2014.0503
Wang Y, Shen C, Lou W, Shentu F (2016) Fiber optic humidity sensor based on the graphene oxide/
PVA composite film. Opt Commun 372:229–234. https://doi.org/10.1016/j.optcom.2016.
04.030
Woyessa G, Nielsen K, Stefani A, Markos C, Bang O (2016) Temperature insensitive hysteresis free
highly sensitive polymer optical fiber Bragg grating humidity sensor. Opt Express 24(2):
1206–1213. https://doi.org/10.1364/OE.24.001206
Xie W, Yang M, Cheng Y, Li D, Zhang Y, Zhuang Z (2014) Optical fiber relative-humidity sensor
with evaporated dielectric coating on fiber end-face. Opt Fiber Technol 20(4):314–319. https://
doi.org/10.1016/j.yofte.2014.03.008
Sensors for Vital Signs: Micro-Ball Wireless
Endoscopic Capsules 14
Yingke Gu, Xiang Xie, Guolin Li, and Zhihua Wang

Contents
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 264
Key Techniques for Wireless Endoscopic Capsule . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 266
Vision Module . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 267
Image Processing Module . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 269
Image Transmission Module . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 269
System Controller . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 272
Localization Module . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 273
Active Locomotion Module . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 273
Power Supply Module . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 274
Design Case: Micro-Ball Wireless Endoscopic Capsule . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 276
System-Level Design Considerations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 276
Micro-Ball System Architecture Design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 277
Image Compressor Design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 279
Wireless Power Receiver Chip Design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 281
Implementation of the Micro-Ball Prototype . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 286
Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 288
References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 288

Abstract
The advent of wireless capsule endoscopy is a milestone in the development of
medical endoscopic tools. This chapter reviews and analyzes the key design
techniques applied in current endoscopic capsules. This chapter also proposes
the design of a Micro-Ball wireless endoscopic capsule with a wide field of view,
which can fulfill the examination of the human gastrointestinal tract with low
miss rate. The main design techniques applied in the Micro-Ball include master-

Y. Gu (*) · X. Xie · G. Li · Z. Wang


Institute of Microelectronics, Tsinghua University, Beijing, China
e-mail: guyingke08@tsinghua.org.cn; xiexiang@tsinghua.edu.cn; guolinli@tsinghua.edu.cn;
Zhihua@tsinghua.edu.cn; wangzh@public.bta.net.cn

© Springer Science+Business Media, LLC, part of Springer Nature 2022 263


M. Sawan (ed.), Handbook of Biochips,
https://doi.org/10.1007/978-1-4614-3447-4_32
264 Y. Gu et al.

slave architecture with a four-level clock management, a low-complexity image


compressor, and a high-efficiency wireless power receiver chip.

Introduction

In the recent past, with the rapid development of the electronic industry, the
availability of low-power and miniaturized CMOS image sensors, application-
specific integrated circuit (ASIC), and miniaturized light-emitting diodes (LEDs)
enabled the realization of swallowable wireless camera pills, also called wireless
endoscopic capsule (Iddan et al. 2000). In 2000, the wireless capsule endoscopy
(WCE) that is based on the ingestion of a wireless endoscopic capsule was intro-
duced. WCE, for the first time, allows painless optical imaging of the gastrointes-
tinal (GI) tract wall. As shown in Fig. 1, the capsule, provided with a CMOS image
sensor, acquires several images per second and has a battery life of approximately
8  12 h.
In 2003, PillCam SB, produced by Given Imaging Ltd. and designed for the
diagnosis of pathologies of the small bowel, received approval from the Food and
Drug Administration (FDA). In addition to PillCam SB, Given Imaging Ltd. also
has produced wireless endoscopic capsules with two imagers for the diagnosis of
the esophagus and colon. There are several other companies producing endoscopic
capsules. Olympus Inc. produced EndoCapsule, using CCD image sensor to pro-
vide high-quality images. MiroCam capsule using human body communication
technology for the image transmission was produced by IntroMedic Co. There
are two wireless endoscopic capsule products made by Chinese companies, includ-
ing HT capsule (Hitron Technologies Ltd.) and OMOM Capsule (Chongqing

Fig. 1 Wireless endoscopic


capsule
14 Sensors for Vital Signs: Micro-Ball Wireless Endoscopic Capsules 265

Table 1 Main features of commercial available wireless endoscopic capsules


Image sensor
technology and Field Camera Battery
resolution of view Illumination frame rate life time
PillCam SB CMOS(256  256) 140 6 LEDs 2 fps 8h
PillCam SB2 CMOS(256  256) 156 4 LEDs 2 fps 8h
PillCam 2  CMOS(256  256) 140 2  6 LEDs 14 fps 20 min
ESO
PillCam 2  CMOS(256  256) 169 2  4 LEDs 18 fps 20 min
ESO2
PillCam 2  CMOS(256  256) 156 2  6 LEDs 4 fps 10 h
COLON
PillCam 2  CMOS(256  256) 156 2  4 LEDs 4 fps 10 h
COLON2
EndoCapsule CCD(1920  1980) 145 6 LEDs 2 fps 8  10 h
MiroCam CMOS(320  320) 170 6 LEDs 3 fps 12 h
HT CMOS(640  480) 140 4 LEDs 2 fps 8h
OMOM CMOS(640  480) 140 6 LEDs 2 fps 79h

Jinshan Science & Technology). Table 1 shows the main features of these
commercially available wireless endoscopic capsules (Ciuti et al. 2011).
By optical imaging, wireless endoscopic capsule provides physicians images of
the GI tract wall for the diagnosis of digestive tract disease. However, as an
implantable device with size and energy limitation, current available wireless
endoscopic capsules still have poor performance in image acquisition. Such poor
performance, e.g., limited field of view and low image frame rate, leads to low
diagnostic specificity. The miss rate of capsule endoscopy for the small bowel may
reach 20  30 % (Westerhof et al. 2009). In large GI cavities, such as the stomach
and colon, its miss rate may be even higher. It has been found that the sensitivity of
capsule endoscopy for the detection of colonic lesions is considerably lower than
that of traditional colonoscopy (Schoofs et al. 2006).
To improve the performance of the wireless endoscopic capsule under the size and
power restrictions, many research groups and companies are exploiting various
technologies, including optical imaging, image processing, wireless communication,
integrated circuit, wireless power transfer and mechatronics, etc. The design tech-
niques for dedicated image sensor and lens have been developed to improve the
capsule’s imaging capability (Vatteroni et al. 2010; Cavallotti et al. 2009). For
reducing power consumption and increasing image acquisition rate, low-complexity
image compressors based on different algorithms have been implemented (Chen
et al. 2009; Khan and Wahid 2011). The controlling and processing ASIC chips
have been proposed for efficiently organizing all the modules in the capsule (Chen
et al. 2009; Xie et al. 2006). Some wireless transceivers with high data rate and high
power efficiency have been designed for increasing the image acquisition rate of the
capsule (Gao et al. 2011; Kim et al. 2012). Wireless power transfer solutions based on
inductive coupling have been proposed to provide the capsule sufficient energy
266 Y. Gu et al.

(Lenaerts and Puers 2007; Sun et al. 2012). Except for image sensors, some other kinds
of microsensors have also been embedded inside the capsule to measure a person’s
vital signs in the GI tract, including temperature (Johannessen et al. 2004), pH value
(Johannessen et al. 2004; Gonzalez-Guillaumin et al. 2007), and impedance
(Gonzalez-Guillaumin et al. 2007). For future follow-up treatment or more accurate
diagnosis, different capsule localization strategies are approached (Fischer et al. 2004;
Richert et al.). For the further enhancement of wireless endoscopic capsule capabil-
ities, ranging from simple diagnostic cameras to complete and autonomous diagnostic
and therapeutic robotic micro-systems, the capsule is provided with active locomotion
abilities and equipped with micro-tools for diagnosis and therapy, such as biopsy
(Kong et al. 2005) and drug delivery (Wilding et al. 2000).

Key Techniques for Wireless Endoscopic Capsule

As an implantable image acquisition device, the wireless endoscopic capsule is not


a simple imager, but an integrated system with many modules. It consists of seven
main modules, including vision module, image processing module, image trans-
mission module, system controller, localization module, active locomotion module,
and power supply module, as shown in Fig. 2. Vision module captures images of the
GI tract wall, and then the image data are processed by the image processing
module. At last the image transmission module sends the processed image data
outside for diagnosis. The system controller controls all the modules in the capsule.
The localization module provides the space information of the capsule, and the
active locomotion module gives the capsule active locomotion ability. The whole
capsule is powered by the power supply module.
On one hand, for helping doctors to diagnose the disease better, the capsule
should have high performance in image acquisition, such as a wide field of view,
high image frame rate, and high image quality. On the other hand, to act as an

Fig. 2 Main modules inside wireless endoscopic capsule


14 Sensors for Vital Signs: Micro-Ball Wireless Endoscopic Capsules 267

implantable device, the capsule should also have low power consumption and
miniaturization in the size. Design technologies for good trade-off between the
two aspects have been developed, and some core techniques are discussed in detail
in the following part.

Vision Module

The vision module, for capturing image of the GI tract wall, consists of image
sensor, lens, and illumination submodule. The commercial wireless endoscopic
capsules implement a CMOS or CCD image sensor with a fixed focal length lens.
Four or six LEDs are lit at each image acquisition in order to reveal the surrounding
environment. The trade-off for the implementation of the vision module in the
capsule is between imaging performance including field of view, image frame rate,
image quality, and image resolution and other features including size and power
consumption.
CMOS and CCD are two different technologies that are used for digitally capturing
images and mainly differ in image quality and power consumption. CCD provides a
higher image depth and CMOS has lower power consumption. However, from a
clinical point of view, since both technologies provide excellent images of the GI
tract, CMOS image sensors are more suitable for wireless capsule endoscopy. As
shown in Table 1, most available capsules are using CMOS image sensors.
Considering the applied environment, the power consumption and light sensitiv-
ity of most commercially available CMOS image sensors are not efficient for
application in the capsule. As a result, custom CMOS image sensors for the endo-
scopic capsule were implemented for reducing power consumption and enhancing
light sensitivity, with a compromise on other features, such as image resolution. For
example, a CMOS image sensor with noise reduction and efficient readout archi-
tecture was fabricated in UMC 0.18 μm CIS (CMOS Image Sensor) technology. It
has less than 40 mW power consumption and light sensitivity comparable to that of
CCD technology (Vatteroni et al. 2010) with only 320  240 resolution.
Furthermore, the dedicated image sensor for special imaging is useful for the
endoscopic capsule. For example, a dual-mode CMOS image sensor which can
offer white light and narrowband images was implemented in Dung and Wu (2010).
The narrowband imaging (NBI) is an innovative optical technology using the center
wavelengths of 415 and 540 nm to clearly visualize the microvascular structure of
the organ surface, because 415 and 540 nm lights can be absorbed by hemoglobin
(Gono et al. 2003). Principle of NBI is shown in Fig. 3 (Dung and Wu 2010).
Optical lens design is also a crucial step for obtaining high-quality pictures. Most
lenses in currently available capsules are designed for allowing fixed focal length
only because of the miniaturization limitation. For improving image quality, design
of autofocus lenses with minute size is helpful for the endoscopic capsules. As
shown in Fig. 4, a capsule prototype that uses a liquid lens actuated by a pulse-width
modulation signal to adjust the focal length from 30 up to 100 mm was
implemented (Cavallotti et al. 2009).
268 Y. Gu et al.

Fig. 3 Principle of NBI (Dung and Wu 2010)

Fig. 4 A capsule with autofocus function (Cavallotti et al. 2009)

For reducing the endoscopic capsule’s blind area, the lens’s field of view
needs to be large enough. However, the lens with a wide angle of view is hard
to realize because of the size limitation in the capsule. And the inherent optical
distortion of the wide-angle lens is also serious. A vision module with multiple
imagers (image sensor with lens and LEDs) has been introduced in Gu
et al. (2009). By reasonable design, the multi-imager system can have a very
wide field of view without complex lens design and imaging distortion. How-
ever, more imagers increase power consumption and also present difficulty in
miniaturization.
14 Sensors for Vital Signs: Micro-Ball Wireless Endoscopic Capsules 269

Image Processing Module

Image compression plays a key role in data processing inside wireless endoscopic
capsule for reducing the power consumption and increasing the image acquisition
rate. The trade-off for the implementation of the image compressor is between the
compression performance and the computational complexity. The lossless (near-
lossless) compression algorithms have been implemented in the capsule (Chen et al.
2009; Khan and Wahid 2011; Xie et al. 2006). They include method based on
JPEG-LS (Chen et al. 2009; Xie et al. 2006) and method consisting of color space
conversion, subsampling, differential pulse-code modulation (DPCM), and entropy
encoding (Khan and Wahid 2011). The lossless compression methods have very
low computational complexity and can obtain very high-quality reconstructed
images (peak signal-to-noise ratio (PSNR) higher than 45 dB), but their compres-
sion rates are low (less than 80 %). For improving the compression rate, some lossy
compression algorithms are applied in the endoscopic capsule. The image com-
pressor based on Joint Photographic Experts Group (JPEG), involving color space
conversion, subsampling, discrete cosine transform (DCT), quantization, and
entropy encoding, has been implemented (Toh et al. 2013). This kind of compres-
sion method can get high compression rate (more than 90 %). However, it increases
computational complexity and memory space. With a compromise on compression
rate, a modified 4  4 JPEG image compressor with low computational complexity
was implemented in Gu et al. (2012).
For reducing the process time spent by physicians to examine the WCE images,
some complex image processing methods, including image identification, image
classification, image registration, etc., have been developed and implemented on
the computer outside the capsule (Karargyris and Bourbakis 2010). Fortunately,
these methods have the possibility to be integrated into the capsule for real-time
processing thanks to the development of the digital signal processor. For example,
an intestinal lumen detection method suitable for hardware design has been pro-
posed for the locomotive capsule control and smart image capture control. By using
global threshold segmentation algorithm and subsampling techniques, the compu-
tational complexity of the method is reduced and suitable for the implementation
inside the capsule.

Image Transmission Module

Wireless communication is utilized in most commercially available endoscopic


capsule for image streaming and for receiving commands from an external work-
station. If the capsule supports an image acquisition rate of 2 fps with 256 
256 image resolution, the data rate of the wireless transmitter in the capsule should
be higher than 1.1 Mbps. For example, Given Imaging Ltd.’s capsules exploit a
commercially unidirectional available wireless transmitter chip specifically pro-
duced by Zarlink Semiconductor Inc., with a power consumption of 5.2 mW, a data
rate of 2.7 Mbps, and a carrier frequency of 403  434 MHz. Moreover, it has been
270 Y. Gu et al.

found that the power consumption of the transmitter occupies a significant count of
the total power. In order to get a high image acquisition rate and without remarkable
power increase, the wireless transmitter with high data rate and high power effi-
ciency should be developed.
Considering the short wireless communication distance, the simple modulation/
demodulation ways, such as on/off keying (OOK), amplitude-shift keying (ASK),
frequency-shift keying (FSK), or minimum-shift keying (MSK), are usually used
for low power and small area in the wireless transceiver (Gao et al. 2011; Kim
et al. 2012; Jiang et al. 2010). Very simple architecture is often applied for the
transmitter design in the capsule. A high-speed transmitter for the wireless endo-
scopic capsule, utilizing OOK modulation, was implemented in Kim et al. (2012).
The structure of the transmitter is shown in Fig. 5 (Kim et al. 2012). For a high
efficiency, all components should be switched on/off by baseband data with a
falling and rising time less than 10 ns. The transmitter was fabricated using
0.13 μm CMOS process with a die area of 1  1 mm2. It supports a data rate of
20 Mbps with carrier frequency of 500 MHz. The output power of the transmitter
is 1.6 dBm and its efficiency is 27.7 %.
Recently, impulse-radio ultra-wideband (IR-UWB) technology has become a
promising solution for implementing high energy efficiency wireless transceiver in
endoscopic capsule. A low-power wireless transceiver for endoscopic capsule,
based on IR-UWB technology, was implemented in Gao et al. (2011). OOK
modulation scheme is utilized in the transceiver, and UWB frequency band in
3  5 GHz is selected for better antenna performances in miniaturized size. The
transceiver chip was implemented in a standard 0.18 μm CMOS process with a die
area of 3  4 mm. The transmitter supports scalable data rate up to 10 Mbps with
energy efficiency of 0.35 nJ/bit. The receiver has adjustable data rate from 1 to
10 Mbps, with the energy efficiency maintaining at 6.2 nJ/bit. The receiver can
work at a low data rate because it is only used for receiving commands. The
transceiver architecture is shown in Fig. 6 (Gao et al. 2012).

Antenna
LDO
(3.1V to 1.9V)
External
Balun
Battery Class B
1.55 V Oscillator Amplifier

Battery Data
1.55 V

Fig. 5 Transmitter structure (Kim et al. 2012)


14 Sensors for Vital Signs: Micro-Ball Wireless Endoscopic Capsules 271

Fig. 6 IR-UWB transceiver block diagram (Gao et al. 2012)

Table 2 Main features of commercial available NAND flash memory


Data rate Energy efficiency Storage capacities Package size
Product 1 60 Mbps 0.4 nJ/bit 8 Gb 11  7 mm
Product 2 30 Mbps 0.8 nJ/bit 4 Gb 8  6 mm

An alternative strategy for radio-frequency (RF) transmission, based on electric-


field propagation, was proposed by IntroMedic Co. and integrated in the commer-
cially available MiroCam capsule (Bang et al. 2009). This technology uses the
human body as a conductive medium for image data transmission. In order to
evaluate the clinical safety and diagnostic feasibility of the capsule integrating
this telemetric solution, a multicenter clinical trial was performed for the diagnosis
of the small bowel (Bang et al. 2009).
With the development of the nonvolatile memory, especially the flash memory,
storage mode is another promising alternative to the wireless transmission mode.
By actual measurement, the main features of some commercially available NAND
flash memory are shown in Table 2. The data rate of the flash memory is higher than
that of the wireless transceiver. Moreover, the flash memory has higher reliability.
When applying storage mode for the endoscopic capsule, the image data are
stored into the flash memory instead of wireless transmission. As a result, the
patient need not carry a wireless data receiver when he is undergoing capsule
endoscopy. That is more convenient and comfortable. The storage mode is appro-
priate for the capsule endoscopy that needs high image acquisition rate, such as
examination of the esophagus.
272 Y. Gu et al.

System Controller

The system controller of the capsule mainly includes the implementations of the
system state machine and of the efficient power management. System state machine
represents the work flow of the whole system. Figure 7 gives an example of the
system state machine of a wireless endoscopic capsule (Xie et al. 2006). Power
management means controlling the power supply and clock of a module according
to its working state. For example, an idle module should be powered down or clock
disabled. An effective power management of all the modules is critical to reduce the
total power consumption of the capsule. Architecture of three-stage clock manage-
ment is applied in Xie et al. (2006), which can save 46 % power inside the capsule
compared with the design without such a low-power design. For efficiently orga-
nizing all the modules in the capsule with multi-imager system, master-slave
architecture with a four-level clock management is proposed in Gu et al. (2012).
Some commercial endoscopic capsules have used microprocessor control unit
(MCU) or field-programmable gate array (FPGA) to fulfill the controlling and
processing tasks. The capsule’s performance, including image frame rate and
power consumption, is limited by the MCU or FPGA. For solving the problem,
some control and process ASIC chips for the wireless endoscopic capsule have been
implemented. A low-power controlling and processing ASIC for the capsule has
been fabricated in 0.18 μm CMOS technology with a die area of 3.4  3.3 mm2
(Chen et al. 2009). The power dissipation of the digital core is about 1.3 mW when
image frame rate is 2 fps. A master-slave ASIC chipset, including one master chip
and several same slave chips, has been fabricated in 0.18 μm CMOS technology
(Gu et al. 2012). This chipset is designed for endoscopic capsule with multiple
imagers (supports up to six imagers).

CMOS image Wireless


Sleep mode sensor setting transceiver
setting

Initialization Receiving

Image capture
Transmitting

Image
compression

Fig. 7 System state machine of a wireless endoscopic capsule (Xie et al. 2006)
14 Sensors for Vital Signs: Micro-Ball Wireless Endoscopic Capsules 273

Localization Module

Localization information defines the distance traveled by the capsule in the GI tract
and the districts in which it is located. Furthermore, it allows the localization of
lesions and pathological areas to be determined for future follow-up treatment or
more accurate diagnosis. There are two common localization strategies developed
for the wireless endoscopic capsule, including radio-frequency triangulation and
magnetic tracking method.
RF triangulation represents a low-resolution localization method, based on the
strength of capsule-emitted signals received by several antennas on the exterior of
the abdomen. It can only get position information of the capsule. Experimental
assessments were performed resulting in an average position error of 37.7 mm and a
maximum error of 114 mm (Fischer et al. 2004).
Magnetic tracking algorithms were also implemented for obtaining the knowl-
edge of the position and orientation of the capsule. The capsule, provided with an
onboard permanent magnet, can be detected by a skin-mounted magnetoresistive
sensor array measuring both magnetic field strength and direction. This method can
reach an average position error of less than 3 mm and an average orientation error of
less than 2 (Richert et al.; Stathopoulos et al. 2005).

Active Locomotion Module

The motion of the current capsule in the human GI tract is driven mainly by the
gastrointestinal peristalsis. Peristaltic movements are unpredictable and result in
unreliable diagnoses in 20 % of the trials (Westerhof et al. 2009). So the capsules
with active locomotion ability have been developed. Capsules endowed with active
locomotion allow direct remote control of the device toward suspicious areas. This
also enables therapeutic and surgical procedures to be performed by the capsule.
There are mainly two strategies for providing the capsule with active locomotion.
The first is to pursue the miniaturization of locomotion systems that are integrated
inside the capsule. The second is to use an external approach where actuation,
generally based on magnetic fields, is outside the capsule.
There are several internal locomotion strategies, including anchor-based,
leg-based, propeller-based, and bionic system, designed for different GI districts
from the esophagus to the colon (Ciuti et al. 2011). However, the internal locomo-
tion modules have high power consumption (more than 100 mW) and have diffi-
culty in being integrated into the capsule and keeping the tiny size (Ciuti
et al. 2011). These drawbacks make such designs still at research level.
In order to limit the volume of the capsule’s internal components, capsule
locomotion obtained through external propulsion has been approached by many
research groups. Magnetic fields that interact with internal magnetic components
are normally used to provide external propulsion (Ciuti et al. 2011). Some compa-
nies, including Olympus Inc. and Chongqing Jinshan Science & Technology, also
have been developing the magnetic active capsules. However, in this situation, the
274 Y. Gu et al.

patient cannot move freely and the human safety under magnetic field should be
well evaluated.

Power Supply Module

Power supply module is a critical part in wireless endoscopic capsule because it


determines the working life of the capsule. Most available endoscopic capsules
integrate silver oxide coin batteries in the capsule shell that provide energy at a
voltage of 3 V at 55 mAh. Silver oxide batteries are selected because they are the
only kind of batteries approved for clinical use, although they are not the most
efficient solution. The power consumption of the capsule is limited to less than
20 mW for more than an 8 hours working life. Such power limitation constraints the
capsule’s performance to be improved. There are two methods for solving the
power supply problem. One is developing new micro-batteries with high energy
density. Another is looking for a substitute for the batteries.
Miniaturized batteries combining high energy and high power are 3-D thin-film
batteries (TFBs). The 3-D TFB technology was developed at Tel Aviv University
and licensed to Honeycomb Microbattery (Tel Aviv, Israel) (Nathan et al. 2005).
This battery technology has an energy density larger than 300 Wh/Kg and a
maximum pulse current of 500 mA in a battery volume of about 40 mm3. If the
clinical safety is proved, this kind of batteries can be a promising solution for power
supply subsystem in the wireless endoscopic capsule.
Wireless power transfer (WPT) represents a good alternative to onboard batte-
ries. Wireless techniques for transmitting electric power include inductive cou-
pling, capacitive coupling, radio frequency, microwave radiation, photo-electricity,
and piezoelectric ultrasound systems. Considering the range, penetrability, and
power efficiency, inductive coupling is the best choice for the wireless endoscopic
capsule.
In most cases, inductive coupling provides wireless energy to the capsule by
exploiting internal electromagnetic coils that receive power from an external
abdominal coil. The Norika technology exploits the onboard electromagnetic
coils used for powering the endoscopic capsule by inductive coupling. A coil
vest, worn by the patient, allows power transmission and direct control of the
device (McCaffrey et al. 2008). A capsule integrating inductive powering tech-
nology was also developed in Lenaerts and Puers (2007). As shown in Fig. 8
(Lenaerts and Puers 2007), an external magnetic field generated by a solenoid coil
powers three internal coils onboard the capsule thus providing up to 150 mW
power.
To avoid the power cable connecting to the external abdominal coil, a two-hop
wireless power transfer system is proposed in Sun et al. (2012). This technique also
allows patients to walk freely in a room. As shown in Fig. 9 (Sun et al. 2012), first,
power is transferred from a floor to a power relay in the patient’s jacket via strong
coupling. Next, power is delivered from the power relay to the capsule via loose
coupling. With the help of the high-efficiency power-receiving circuits inside the
14 Sensors for Vital Signs: Micro-Ball Wireless Endoscopic Capsules 275

Fig. 8 External field generator and integrated power receiver (Lenaerts and Puers 2007)

The Endoscopic Capsule


(Including A Wireless Power Receiver)

The Power
Relay
Magnetic
Image
Flux
Recorder

The Power Open-Ends


Helix
Transmitter
Array
Dynamic
Pressure
Detection

Full Bridge DC
End-Fire Inverter Source
Helix

Fig. 9 Two-hop WPT mechanism (Sun et al. 2012)

capsule, this system is able to transfer an average power of 24 mW and a peak


power of 90 mW from the floor to a 13  27 mm capsule over a distance of 1 m with
the maximum dc-to-dc power efficiency of 3.04 %.
276 Y. Gu et al.

Design Case: Micro-Ball Wireless Endoscopic Capsule

A Micro-Ball wireless endoscopic capsule is designed for human GI tract exami-


nation with low miss rate. System-level design considerations for the Micro-Ball,
specific design techniques applied, and implementation results are proposed in the
following parts.

System-Level Design Considerations

In order to realize the diagnosis of the human GI tract with low miss rate, the Micro-
Ball should have a wide field of view, high image acquisition rate, and low power
consumption. To meet such design requirements, system-level design consider-
ations are discussed below. These design considerations concern five modules
inside the wireless endoscopic capsule:

1. Vision module
In order to get a wide field of view, a multi-imager vision module is introduced
into the Micro-Ball. Considering minimizing the range of blind area and tech-
nical feasibility, six-imager system is a reasonable choice (Gu et al. 2009). Each
imager consists of a CMOS image sensor, a lens with fixed focal length, and four
white LEDs. The six imagers can be assembled into a cube and then sealed into a
transparent biocompatible plastic shell to form a “Micro-Ball,” as shown in
Fig. 10.
2. Image processing module
A low-complexity image compressor with high compression rate is implemented
to increase the image acquisition rate and to reduce the power consumption. The
design details of the image compressor are discussed in section “Image Com-
pressor Design.”
3. Image transmission module
Except for the wireless transmission mode, flash memory is introduced into the
Micro-Ball to increase the image acquisition rate. It is preferred to choose a
distributed storage mode to allow multiple imagers capturing image
simultaneously.

Fig. 10 Six-imager system


14 Sensors for Vital Signs: Micro-Ball Wireless Endoscopic Capsules 277

4. System controller
Increasing imagers leads to high power consumption and difficulty in miniatur-
ization. For efficiently organizing all the modules and reducing power consump-
tion, master-slave system architecture, with a four-level clock management, is
applied for the Micro-Ball. The design details of the system architecture are
proposed in section “Micro-Ball System Architecture Design.”
5. Power supply module
The multi-imager system with high image acquisition rate results in very high
power consumption. So, wireless power transfer solution is given for the Micro-
Ball’s power supply. And a high-efficiency wireless power receiver chip is
implemented in the power supply module. The Micro-Ball is also can be
powered by two coin batteries which are commonly used in the available
wireless endoscopic capsule. The wireless power receiver chip design is pro-
posed in section “Wireless Power Receiver Chip Design.”

Micro-Ball System Architecture Design

The Micro-Ball employs master-slave architecture for organizing all the mod-
ules inside the Micro-Ball. It has one master device and six identical slave
devices, as shown in Fig. 11. The master device is the core part, mainly
including the core controller, power source, clock source, and wireless commu-
nication module. The power supply module in the master device could provide
stable direct current power to the whole Micro-Ball by using the wireless power
or the battery. The slave device is designed for image acquisition tasks, includ-
ing image capturing, image compression, and image storage. The control signal
and data are transferred on a designed 8-bit parallel bus between the master
device and slave devices. From the view point of system integration, the
proposed master-slave architecture can make layout and route inside the
Micro-Ball easier, and the design of the whole system simplified, structured,
and flexible.
To realize the miniaturization of the Micro-Ball and to reduce power consump-
tion, a master-slave chipset is designed and implemented. The chipset contains one
master chip and six slave chips, belonging to the master device and slave devices,
respectively.
The master chip architecture is shown in Fig. 11. The chip controls the Micro-
Ball and also accomplishes the wireless communication function. The control
strategy of the Micro-Ball is implemented in the master controller. The top-level
clock management is implemented inside the clock manager. The wireless trans-
ceiver with its media access controller (MAC) receives commands from the work-
station outside and sends the image data to it. The command decoder interprets the
commands received and then sends the control signal to the master controller or the
slave command encoder. The slave command encoder makes the commands need to
be sent to the slave device encoded. Then the master bus controller sends the
commands to the slave device.
278 Y. Gu et al.

Fig. 11 Micro-Ball system architecture

The slave chip architecture is also shown in Fig. 11. The slave controller receives
commands from the slave bus controller and gives control signals to other modules
inside the slave chip. The image sensor controller controls the image sensor and
receives the image data from the sensor. The flash controller can make the flash
memory module to be erased, programmed, and read. The image compressor gives
an efficient compression to the image data. The LED driver and four LEDs provide
illumination when capturing images.
14 Sensors for Vital Signs: Micro-Ball Wireless Endoscopic Capsules 279

The Micro-Ball integrates many modules which work at different clock frequen-
cies and at different times. Hence, effective clock management has been designed
to decrease the clock frequency of the different modules to be as low as possible for
low power dissipation. Moreover, the clocks of idle modules should be disabled to
further reduce the power consumption. Hence, four-level clock management archi-
tecture is proposed as illustrated in Fig. 12. The first level with the highest priority is
implemented in the clock manager of the master chip, which controls a 24 MHz
clock. When the system enters the idle state, the low clock controller will stop the
24 MHz crystal from oscillating. When the low clock controller receives the enable
signal, the 24 MHz clock will be enabled and sent into the required modules. In the
second-level clock management, with the 24 MHz clock input, the clock splitter
provides clocks of different frequencies to different modules. The clock of idle
modules will be disabled by the clock-gating controller, and the clock sent to the
slave devices will be buffered because it may cross different printed circuit boards
(PCBs). The second-level clock management is implemented inside the master
controller of the master chip. The third-level clock management is applied inside
the slave devices and is implemented inside the slave controller of the slave chip. It
is similar to the second-level clock management. Each module in the fourth level
has its own clock management. The power simulation shows that this design can
save 28 % power inside the Micro-Ball compared with a design without clock
management.

Image Compressor Design

Considering the trade-off between the compression performance and computational


complexity, an image compressor based on modified JPEG image compression
algorithm is implemented. Considering a low computational complexity and a
small buffer space, a new 4  4 integer block transform (IBT-4) is proposed for
the compression. The quantization table is optimized on the basis of the rate-
distortion theory, and the elements of the quantization table are set to 2n in order
to eliminate the division operation. The compression algorithm is developed for
Bayer color filter array (CFA) pattern of a CMOS image sensor. R, G, and B color
components use the same quantization table to further reduce the hardware com-
plexity. The Huffman encoding algorithm is selected for the entropy encoding after
the quantization stage.
A new integer transform matrix is proposed in Eq. 1. Its computational com-
plexity is decreased by approximately 25  40 % in comparison with the other
transforms as illustrated in Table 3:
2 3
1 1 1 1
61 0 0 1 7
Ms ¼ 6
41
7 (1)
1 1 1 5
0 1 1 0
280

Fig. 12 Four-level clock management architecture


Y. Gu et al.
14 Sensors for Vital Signs: Micro-Ball Wireless Endoscopic Capsules 281

Table 3 Comparison between different transforms


Transform in Hadamard
Block transform DCT H.264 transform IBT-4
Computational 72a + 8m 64a + 16s 64a 48a
complexity
a additions, m multiplications, s shifts

The quantization table Q optimization is based on the rate-distortion theory. The


distortion D can be computed according to the PSNR definition. The compression
rate CR can be computed by applying the Huffman encoding to the quantized block
transform coefficients. Both CR and D are determined by Q. The Q optimization
process can be defined as Eq. 2. Considering the high compression rate and image
quality, the search range of the Q varies from 4 to 64 (2n, n = 2, 3, 4, 5, 6). By
experimenting with different WCE images, we find that there are no visually
discernible differences between the reconstructed image and the original image
when the PSNR is higher than 40 dB. Hence, the optimized Q in Eq. 3 with the
40 dB constraint is obtained:

Q ¼ argmaxCRðQÞ, when DðQÞ  const (2)


2 3
16 16 32 32
6 16 32 32 32 7
Q¼6 4 32 32 32 32 5
7 (3)
32 32 32 64

The architecture of the image compressor is shown in Fig. 13. It contains the
image compressor controller, 4  4 block integer transform (IBT-4) module,
quantizer, Huffman encoder, and configuration module including the quantization
table (QUANT TABLE) and Huffman table (HUFF TABLE). With the configura-
tion module, the quantization parameters and the Huffman table can be altered. The
IBT-4 consists of two 1-D integer transform (1D-IT) modules and a transpose
buffer.
When 20 typical WCE images are compressed by the proposed compressor, the
average compression rate is 86 % and PSNR is 40.7 dB. Figure 14 shows the
original WCE images with different patterns and the corresponding reconstructed
images.

Wireless Power Receiver Chip Design

The architecture of power supply module in the Micro-Ball is shown in Fig. 15.
This module consists of a wireless power receiver chip and coin batteries. The chip
is designed to improve the wireless power receiver efficiency and meet the demand
of omnidirectional receiving. The chip contains three Rx circuits, which are actu-
ally the proposed high-efficiency CMOS rectifiers with current zero-cross-point
282 Y. Gu et al.

Fig. 13 Four-level clock management architecture

(ZCP) prediction. They work independently and support three receiving coils for
omnidirectional receiving. In order to make full use of received energy, the chip
also contains a power combination circuit, which is actually the proposed Skipping
Booster. It combines the received energy from all directions together and delivers to
the low-dropout regulator (LDO). This chip also can deliver the energy from coin
batteries to the LDO, and the choice between wireless power and batteries is
decided by the control signal outside.
The design of the proposed new switch-mode CMOS rectifier focuses on the
optimization of switching timing to improve the efficiency. The essential of the
switching timing of rectification is to switch on all forward current and switch
off all backward current. The Rx antenna is a common LC circuit, also called a
resonant circuit, and a fixed-phase difference (pi/2) exists between the voltage
and current signal in the LC circuit. Detecting the voltage zero-cross-point
(ZCP) ahead to predict the coming of current ZCP behind is proposed (Sun
et al. 2011). As shown in Fig. 16, the voltage at point A leads the current at
point B quarter of the cycle. The rectifier firstly delays the voltage signal at
point A and then generates digital overlapping clocks Clk1 and Clk2. The delay
time ensures that Clk1 falls slightly before the current ZCP, while Clk2 falls
slightly after the current ZCP. The Clk1 and Clk2 are used to drive the PMOS
and NMOS switches, respectively. Accordingly, this switching timing makes
the most of forward current flows through PMOS and the most of backward
current flows through NMOS. The two switches actually constitute a half-wave
rectifier.
The proposed wireless power receiver chip employs three Rx circuits. However,
the output DC voltages of the three Rx circuits might be different. If there is a
significant difference between the output DC voltages of the Rx circuits, the worst
14 Sensors for Vital Signs: Micro-Ball Wireless Endoscopic Capsules 283

Fig. 14 Original and reconstruction WCE images

Fig. 15 Power supply


module architecture
284 Y. Gu et al.

Fig. 16 CMOS rectifier with ZCP prediction (Sun et al. 2011)

case is the Rx circuit with the highest DC voltage output charges other Rx circuits.
The Skipping Booster is proposed (Sun et al. 2011), adjusting the AC input voltages
to address the problems. The wireless receiving antenna is actually a LC circuit. If
the received energy is not transmitted from the LC circuit to system in every period,
the magnitude of the voltage in the LC circuit would increase. As Fig. 17 shows,
suppose the Rx circuit 1 has the lowest input power, while the Rx circuit 3 has the
14 Sensors for Vital Signs: Micro-Ball Wireless Endoscopic Capsules 285

Fig. 17 Working principle of the Skipping Booster (Sun et al. 2012)

highest input power. According to the former analysis, the rectification of the Rx
circuit 1 should be skipped in certain periods, so the magnitude of the voltage in the
LC circuit 1 would increase. Such a working principle converts the relative low
input and output of the Rx circuit 1 to a high level. By using the feature of LC
circuit, the Skipping Booster circuit is fully digital, and no off-chip component is
required.
286 Y. Gu et al.

Implementation of the Micro-Ball Prototype

The master chip and slave chip have been fabricated in 0.18 μm 1P6M technology.
The micrograph of the chipset is shown in Fig. 18. The main performance of the
chipset is summarized in Table 4. When image frame rate is 2 fps, the power
consumption of the digital core in master chip is 0.5 mW and that in slave chip is
1.1 mW. The wireless transceiver provides 3 Mbps minimum-shift keying (MSK)
transmitting and 64 Kbps OOK receiving with power consumption of 3.9 and
12 mW, respectively (Jiang et al. 2010).
The wireless power receiver chip has also been fabricated in 0.18 μm 1P6M
technology. Figure 19 shows the micrograph of the chip. To display the Rx circuits
clearly, the layout is attached at the right side. The measured highest rectifying
efficiency of the chip is 93.6 % at 100 Ω.
A system-in-package (SiP) approach, as shown in Fig. 20, was proposed to
promote the miniaturization of the Micro-Ball. Utilization of rigid-flex substrate
of special configuration, which is bendable other than PCBs and connectors,

Fig. 18 Micrograph of the master chip and slave chip (Gu et al. 2012)

Table 4 Chipset main performance


Master chip Slave chip
Technology 0.18 μm 1P6M CMOS 0.18 μm 1P6M CMOS
Chip area (digital core) 2.0 m  1.0 mm 2.1  2.0 mm
On-chip memory 2 KB dual port SRAM 10 KB dual port SRAM
Supply voltage (digital core) 1.8–1.2 V 1.8–1.2 V
Clock frequency 24 MHz/12 KHz 24 MHz
Image resolution – 480  480/240  240
Image frame rate – 1  24 fps
14 Sensors for Vital Signs: Micro-Ball Wireless Endoscopic Capsules 287

Fig. 19 Micrograph and layout (Sun et al. 2011)

Fig. 20 SiP for the miniaturization of the Micro-Ball


288 Y. Gu et al.

Table 5 Main performance of Micro-Ball


Image sensor technology Field of Camera Battery
and resolution view Illumination frame rate life time
Micro- 6  CMOS(640  480) 140 6  4 LEDs 1  124 fps 2
10 h
Ball
1 signal imager in storage mode, 2 battery powered at 2 fps

achieved seamless connection between adjacent boards and higher package density
by employing three-dimension (3-D) space. The technology of multi-die stack was
also applied to lower the footprint. With this new SiP design, the size of compli-
cated Micro-Ball prototype, which mainly involves ASIC chips, image sensors, and
flash chips, was reduced to 16  16  16 mm. The main performance of the Micro-
Ball is shown in Table 5.

Conclusion

This chapter has described the design techniques for improving the image acquisi-
tion performance of the wireless endoscopic capsule. A Micro-Ball wireless endo-
scopic capsule, with a wide field of view, high image acquisition rate, and low
power consumption, has also been proposed in this chapter. A master-slave chipset
has been fabricated in 0.18 μm CMOS technology for the Micro-Ball. Efficient
clock management and low-complexity image compressor are integrated in the
chipset. A wireless power receiver chip with 93.6 % rectifying efficiency has also
been fabricated in 0.18 μm CMOS technology for the Micro-Ball’s power supply.
By a SiP approach, a 16  16  16 mm3 Micro-Ball prototype is implemented.

References
Bang S, Park JY, Jeong S, Kim YH, Shim HB, Kim TS, Song SY (2009) First clinical trial of the
“MiRo” capsule endoscope by using a novel transmission technology: electric-field propaga-
tion. Gastrointest Endosc 69(2):253–259
Cavallotti C, Piccigallo M, Susilo E, Valdastri P, Menciassi A, Dario P (2009) An integrated vision
system with autofocus for wireless capsular endoscopy. Sensors and Actuators A Phys
156(1):72–78
Chen X, Zhang X, Zhang L, Li X, Qi N, Jiang H, Wang Z (2009) A wireless capsule endoscope
system with low-power controlling and processing ASIC. Biomed Circ Syst IEEE Trans
3(1):11–22
Ciuti G, Menciassi A, Dario P (2011) Capsule endoscopy: from current achievements to open
challenges. Biomed Eng IEEE Rev 4:59–72
Dung LR, Wu YY (2010) A wireless narrowband imaging chip for capsule endoscope. Biomed
Circ Syst IEEE Trans 4(6):462–468
Fischer D, Schreiber R, Levi D, Eliakim R (2004) Capsule endoscopy: the localization system.
Gastrointest Endosc Clin N Am 14(1):25–31
14 Sensors for Vital Signs: Micro-Ball Wireless Endoscopic Capsules 289

Gao Y, Zheng Y, Diao S, Toh WD, Ang CW, Je M, Heng CH (2011) Low-power ultrawideband
wireless telemetry transceiver for medical sensor applications. Biomed Eng IEEE Trans
58(3):768–772
Gono K, Yamazaki K, Doguchi N, Nonami T, Obi T, Yamaguchi M, Endo T (2003) Endoscopic
observation of tissue by narrowband illumination. Opt Rev 10(4):211–215
Gonzalez-Guillaumin JL, Sadowski DC, Kaler KV, Mintchev MP (2007) Ingestible capsule for
impedance and pH monitoring in the esophagus. Biomed Eng IEEE Trans 54(12):2231–2236
Gu Y, Xie X, Wang Z, Li G, Sun T, Qi N, Wang Z (2009) A new globularity capsule endoscopy
system with multi-camera. In: Biomedical circuits and systems conference, BioCAS 2009,
IEEE. Beijing, China, pp 289–292
Gu Y, Li G, Xie X, Sun T, Liu S, Li X, Wang Z (2012) The design and implementation of a chipset
for the endoscopic Micro-ball. In: Circuits and systems (ISCAS), 2012 I.E. international
symposium on. IEEE, Seoul, Korea, pp 2633–2636
Iddan G, Meron G, Glukhovsky A, Swain P (2000) Wireless capsule endoscopy. Nature 405:417
Jiang H, Li F, Chen X, Ning Y, Zhang X, Ma T, Wang Z (2010) A SoC with 3.9 mW 3 Mbps UHF
transmitter and 240 μW MCU for capsule endoscope with bidirectional communication. In:
Solid state circuits conference (A-SSCC), 2010 I.E. Asian. Beijing, China, pp 1–4
Johannessen EA, Wang L, Cui L, Tang TB, Ahmadian M, Astaras A, Cooper JM (2004)
Implementation of multichannel sensors for remote biomedical measurements in a
microsystems format. Biomed Eng IEEE Trans 51(3):525–535
Karargyris A, Bourbakis N (2010) Wireless capsule endoscopy and endoscopic imaging: a survey
on various methodologies presented. Eng Med Biol Mag IEEE 29(1):72–83
Khan TH, Wahid KA (2011) Low power and low complexity compressor for video capsule
endoscopy. Circ Syst Video Technol IEEE Trans 21(10):1534–1546
Kim K, Yun S, Lee S, Nam S, Yoon YJ, Cheon C (2012) A design of a high-speed and high-
efficiency capsule endoscopy system. Biomed Eng IEEE Trans 59(4):1005–1011
Kong KC, Cha J, Jeon D, Cho DI (2005) A rotational micro biopsy device for the capsule
endoscope. In: Intelligent robots and systems (IROS 2005). 2005 IEEE/RSJ international
conference on, IEEE. Edmonton, Canada, pp 1839–1843
Lenaerts B, Puers R (2007) An inductive power link for a wireless endoscope. Biosens Bioelectron
22(7):1390–1395
McCaffrey C, Chevalerias O, O’Mathuna C, Twomey K (2008) Swallowable-capsule technology.
Pervasive Comput IEEE 7(1):23–29
Nathan M, Golodnitsky D, Yufit V, Strauss E, Ripenbein T, Shechtman I, Peled E (2005) Three-
dimensional thin-film Li-ion microbatteries for autonomous MEMS. Microelectromech Syst J
14(5):879–885
Richert H, Hilgenfeld B, Gornert P (2009) Magnetic sensor techniques for new intelligent
endoscopic capsules. In: Proceedings of the 10th symposium magnetoresistive sensors and
magnetic systems Watzlar, Germany
Schoofs N, Devière J, Van Gossum A (2006) PillCam colon capsule endoscopy compared with
colonoscopy for colorectal tumor diagnosis: a prospective pilot study. Endoscopy
38(10):971–977
Stathopoulos E, Schlageter V, Meyrat B, Ribaupierre Y, Kucera P (2005) Magnetic pill tracking: a
novel non invasive tool for investigation of human digestive motility. Neurogastroenterol
Motility 17(1):148–154
Sun T, Xie X, Li G, Gu Y, Li X, Wang Z (2011) An omnidirectional wireless power receiving IC
with 93.6% efficiency CMOS rectifier and skipping booster for implantable bio-microsystems.
In Proc. IEEE Asian Solid-State Circuit Conf. Nov. 2011. Jeju, Korea. pp.185–188
Sun T, Xie X, Li G, Gu Y, Deng Y, Wang Z (2012) A two-hop wireless power transfer system with
an efficiency-enhanced power receiver for motion-free capsule endoscopy inspection. Biomed
Eng IEEE Trans 59(11):3247–3254
Toh WD, Zhao B, Gao Y, Je M, Heng CH (2013) A low power image sensor controller and JPEG
encoder IC for wireless capsule endoscopy. Int J Inf Electr Eng 3(1):32–34
290 Y. Gu et al.

Vatteroni M, Covi D, Cavallotti C, Clementel L, Valdastri P, Menciassi A, Sartori A (2010) Smart


optical CMOS sensor for endoluminal applications. Sensors and Actuators A Phys
162(2):297–303
Westerhof J, Weersma RK, Koornstra JJ (2009) Risk factors for incomplete small-bowel capsule
endoscopy. Gastrointest Endosc 69(1):74–80
Wilding I, Hirst P, Connor A (2000) Development of a new engineering-based capsule for human
drug absorption studies. Pharm Sci Technol Today 3(11):385–392
Xie X, Li G, Chen X, Li X, Wang Z (2006) A low-power digital IC design inside the wireless
endoscopic capsule. Solid State Circ IEEE J 41(11):2390–2400
Sensors for Vital Signs: Oxygen Sensors
15
K. N. Glaros, M. L. Rogers, M. G. Boutelle, and E. M. Drakakis

Contents
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 292
Why Measure Oxygen? . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 292
Cellular Respiration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 292
Location . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 294
Measurement Methods . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 295
The Ideal Medical Probe . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 295
Electrochemical Measurements and the Clark Electrode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 296
Fluorescence Quenching . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 298
PEBBLEs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 299
Optodes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 301
Near Infra-Red Spectroscopy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 301
Pulse Oximetry and Biochips . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 303
Photoplethysmographic Signal and SNR . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 304
Low Power Pulse Oximeters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 306
Sampled Detectors and SNR Optimization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 307
Signal Conditioning and Light Intensity Control . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 310
A Sub-mW Monolithic Pulse Oximeter Front-End . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 311
Pulse Oximetry for the Future . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 313
References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 313

Abstract
Oxygen measurement in human tissues is very important for informed clinical
decisions. This chapter provides an overview of several sensing paradigms that
are used for this purpose, such as the Clark electrode, fluorescence quenching,
PEBBLEs, optodes, near-infrared spectroscopy, and pulse oximetry. The chal-
lenges of designing monolithic low-power pulse oximeter biochips are discussed

K. N. Glaros · M. L. Rogers · M. G. Boutelle · E. M. Drakakis (*)


Department of Bioengineering, Imperial College, London, UK
e-mail: kglaros@imperial.ac.uk; m.rogers07@imperial.ac.uk; m.boutelle@imperial.ac.uk;
e.drakakis@imperial.ac.uk

© Springer Science+Business Media, LLC, part of Springer Nature 2022 291


M. Sawan (ed.), Handbook of Biochips,
https://doi.org/10.1007/978-1-4614-3447-4_3
292 K. N. Glaros et al.

in detail. Design techniques focused on lowering the oximeter’s power consump-


tion are presented, along with a biochip implementing the first sub-mW fully
integrated pulse oximeter front-end.

Introduction

Oxygen is vital for all aerobic organisms as complex life forms use oxygen for
cellular respiration. In humans, oxygen is breathed in from the air, transferred to the
red blood cells within the lungs and delivered to the cells via the blood stream, where
oxygen is bound to the hemoglobin in red blood cells. The level of saturation
of oxygen in the blood can be an indicator of health and typically a healthy saturation
level remains between 96% and 99%. When it falls below 90%, hypoxemia can
occur, which can cause low levels of oxygen at the tissue level and cell death. There
are multiple methods used to measure oxygen in the blood and within tissues and
cells. This chapter gives an overview of the most important.
It then focuses on pulse oximetry, a method that is of particular significance to
everyday clinical practice and is gradually becoming ubiquitous for patient moni-
toring in and outside the hospital environment. Their noninvasive nature and the
ability to extract several vital signs out of a single measured signal render pulse
oximeters ideal candidates for integration in portable or wearable patient monitoring
nodes. However, the realization of monolithic accurate pulse oximeters still poses
significant technical challenges, such as sensitivity to motion artifacts and high
power consumption. Design considerations and techniques for power efficient
implementations are discussed in detail in the second half of the chapter, along
with a sub-mW pulse oximeter front-end chip.

Why Measure Oxygen?

Cellular Respiration

All cells, which collectively form tissues and organs, require oxygen. It is used to
create adenosine triphosphate (ATP), the currency of chemical energy, via the
process of aerobic respiration. Without oxygen, aerobic respiration cannot occur
and cells are forced into anaerobic respiration where only a small amount of ATP is
produced as a result. Aerobic respiration is approximately 15 times more efficient
than anaerobic and so without the reintroduction of oxygen the cells cannot be
sustained. The death of cells can cause problems to the tissue and to the body and
often results in pathological processes and disorders.
An overview of cellular respiration is shown in the schematic of Fig. 1. It
begins with glycolysis in the cytosol of cells. This process converts a molecule of
glucose into 2 pyruvate molecules, 2 ATP’s, 2 NADH’s, and water and can occur
with or without oxygen present. When oxygen is available, pyruvate is converted
15
Sensors for Vital Signs: Oxygen Sensors

Fig. 1 A schematic depicting the respiration pathway, which occurs within cells. Glycolysis occurs in the cytosol of the cell, and if oxygen is present the Krebs
293

cycle can occur within the mitochondrial matrix. This process leads to oxidative phosphorylation and the generation of ATP. When oxygen is absent, only
glycolysis can occur and glucose is converted into lactate within the cytosol of the cell
294 K. N. Glaros et al.

to Acetyl CoA, which can then enter the Krebs cycle within the mitochondrial
matrix. This process has many steps and creates three molecules of NADH, one
FADH2, and one ATP’s for each pyruvate molecule that enters the cycle. The final
step for aerobic respiration is a process called oxidative phosphorylation, which
occurs on the mitochondrial cristae. Here, the conversion of NADH and FADH2
via a series of protein complexes creates a proton gradient across the membrane,
which is critical for the generation of ATP through the protein complex ATP
synthase. Throughout the process, 38 ATP molecules are generated from one
glucose molecule. Without oxygen present, ATP synthase ceases to work, causing
the Krebs cycle to pause and pyruvate undergoes fermentation to lactate, a process
performed by lactate dehydrogenase and which regenerates NAD and allows
glycolysis to continue. During anaerobic respiration only two ATP molecules are
generated as only glycolysis can occur, hence why aerobic respiration is much
preferred. An example of changing to anaerobic respiration is within muscles
during exercise, where the build-up of lactate causes pain and fatigue. During
this process a so-called “oxygen debt” is also created, which can be relieved with
quick, deep breaths once exercise has stopped. This oxygen debt needs to be
repaid as extra oxygen is required to break down the lactate and return the cells to
their normal state.

Location

The blood carries oxygen around the body but each tissue has different energy
requirements, which will also vary throughout the day. The demand and consump-
tion of oxygen at the cellular level will also depend upon the tissue type. Therefore,
clinically, the knowledge of the oxygen level at the tissue and cellular level, as
opposed to the blood, is more advantageous and relevant when looking at the health
of the body and organs.
There are several reasons for the tissues and cells not having enough oxygen.
Firstly, there may be impairment in the lungs’ ability to extract oxygen from the air.
This could be due to either failure of ventilation or failure of the gas exchange
process at the alveoli. In the case of ventilation, there is not enough oxygen being
delivered to the lungs’ alveoli for extraction. Cases such as sleep apnea, stroke, and
epilepsy patients have ventilation problems due to the breathing center in the brain
not giving out the correct signals, influencing the rate and depth of breathing. Muscle
weakness due to respiratory problems, such as COPD, will have the same effect, as
the muscle does not have enough strength to fully expand and compress the lungs.
There are also certain environments which can cause inadequate ventilation, such as
very high altitudes, where there is less oxygen in the air.
In the case of poor gas exchange, the lungs may well be ventilated but the oxygen
cannot get into the blood efficiently. Alveoli are membrane sacs, which increase the
lungs’ surface area and allow gas exchange to occur with the blood. Oxygen can
diffuse across the alveoli membrane to the blood and carbon dioxide can diffuse
from the blood into the lungs, which is then breathed out. Medical examples of poor
15 Sensors for Vital Signs: Oxygen Sensors 295

gas exchange processes include adults with acute respiratory distress syndrome, lung
cancer, and pneumonia. In hospitals oxygen masks or hyperbaric chambers are used
to increase the partial pressure of oxygen in the blood and enhance the efficiency of
the gas exchange.
Secondly, there may be a failure of blood flow to a particular area or tissue. This
can be due to blockages, such as the narrowing of arteries. In this case, the blood
flow is restricted and therefore it is harder to push the blood around. For example,
renal artery disease is caused by atherosclerosis of the arteries supplying the kidneys.
Damaged valves within the veins cause varicose veins and venous thromboses, such
as DVT (blood clots in the legs) and restrict the flow of blood through the body. The
build-up of atherosclerotic plaques in the arteries supplying the heart, narrows the
blood vessels which in turn dramatically increases the pressure required to achieve a
given blood flow. Hence, ischemia (lack of oxygen) occurs at the cellular level. This
can lead to myocardial infarction, otherwise known as a heart attack, as the lack of
oxygen in the local area has injured the heart muscle.
Finally, there may be more consumption and a higher local demand for oxygen
than there is being delivered by the blood system and therefore a deficit in the local
area is created. This local ischemia can result in damage and dysfunction. This has
been seen in some brain injury cases where events known as spreading depolariza-
tions generate a high demand for energy, outstripping the amount of glucose and
oxygen available. The local deficit has been reported to account for the variance
between patients developing further and larger, secondary brain injuries. In tissues
that require high levels of oxygen, such as the brain and the heart, irreversible
damage can occur within minutes. Ischemia causes a build-up of metabolic waste
products, an inability to maintain the potential difference across cell membranes,
mitochondrial damage, and the release of proteolytic enzymes into the local area.
Failure of deliverance of oxygen to tissue leads to insufficiencies in mitochondrial
respiration, which is considered to be a major contributing factor to multiple organ
failure on intensive care wards (Siegemund et al. 1999).
Thus, it is of extreme importance to monitor the tissue and cellular levels of
oxygen. The measurement of oxygen in the tissues can help develop full clinical
strategies and guide procedures and therapies for physiological and pathophysiolog-
ical conditions.

Measurement Methods

The Ideal Medical Probe

The ideal medical probe will have several key factors. It must be sensitive in the
physiological range with high accuracy (typically +/ 2%). There must be a good
spatial and temporal resolution and the probe must be selective for only oxygen. The
medical probe must be safe for implantation into human tissues, as well as being easy
to use and have excellent reliability, since clinical decisions will be based on the
readings. It should also consume as little oxygen as possible, thereby causing as little
296 K. N. Glaros et al.

or no disturbance to the tissue it is monitoring. Ideally the oxygen probe will


distinguish between arterial, venous, and capillary compartments, as tissues are
heterogeneous in terms of oxygen supply and demands and this heterogeneity will
increase during times of ischemia and damage (Siegemund et al. 1999).

Electrochemical Measurements and the Clark Electrode

The simplest oxygen electrode is a cathode formed of insulated noble metal wire
(silver, gold, and platinum) with an exposed end. The application of a negative
polarizing voltage causes a reduction of oxygen with the net reaction of:

O2 þ 4e þ 2H2 O ! 4OH (1)

To drive the reaction, the electrode is often held at +0.7 V, and the reduction of
oxygen gives rise to a current, which can be recorded and interpreted. The simple
oxygen electrode can be inserted directly into the tissue and was used in 1950 to
measure the oxygen tension and blood flow through the human extremities (Mont-
gomery and Horwitz 1950). Since then designs have grown into more sophisticated
systems such as recessed platinum electrodes with an intermittent applied voltage
(every 5 min), thus allowing the development of an oxygen gradient without it
extending into the medium too far. Small needle microelectrodes with micrometer-
sized tips have been successful in monitoring oxygen levels and the progress of
designs has led to electrochemical detection systems which all now incorporate the
basic design of Clark electrodes.
The Clark electrode provided a major innovation for oxygen sensing and is now
the most often used electrode, in its original and modified forms, for measuring
oxygen levels in a liquid. It was first built by Leland Clark (1956) and later improved
upon by Severinghaus (2004). The basic principle relies on an anode (often a Ag|
AgCl reference electrode) and a cathode (often platinum) both residing in an
electrolyte and, importantly, both housed behind an oxygen-permeable membrane.
This is illustrated in Fig. 2. Originally this membrane consisted of cellophane but
was changed to hydrophobic, gas permeable Teflon to improve sensitivity and
reduce sensor fouling. The Clark electrode can be placed in vivo and oxygen will
diffuse from the surrounding tissue, across the membrane and be reduced at the
cathode.
The current produced from these electrodes can be described using the following
equations:

I ¼ nFAJ (2)

where I =  nFAJ is the current, n is the number of electrons, F is Faraday’s


constant (9.64  104 C/mol), A is the area of the electrode, and J is the flux.
For a large electrode we can consider diffusion in one spatial dimension only, as
per Fick’s first law:
15 Sensors for Vital Signs: Oxygen Sensors 297

Fig. 2 (a) Schematic of a Clark-type oxygen electrode. (b) Oxygen from the analyte solution
diffuses across the oxygen permeable membrane. The platinum electrode is polarized to +0.7 V,
which reduces the oxygen to OH ions creating a current flow. The silver/silver chloride (Ag|AgCl)
electrode acts as a combined reference and auxiliary electrode to complete the electrical circuit
behind the membrane

 
@C
J ¼ D (3)
@x

where D is the diffusion coefficient, C is the concentration, and x is the length.


Now, if we consider the case of an oxygen electrode, where the number of
electrons is equal to four and the diffusion coefficient can be expressed in terms of
the membrane permeability, we can say:

4FAPm ðTÞPO2
I¼ (4)
d
where Pm(t) is the permeability of the membrane (and is a function of temperature),
PO2 is the partial pressure of oxygen, and d is the thickness of the membrane.
The majority of literature and commercial sensors available today are based
around the Clark-type electrode format. However, fabrication of a fast oxygen sensor
using this technology is difficult. The main issue is that to increase the response time
of the sensor, the space between the membrane and the platinum electrode should be
298 K. N. Glaros et al.

as small as possible to reduce the length over which the oxygen must diffuse. This
can be seen from Eq. 3. However, by doing this, the impedance between the Ag|
AgCl electrode and the platinum electrode will increase, reducing the reliability of
the results. Many commercial probes based upon this technology incorporate stirring
into their protocol or have miniaturized the sensor and used low permeability
membranes to disperse any interfering gradients. In human patients, surface and
needle Clark-based oxygen sensors have been used to study oxygen levels and the
most recent advancement in oxygen sensor development has been the micro-
fabrication of electrodes, by techniques such as photofabrication, where electrodes
are printed onto glass or an insulator.
Electrochemical detection of oxygen does have some limitations. Oxygen sensors
consume oxygen during the detection process, thereby changing the environment in
which they are placed. This is of particular importance when the size of the sample
measured is small compared to the electrode or when tissue is poorly perfused. It is
not possible to produce identical sensors because of variations in the thickness of the
membrane and solution layer, and therefore a calibration is required with each one.
The sensors also need to be calibrated periodically to account for electrode drift and
at the very least the sensor requires calibration before and after use. However, if
using the electrode in vivo, then an in vitro calibration may not replicate the tissue
environment and the calibration may not be a true interpretation of the results. A hot
topic for discussion is how to overcome this problem and whether an in vitro
calibration is truly representative. The term “oxygen availability,” which defines
the qualitative changes in tissue oxygenation, is often used because the sensors
cannot be calibrated adequately.
Oxygen sensors are also temperature dependent and any fluctuations in temper-
ature will affect the reliability of the results collected. This dependence comes firstly
from diffusion coefficient D in Eq. 3, but also from the changes of oxygen solubility
in aqueous solutions with temperature. At lower temperatures, the solubility of
oxygen is greater; hence, the isolated tissue sample must be kept in a temperature-
controlled environment. The damage caused by implanting an electrode into a tissue
inevitably causes disruption to the cells and the blood vessels. Miniaturization of
electrodes helps only if the electrode is smaller than the distance in between blood
capillaries. Any larger then rupture of the capillaries will change the local environ-
ment, which is the very same environment that the user wanted to measure from.
Hemorrhages caused by probe insertion lead to the presence of hematoma, which is
characterized by a persistently high PO2 and a lack of responsiveness from the
electrode. Approaches such as using a slow insertion and the use of anti-
inflammatory drugs to reduce the immune response can avoid such complications.

Fluorescence Quenching

Many chemical species can absorb protons of light at a range of wavelengths,


promoting the molecule to an excited electronic state, and then emit light at a
different, longer wavelength as they return to the ground state. This is called
15 Sensors for Vital Signs: Oxygen Sensors 299

Fig. 3 A schematic for


collisional quenching of
fluorescence. This is the basis
of FRET techniques

fluorescence. As an analytical measurement technology it has the great advantage


that, by careful wavelength selection in front of the fluorescence detector, it is
possible to look for the occurrence of the fluorescence signal as emitted photons
against a dark background, greatly improving signal to noise. The intensity of the
fluorescence signal is linearly related to the concentration of the fluorophore as well
as factors such as quantum yield.
Oxygen has a quenching effect on certain fluorophore molecules, as shown in
Fig. 3, and a popular species to use are ruthenium complexes. The fluorophores are
entrapped in a matrix, which has a high permeability to oxygen. When no oxygen is
present, the fluorescence recorded is at its maximum. When oxygen is present,
collision with the fluorophore results is the fluorophore returning to the ground
state without emitting light and hence the fluorescent signal is reduced. This is called
quenching, a schematic of which is shown in Fig. 3. Fluorescence quenching is the
basis for Forster resonance energy transfer (FRET). There is much interest in using
fluorescence quenching techniques to monitor the partial pressure of oxygen in
tissues.
At any given concentration, the fluorescent properties remain the same; however,
the relationship between the change in signal and the concentration of oxygen is
nonlinear. In the simplest scenario, the quenching of fluorescence by oxygen follows
the Stern-Volmer equation:

I o =I ¼ 1 þ K sv ½O2  ¼ 1 þ kq τo ½O2  (5)

where Io and I are the steady-state fluorescence intensity in the absence and
presence of oxygen, respectively, Ksv is the Stern-Volmer quenching constant, [O2]
is the oxygen concentration, kq is a rate constant to describe the efficiency of
collisional encounters between the fluorophore and oxygen, and τo is the excited
state luminescence lifetime in the absence of oxygen.

PEBBLEs

Maintaining oxygen homeostasis is critical for cell function. For intracellular mea-
surements, small sensors are crucial for precise interpretation of results. Sasaki et al.
(1996) first described the use of nanoparticles as sensors where the pH was moni-
tored using optical techniques. Since then, remote nanosensors known as PEBBLEs
(probes encapsulated by biologically localized embedding), have been adapted for
300 K. N. Glaros et al.

the detection of multiple analytes intracellularly (Lee et al. 2009). PEBBLEs can be
used either as individual sensors, in clusters measuring the same analyte at different
positions within the cell, or clusters for multi-analyte measurements. The dimensions
of PEBBLEs range from 1 to 1000 nm allowing incorporation into the cells
with minimal physical interference. For a review on PEBBLE sensors in live cells
and in vivo, see Lee et al. (2009). These spherical nanosensors are fabricated by
polymerization and are often embedded with both a sensing and a reference com-
plex, to increase the reliability of calibrations. Using a ratiometric approach also
minimizes external effects and artifacts, such as changes in light source intensity at
the PEBBLE surface, thus increasing the reliability of the data recorded.
To measure the levels of oxygen, a sensing complex is incorporated onto the
PEBBLE. This must be sensitive to oxygen and the majority of work has focused
upon the use of ruthenium complexes, such as Ru(II)-tris(4,7-diphenyl-1,10-
phenanthroline) chloride ([Ru(dpp)3]2+) due to the long excited state lifetime and
high luminescence quantum yield. Platinum(II) octaethylporphine ketone (PtOEPK)
is another excellent oxygen sensitive dye often incorporated onto PEBBLEs when
studying intracellular oxygen levels. The reference complex, in contrast, must be
unquenchable by oxygen and its emission must not overlap that of the sensing dye,
as seen in Fig. 4a. It must also be of similar solubility to that of the sensing dye as both
dyes need to be incorporated into the same matrix. For these reasons octaethylporphine
(OEP) is often coupled to PtOEPK and Oregan Green with [Ru(dpp)3]2+.
The polymeric matrix used to entrap the dyes to the nanoparticle is often poly
(decyl methacrylate) (PDMA), chosen because of its hydrophobicity, needed to
stabilize the dyes and its high oxygen permeability. These nanosensors exhibit a
high sensitivity and a linear Stern-Volmer calibration curve over the entire range for
dissolved oxygen, which was previously unattainable with fluorescent oxygen

a Purged with nitrogen


Purged with air
b Purged with nitrogen
Purged with oxygen Purged with air
Purged with oxygen
Fluorescence intensity

Fluorescence intensity

Oregan Green ([Ru(dpp)3]2+)


500 600 700 Light pulse
Wavelength (nm) Time (ps)

Fig. 4 The left hand graph shows the fluorescent intensity of two commonly used fluorophores,
Oregan Green and Ru(dpp3)2+. Oregan Green is a reference complex and the signal does not change
when the sample is purged with varying levels of oxygen. Ru(dpp3)2+ is oxygen dependent. The
right hand graph shows time-dependent measurements. A pulse of light is emitted and the
fluorescent decay measured
15 Sensors for Vital Signs: Oxygen Sensors 301

sensors (Lee et al. 2009). Oxygen PEBBLES have been successfully incorporated
into living cells by the use of a gene gun (a high velocity particle bombardment
method) allowing real-time intracellular analysis of oxygen. While PEBBLEs have
been designed to be minimally invasive to cells, effective nanosensor delivery and
efficient loading into cells is still problematic.

Optodes

An optode is a general term for implantable devices measuring a metabolite using


optical methods. Optodes have increased in popularity due to the low cost and long-
term stability of the sensor. They can be used in multiple ways but the most popular
is by fluorescence. The fluorescent molecule is often trapped to the tip of the probe or
optical fiber, and the fluorescence signal recorded from the sensor is quenched with
the addition of the analyte.
As with electrochemical measurement of oxygen, optodes are also affected
greatly by the quality of the calibrations, temperature, and also pH. Typically,
calibrations occur in vitro within solutions of standard analyte concentrations;
however, this environment is vastly different to that of a tissue or cell of interest.
All continuous fluorescence-quenching systems rely on the stability of the
fluorophore that is quenched. In practice this is a problem as the molecules in the
excited electronic state are liable to degradation. This can be avoided by looking
instead at the time domain. Time resolved fluorescence measurements utilize a pulse
of light after which the fluorescence decay is measured as a function of time, as
shown in Fig. 4b. Oxford Optronics Ltd. is a leading company in the design and
manufacture of oxygen sensors, used to monitor tissues and cells.

Near Infra-Red Spectroscopy

Both electrochemical measurements using electrodes and optical measurements


using fluorescence are invasive to the body. A noninvasive option is near-infrared
spectroscopy (NIRS), which is based upon molecules absorbing specific frequencies
based upon their shape and structure. Due to the relative transparency of tissue to
near infrared light, absorption peaks of oxygenated and deoxygenated hemoglobin in
the blood vessels can be generated. This is shown in the schematic of Fig. 5.
NIRS was first introduced in 1977 when it was recognized in its ability to measure
hemoglobin concentrations in the feline brain (Jobsis 1977). Since then the use of
NIRS to study tissue oxygenation in humans has been increasing. The quantification
of concentration from the NIRS method derives from the Beer-Lambert law:
 
I0
A ¼ Log ¼ ecL (6)
I

where A is the absorbance, Io is the incident light, I is the transmitted light, e is the
molar absorptivity, c is the concentration, and L is the path length.
302 K. N. Glaros et al.

Source Detector 1 Detector 2


NIR region

Absorption Coefficient
Scalp
Sku
ll
Grey Matter CSF Oxyhaemoglobin

White Matter Deoxyhaemoglobin

700 900
Wavelength (nm)

Fig. 5 Schematic of the theory of near infrared spectroscopy measuring oxygen in brain tissue. A
light source is passed through the brain tissue and detected at receivers, placed at known distances.
The graph depicts the absorption coefficient for oxy- and deoxyhemoglobin as a function of the
wavelength

This law relates the concentration of oxygen to the intensity of light transmitted
through the tissue. However, this equation is intended for use when the path length is
known. In blood, there are two main species that give absorption peaks, oxyhemo-
globin and deoxyhemoglobin (see Fig. 5), the sum of which is used as a surrogate for
blood flow. The different wavelengths used for these two species suffer different
light scattering and tissue absorption; hence the equation must be modified to
incorporate a differential path length. Furthermore, the molar absorptivity (e) is
greater for oxyhemoglobin than deoxyhemoglobin so this too must be taken into
account.
NIRS was first used on humans in 1985 on infant brains (Brazy et al. 1985),
where the technique is well suited due to the noninvasive nature and the thinness of
overlying tissues particularly bone ensuring prominence of brain tissue. NIRS has
since been used extensively to measure the blood flow and the oxygenation levels in
the brains of adults (Elwell et al. 1992). In low-birth-weight infants a large part of the
brain can be seen as the light can be applied on one side of the head and received on
the other (Greisen 2006). The results from these studies can be considered as
“global” oxygen recordings. NIRS on larger babies, and adults, can only be
conducted with the light source and receivers on the same side of the head, leading
to a smaller area of the brain observed and “regional” oxygen recordings (Greisen
2006). NIRS has also been used in a range of other applications.
The technique of NIRS has several limitations. Near-infrared light is absorbed
by subcutaneous fat; therefore comparisons between individuals of varying body
fat should be taken into consideration. To separate the signals from oxy- and
deoxyhemoglobin, different wavelengths and algorithms have been used, leading
to confusion when comparing results in the literature (Greisen 2006). Another
limitation of this technique is the approximation of the path length using the
differential path length factor. This continues to be refined in both the device design
and the algorithms used to calculate the changes in concentration. To achieve
unbiased results, the distance of the light source and receiver must remain constant,
which could be problematic in brain-injured patients where the affected tissue may
15 Sensors for Vital Signs: Oxygen Sensors 303

be swelling due to the injuries. Another unknown in this technique is the percentage
of signal from arteries, veins, and capillaries, which cause problems in studies that
quantify changes in oxygen levels in tissues as it assumes optical homogeneity.

Pulse Oximetry and Biochips

Pulse oximetry is another technique for noninvasive monitoring of blood oxygen


saturation, which works on a very similar basis to NIRS, exploiting the light
absorption properties of blood and the pulsatile nature of blood flow. In the most
common setup, a pulse oximeter sensor incorporates a red (660 nm) and an infrared
(940 nm) light-emitting-diode (LED) that shine light onto a blood perfused tissue,
most frequently the fingertip. A photodiode is then used to convert the light scattered
by the tissue to a current.
The magnitude and form of the detected signal (usually termed photo-
plethysmographic/PPG) depends on several parameters, including the oxygen satu-
ration of the blood, i.e., the percentage of red blood cells carrying oxygenated
hemoglobin. When measured by a pulse oximeter, this is also known as the SpO2
signal. Other vital signals such as the heart and respiration rates can also be readily
extracted from the PPG signal.
Pulse oximeters were first used more than 20 years ago in operation theatres.
Their noninvasive nature and low cost have resulted in an explosion in their
availability and use. They form a standard part of bedside equipment in hospital
general wards, and clinicians consider oxygen saturation as one of the vital signals to
be monitored in a patient under medical care. Inexpensive pulse oximeters are also
available over the counter and can be used by anyone much in the same way like
blood pressure monitors.
Outside hospitals long-term SpO2 monitoring is currently carried out only where
strictly necessary, such as for patients with chronic respiratory illnesses (e.g.,
COPD). However, the increased interest to move monitoring of elderly and chron-
ically ill patients out of hospitals and in the home environment, in combination with
the development of wearable “smart” and inter-connected electronic devices, will
inevitably significantly increase both the number and use cases of pulse oximeters. In
this context pulse oximeters will gradually shift from stand-alone handheld devices
to tightly integrated parts of complete health monitoring platforms that can contin-
uously record, analyse, and transmit information about a person’s health. This
change in use models will require pulse oximeters that are highly reliable and easy
to integrate with other vital signal monitoring modalities. Low cost, a small form
factor, and low power consumption will also be important requirements.
From a technical perspective the most important challenges on achieving such a
widespread use of pulse oximeters are two: motion artifacts and power consumption.
“Motion artifacts” is a generic term referring to the distortion of the PPG signal due
to patient motion. These are most commonly caused by displacement in the sensor
probe (Hayes and Smith 2001) or movements in venous blood (Goldman et al.
2000). A great body of work has been carried out both in signal processing and
304 K. N. Glaros et al.

sensor probe design to eliminate them, with varying degrees of success ((Goldman
et al. 2000; Hayes and Smith 2001; Sokwoo et al. 2001) are some important
examples). However, they still constitute a significant limitation in the production
of reliable portable pulse oximeters.
Power consumption is another limitation. For a reliable PPG signal with high
enough signal-to-noise ratio (SNR) to be detected, currents of tens of mA are usually
used to drive the LEDs of pulse oximeters. And while duty cycling is used to limit
the power consumption, this still is several mWs for most commercially available
oximeters (Glaros 2012). For a biochip that continuously monitors a patient’s vital
signs, such a power consumption is too high. This power consumption rises even
more if more than two light wavelengths are used to provide more accurate oxygen
saturation measurements. The rest of this chapter discusses aspects of the design of
pulse oximeter biochips and some of the limitations in reducing their power
consumption.

Photoplethysmographic Signal and SNR

The plethysmographic signal detected by pulse oximeter comprises a quasi-constant


average DC component and a much smaller pulsatile or AC component. The latter has
an amplitude typically 1–4% of the former (Webster 1997; Tavakoli 2006; Glaros
2012). Most oximeters measure, directly or indirectly, the “ratio-of-ratios,” Λ:

ACR
DCR
Λ¼ (7)
ACIR
DCIR
An SpO2 value is then usually determined using statistically fitted calibration
curves from measurements performed on patients using each specific oximeter
design. However, to carry out a first order estimate of the required SNR for accurate
SpO2 measurement the simple relationship that can be derived from first principles
using the Beer-Lambert law for light absorption will be used (Webster 1997):

81  18Λ
SpO2 ¼ (8)
0:73 þ 11Λ
From this equation the (relative) sensitivity of SpO2 to Λ can be calculated:

dSpO2 Λ
SSpO2
Λ ¼ (9)
SpO2 dΛ

The relative error in Λ is directly related to the errors in the signal measurements,
which in turn directly relates to the SNR of the measured signal. Assuming inde-
pendent errors in the measurement of the R and IR PPG signals:
15 Sensors for Vital Signs: Oxygen Sensors 305

ffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi
s 2  2
ΔΛ 1 1
ffi þ (10)
Λ SNRR SNRIR

Minimizing the error across various saturation levels requires knowledge of the
precise relationship between SNR, SpO2, and power which are implementation
dependent. If it is assumed that the main SNR limitation comes from the measure-
ACR
ment of the AC component of the signal (i.e., SNRR ffi Noise ), and that the DC and
noise components of the R and IR detected signals are almost the same, then
SNRR = ΛSNRIR. For the commonly specified requirement for the error to be
smaller than 2 units in a range of saturations between 70% and 100%, the minimum
required SNR for the R channel is:
pffiffiffiffiffiffiffiffiffiffiffiffiffiffi
1 þ Λ2 SSpO2 Λ SpO2
SNRR > (11)
2
The minimum required SNR for the two channels as calculated from the above
equation is plotted in Fig. 6. As can be seen, higher SNRs are required for measuring
lower oxygen saturations with the same accuracy. This is one of the reasons why
measuring such saturations has proven a difficult challenge.
This derivation provides a first estimate of the SNR required in the measurement
of SpO2. In practice extra margin should be allowed to provide tolerance to other
factors that might degrade the signal quality as recorded at the probing site, such as
motion artifacts, low perfusion, vasoconstriction, etc. A large amount of good

Fig. 6 Maximum error in Λ and minimum SNR as calculated from the Beer-Lambert law (see text
for assumptions)
306 K. N. Glaros et al.

quality, systematically collected PPG signals is required to determine the magnitude


of this margin and how it should be modified under different measurement condi-
tions. Unfortunately, to the authors’ knowledge, such a collection is not currently
available.
Maintaining the required SNR is a key limitation in lowering the power con-
sumption of a pulse oximeter. As is common in instrumentation designs, there is a
direct trade-off between the power consumption of the oximeter front-end and the
achievable noise or SNR performance. Some aspects of this trade-off will be
discussed in the pages that follow.

Low Power Pulse Oximeters

There are two main ways to lower the power consumption of a pulse oximeter while
maintaining adequate signal quality: by increasing the sensitivity of the sensing
probe or by reducing the noise of the oximeter’s front-end electronics.
There is a large amount of patents and scholarly literature focusing on optimizing
the design of pulse oximeter sensor probes, usually aiming at increasing the accuracy
or minimizing motion artifacts. Increasing the probe accuracy will most of the time
be accompanied by a reduced power consumption for a given accuracy requirement.
Of significant interest in the context of lowering the power consumption is the
work of Mendelson’s group (Pujary 2004). They have shown that measuring
the back-scattered light from the tissue (reflectance pulse oximetry), as opposed to
the forward-scattered light, most commonly measured (transmittance pulse oxime-
try) a greatly reduced power consumption can be achieved without degrading the
PPG signal quality. This is a very interesting claim that remains to be validated by
other works, as other experts expect that the sensitivity of reflectance and transmit-
tance sensing modes should be similar (Mannheimer 2007).
On the other hand there are few publicly available works that concentrate on
improving the SNR performance of the oximeters’ electronic front-end. Notable
recent works are those of Rhee et al. (Sokwoo et al. 2001) and Tavakoli (2006).
Rhee et al. (Sokwoo et al. 2001) consider a pulse oximeter monitoring system as a
whole, including a microprocessor and a radio for transmitting the SpO2 signals.
Considering the whole system they optimize parameters such as the system clock
frequency, the LED drive current, and the data transmission scheme employed to
achieve an impressive power consumption of less than 1.5 mW, of which 340 μW is
dedicated to the LEDs. Unfortunately, their system is targeted to heart rate measure-
ment only and no evaluation data on SpO2 measurement are provided.
One of the most comprehensive and detailed published works on the subject, and
one of the first to consider integration of the front-end on a biochip is that of Tavakoli
(2006). Using a logarithmic transimpedance amplifier with features inspired from
biological photoreceptors, and a fully analogue signal processing chain (i.e., no
ADC), they achieve a remarkable power consumption below 5 mW for the whole
pulse oximeter, of which 4.2 mW is used to drive the LEDs.
Other approaches, predominantly from the industry, focus on control of the
system at a higher level, for example, by lowering the LED duty cycle, the sampling
15 Sensors for Vital Signs: Oxygen Sensors 307

rate, or switching off parts of the system when a high quality signal is available.
Signal processing techniques, such as compressive sensing and adaptive sampling
rates, have also been proposed.
The interested reader is directed to Glaros (2012) and Webster (1997) for more
detailed references to background work on pulse oximeter front-ends.

Sampled Detectors and SNR Optimization

A typical block diagram of a pulse oximeter front-end is shown in Fig. 7. In most


cases the first block is a simple transimpedance amplifier built using resistive
feedback around an op-amp. This is usually followed by a combination of
low-pass and/or high-pass filters to separate the AC and DC components of the
signal and/or adapt the signal to fit in the range of the ADC that digitizes the PPG
signal. A sample and hold block is often included in these signal conditioning
circuits.
The discrete time PPG signal is then processed using simple or more elaborate
algorithms on a (micro)processor to derive the SpO2 value. These algorithms usually
also tackle motion artifacts and irregularities in the detected signal. Duty cycling the
LED driving current with values between 1% and 10% and feedback control of the
LED current are commonly used to lower the power consumption and/or improve
the signal quality (Webster 1997; Glaros 2012).
The modulation of the LED drive current with a low-duty-cycle square wave
leads to the detected signal energy being spread across a wide range of frequencies
(such modulation is similar to multiplying the signal with a train of delta impulses).
The pulsatile component of the PPG signal appears as a narrowband signal around
multiples of the frequency of the modulating square wave. The magnitude of these
signal components can be shown to be 20–100 times smaller than that of the
switching components appearing at exact multiples of the modulation frequency
(Webster 1997). This stems from the original small AC/DC ratio of the PPG signal.
A qualitative representation of the detected spectrum is shown in Fig. 8.
Due to this modulation, in order to avoid loss of signal power a detector with a
bandwidth much wider than the low-frequency PPG signal is required. An

Fig. 7 Block diagram of a typical pulse oximeter front-end


308 K. N. Glaros et al.

Fig. 8 The spectrum of the


detected PPG signal when a
low duty cycle is used. α is the
average skin attenuation, c the
AC/DC ratio, and D the LED
duty cycle

alternative way of considering this is that the detector output needs to be able to settle
to the expected measured value while an LED is ON.
To measure the small AC signal component with sufficient resolution, the large
switching components at multiples of the modulation frequency need to be attenu-
ated, usually before the ADC. The simplest way to achieve this is using a low-pass
filter with a cut-off frequency just high enough to propagate the PPG signal around
DC unattenuated. Unfortunately, such a filter also removes all the signal components
located around the multiples of the modulation frequency, discarding most of the
wanted signal energy as well. If the original detected photocurrent had an AC
component with an amplitude of A and the duty cycle of the modulating square
wave is D, a signal component with an amplitude of only A*D will be detected at the
filter output. It should be obvious that when trying to achieve a high SNR, attenu-
ating the measured signal early in the processing chain is not a very good idea.
The problem described above exists in all oximeter front-ends that implement a
fully continuous-time signal processing path before digitizing the signal at the ADC.
It is not surprising then that most oximeter implementations employ a sample-and-
hold (S/H) just after the transimpedance amplifier, with a sampling frequency equal
to that of the modulating square wave. The sampled-and-held signal (now discrete-
time) has an AC component with almost the same amplitude as the AC signal that
would be recorded if the LEDs were not duty cycled and exhibits almost no
switching components.
Returning to the frequency domain representation of the signal, the S/H action
demodulates the wideband detected signal by sampling it at a rate far below the
Nyquist frequency. The components of the AC signal are aliased down around DC
restoring the original detected AC signal amplitude. By now the cautious reader
should be seeing the warning sign: the wideband noise in the signal and the first
stages of the front-end is also sub-sampled and appears at the same frequencies as the
wanted signal at the output of the S/H.
The noise aliasing problem intensifies if a typical resistive feedback trans-
impedance amplifier is used in conjuction with a large photodiode at the detector.
The large capacitance of the photodiode introduces a zero in the noise transfer
function of the amplifier, leading to its noise appearing amplified at its output
(Graeme 1995). Even worse, the noise-bandwidth of such an amplifier is often larger
than its signal bandwidth (which already needs to be large due to the wideband
15 Sensors for Vital Signs: Oxygen Sensors 309

nature of the detected signal). Thus, introducing sampling directly after the TIA
significantly increases the noise floor of the front-end.
The solution is simple: a noise anti-aliasing filter can be placed before the S/H to
equalize the noise and signal bandwidths (Graeme 1995). Surprisingly, such a filter
seems be absent from almost all pulse oximeter designs publicly available today.
Introducing a filter is not without caveats. If a monolithic oximeter front-end is
required, the noise of this filter trades off directly with its area; the integrated output
noise of a filter is proportional to kT/C, where k is the Boltzmann constant, T the
temperature, and C the filter capacitance. If small, easily-realisable on-chip capac-
itances are chosen, the noise of the filter may well end up being higher than the noise
of the amplifier it is trying to limit (Glaros 2012). An elegant way to realize this filter
is using a switched integrator. This is the well-known integrating opamp with a
switch across its feedback capacitor, so that integration only occurs when the switch
is open. For a pulse oximeter this coincides with the interval when an LED is
switched on. The integrator output is then sampled and the capacitor voltage is
reset to zero (Fig. 9).
It can be shown that the integrator action results in a sinc transfer function, with
zeros at the harmonics of the modulation frequency, a gain of tint/C, and a bandwidth
of 0.443/tint, where tint is the integration time. Hence, in theory it provides infinite
attenuation of the switching components in the detected signal. The integration time
can be adjusted so that the sinc transfer function acts as a first-order noise-anti-
aliasing filter. High integrator gains can be easily achieved on-chip as small inte-
grated capacitances are required for this purpose. For a typical integration time of
100 μs and a capacitance of 1 pF, the transimpedance gain is 100 MΩ. Such gains are
difficult to achieve with conventional resistive feedback transimpedance amplifiers
without degrading the speed and stability of the amplifier (Graeme 1995).
It is interesting to note that if, as described above, the integration occurs syn-
chronously to the signal modulating the LED drive current, the switched integrator
constitutes a matched filter. It effectively demodulates the detected photocurrent by
correlating it with the modulation waveform. Hence, it is the optimal linear filter that
maximizes the detected signal SNR if the signal is corrupted by additive random
noise.

Fig. 9 Typical blocks found in a pulse oximeter front-end. The exact implementation here is from
Glaros and Drakakis (2012)
310 K. N. Glaros et al.

Signal Conditioning and Light Intensity Control

Even with some of the noise and switching components filtered out, a high dynamic
range is still required for the measurement of SpO2: the DC component of the
detected signal is up to two orders of magnitude larger than its AC component. If,
as calculated earlier, the AC component needs to be measured with an SNR better
than 30 dB, an ADC exhibiting a minimum SNR of 70 dB (or an ENOB in excess of
11 bits) is required. This may not sound as a high resolution, especially given the
slow nature of the measured PPG signal, but the reader must appreciate that this
resolution is the absolute minimum required. A significant margin of a few extra bits
will probably be required in practice.
In older oximeter designs, this problem is often tackled by separating the AC and
DC components by means of one or more analogue filtering stages preceding the
ADC. After separation it is easier to condition the two signal components to
optimally fit the ADC range, and thus measure them with a good resolution. This
signal conditioning often entails a second amplification stage for the AC component,
as well as level shifters to centre the signal in the ADC range (Fig. 10).
Historically, most of these circuits have been implemented with discrete compo-
nents, allowing the use of large capacitors for the filters and lower noise. As the
technology moves more towards monolithic implementations, the design of these
filters without external components while maintaining a low noise performance will
be a challenge. An alternative choice is to use the minimum possible signal condi-
tioning and a high resolution ADC, an option increasing in popularity in modern
oximeter designs.
Signal conditioning at the detector only is, however, usually not adequate. The
detected signal intensity (or the average DC level) can itself vary by two orders of
magnitude or more, depending on the sensor employed, the quality of the placement,
the patient’s tissue color, and perfusion density, etc. Furthermore, ambient light
affects even the best sensing probes, creating an offset in the detected signal.
To tackle this problem virtually all commercial oximeter designs contain a
feedback loop that controls the intensity of the light emitted by the LEDs. In modern
oximeters this usually involves monitoring the signal level at the ADC input and
adjusting the LED drive currents by changing the voltage across them by means of a
DAC. The control algorithm is commonly implemented on a microprocessor and

Fig. 10 Typical signal


conditioning blocks in pulse
oximeters
15 Sensors for Vital Signs: Oxygen Sensors 311

Fig. 11 The signal


conditioning blocks of the
monolithic pulse-oximeter
Front-end described in Glaros
and Drakakis (2012)

varies from as simple as detection of threshold crossing (Webster 1997) to more


elaborate estimation of the SNR and the quality of the detected PPG signal.
Despite controlling the detected signal level, most oximeter implementations still
measure both the AC and DC components of the signal (Webster 1997). A slightly
different approach uses the LED drive control to set the detected average (or DC)
signal level to a desired, known value. If this control is accurate enough, simple
subtraction can be used to cancel the large DC component early in the signal
processing chain. The AC component can then be amplified with much higher
gains, without the danger of saturating the subsequent ADC. Moreover, if this DC
component is made the same for both the R and IR PPG signals, then its measure-
ment can be skipped altogether, as the DC components cancel out in Eq. (7).
If a switched integrator is used, such DC cancellation can be readily realized by
feeding the known DC component at the other terminal of the integrator opamp. This
approach has been used by one of the authors, combined with a fully analogue
control loop, to achieve fast control of the detected signal intensity and enable
accurate calculation of SpO2 without measuring the DC component of the PPG
signal with very promising results (Glaros and Drakakis 2012).
A downside of this approach is that other low-frequency components of the PPG
signal will also be attenuated. If, for example, it is desirable to extract the respiration
rate from the PPG signal, conventional measurement of the DC component may be
preferable (Fig. 11).

A Sub-mW Monolithic Pulse Oximeter Front-End

Based on the observations and trade-offs discussed earlier in this chapter, some of
the authors designed the first monolithic pulse oximeter front-end (Glaros and
Drakakis 2012), a microphotograph of which is shown in Fig. 12. The front-end
uses no external components other than a commercial reflectance oximeter sensor
(SpO Medical) and achieves a power consumption of less than 1 mW for a measured
signal SNR of nearly 40 dB. Of this only about 300 μW on average is used to power
the LEDs, a power consumption that is an order of magnitude lower than most
commercial oximeters today. This section presents a few key performance results
from this chip and highlights some key points of the implementation.
The design is built around the switched integrator. However, a classic resistive
feedback transimpedance amplifier was used as the first stage to isolate the fast
312 K. N. Glaros et al.

Fig 12 Microphotograph of a
sub-mW monolithic pulse
oximeter front-end (Glaros
and Drakakis 2012)

speed of the front-end from the switched integrator noise performance. This stage
makes the design conceptually easier, but it could be omitted in future designs.
The integrator is followed by a sample-and-hold and a capacitive feedback ampli-
fier that shifts the DC level of the detected signal to the middle of the ADC range
(Fig. 11).
The switched integrator was verified experimentally to notably improve the SNR
performance of the front-end, especially if a large photodiode and low LED duty
cycles were used (Glaros 2012). It also provides an easily configurable trade-off
between power and SNR. By increasing the integration time SNR values of 50 dB
were demonstrated at a LED power below 1.5 mW.
The noise performance of the front-end for very low duty cycles was limited by
the single-ended nature of the design, which meant that noise from bias current and
reference voltage generation had a significant contribution to the output. For any
practical implementation, a differential implementation should be the option of
choice.
Control of the emitted light intensity was realized by an analogue feedback loop
that uses the transimpedance amplifier and the switched integrator to drive a
transconductor directly controlling the LED current. The loop is simple, fast, and,
most importantly, is formed by the same circuits used for the actual measurement,
thus taking their errors (offset, etc.) into account. Rather than being continuous,
15 Sensors for Vital Signs: Oxygen Sensors 313

the light control calibration happens periodically every 5 sec. Between calibrations
the calculated LED drive voltage is stored in a high precision, low-leakage analogue
memory (sample-and-hold). This loop implementation was demonstrated to be
low-power, accurate, and more than adequate for SpO2 measurement with the
desired resolution (Glaros and Drakakis 2012). To save area and increase
customizability and robustness, a digital loop implementation might be preferable
in a commercial design.
An issue not touched upon earlier but always of importance in IC design is
matching. The requirement of roughly less than 2% error in the SpO2 measurement
places a similar constraint in the matching between the paths used to measure the
PPG signals generated by the two LEDs. It is a good idea to maximize the instru-
mentation that is common for measuring the two detected signals. Where the paths
separate well known analogue design and layout techniques (interdigitation, com-
mon centroid, symmetry etc.) should be employed to improve matching. Fortunately,
matching better than 1% is relatively easy to achieve with good analogue design in
well-established processes. Measurements on the fabricated oximeter front-end
show a 3σ variation in the ratio of the red and infrared channel gains of better than
0.3% (N = 20).

Pulse Oximetry for the Future

In a few years (at most!) vital signal monitoring platforms will be found in every
environment. And it might be a few more years after that till they become a
commonplace part of the wearable technology that will accompany each one of
us. Pulse oximeter biochips will be a tightly integrated component in these systems.
But if portable pulse oximetry is to be not only ubiquitous but also accurate a few
pieces of the puzzle still need to fall in place.
Accurate, low-noise integrated electronic front-ends is one. Sensors with
increased sensitivity and tolerance to motion artifacts is another. These should be
accompanied by more robust but at the same time computationally efficient
algorithms.
Multi-wavelength pulse oximetry might play a key role in achieving the latter
two. Improved physiological models and understanding of the mechanics of the
light-tissue interaction will also be important. Last but not least, high quality,
systematically collected, and publicly available data will be invaluable for quick
progress.

References
Brazy JE, Lewis DV, Mitnick MH, van der Vliet JFFJ (1985) Noninvasive monitoring of cerebral
oxygenation in preterm infants: preliminary observations. Pediatrics 75:217–225
Clark LC Jr (1956) Monitor and control of blood and tissue oxygen tensions. ASAIO J 2:41–48
314 K. N. Glaros et al.

Elwell CE, Cope M, Edwards AD et al. (1992) Measurement of cerebral blood flow in adult humans
using near infrared spectroscopy – methodology and possible errors. Adv Exp Med Biol
317:235–45.
Glaros KN (2012) Low-power pulse oximetry and transimpedance amplifiers. Dissertation,
Imperial College London
Glaros KN, Drakakis EM (2012) A sub-mW fully-integrated pulse oximeter front-end. IEEE Trans
Biomed Circuits Syst. https://doi.org/10.1109/TBCAS.2012.2200677
Goldman JM, Petterson MT, Kopotic RJ, Barker SJ (2000) Masimo signal extraction pulse
oximetry. J Clin Monit Comput 16:475–483
Graeme JG (1995) Photodiode amplifiers: op amp solutions. McGraw-Hill Professional, Boston
Greisen G (2006) Is near-infrared spectroscopy living up to its promises? Semin Fetal Neonatal
Med 11:498–502. https://doi.org/10.1016/j.siny.2006.07.010
Hayes MJ, Smith PR (2001) A new method for pulse oximetry possessing inherent insensitivity to
artifact. IEEE Trans Biomed Eng 48:452–461
Jobsis F (1977) Noninvasive, infrared monitoring of cerebral and myocardial oxygen sufficiency
and circulatory parameters. Science 198(80):1264–1267. https://doi.org/10.1126/
science.929199
Lee Y-EK, Smith R, Kopelman R (2009) Nanoparticle PEBBLE sensors in live cells and
in vivo. Annu Rev Anal Chem (Palo Alto, Calif) 2:57–76. https://doi.org/10.1146/annurev.
anchem.1.031207.112823
Mannheimer PD (2007) The light – tissue interaction of pulse oximetry. Anesth Analg 105:S10
Montgomery H, Horwitz O (1950) Oxygen tension of tissues by the polarographic method.
I. Introduction: oxygen tension and blood flow of the skin of human extremities. J Clin Invest
29:1120–1130. https://doi.org/10.1172/JCI102349
Pujary CJ (2004) Investigation of photodetector optimization in reducing power consumption by
a noninvasive pulse oximeter sensor. Dissertation, Worcester Polytechnic Institute
Sasaki K, Shi Z, Kopelman R, Masuhara H (1996) Three-dimensional pH microprobing with an
optically-manipulated fluorescent particle. Chem Lett 2:141–142
Severinghaus JW (2004) First electrodes for blood PO2 and PCO2 determination. J Appl Physiol
97:1599–1600
Siegemund M, van Bommel J, Ince C (1999) Assessment of regional tissue oxygenation. Intensive
Care Med 25:1044–1060
Sokwoo R, Boo-Ho Y, Asada HH (2001) Artifact-resistant power-efficient design of finger-ring
plethysmographic sensors. IEEE Trans Biomed Eng 48:795–805
Tavakoli MD (2006) An analog VLSI front end for pulse oximetry. Dissertation, MIT
Webster JG (1997) Design of pulse oximeters. Institute of Physics Publications,
Bristol/Philadelphia
Part II
Multi-Chip Smart Neuroprosthesis
AC Electrokinetics-Enhanced Capacitive
Virus Detection 16
Cheng Cheng and Jayne Wu

Contents
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 318
Capacitive Biosensors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 322
Receptors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 322
Capacitive Sensing Mechanism . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 324
AC Electrokinetic (ACEK) Enrichment . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 326
Sensor Designs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 328
Equivalent Circuit Fitting of the Electrode Sensor in Sample Solution . . . . . . . . . . . . . . . . . . . . 328
Electrodes Surface Treatments . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 330
Measurements and Data Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 331
Sensor Performances . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 332
Sensor Performance with Analytical Samples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 332
Sensor Performance with Clinical Samples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 336
Conclusions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 340
References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 340

Abstract
The occurrence and spread of various serious viral outbreaks in pandemic
dimension is one of the few major threats to public health and life worldwide
nowadays. A disease diagnosis method that is sufficiently sensitive, rapid, and
compact for point-of-care (POC) detection, and requires minimal sample pre-
treatment is highly desired. Hence, developing such a detection method for
POC detection of viral disease is of the utmost significance for medical
healthcare. Among different types of biosensors, capacitive biosensors, which

C. Cheng
School of Engineering and Computer Science, Morehead State University, Morehead, KY, USA
e-mail: c.cheng@moreheadstate.edu
J. Wu (*)
Department of Electrical Engineering and Computer Science, The University of Tennessee,
Knoxville, TN, USA
e-mail: jaynewu@utk.edu; jwu10@tennessee.edu

© Springer Science+Business Media, LLC, part of Springer Nature 2022 317


M. Sawan (ed.), Handbook of Biochips,
https://doi.org/10.1007/978-1-4614-3447-4_40
318 C. Cheng and J. Wu

fall into the category of electroanalytical biosensors, stand out and have shown
great success due to their excellent performances and high potential to be
developed as easy-to-handle devices. Capacitive sensors reported for virus
detection are a type of surface-based affinity sensors. Specific binding reactions
occurring on the sensor surface will cause changes in the dielectric properties or
thickness of the interfacial layer at the electrolyte-electrode interface. By
measuring the sensor’s capacitance, the sensor can convert the quantity of
target analytes, such as antigen, or nucleic acids from virus, or antibody from
patient serum, into readable outputs.
This chapter firstly provides some background information on the significance
of capacitive virus detection and briefly reviews the current status that scientists
and researchers have accomplished in developing POC tests of viral disease
(section “Introduction”). Section “Capacitive Biosensors” briefly presents various
receptors that can be utilized and the sensing mechanism. Section “AC Electro-
kinetic (ACEK) Enrichment” introduces alternating current electrokinetic
(ACEK) effects that can be incorporated into capacitive sensing. Last, sections
“Sensor Designs” and “Sensor Performances” present sensor electrode charac-
terization and sensor performances, respectively. Sensor characterization methods
include equivalent circuit extraction and fitting with respect to the electrode cell’s
impedance spectrum, electrodes surface treatment, and data acquisition and
analysis. The discussion of sensor performance includes the optimization of
buffer solutions, electrical signals, and sensor specificity, sensitivities, etc.

Introduction

Expansion of human activities and process of globalization speed up the pace of


people’s life and interactions, as well as the spread of pathogens. Recent years have
witnessed several serious viral outbreaks such as H5N1 bird flu, Zika virus, and
Ebola virus. These recent outbreaks rapidly spread around the world to become
pandemics with devastating effects on populations. An on-site screening tool for
viral infections will be very helpful to control the spread of such viral outbreaks.
Nowadays, biochemical diagnostics is either performed using sophisticated, expen-
sive laboratory equipment capable of accurate measurement of complex biological
interactions and constitutes, or by easy-to-handle, portable device for use by non-
specialists for decentralized, on site, or home analysis. The former are expensive and
the latter are mass produced and inexpensive, and often referred to as biosensors.
The best-known biosensor is glucose sensor. Professor Clark has been considered as
the “father of biosensors” and the modern-day glucose sensor used daily by millions
of diabetic patients is based on his research. The field of biosensor has attracted a
great amount of research and development efforts since Professor Leland C. Clark’s
monumental paper on the development of the first enzyme glucose oxidase biosensor
in 1962 (Clark and Lyons 1963). Researchers and scientists from the fields of
chemistry, physics, microbiology, and various disciplines of engineering have been
deeply involved in this interdisciplinary field. There have been remarkable
16 AC Electrokinetics-Enhanced Capacitive Virus Detection 319

development and progress of sophisticated and accurate traditional laboratory-based


biodetection instruments in the last three decades.
For virus detection and quantification, traditional and the most commonly used
methods as of now include measurements of viral infectivity (such as viral plague
assay), detection of viral proteins and nucleic acid (such as immunoblotting), and
direct counting of virions (such as viral flow cytometry and transmission electron
microscopy) (Pankaj 2013). For biosensors, based on the sensing targets, virus
detection can be achieved by detecting the nucleic acid extracted from virions or
detecting the virions themselves. For example, polymerase chain reaction (PCR) is
one of the most widely used laboratory methods for detection of viral nucleic acids.
This method is able to determine viral DNA inside virions. For RNA virus, such as
Zika viruses, by converting RNA into DNA at the very first step, PCR can also be
used to determine viral RNA. This method is known as reverse transcriptase PCR
(RT-PCR). PCR has excellent sensitivity and specificity. However, this method
needs several hours to yield results, with moderate requirements on sample treat-
ment, facilities, and cost. An alternative category of sensing methods with less cost
are immunoassays, which is based on highly specific antibody-antigen interaction,
including immunoblotting (also known as western blot), immunoprecipitation (IP),
enzyme-linked immunosorbent assays (ELISA), etc. Among them, ELISA is one of
the most common test formats used for laboratory diagnosis of infections (Lequin
2005). Indirect detection of viral infection is often adopted, for example, through
specific detection of antibodies in body fluids that are produced as a part of immune
response, with the antigen of causative agent as a specific probe. Comparing with
PCR, ELISA is not as sensitive as PCR, but the assay cost is much lower.
While being effective, the above traditional laboratory-based sensing methods for
virus detection are limited by sample enrichment and purification required prior to
analysis, expense, and time. These methods are not compatible with point-of-care
(POC) settings due to their turnaround time, expenses, and labor-intensive sample
preparation and handling process. Under the circumstances of serious viral outbreaks,
a POC diagnostic device is desired that should be rapid, low-cost, sensitive, specific,
and reproducible, with minimum need for sample preparation, compared to established
traditional techniques.
Biosensors are considered as promising POC diagnostic devices. Recent
advances and innovations in microfluidics, miniaturization, and enhanced signal
detection technologies have fueled the development in this area. Among the different
types of biosensors, label-free electrical biosensors have received particular attention
owing to their properties of being fast, cheap, portable, miniaturized, and allowing
direct and real-time monitoring of analytes. This review focuses on capacitive bio-
sensors. Capacitive sensing is performed with AC signals, similar to electrochemical
impedance spectroscopy (EIS) analysis, which is a well-established method for
characterizing an electrolytic cell. Common to both capacitive sensing and EIS,
their data interpretation is based on an equivalent circuit representing the electrode
sensor cell. Such an equivalent circuit consists of a network of electrical circuit
components, with each component accounting for a part or a process in an electrode/
electrolyte cell. A capacitive sensor typically utilizes an array of interdigitated
microelectrodes (IDE). Using a capacitive immunosensor as an example, before
320 C. Cheng and J. Wu

the tests, bioreceptors specific to the analyte target are immobilized on the surface of
IDE. When an antibody-containing solution is loaded, the interaction between
antibodies and antigens will cause a change in the impedance of the electrode/
electrolyte system, which can be sensed by an external impedance readout system
to realize the detection of the antibody–antigen interaction. Usually, in capacitive
sensing, the change of electrical double layer capacitance (Cedl) is measured or
monitored to indicate the biological reactions occurred on electrodes surface.
Wang et al. measure the quantity of ΔCdl,Target, which is the electrical double layer
capacitance change on IDE due to target West Nile virus DNA hybridization
(Fig. 1a). The capacitive sensor is able to specifically detect as few as 20 comple-
mentary DNA target molecules in 30 min with an output of more than 70 nF in

Fig. 1 (a) Equivalent circuit models on interdigitated electrode (IDE) sensors (Wang et al. 2017).
(b) Schematic diagram of the flow injection capacitive biosensor system (Samanman et al. 2011).
(c) Diagram of the sensor element of the graphene-enabled field effect transistor (FET) biosensor
chip. Antibodies are immobilized on pristine graphene using a zero-length linker. Along with the
PEG block, these antibodies form the dielectric in a liquid gated transistor with a graphene channel
(Afsahi et al. 2018). (d) Schematic of capacitive immunosensor design and working principles.
Working electrodes (Au microwire) surface chemistry and functionalized layers with the
corresponding equivalent circuit and total capacitance equation (Wang et al. 2019). ((a) is originally
published in: Wang et al. (2017); with kind permission of © Elsevier B.V. 2019. All Rights
Reserved. (b) is originally published in: Samanman et al. (2011); with kind permission of ©
Elsevier B.V. 2019. All Rights Reserved. (c) is originally published in: Afsahi et al. (2018); with
kind permission of © Elsevier B.V. 2019. All Rights Reserved. (d) is originally published in: Wang
et al. (2019); with kind permission of © Elsevier B.V. 2019. All Rights Reserved)
16 AC Electrokinetics-Enhanced Capacitive Virus Detection 321

capacitance change. The magnitude of sensor output also displays good linearity
with target DNA molecules concentration ranging from 20 to 2 million (Wang et al.
2017). Samanman et al. investigate and develop a highly sensitive capacitive
biosensor for white spot syndrome virus (WSSV) detection in shrimp pond water.
It adopts a flow injection capacitance measurement system, which consists of a
measuring flow cell (10 μL) with three electrodes connecting to a potentiostat
(Fig. 1b). When target sample (WSSV) is injected after running buffer, target
molecules bind to the immobilized probes and lead to a decrease in Ctotal, which is
the total capacitance measured at the working electrode/solution interface. Then the
capacitance change (ΔC) from baseline to target sample that corresponds to the
concentration of target sample is obtained. This sensor has a wide detection range
from 1 to 1  105 copies/μL. The total assay time is 20–25 min plus another 25 min
of regeneration time (Samanman et al. 2011). When detecting virion or nucleic acids
extracted from virus, it is most likely that biosensors are challenged with insufficient
detection limit, as viruses often present at low concentration and their concentration
is further reduced after sample purification. As an alternative, virus detection can be
achieved by indirect methods that measure other sensing targets such as virus-
specific antibodies produced in blood as a part of immunoresponse. The level of
specific serum antibody is usually significantly higher than that of virus antigen;
however, the antibody concentration does not necessarily correlate with virion
concentration or the progression of viral diseases. For instance, Afsahi et al. built a
cost-effective and portable graphene-enabled field effect transistor (FET) by growing
single layer graphene films with Ti/Pt leads on silicon wafer. By applying a source-
drain voltage across the graphene channel and a gate voltage between the applied
liquid and the drain electrode of the graphene, capacitance of the biosensor to the
liquid can be measured (Fig. 1c). During the tests to detect Zika virus, binding
reactions between Zika virus antibody (IgM) and the immobilized protein, anti-Zika
NS1, alter the sensor capacitance, which can be sensed by a data readout system on
personal computer (PC). This field effect biosensing (FEB) device shows a good
selectivity and reproducibility with a detection limit of 450 pM in buffer solution
(Afsahi et al. 2018). Wang et al. present a simple, robust capacitive biosensor using
microwires coated with Zika or Chikungunya virus envelop antigen. This method is
label-free and can reach rapid detection of ultra-low concentrations of virus anti-
bodies (as low as 10 antibody molecules in a sample volume of 30 μL). This device
immobilizes working (Au) and reference electrodes (Ag/AgCl) across the poly-
dimethylsiloxane (PDMS) well, which is used to hold sample solution, on glass
substrate. Then by extracting the equivalent circuit of the microwires, total capaci-
tance illustrated in Fig. 1d can be measured and associated with biological reactions
occurred on the microwires (Wang et al. 2019). Performances with regard to
detection limit, assay time, and sensitivity of each sensor type are summarized in
Table 1, including two reports on the detection of viral oligonucleotides and two
reports on serum antibodies.
While considerable research effort has been devoted to developing such bio-
sensors, there are few successful POC devices being routinely used in real diagnostic
applications at the bedside or in the clinic. POC diagnostic systems require the
following critical attributes, namely sufficient sensitivity, robustness, simple test
322 C. Cheng and J. Wu

Table 1 Performances of sensors for viral sequences and specific antibodies


Sensor Assay
type Targets Detection limit time Sensitivity References
IDE ssDNA oligo 20 molecule/μL 30 min 12.21 nF/ Wang et al.
dec (2017)
Flow Virion 1 copy/μL 20–25 min 32 nF∙cm2/ Samanman
injection (WSSV) dec et al. (2011)
FET Virus 450 pM 5 min – Afsahi et al.
antibodies (2018)
(ZIKA)
Microwire Virus 10 antibody Several 2.781 nF/ Wang et al.
antibodies molecules/30 μL minutes dec (2019)
(ZIKA)

procedure, and short sample-to-result time. The obstacle to achieving rapid detection
is the long diffusion time for the target bioparticles to reach the sensing site of a
sensor. So accelerating the diffusion process has been an essential part of recently
microfluidic study. Most of the reported biomolecular sensors work with heavily
processed samples, requiring purification, pre-concentration, etc. in addition to
sophisticated data processing and expensive equipment. Another challenge is spec-
ificity. A number of ultrasensitive affinity sensing methods have been developed,
many based on nanotechnology. However, very few of the newer ultrasensitive
methods have been evaluated with real patient samples, which is a key to
establishing clinical sensitivity and selectivity.
Recently, a label-free capacitive sensing method based on AC electrokinetic
(ACEK) effects is developed for virus detection (Cheng et al. 2017a, b, c). This
method demonstrates good sensitivity, short response time, a simple operation on
detecting virions (antigen) and nucleic acids such as DNA and RNA, making it
highly suitable for POC detection and on-site monitoring. As illustrated in Fig. 2, the
induced ACEK effects can facilitate the virus-antibody (Fig. 2a) or DNA-DNA
probe (Fig. 2b) reactions by accelerating bioparticles movement toward sensing
electrodes, leading to significant improvement in sensor responses. Virus RNA
detection has similar mechanism to DNA detection with a capture probe targeting
a specific region of the pathogen genome RNA. RNA detection is significantly more
challenging than DNA detection due to the poor stability of RNA. An RNA assay
needs to be completed quickly before RNAs degrade and break down.

Capacitive Biosensors

Receptors

Capacitive sensors for direct virus detection can be divided into two main categories:
immunosensors that detect the virions (Fig. 2a), and nucleic acid sensors that detect
specific nucleic acid sequences (Fig. 2b) extracted from virions. The immunosensors
16 AC Electrokinetics-Enhanced Capacitive Virus Detection 323

Fig. 2 Schematic of ACEK capacitive sensing of (a) virus-antibody pair and (b) DNA-DNA probe
pair. On the electrodes, analytes are attracted toward the electrodes surface by ACEK effects.
Bindings between targets and receptors (virus-antibody and human herpesvirus-1, or HSV-1,
DNA-probe) cause a change at the interface (Cint), which is detected electrically using the same
ACEK signal. Other interferences and bioparticles in serum (such as protein, lipid) are nonspecific
interferences (Cheng et al. 2017a, b). ((a) is originally published in: Cheng et al. (2017); with kind
permission of © Springer Nature 2019. All Rights Reserved. (b) is originally published in: Cheng
et al. (2017); with kind permission of © John Wiley & Sons, Inc. 2019. All Rights Reserved)

in Table 1 are developed for the detection of specific antibody in serum, while here
the immunosensors are for the detection of virions using specific antibody as the
probe, as shown in Fig. 2a. Immunosensors for label-free measurements of various
analyte have been studied and developed for many reasons. Among various detec-
tion schemes, such as optical, mass-sensitive, and electrochemical detection (Wang
et al. 2017; Samanman et al. 2011; Cheng et al. 2017a), electrical immunosensors are
expected to have better detection limits and less complicated instrumentation. This
makes electrical immunosensors a good candidate for POC detection of targets such
as virions. The same can be said for electrical nucleic acid sensors.
Nucleic acid (DNA or RNA) sensors utilize oligonucleotide primers such as
human herpesvirus-1, or HSV-1, DNA probes shown in Fig. 2b as receptors in
biosensing. They are short artificially synthesized nuclei sequences with high
324 C. Cheng and J. Wu

specificity. Once they reach the sensor electrodes surface, single strand target DNA
(i.e., HSV-1 DNA) will hybridize with the immobilized HSV-1 DNA probes. Such
reactions need to be sensed and transduced into singles that can be recorded and
further quantitatively analyzed.
Currently, all nucleic acid biosensors suffer from lack of sensitivity to be used
directly for pathogen detection. As a result, nucleic acid biosensors are often used
after target amplification such as PCR or labeling by nanoparticles, molecular
beacons to amplify the signal, and/or by incorporating an enrichment scheme such
as electrophoretic preconcentration or magnetic beads for the target to reach detect-
able level. However, labeling requires multistep process, complicated preparation of
functionalized beads, and oftentimes, careful design of receptor probes. Moreover, it
should be noted that almost all the reported work was based on detecting ssDNA
oligonucleotides or short DNA segments (20–300 bps) as targets. The reasons could
be that shorter DNA has a higher diffusivity than longer DNA that improves
hybridization rate, and amplicons from PCR process are fragmented DNA and
most DNA sensors are developed to detect PCR products. As extra and further
steps in sample processing are needed to release and obtain the desired short DNA
segments from virus, the use of DNA sensors still faces great challenges in achieving
point-of-care detection of clinical samples.
As with all surface-based biosensors, it is very important to design the sensor
surface and assay protocols in such a way that it can ensure significantly higher
specific binding reactions than nonspecific ones. As a result, for electrical sensors,
especially capacitive biosensors, the immobilization of bioreceptors layer becomes
very critical. Usually, a blocking reagent, illustrated as gray spheres in Fig. 2, is used
to cover the bare part of electrodes surface. If the sensor surface is not completely
covered and blocked, the open space can allow any analyte particles to deposit and
cause false positive readings. Nevertheless, nonspecific binding is still challenging
which makes it difficult to differentiate false positives from true positives when
testing complex samples.

Capacitive Sensing Mechanism

Capacitive affinity sensors usually exploit the change in thickness or dielectric


properties of the dielectric layer at the electrolyte–dielectric interface, which will
lead to a change in the (interfacial) capacitance of the device during antibody–
antigen interaction. The interfacial capacitor consists of a series connection of
equivalent capacitors caused by electrical double layer (EDL) and macromolecule
deposition. When a solid material is immersed into an electrolytic solution, the solid
surface will acquire surface charges. To maintain charge neutrality, a thin layer of
counter ions is formed at the solid/liquid interface to neutralize the surface charges at
the solid surface, which is commonly known as the EDL. Electrically, EDL can be
modeled as a capacitor. The layers of counter ions and surface charges are equivalent
to the two plates in a capacitor, and the plate separation distance is the EDL
thickness. When bioparticles deposited on sensor electrodes surface, the interfacial
16 AC Electrokinetics-Enhanced Capacitive Virus Detection 325

capacitance Cint illustrated in Fig. 2a will change due to the change in the thickness
and surface area of Cint. This can then be utilized to indicate the deposition of
bioparticles on sensor electrodes surface as well as to correlate with the bioparticle
concentration in the testing sample fluid. The interfacial capacitance is a combina-
tion of electric double layer (EDL) and deposited bioparticles capacitance and is
expressed in Eq. 8,
 
1 1
Cint ¼ Aint = d þ d ð8Þ
εp p εs edl

where Aint is the surface area of the interfacial capacitor of the functionalized
electrode, dp and dedl are the thickness of bioparticle immobilized and electric double
layer formed on the electrodes surface respectively, ep is the bioparticle permittivity,
and es is the solution permittivity. When bioparticles are immobilized on the surface
and binding reaction occurs, the interfacial capacitance will change. The change in
Cint could be due to either an increase or a decrease, as conceptually shown in
Fig. 3a, b. As a result of the binding reaction, targets (such as antibodies) are
deposited onto the surface. The thickness of the dielectric layer could increase,
which could cause a decrease in the interfacial capacitance. On the other hand,
randomly deposited targets could cause an increase in the capacitor’s surface area
due to extra topology introduced by the antibody, especially when the probe
molecules (such as antigens) are spaced apart, leading to a higher interfacial capac-
itance, as shown in Fig. 3b. Both changes could occur during the binding. Often, one
type of change dominates over the other, and then the detection of binding is
possible.
Generally, a decrease in Cint due to receptor-target binding (e.g. antigen–antibody
binding or DNA-DNA probe hybridization) is commonly observed. In a diluted
buffer solution, the EDL is relatively thick. As EDL envelops the antibodies on the

Fig. 3 Two possible topology changes at the solid/fluid interface due to the protein binding
reaction. (a) The thickness of the interfacial layer increases while its surface area decreases, Cint
reduces as a result; and (b) when the increase in the surface area of Cint dominates over the changes in
its thickness, Cint increases (Ab: antibody; Ag: antigen) (Cui et al. 2013b). (Originally published in:
Cui et al. (2013); with kind permission of © Royal Society of Chemistry 2019. All Rights Reserved)
326 C. Cheng and J. Wu

electrodes, fine features on the scale of EDL thickness will be lost, and the Cint
change will be dominated by an increase in its thickness, that is, Cint reduces. When
EDL thickness is comparable to that of antibody topology, a positive change of Cint
is possible, especially in a buffer solution of high ionic strength. Either an increase or
a decrease in Cint can possibly result from antigen–antibody binding and DNA-DNA
probe hybridization. As a matter of fact, an increase in Cint was consistently observed
in influenza A virus detection (Cheng et al. 2017a, b) and HSV DNA detection
(Cheng et al. 2017b), while a decrease in ZIKA virus RNA detection (Cheng et al.
2017c).

AC Electrokinetic (ACEK) Enrichment

As illustrated in Fig. 4, ACEK effects include dielectrophoresis (DEP), AC electro-


osmosis (ACEO), and AC electrothermal (ACET) effect (Ramos et al. 1998; Brown
et al. 2000; Green et al. 2001). Dielectrophoresis, or DEP, refers to the interaction
between a dipole moment on a particle and a nonuniform field (Wu 2008b). This
technique has been studied in great details for controlled manipulation of particles,
binary separation, and characterization of particles. The DEP velocity of a spherical
particle can be described as follows (Castellanos et al. 2003), for spherical and
cylindrical particle such as virions,

Fig. 4 ACEK effects consist of DEP, ACEO, and ACET effects. (a) Numerically simulates electric
field distribution around a sphere particle in a nonuniform electric field. The particle will be more in
the high field region, which is also known as pDEP (Wu 2008a). (b) Illustrates concept of
generating ACEO net fluid transport by asymmetric polarization (Wu 2008a). (c) Indicates simu-
®
lation of pumping and convection on sensor electrodes by ACET using Comsol Multiphysics (Liu
et al. 2011). (Originally published in: Liu et al. (2011); with kind permission of © 2019 Elsevier
B.V. All Rights Reserved)
16 AC Electrokinetics-Enhanced Capacitive Virus Detection 327

  
e m a2 ep  em 2 e m a2
uDEP ¼ Re  ∇j E j ¼ Re ½ f CM ∇jEj2 ð1Þ
6η ep þ 2em 6η

for cylindrical particle such as nucleic acids,


 
r 2cross ln rcross
2l  
ep  em
uDEP ¼ em Re ∇jEj2
18η em
 
r 2cross ln rcross
2l
¼ em Re ½ f CM ∇jEj2 ð2Þ
18η
where em is the medium permittivity, η is medium viscosity, a is the radius of the virions,
rcross is the radius of nucleic acids cross section, l is the length of nucleic acids, and ep
and em are particle and medium complex permittivity, respectively. Complex permit-
tivity is defined as e ¼ e  j ωeσ
(σ: conductivity; ω angular frequency). fCM, a function
of ω, is also known as Clausius–Mossotti factor. Therefore, the DEP velocity uDEP is
frequency dependent. In the context of electrokinetic manipulation, the real part of the
Clausius–Mossotti factor is a determining factor for the dielectrophoretic force on a
particle. For Re[fCM] > 0 (or < 0), uDEP > 0 (or < 0) and positive (or negative) DEP
will be applied on the particle. Since positive DEP force on a particle traps the particle at
the surface of electrodes while negative DEP repels the particle away from the
electrodes, in capacitive virus detection, positive DEP, as illustrated in Fig. 4a, is
applied to accelerate the binding reaction between probes and target molecules. As is
shown in Eq. (1) and (2), uDEP depends on the target particle volume. For nanoscale
macromolecules, DEP may not be effective unless the molecules are located within a
very short distance to the electrodes (<1 mm).
ACEO effect can induce microfluidic vortices above electrodes to transport target
molecules to the electrode surface for binding (Green et al. 2000; Wu et al. 2005; Wu
and Islam 2007), which improves the detection sensitivity and response time. Under
the influence of an inhomogeneous AC electrical field, ACEO flow, shown in
Fig. 4b, is caused by the movement of induced free ions in the double layer under
the electrical field tangential to the electrode surface. Due to the viscosity between
the free ions and fluid, the suspend fluid will be dragged by the motion of free ions to
form microflows. ACEO fluid velocity is approximately given as

em
uACEO ¼  ∙Δξ∙Et ð3Þ
η
where «m and η are the permittivity and viscosity of the medium, Et is the component
of the electric field strength tangential to the electrode surface, and Δξ is the voltage
drop over the interfacial layer including the EDL and molecular deposition at the
electrode surface (Castellanos et al. 2003). ACEO typically dominates at low ionic
strengths, such as target samples diluted in water. But the flow velocity of ACEO has
been observed to decrease significantly with increasing conductivity and eventually
drop to zero above 0.085 S/m (Ramos et al. 1998). Hence for medical and biological
328 C. Cheng and J. Wu

applications that involve the use of solution with high conductivity, the ACEO flow
will be negligible.
ACET effect arises from uneven Joule heating due to an electric current flowing
through the fluid (Fig. 4c). Once the AC electric field is applied in the bulk solution,
polarized particles would be separated and migrate, which generates the ionic
current. ACET velocity can be expressed as follows (Green et al. 2001):
 
em ðα  β Þ
uACET ¼ 0:5 Re ð∇T∙EÞE  0:5em αjEj2 ∇T ∙l2 =η ð4Þ
σ þ jωem

where em and σ are the permittivity and conductivity of the medium, E is the electric
filed strength, ω is the frequency of the applied excitation, η is medium viscosity, l is
the characteristic length of the device, typically on the order of electrode spacing,
T is the absolute temperature in Kelvin, α ¼ em 1 ∙ @e 1
@T  0:004 K , and β ¼
m


σ1 ∙ @T  0:02 K1 for aqueous media.
Therefore, ACEK effects can occur when an inhomogeneous AC electric field
is applied through microelectrodes to sample solution (Wu 2008a). Directed
particle movement can be caused by DEP, and particle can also be carried by
microflows such as ACEO or ACET flows (Cui et al. 2016) to reach the micro-
electrodes. For virus detection in biological matrix, aided by ACET, positive DEP
(pDEP) dominates and is used for bioparticle enrichment around electrodes to
accelerate the biological reactions between probe and target. ACEO is negligible
due to the high conductivity of the biological matrix. In addition, as biological
reactions between probes and target molecules happen, the interfacial capacitance
(Cint) change caused by the binding process is measured by the same applied
ACEK signal.

Sensor Designs

Equivalent Circuit Fitting of the Electrode Sensor in Sample Solution

An array of interdigitated electrodes, or IDE, can be fabricated using various


materials such as aluminum, gold, copper, and irradiated polyimide. Regardless of
its material, an IDE can be evaluated by extracting the equivalent circuit of the
sensor cell shown in Fig. 2a. Figure 5a shows the fitting of aluminum IDE deposited
on quartz substrate. This sensor cell is modified from AVX Corps’ PARS 433.92
Surface Acoustic Wave (SAW) chip shown in Fig. 5b. The equivalent circuit of
sensor electrodes consists of the electrode’s self-resistance (Rwire), interfacial capac-
itance (Cint), charge transfer resistance (Rct), Warburg coefficient (ZW), fluid bulk
resistance (Rs), and dielectric capacitance of the electrode cell (Cs). The Warburg
(Zw) is added in series with Rct to represent the diffusion effect in EDL for a better
fitting of the circuit at low frequency. By fitting the measured impedance spectrum
with the equivalent circuit model shown in Fig. 2a, the values for each circuit element
16 AC Electrokinetics-Enhanced Capacitive Virus Detection 329

Fig. 5 (a) Measured and fitted spectrum of extracted equivalent circuit of sensor electrodes cell. (b)
Commercially available surface acoustic wave (SAW) electrode chip (Cheng et al. 2017a). (Orig-
inally published in: Cheng et al. (2017); with kind permission of © Springer Nature 2019. All
Rights Reserved)

are found as follows: Rwire ¼ 5 Ω, Cint ¼ 40 nF, Rct ¼ 10 Ω, ZW ¼ 270 kΩ, Rs ¼ 1.5 kΩ,
and Cs ¼ 3.2 pF.
At the optimized experimental frequency, which is 100 kHz (Cheng et al. 2016,
2017b), the circuit is expected to be simplified into Cint in series with Rs only. In
order to achieve that, XCint << XRct + Zw and XCs >> Rs are expected, where XCint,
XCs, and XRct + Zw are the reactance of Cint, Cs, and Rct + ZW, respectively. According
to the fitted parameters, at 100 kHz, their reactance is calculated as follows:
330 C. Cheng and J. Wu

pffiffiffi Z W pffiffiffi 270 kΩ


X Rct þZ W ¼ Rct þ 2 pffiffiffiffiffiffiffiffi ¼ 10 Ω þ 2  pffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi ¼ 491:71 Ω ð5Þ
2πf 2π  100 kHz
1 1
X C int ¼ ¼ ¼ 39:79 Ω << X Rct þZ W ð6Þ
2πf C int 2π  100 kHz  40 nF
1 1
X Cs ¼ ¼ ¼ 497:36 kΩ >> Rs ð1:5 kΩÞ ð7Þ
2πf C s 2π  100 kHz  3:2 pF

It can be concluded that Cint and Rs dominate the impedance response for the
frequency at 100 kHz. Therefore, the extracted equivalent circuit can be simplified to
a series connection of Cint and Rs. Consequently, the measured capacitance at
100 kHz can be directly used to indicate the reaction occurred on the electrode
surface, which greatly simplifies the process of interpreting experimental data.

Electrodes Surface Treatments

For the metal electrodes such as aluminum, gold, and copper electrodes, prior to
incubation with linker and probe molecules, the microelectrode chips are thoroughly
cleaned by washing with acetone, isopropyl alcohol, and deionized water, and then
treated with ozone or plasma. The surface quality is closely monitored by measuring
the Cint. As for the laser printed electrodes, due to the multiscale morphology of the
irradiated polyimide surface, wetting properties of interfacial capacitance sensors
have become particularly important. The static contact angle of untreated polyimide
is found to be 79  1 (Fig. 6a), consistent with previous reports (Least and Willis

Fig. 6 A drop of deionized water (3 μL) on (a) pristine and (b) irradiated polyimide surfaces and
(c) the irradiated polyimide surface after plasma treatment (Cheng et al. 2016). (Originally
published in: Cheng et al. (2017); with kind permission of © American Chemical Society 2019.
All Rights Reserved)
16 AC Electrokinetics-Enhanced Capacitive Virus Detection 331

Fig. 7 Cyclic voltammetry characteristics of sensor’s (a) incubation and (b) blocking process

2013). After laser ablation, the contact angle is increased to 99  1 (Fig. 6b). Based
on the microstructure characterization, this hydrophobicity can be attributed to both
the carbonization and porous surface structure.
However, in most cases this hydrophobic character is not desirable for sensors,
especially for detection in an aquatic medium. Plasma treatment is a good method for
increasing the surface hydrophilicity by creating OH dangling bonds and enriching
O ions on the surface without influencing the electrode microstructure character-
istics. After 10 min of vacuum plasma treatment (PLASMA ETCH PE-50), the water
drop completely infiltrates into the electrode surface (Fig. 6c), indicating a marked
surface transition from hydrophobicity to hydrophilicity. The immobilization effi-
ciency of sensors treated with plasma is improved since more bioreceptors attached
to the electrode surface made the surface less likely to become saturated. Besides
plasma treatment, ozone treatment can also improve the hydrophilicity of the
electrode surface by enriching O ions on the surface.
The electrode’s functionalization after plasma treatment includes receptor incu-
bation and uncovered surface blocking. As the incubation and blocking processes
progressed, an increasing number of molecules become attached to the electrode
surface. The quality of electrode functionalization is monitored by measuring the
Cint (Cui et al. 2013a). During the incubation and blocking process, Cint values have
reduced significantly, indicating adequate molecular immobilization on the electrode
surface. Surface immobilization reduces the current flowing through the electrodes,
effectively increasing the charge transfer resistance, Rct. Therefore, Rct is also
measured by carrying out cyclic voltammetry between 0.60 and 0.6 V under the
scan rate of 0.05 V/sec during the incubation and blocking. As shown in Fig. 7, Rct
(reciprocal of the I-V curve’s slope) increases with time, indicating particles depo-
sition on the sensor electrodes.

Measurements and Data Analysis

In ACEK capacitive sensing, Cint can effectively detect molecular deposition on the
electrode surface with high sensitivity and specificity in a much quicker manner. Cint is
332 C. Cheng and J. Wu

Fig. 8 Capacitance change of tests with negative (152.5 ng/mL influenza A virus sample on
dummy electrodes), control (0.1  PBS-T (phosphate buffered saline with tween 20), on
functionalized electrodes) and positive tests (1.52 ng/mL influenza A virus on functionalized
electrodes) (Cheng et al. 2017a). (Originally published in: Cheng et al. (2017); with kind permission
of © Springer Nature 2019. All Rights Reserved)

found by measuring the sensor cell’s impedance at a fixed AC frequency and voltage
continuously during the testing. The interfacial capacitance of the electrodes is
sampled and recorded periodically by an Agilent 4294A impedance analyzer for 20 s.
Figure 8 shows the capacitance changes of normalized Cint with time for positive,
negative, and control tests during influenza A virus detection. Normalized Cint is
calculated with respect to the Cint of each sample at initial time point. Hence,
problems with baseline drift or the need for a reference sensor can be avoided,
greatly simplifying the detection procedure and instrumentation. Furthermore, it also
relaxes the requirements on instrument precision and minimizes the effect of differ-
ence between sensors. Then the percentage change rate, dC/dt in %/min, of the
measured capacitance is adopted as the readout of the sensor, indicating the binding
reaction occurring on the electrodes surface. Least square linear fitting algorithm is
performed to determine the capacitance change rate.

Sensor Performances

Sensor Performance with Analytical Samples

Assays with Various Functionalization and Hybridization Buffers


Consideration for buffers selection includes whether the buffers will be suitable for
electrodes surface functionalization, probe-target binding reaction, and the induction
of ACEK effect during assay. In general, functionalization buffer plays a vital role in
sensor performances such as the reliability and repeatability. This is because
16 AC Electrokinetics-Enhanced Capacitive Virus Detection 333

functionalization buffer with high ionic strength can screen the electrical charges of
nucleic acids. Therefore, during probe immobilization process, using buffer with
higher ionic strength can possibly reach higher coverage of probes on the electrode
surface. However, nucleic acids will coil up if the functionalizing buffer contains too
many ions, losing the ability to bind with other molecules. As for hybridization
buffers, a lower ionic strength helps to linearize the target nucleic acids and expose
the binding region. Additionally, due to its weaker electrostatic screening, non-
specific binding can also be reduced. Hence, ionic strength of the hybridization
buffer and its electrostatic screening would significantly affect sensor’s sensitivity
and specificity. These conclusions can be illustrated by the process of buffer selec-
tion for HSV-1 DNA detection.
In Fig. 9, both functionalization and hybridization buffers with different ionic
strengths are tested in order to optimize the sensor performances. With HSV-1 DNA
diluted in 0.5  SSC (saline sodium citrate), 0.05  PBS (phosphate buffered saline)
as functionalization buffer gives sensor better performances than ultrapure water due
to the screening effect brought by the ions in 0.05  PBS. As for the hybridization
buffer, usually higher fluid conductivity will facilitate DNA hybridization, so more
DNA will hybrid if the process is reaction limited rather than transport limited. Since

Fig. 9 Evaluation of sensor’s performances when probe is prepared in 0.05  PBS and DNA
samples in 0.5  SSC (black), probe in 0.05  PBS and DNA samples in 2  SSC (red), probe in
ultrapure water and DNA samples in 0.5  SSC (blue), and probe in ultrapure water and DNA
samples in 1  SSC (green). Probe prepared in 0.05  PBS and DNA samples in 0.5  SSC (black)
is the optimized with limit of detection (LOD) of 1 pg/mL (6.47 copies/μL or 10.7 aM) (Cheng et al.
2017b). (Originally published in: Cheng et al. (2017); with kind permission of © John Wiley &
Sons, Inc. 2019. All Rights Reserved)
334 C. Cheng and J. Wu

Fig. 9 shows that DNA samples diluted in 0.5  SSC always show higher responses
than those in 2  SSC or 1  SSC, it can be concluded that DNA hybridization in
this assay is transport limited. Also, the travel of target DNA to the electrode slows
down with increasing ion concentration from 0.5  SSC and higher, which indicates
that lower fluid conductivity can result in stronger positive DEP effect. In Eqs. (1)
and (2), lower fluid conductivity gives greater Clausius–Mossotti factor value. Thus,
bioparticles in low fluid conductivity will have high DEP velocity.
Based on the given results in Fig. 9, HSV-1 probe in 0.05  PBS and HSV-1
DNA (target), HSV-2 (interference) in 0.5  SSC are considered to be optimal with
responses of 3.90  0.52%/min (9 pg/mL), 6.92  0.94%/min (90 pg/mL), and
9.72  0.63%/min (900 pg/mL). The sensor’s LOD is defined as 3 standard
deviations from the response of the background control (0.22  0.30%/min), so
the cut-off d|C|/dt is calculated to be 1.12%/min, which corresponds to an HSV-1
DNA concentration of 0.986 pg/mL (6.38 copies/μL or 0.0106 fM). Tests of
interference (HSV-2 DNA) also demonstrate a good specificity with a low response
of 0.19  0.60%/min at a concentration (5 ng/mL) 550 times higher than that of
HSV-1.

Assays Under Various Applied AC Signal Frequency and Voltage


To elucidate the effects of ACEK mechanisms on detection, the first set of experi-
ments is to find out the effect of AC frequency on the sensor response. Based on
Eqs. (1) and (2), DEP effects are frequency-dependent. AC signals of various
frequencies at 10 mV are used to measure the capacitance changes from influenza
A virus samples at a concentration of 1.525 ng/mL. The measured capacitance
change rates are given in Fig. 10. The response shows a bell-shape dependence on
AC frequency, with its optimal frequency between 50 and 100 kHz, which indicates
that the DEP is the dominant enrichment mechanism. Consequently, AC signal at
100 kHz is considered as the optimized frequency.

Fig. 10 Responses of
0.1  PBS-T, 1.52 ng/mL
influenza A virus on
functionalized electrodes, and
152.5 ng/mL influenza A virus
sample on dummy electrodes
(a) when using 10 mV AC
signal with its frequency
varied from 20 to 200 kHz
(Cheng et al. 2017a).
(Originally published in:
Cheng et al. (2017); with kind
permission of © Springer
Nature 2019. All Rights
Reserved)
16 AC Electrokinetics-Enhanced Capacitive Virus Detection 335

Next, AC voltages varying from 5 mV to 100 V are used to measure 1.52 ng/mL
influenza A virus sample on functionalized electrodes. The background blank buffer,
which is 0.1  PBS-T, is also tested on the functionalized electrodes from 5 mV to
100 V as control. Negative control experiments with 152.5 ng/mL influenza A virus
sample are measured under the same voltage conditions on dummy electrodes
(electrodes without antibody). Experiments with each voltage are repeated three
times.
As shown in Fig. 11a, responses of the 0.1  PBS-T control samples on
functionalized electrodes and 152.5 ng/mL influenza A virus sample on dummy
electrodes remain quite small through the voltage range of 5–100 mV, with a limited
response ranged from 0.14 to 0.24%/min and 1.02 to 0.01%/min. For tests on
functionalized electrodes, due to DEP effect, the capacitive response decreases as the
voltage increases from 5 to 100 mV, indicating that more binding takes place with
higher AC voltage. When the voltage level is above 10 mV, the increase in sensor’s
response becomes limited due to saturation of binding sites on the sensor. Therefore,
10 mV is chosen as the measuring voltage. At this voltage, DEP effect will be weak
for particles smaller than virus such as protein to cause appreciable capacitance
change, which therefore improved the sensor specificity in complex matrix. This can
also be justified by the tests of HSV-1 virus DNA conducted under 10 and 25 mV in
Fig. 11b. While the sensor yields higher outputs at 25 mV, the sensor also shows
non-negligible responses (1.87  0.43%/min) to 5 ng/mL HSV-2 DNA. In con-
trast, the response of 5 ng/mL HSV-2 DNA is 1.21  0.31%/min at 10 mV, which is
considered to a negative response as it cannot be differentiated from that of the
background. A good sensor requires the sensor to have large responses to target
molecules with little to none responses non-targets. Test results of HSV-1 and HSV-2
indicate that using AC signal at 10 mV can achieve good specificity with only slight
compromise on response (dC/dt values). Therefore, 10 mV is also considered to be
superior to 25 mV in detection of HSV-1 virus DNA.

Fig. 11 Responses of 0.1  PBS-T, 1.52 ng/mL influenza A virus on functionalized electrodes, and
152.5 ng/mL influenza A virus sample on dummy electrodes when using 100 kHz AC signal with its
voltage varied from 5 to 100 mV (Cheng et al. 2017a). (b) Responses of 50, 500, and 5000 pg/mL
HSV-1 virus DNA and 5000 pg/mL HSV-2 virus DNA (Cheng et al. 2017b). (Originally published
in: Cheng et al. (2017); with kind permission of © Springer Nature 2019. All Rights Reserved)
336 C. Cheng and J. Wu

Sensor Performance with Clinical Samples

Dilution Factor Optimization


It is common practice to dilute clinical samples in standard buffer. Due to the
complexity of clinical samples, highly diluted samples can reduce nonspecific binding,
which improves the selectivity of the sensor. With more dilution of clinical samples,
chances of false positive results can be reduced. However, sensor’s sensitivity will also
suffer since the concentration of target particles is reduced at the same time. The
optimization dilution factor helps to decide which dilution can be used for the bind
tests of unknown swab samples in the next step. In addition, clinical samples may
contain background matrices that interfere with capacitance measurements.
For instance, in detection of influenza A virus, the clinical swab samples are in
M4RT, which is a liquid medium commonly used in the transport of clinical specimens
to the laboratory for qualitative microbiological procedures for viral and chlamydial
agents. M4RT with no dilution can cause a decrease in capacitance (0.48  0.035%/
min), but for M4RT with 1:1000 dilution or more in 0.1  PBS-T its effect can be
neglected (0.46  0.45%/min at 1:1000 dilution). So, in Fig. 12, dilution factors higher
than 1:1000 are studied. To find out the optimal dilution factor to test, two clinical
nasal swab samples (one positive and one negative) at various dilution factors from
1:100,000 to 1:1000. Each sample is tested in triplicates, and each chip is tested with
three dilutions in the sequence of 1:100,000, 1:10,000, and 1:1000.
When testing nucleic acids in serum samples, the situation is a little more
complicated. Nucleic acid samples need to be heated to 95  C for linearization
while protein sediment in serum has to be avoided. When testing HSV-1 DNA
samples, DNA serum spiked samples are diluted into lysing solution first prior to
heating to 95  C for DNA denaturation. Lysing solution can break down peptide
bonds, digest proteins in serum, and help reduce sediment that may affect DNA
hybridization during tests. In Fig. 13, 900 pg/mL HSV-1 DNA in undiluted serum is
1:2, 1:2.5, 1:3.3, 1:5, 1:10, and 1:20 diluted into lysing solution, respectively, before
denaturation (actual concentration in serum is 450, 360, 270, 180, 90 and 45 pg/mL).

Fig. 12 Responses of clinical


negative and positive swab
samples of dilution factor of
1:100,000, 1:10,000 and
1:1000 (Cheng et al. 2017a).
(Originally published in:
Cheng et al. (2017); with kind
permission of © Springer
Nature 2019. All Rights
Reserved)
16 AC Electrokinetics-Enhanced Capacitive Virus Detection 337

Fig. 13 Responses of DNA


spiked serum diluted in lysing
solution using different
dilution factors (Cheng et al.
2017b). (Originally published
in: Cheng et al. (2017); with
kind permission of © John
Wiley & Sons, Inc. 2019. All
Rights Reserved)

For dilution factor ranged from 1:2 to 1:20, sensors’ responses are 0.50  0.19%/min,
1.38  0.55%/min, 2.46  0.15%/min, 3.437  0.28%/min, 5.64  0.59%/
min, and  6.34  0.49%/min with a background response of 0.38  0.20%/min. It
can be concluded that serum spiked samples with high dilution will yield higher d|C|/
dt responses. This is contrary to the intuition that higher target concentration will yield
higher sensor response, which we attribute to the effect of complex matrix. Dilution
factors 1:20 and 1:10 in Fig. 13 show the highest yet comparable responses. Therefore,
dilution factors of 1:20 and 1:10 are used in the subsequent experiments of HSV-1
DNA spiked serum samples.

Detection of influenza A Virions in Nasal Swab Samples


Blind tests for a panel of 20 nasal swab samples (10 positive, 10 negative) are
conducted to detect influenza A virions. All samples are 1:100,000 diluted with 0.1
PBS-T. The threshold value is set at 0.40%/min, which is also the LOD from
previous tests with spiked samples, meaning that samples with a response more
negative than 0.40%/min will be considered as positive samples and others
negative.
As shown in Fig. 14a, 9 out of 10 positive and 7 out of 10 negative samples are
correctly identified by ACEK capacitive sensors. A negative sample with influenza
B virus is also correctly identified. All these samples are verified by RT-qPCR,
yielding a sensitivity of 90% and specificity of 70% for the panel. Figure 14b shows
a positive correlation between the capacitance change rate and PCR cycles number.
Weak positive samples are chosen for this set of experiments. Among all the detected
positive samples, only the sample with the highest response can be detected by a
commercial rapid influenza diagnostic tests (RIDTs), which corresponds to 22 PCR
cycles. ACEK capacitive sensor can detect virus level corresponding to 35 PCR
cycles. There is a false negative corresponding to 29 PCR cycles. This is possibly
due to error during dilution or the binding site on the virus not being exposed.
338 C. Cheng and J. Wu

Fig. 14 Comparison of results from ACEK capacitive sensors and those from commercial tests for
a blind panel test of influenza virus A from nasal swabs. (a) Responses of all tested samples
differentiated by the 0.40% min1 cut-off line (blue) and (b) correlation between PCR cycles and
responses of samples determined as positive by ACEK capacitive sensor in blind tests. The
strongest positive sample is the limit of a commercial rapid influenza test (Cheng et al. 2017a).
(Originally published in: Cheng et al. (2017); with kind permission of © Springer Nature 2019. All
Rights Reserved)

Detection of HSV-1 DNA in Serum Samples


The logarithmic dependence of HSV-1 DNA concentrations on sensor response (d|C|/dt
in %/min) is demonstrated in Fig. 15. The HSV-1 DNA concentrations that are shown
are concentrations in neat serum, which are 90 pg/mL, 900 pg/mL, and 9 ng/mL. The
two dilution factors of 1:20 and 1:10 obtained from previous optimization (Fig. 13) are
adopted and yield similar performances. The LODs are calculated to be 19.46 pg/mL
(125.98 copies/μL or 0.21 fM) and 29.73 pg/mL (192.46 copies/μL or 0.32 fM) for 1:20
and 1:10 dilution, respectively. Since samples with 1:20 dilution show slight advantages
on sensitivity, LOD, and sensor readouts, it is considered to be the optimal dilution
factor for HSV-1 DNA spiked serum sample tests.

Detection of Zika Virus RNA in Serum/Lysing Samples


Five concentrations of Zika virus RNA are spiked in the 1:1 mixture of 1% serum/
lysing solution and are clearly differentiated from each other by testing on the
functionalized sensor electrodes. As shown in Fig. 16, sensor responses show a
clear logarithmic dependence on Zika virus RNA concentration over at least four
orders of magnitude from 1.0 pg/mL to 10 ng/mL. The dependence of d|C|/dt on
Zika virus RNA concentration can be approximated as y ¼ 1.99369∙lgx-2.59808,
where x is Zika virus RNA concentration in copies/μL and y is capacitance change
rate, d|C|/dt, in %/min. The fitted line had a correlation coefficient of 0.97, and is
used as the sensor standard curve. The LOD is defined as 3 standard deviations from
the response of the background control. Because the background produced a
response of 0.39  0.72%/min, the cut-off d|C|/dt is calculated to be 1.786%/
min, which corresponded to a Zika virus RNA concentration of 158.1 copies/μL, or
0.846 pg/mL. To exclude possible artifacts as causes of sensor response, control tests
are conducted by applying Zika virus RNA samples of the same concentrations on
dummy electrodes (without functionalization, and only blocked with 1.0 mM
16 AC Electrokinetics-Enhanced Capacitive Virus Detection 339

Fig. 15 Dose response of


HSV-1 DNA serum samples
with 1:20 and 1:10 dilution
(Cheng et al. 2017b).
(Originally published in:
Cheng et al. (2017); with kind
permission of © John Wiley &
Sons, Inc. 2019. All Rights
Reserved)

Fig. 16 Responses of nonspecific nucleic acid (HSV-1 and dengue) and virus (influenza A), and
dose response of Zika virus RNA spiked in serum/lysing solution (Cheng et al. 2017c). (Originally
published in: Cheng et al. (2017); with kind permission of © John Wiley & Sons, Inc. 2019. All
Rights Reserved)

6-mercaptohexanol in ultrapure water). As shown in Fig. 16, the responses from the
dummy electrodes are very close to the responses of fluid background. This indicates
that the responses from active sensors are indeed caused by the hybridization
between the probe and the target RNA.
340 C. Cheng and J. Wu

Table 2 Summary of the sensor performances


Target Matrix LOD Assay time
Influenza A virion 0.1  PBS-T 0.25 pg/mL 30 s
gDNA/human herpesvirus 1 0.5  SSC 0.986 pg/mL 30 s
gRNA/Zika 0.5  SSC 1 pg/mL 30 s

Conclusions

ACEK-enhanced capacitive biosensors incorporate sample targets enrichment by the


ACEK effects with direct measurement of the fluid/electrode interfacial capacitance
change in a single-step operation. Therefore, the operation complexity is heavily
reduced, as well as the assay time. ACEK-enhanced capacitive sensing measures the
interfacial capacitance continuously at an optimized fixed AC frequency and voltage
for inducing the ACEK effect. The interfacial capacitance directly reflects the
biological reactions on the sensor surface and therefore it allows for direct readout
of interfacial capacitance change without complicated data interpretation. Table 2
summarizes the LOD and assay time of virus detections achieved by the presented
ACEK-enhanced capacitive biosensors in this chapter, indicating ACEK-enhanced
capacitive biosensors are ideal candidates for sensitive and rapid label-free virus
detection.

References
Afsahi S, Lerner MB, Goldstein JM et al (2018) Novel graphene-based biosensor for early detection
of Zika virus infection. Biosens Bioelectron 100:85–88. https://doi.org/10.1016/j.
bios.2017.08.051
Brown ABD, Smith CG, Rennie AR (2000) Pumping of water with ac electric fields applied to
asymmetric pairs of microelectrodes. Phys Rev E 63:016305–016312. https://doi.org/10.1103/
PhysRevE.63.016305
Castellanos A, Ramos A, González A et al (2003) Electrohydrodynamics and dielectrophoresis in
microsystems: scaling laws. J Phys Appl Phys 36:2584–2597
Cheng C, Wang S, Wu J et al (2016) Bisphenol a sensors on polyimide fabricated by laser direct
writing for Onsite River water monitoring at Attomolar concentration. ACS Appl Mater
Interfaces 8:17784–17792. https://doi.org/10.1021/acsami.6b03743
Cheng C, Cui H, Wu J, Eda S (2017a) A PCR-free point-of-care capacitive immunoassay for
influenza a virus. Microchim Acta 184:1649–1657. https://doi.org/10.1007/s00604-017-2140-4
Cheng C, Oueslati R, Wu J et al (2017b) Capacitive DNA sensor for rapid and sensitive detection of
whole genome human herpesvirus-1 dsDNA in serum: nucleic acids. Electrophoresis
38:1617–1623. https://doi.org/10.1002/elps.201700043
Cheng C, Wu J, Fikrig E et al (2017c) Unamplified RNA sensor for on-site screening of Zika virus
disease in a limited resource setting. ChemElectroChem 4:485–489. https://doi.org/10.1002/
celc.201600831
Clark LC, Lyons C (1963) Electrode systems for continuous monitoring in cardiovascular surgery.
Ann N Y Acad Sci 102:29–45. https://doi.org/10.1111/j.1749-6632.1962.tb13623.x
Cui H, Cheng C, Wu J, Eda S (2013a) Rapid detection of progesterone by commercially available
microelectrode chips. IEEE, pp 1–4
16 AC Electrokinetics-Enhanced Capacitive Virus Detection 341

Cui H, Li S, Yuan Q et al (2013b) An AC electrokinetic impedance immunosensor for rapid


detection of tuberculosis. Analyst 138:7188–7196. https://doi.org/10.1039/c3an01112g
Cui H, Cheng C, Lin X et al (2016) Rapid and sensitive detection of small biomolecule by
capacitive sensing and low field AC electrothermal effect. Sensors Actuators B Chem
226:245–253. https://doi.org/10.1016/j.snb.2015.11.129
Green NG, Ramos A, González A et al (2000) Fluid flow induced by nonuniform ac electric fields in
electrolytes on microelectrodes. I. Experimental measurements. Phys Rev E 61:4011–4018.
https://doi.org/10.1103/PhysRevE.61.4011
Green NG, Ramos A, González A et al (2001) Electrothermally induced fluid flow on microelec-
trodes. J Electrost 53:71–87. https://doi.org/10.1016/S0304-3886(01)00132-2
Least BT, Willis DA (2013) Modification of polyimide wetting properties by laser ablated conical
microstructures. Appl Surf Sci 273:1–11. https://doi.org/10.1016/j.apsusc.2012.12.141
Lequin RM (2005) Enzyme immunoassay (EIA)/enzyme-linked immunosorbent assay (ELISA).
Clin Chem 51:2415–2418. https://doi.org/10.1373/clinchem.2005.051532
Liu X, Yang K, Wadhwa A et al (2011) Development of an AC electrokinetics-based immunoassay
system for on-site serodiagnosis of infectious diseases. Sensors Actuators Phys 171:406–413.
https://doi.org/10.1016/j.sna.2011.08.007
Pankaj K (2013) Methods for rapid virus identification and quantification. Mater Methods 3. https://
doi.org/10.13070/mm.en.3.207
Ramos A, Morgan H, Green N, Castellanos A (1998) AC electrokinetics: a review of forces in
microelectrode structures. J Phys Appl Phys 31:2338–2353
Samanman S, Kanatharana P, Chotigeat W et al (2011) Highly sensitive capacitive biosensor for
detecting white spot syndrome virus in shrimp pond water. J Virol Methods 173:75–84. https://
doi.org/10.1016/j.jviromet.2011.01.010
Wang L, Veselinovic M, Yang L et al (2017) A sensitive DNA capacitive biosensor using interdig-
itated electrodes. Biosens Bioelectron 87:646–653. https://doi.org/10.1016/j.bios.2016.09.006
Wang L, Filer JE, Lorenz MM et al (2019) An ultra-sensitive capacitive microwire sensor for
pathogen-specific serum antibody responses. Biosens Bioelectron 131:46–52. https://doi.org/
10.1016/j.bios.2019.01.040
Wu J (2008a) Interactions of electrical fields with fluids: laboratory-on-a-chip applications. IET
Nanobiotechnol 2:14–27. https://doi.org/10.1049/iet-nbt:20070023
Wu J (2008b) Advances of LOC-based particle manipulation by AC electrical fields. Recent Pat
Electr Eng 1:178–187. https://doi.org/10.2174/1874476110801030178
Wu J, Islam N (2007) A simple method to integrate in situ nano-particle focusing with cantilever
detection. IEEE Sensors J 7:957–958. https://doi.org/10.1109/JSEN.2007.897361
Wu J, Ben Y, Battigelli D, Chang H-C (2005) Long-range AC electroosmotic trapping and detection
of bioparticles. Ind Eng Chem Res 44:2815–2822. https://doi.org/10.1021/ie049417u
Artificial Olfactory Systems
17
Amine Bermak, Muhammad Hassan, and Xiaofang Pan

Contents
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 344
Sensor Array . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 345
SMO Gas Sensor Array with Integrated Microheater . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 345
Nanomaterial Gas Sensor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 345
Sensor Readout and Preprocessing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 347
Dimensionality Reduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 348
Feature Selection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 349
Feature Extraction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 349
Classification Algorithms . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 350
Generative Classifiers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 350
Discriminative Classifiers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 352
Bio-Inspired Coding Schemes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 354
Gas Identification System with Artificial Olfactory System: A Case Study . . . . . . . . . . . . . . . . . . 355
Characterization of the Gas Sensor Array . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 355
Performance Comparison of Gas Identification Algorithms . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 356
Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 360
References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 361

Abstract
An artificial olfactory system, referred to as an electronic nose, is developed to
target the functionality of the human olfactory system. In order to obtain a
performance comparable to its biological counterpart, researchers focus their

The authors would like to thank the Qatar National Priority Research Program (QNPRP) for their
support in this work under grant reference 5-080-2-028. Its contents are solely the responsibility of
the authors and do not necessarily represent the views of the Qatar National Research Fund or
Qatar University.
A. Bermak (*) · M. Hassan · X. Pan
Department of Electronic and Computer Engineering, Hong Kong University of Science and
Technology, Hong Kong, China
e-mail: eebermak@ece.ust.hk; mhassan@connect.ust.hk; xpan@connect.ust.hk

© Springer Science+Business Media, LLC, part of Springer Nature 2022 343


M. Sawan (ed.), Handbook of Biochips,
https://doi.org/10.1007/978-1-4614-3447-4_8
344 A. Bermak et al.

efforts on two different paths. The first path leads to the fabrication of the
sensor array in order to mimic the functionality of the olfactory sensory
neurons in the biological olfactory system. The second path concentrates on
the development of odor identification algorithms to hopefully achieve a
similar classification performance to that of the human brain. This chapter
presents a review of the sensor technologies and the odor classification algo-
rithms used in electronic nose technology. A case study of microelectronic
nose system characterization, containing an in-house fabricated gas sensor
array, is also presented by acquiring signatures of three gases in a laboratory
and comparing the performance of the gas identification algorithms on this
experimentally obtained data set.

Introduction

Olfaction is one of the primal senses (olfaction, hearing, vision, taste, and touch) for
both the human and animal species. The human olfactory system contains thou-
sands of odorant receptors with overlapping selectivities (Malnic et al. 1996). On
activation of these odorant receptors by any odorous substance, short pulses of
electrical signals, referred to as spikes, are transmitted to the brain for odor
classification. An artificial olfactory system has been developed by integrating an
array of gas sensors with overlapping selectivities for obtaining signatures of the
exposed odors by mapping the changes in each sensor’s characteristics into elec-
trical signals and by gas identification algorithms based on these signatures which
exhibit large intra-class variability and small interclass separation.
Since its development, the electronic nose has been explored in many sectors,
such as the medical (e.g., detection of lung cancer, Alzheimer’s disease, and
Parkinson’s disease), environmental (e.g., air and water quality monitoring), agri-
cultural (e.g., inspection of food quality and detection of pre- and post-harvest
diseases), manufacturing (e.g., assurance of product uniformity and avoidance of
workplace health hazards), and military (e.g., detection of explosives and chemical
weapons) sectors (Gang et al. 2009; Ulrike et al. 2013; Wilson and Baietto 2009;
Turner Anthony and Magan 2004; Hassan et al. 2015).
In this chapter, an overview of the odor identification system is presented. It
consists of four major function blocks, as shown in Fig. 1: (i) sensor array, (ii) sensor
readout and preprocessing, (iii) dimensionality reduction, and (iv) odor classifica-
tion. A sensor array consists of gas sensors with overlapping selectivities and is
used to obtain a unique signature against each target odor. A readout circuit is used
to convert the changes in the sensor characteristics to electrical signals, and
preprocessing is carried out over the sensor measurements to extract meaningful
information that could represent the sensor behavior. Dimensionality reduction may
be an effective approach to achieve better classification performance by reducing
the dimensions of the original feature space. Finally, a classification stage is used to
discriminate the target odors. A case study of a microelectronic nose system,
containing an in-house fabricated gas sensor array, is also presented to obtain
experimental data of three gases, namely, ethanol (C2H6O), carbon monoxide
17 Artificial Olfactory Systems 345

Raw Normalized Reduced Odor


measurements measurements feature space prediction

Coordinate 2

Coordinate 2
odor 2

Response
odor 3
odor 1

Time Coordinate 1 Coordinate 1

Sensor array Sensor readout & Dimensionality Classification


preprocessing reduction

Feedback/Adaptation

Fig. 1 Functional blocks of an electronic nose system

(CO), and hydrogen (H2), and to compare the performance of the gas classification
algorithms.

Sensor Array

Researchers are interested in decreasing the overall cost of electronic nose systems
by designing a large number of sensors using system-on-chip (SOC) technology.
Two kinds of sensor arrays with great potential to be integrated with complemen-
tary metal-oxide-semiconductor (CMOS) chips are to be introduced, namely, a
semiconductor metal-oxide (SMO) gas sensor array with integrated microheater
and a nanomaterial gas sensor.

SMO Gas Sensor Array with Integrated Microheater

Among different types of materials, SMO is favored due to its high sensitivity to
various gases and relative compatibility with standard CMOS fabrication processes,
leading to a low-cost solution to the artificial olfactory system. However a
200–500  C operating temperature is always needed for SMO material; thus
micro-hotplate (MHP) structures are inevitably installed for these systems to
manage this heating requirement. A scanning electron microscopy (SEM) picture
of the SMO sensor element, utilizing an MHP structure, is shown in Fig. 2
(Bin et al. 2007). With optimized heater insulation in the SMO, the power con-
sumption can be around the 20 mW level.

Nanomaterial Gas Sensor

A nanomaterial-based gas sensor, featuring enhanced performance, diverse surface


decoration methods, and larger integration flexibility, sheds light on the realization
346 A. Bermak et al.

Fig. 2 Top view of the fabricated sensing element with micro-hotplate structure

of a new electronic nose system. Thanks to the tremendous progress in the


fabrication and characterization techniques of nanomaterial in the last decade,
fully functional electronic nose systems made of single nanostructure or types of
nanomaterial are reported (Victor et al. 2006, 2007; Po et al. 2009; Jeong
et al. 2010). More importantly, sufficient sensitivity and fast response/recovery at
relatively lower temperatures pave the path to low-cost, low-power monolithic
integration of the electronic nose system.
Up to now, the most widely adopted approach to characterize the nanomaterial-
based gas sensor is to fabricate it into a field effect transistor structure. Figure 3
shows an illustration of a nanowire-based gas sensor. A single nanowire bridges
two metal electrodes on a heavily doped silicon substrate covered with SiO2 acting
as an insulating layer between the nanowire/electrode combination and the
conducting silicon (Zhiyong et al. 2004).
One of the early published artificial olfactory systems from 2006 is based on
pristine SnO2, surface doped (Ni)-SnO2, TiO2, and In2O3 (Victor et al. 2006). A
resistive heater is equipped with a substrate holder to maintain a temperature of
623 K. Although different radial plots of H2 and CO are presented, no further
classification is provided. In the following year, an electronic nose with a gradient
microarray of SnO2 nanowire is presented to feature discrimination capability
between several reducing gases in air (Victor et al. 2007). Thirty-eight sensors
with morphological variation and gradient temperatures contribute to the improved
performance. Besides pristine SMO material, carbon nanotube is also introduced to
increase the distance between clusters. Four kinds of material, In2O3, ZnO, SnO2,
and single-walled carbon nanotube (SWNT), with integrated micromachined
hotplates are demonstrated for the setup of a smell library for critical industrial
gases, such as hydrogen, ethanol, and nitrogen dioxide (Po et al. 2009). Previous
17 Artificial Olfactory Systems 347

Drain
Source
Nanowire
SiO2
p++Si
Gate 8 10 µm
4 6
2 Vds
Vg

Fig. 3 Illustration of a nanowire-based gas sensor

studies suggest that two ways are to be employed in order to improve the discrim-
inative performance. The first is to increase the number of sensors so that more
vectors can be provided for the processing stage, and the second is to compose
sensors with different properties in sensing, which will generate richer information.
In 2010, an electronic nose composed of 12 sensors based on SnO2 surface
decoration added with a gradient operation temperature is introduced to increase
the discrimination capability (Jeong et al. 2010). Ag and Pd nanoparticles are used
to decorate the surface of the SnO2 nanowires and to increase the sensitivity. The
nanoparticle decoration affects the surface chemistry through the combined effect
of the depletion region at the metal/semiconductor interface and spill over onto the
SnO2 surface.

Sensor Readout and Preprocessing

The sensor readout stage is used to capture the changes in the sensor characteristics
in the form of electrical signals. The choice of readout circuit depends upon the type
of sensors. Mostly, resistance readout circuits are used to map the sensor charac-
teristics into electrical signals. Depending upon the nature of the recovered elec-
trical signals, different signal conditioning techniques may be used for signal
amplification, filtering, or compensation of nonlinearities in the sensor response.
The major function of the preprocessing stage is to represent the digitized sensor
data with a vector, referred to as a feature vector, in such a way that can best
describe the sensor behavior. The performance of the artificial olfactory system
relies heavily on the choice of the feature vector. A good feature vector can improve
odor identification, and a poor feature vector may limit the system performance.
Typically, an electronic nose system is characterized in a laboratory environ-
ment by periodically exposing it to air and the target odor with an odor delivery
setup. A typical response of the sensor in an electronic nose is shown in Fig. 4.
Before exposing the sensor array to the target odor, dry air or compressed air is
injected up to a time when baseline resistance (steady-state resistance to air
exposure and denoted as ra) is achieved, and then the array is exposed to the target
348 A. Bermak et al.

Sensor Resistance r(t)

Odor Air Baseline


injection injection resistance

Transient state Steady Transient state Steady


state state
Time (t)

Fig. 4 Typical response of the sensor in the electronic nose

odor for as long as the steady state (denoted as ro) is reached again. The cycle is
repeated many times to obtain numerous measurements.
Typically, a gas sensor is characterized by its sensitivity (denoted as x), and there
are three common approaches, namely, differential, relative, and fractional, for
its representation (Gutierrez and Nagle 1999). In the differential approach, the
sensitivity is represented as a difference between ro and ra. This approach is suitable
to remove additive noise and drift:

x ¼ ro  ra : (1)

The relative technique removes multiplicative drift in the sensor measurements and
is obtained by dividing ro with ra:
ro
x¼ : (2)
ra
The fractional approach utilizes both differential and relative approaches:
ro  ra
x¼ : (3)
ra
Mostly, the sensitivity vector of the sensor array, containing d sensors, is used to
form a feature vector x = [x1, x2,. . ., xd]T by adopting one of the above approaches.
Transient information can also be used to form a feature vector by taking the
integral of the transient response of the sensor or by multiplying Gaussian window
functions with the transient response. A resultant feature vector is forwarded to
subsequent modules of the odor identification system after normalization.

Dimensionality Reduction

Dimensionality reduction may be a useful approach to improve classification


by reducing the dimensions of the original feature space either through a more
stable representation or by removing irrelevant features. Feature selection and
feature extraction are two approaches to achieve dimensionality reduction.
17 Artificial Olfactory Systems 349

Feature Selection

The main objective of feature selection is to identify those features that are
irrelevant and do not provide any discriminatory information. It is a critical step
before the classification stage because a poor choice of features adversely affects
the classification performance. The ultimate goal of feature selection is to choose
k features out of the available d features and discard the remaining d  k features.
Typically, there are two approaches for feature selection: wrapper and filter
(Theodoridis and Koutroumbas 2008).
In the wrapper approach, feature selection relies heavily on the classifier. With a
specific classifier, features are selected for which the classification performance is
maximum. With a different choice of classifier, the selected feature set may be
different. In the filter approach, the feature set is chosen independent of the
classification algorithm, and different criteria (e.g., Fisher’s discriminant ratio,
Bhattacharyya distance, and Kullback-Leibler divergence) may be used to measure
the discrimination power of the features.
For both the filter and wrapper approaches, optimal and suboptimal search
strategies have been explored. To find the optimal subset of features, an
exhaustive search of all possible subsets (2d) of d features is carried out.
However, the search space grows with the increased size of the feature space,
and as a result, it becomes impractical to conduct an exhaustive search.
Two suboptimal approaches, sequential forward search (SFS) and sequential
backward search (SBS), can be used to find subsets of features within a
reasonable time. SFS starts from the empty set and sequentially adds the feature
that maximizes classification performance when combined with already selected
features. SBS starts from the full set of features and the worst features are
sequentially removed.

Feature Extraction

Feature extraction finds a linear or nonlinear mapping of the original measure-


ments into a more effective reduced feature space. This new transformed feature
space may present classification information in a more concise form as compared
to the original feature space. The feature extraction method can be either super-
vised or unsupervised depending upon the use of odor label information. Principal
component analysis and linear discriminant analysis are the most widely used
feature extraction methods in electronic nose applications (Gutierrez and Nagle
1999; McEntegart et al. 2000). Visualization is an added advantage with these
techniques.
Principal component analysis (PCA) is an unsupervised technique and does not
use data label information. PCA transforms original measurements of possibly
correlated variables into a smaller number of uncorrelated variables, called princi-
pal components, by minimizing the average reconstruction error and preserving as
much data variance as possible (Murphy 2012):
350 A. Bermak et al.

1X N
J ðW, ZÞ ¼ kxi  ^
xi k2 ; (4)
N i¼1

where ^xi ¼ Wzi with the constraint that W is an orthonormal matrix and zi is used
for the low dimensional encoding of feature vectors. N is the total number of
original feature vectors. Typically, k principal components that explain more than
90 % of the variance are taken into account for data projection. If the first two or
three principal components explain more than 90 % of the variance, then visuali-
zation helps to understand the data structure.
Linear discriminant analysis (LDA) is a supervised dimensionality reduction
method that uses data label information. In LDA, the main objective is to find a
lower dimensional space by considering the data scatter within each class and
across classes in such a way that classes are well separated after data projection
(Alpaydin 2010). For a multiclass problem, the projection matrix W, to map
original feature vectors into a reduced dimensional space, can be found by
maximizing the following ratio:
 
trace WT Sb W
J ð WÞ ¼  ; (5)
trace WT Sw W

where Sb is the scatter of mean feature vectors around the overall mean feature
vector of all the available odors and Sw is the scatter of feature vectors around their
respective odor mean feature vectors. The maximum number of coordinates
computed by the LDA method is one less than the total number of odor classes.

Classification Algorithms

Three major approaches of odor classification algorithms are discussed in this


chapter: (i) generative classifiers, (ii) discriminative classifiers, and (iii)
bio-inspired coding schemes.

Generative Classifiers

A generative classifier models the joint distribution p(x, oi) of the sensor array
feature vector x and target odor oi, by learning the conditional probability p(x|oi)
for each odor i individually. Bayes’ rule is then used to compute the posterior
probability p(oi|x) of every odor i with given feature vector x:

pðxjoi Þpðoi Þ
pðoi jxÞ ¼ ; (6)
pð xÞ

where p(oi) is the prior probability of an odor oi and it is inferred separately for each
odor. Most likely odor oi is picked for prediction. There are three approaches to
estimate density: parametric, semiparametric, and nonparametric (Alpaydin 2010).
17 Artificial Olfactory Systems 351

In the parametric approach, it is assumed that data is drawn from some


distribution that follows a known parametric model. There are two major steps
toward the implementation of this approach. The first step is to assume some
parametric model of the density function and then learn the parameters that
describe the specified density function from the available data samples. In the
second step, Bayesian decoding is used to predict odor. Maximum likelihood
(ML) estimation, Bayesian estimation, and maximum a posteriori (MAP) estima-
tion may be used to learn parameters of the model. Multivariate Gaussian distribu-
tion is one of the most widely used models with the electronic nose data set
 PIf sensor array response x  R follows the
d
(Bermak and Belhouari 2006).
Gaussian density model N μi , i , then class conditional density p(x|oi) can be
written as

 X 
1 1 T
pðxjoi Þ ¼ P 1=2 exp  ð x  μ i Þ ð x  μ i :
Þ (7)
ð2π Þd=2   2 i
i

If log of posterior probability is used as a discriminant function, then after estimat-


ing sample mean mi, and sample covariance matrix Si, and ignoring the common
normalization term, (7) can be written as

d 1 1
gi ðxÞ ¼  log 2π  logjSi j  ðx  mi ÞT Si ðxj  mi Þ þ log pðoi Þ: (8)
2 2 2
The classification based on this function is referred to as quadratic discriminant
analysis (QDA). After computing the discriminant function for each odor, the most
likely odor oi is picked by using following criteria:

gi ðxÞ ¼ argmax gk ðxÞ: (9)


k

When the assumption about the single parametric model for density estimation is
not maintained, then a more flexible method, referred to as the semiparametric
method, is used. In this method, it is assumed that data is drawn from a mixture of
distributions, and every distribution in the mixture follows a parametric model.
These methods are used with unlabelled data points. A mixture model with
k components can be expressed as

X
k
pð xÞ ¼ pðxjzi Þpðzi Þ; (10)
i¼1

where p(zi) is the mixing proportions of mixture components zi and p(x|zi) is the
conditional density. The Gaussian mixture model (GMM) is one of the commonly
used semiparametric methods in an electronic nose (Brahim-Belhouari and Bermak
2005). In this model, mixing components follow a Gaussian distribution, and it is
required to estimate mixing components p(zi), mean vector μi, and covariance
352 A. Bermak et al.

matrix Σi. An expectation-maximization (EM) algorithm is typically used to esti-


mate these parameters.
When the data cannot be represented by a single parametric model or mixture
model, then nonparametric methods are used to model probability distribution and
classification. K-nearest neighbors (KNN) is the most widely used nonparametric
density estimation method to separate both linear and nonlinear data in an elec-
tronic nose (Brahim-Belhouari and Bermak 2005). The conditional density of KNN
is expressed as (Murphy 2012)
Ki
pðxjoi Þ ¼ ; (11)
N i V ð xÞ
where V(x) represents the volume around x until K samples. Ki represents odor
samples in this volume, and Ni is number of odor oi samples in the whole data
sample space. After using prior probability in Bayes’ rule, the posterior class
probability is given by
Ki
pðoi jxÞ ¼ : (12)
K
For classification, an input x is assigned to odor oi that has the most data samples
among the K neighbors.

Discriminative Classifiers

Discriminative classifiers attempt to learn the discriminant function directly in


order to classify odors. Artificial neural networks and support vector machines
are the most widely used discriminative classifiers for the identification of odors in
an artificial olfactory system (Brahim-Belhouari and Bermak 2005; Bhattacharyya
et al. 2008; Distante et al. 2003).
An artificial neural network was developed to mimic some functionality of the
human brain. These networks are typically arranged in layers. Data samples are
presented to the network through the input layer. Perceptron is the basic building
block of neural networks that models the functionality of the biological neuron.
In this model, perceptron inputs directly come from the sensor array output.
The output of the perceptron y is the weighted sum of the inputs x = (x1,. . .,xd)T
and x0. It can be written as

y ¼ w T x þ w 0 x0 ; (13)

where x0 is the bias and its value is always 1 and w = (w1,. . .,wd)T and w0 are the
connection weights. A single-layer perceptron (SLP) is the simplified form of
the neural network, and the number of perceptrons in this network is the same
as the number of odors. An iterative approach is used to learn weights from given
training samples such that a correct odor is classified. Gradient descent is the most
widely used method to learn weights in the neural network. For the classification of
a new response from the multi-sensor array, odor oi is chosen if
17 Artificial Olfactory Systems 353

yi ¼ argmax yk : (14)
k

A multilayer perceptron (MLP) is a more versatile neural network which contains


a hidden layer between the input and output layers. Typically, an MLP with
one hidden layer can approximate any nonlinear function by adjusting the number
of hidden units in the hidden layer (Alpaydin 2010).
A support vector machine (SVM) is a supervised learning model, and it tries to
find a separating hyperplane that maximizes the margin between two classes. A
margin is defined as a distance between the separating hyperplane and closest
data point to this hyperplane. An SVM is used for odor classification and it
provides better generalization performance (Distante et al. 2003). For every
sensor array feature vector xi = (x1,. . .,xd)T and its label yi pair, it is required to
satisfy the following condition for linear separation of two classes through the
hyperplane:

 þ1 if yi ¼ þ1
w xi þ w 0
T
 1 if yi ¼ 1;

where w and w0 are parameters of the hyperplane. If x(a) and x(b) represent the two
closest points on each side of the hyperplane, then the margin is computed as
(Alpaydin 2010)
 
1 wT xðaÞ  xðbÞ
γ¼ : (15)
2 kwk

The optimal separating hyperplane can be found by maximizing the margin or


equivalently minimizing kwk through the following primal optimization problem:

1
minimize kwk2
2 (16)
subject to yi ðwT xi þ w0 Þ  1, 8i:

In linearly separable classes, no point is allowed between the separating hyper-


plane and margin lines, but in practical applications there may be an overlap
between classes. If the classes are not linearly separable, then slack variables can
be introduced to find a hyperplane. A slack variable (denoted by ξ) is used to
represent the deviation from the margin. If 0 < ξi < 1, then it implies that the data
point is on the right side of the hyperplane, but it lies between the margin and the
hyperplane. If the data point is misclassified, then it is represented by ξi  1.
The hyperplane in this case can be found through following primal optimization
problem:

1 XN
minimize kw k2 þ C ξ i
2 ; (17)
i¼1
subject to yi ðwT xi þ w0 Þ  1  ξi , ξi  0, 8i:
354 A. Bermak et al.

where C is the regularization parameter that defines the trade-off between the model
complexity and the number of nonseparable points. For nonlinear problems, the
solution is found by mapping the original input data samples to a new feature space
through a nonlinear transformation by using some appropriate basis function.
Different kernel functions, like a radial basis function (RBF) kernel, polynomial
kernel, and sigmoid kernel, can be used for the transformation of input samples into
the new feature space.

Bio-Inspired Coding Schemes

Experimental studies in biological sensory systems have shown (VanRullen


et al. 2005) that the temporal order of spikes across a population of neurons,
referred to as a rank order code, may be a reliable coding paradigm for stimulus
identification. These experimental findings provide a new dimension for the odor
identification algorithms in an electronic nose.
Two spike latency coding schemes are proposed in Chen et al. (2011) by
exercising the above idea. The first scheme relies on rank of the spiking
sensors. It assumes that there is a unique spike rank order corresponding to
each target odor. The second scheme identifies odors by computing the distance
between the spikes of the sensors in the array. Both of these schemes do not
require any explicit knowledge of odor concentration for parameters learning.
In this work, the spike time of the sensor i corresponding to an odor o is
modeled as

ln xi,o
ti,o ¼ ; (18)
ζ i, o

where xi,o is the sensitivity of the sensor i to target odor o and ζ is an odor and
sensor-dependent parameter. Linear regression is used to extract parameter ζ for
each sensor. The resultant spike sequence is used to form a rank order by
arranging them in a temporal sequence. For classification of a new test rank, it
is compared with reference ranks learned during the training phase. For the
minimum spike distance algorithm, the spike distance is computed by adding
the absolute difference of every sensor spike time in the array from the minimum
spiking time in the spike pattern. The odor of the new test pattern can simply be
identified by comparing its distance with the reference distance of the training
odors in the library. Glomerular latency coding is proposed in Yamani
et al. (2012) to combine sensors with similar characteristics into one group in
order to simulate the glomeruli functionality of the biological olfactory system.
As a result, the latencies of sensors within a group are combined to represent a
single group latency, and the relative latency between sensor groups is used to
obtain rank order.
17 Artificial Olfactory Systems 355

Gas Identification System with Artificial Olfactory System: A Case


Study

Characterization of the Gas Sensor Array

In this section, a case study of gas identification with a microelectronic nose system
is presented. The microelectronic nose system (Chen et al. 2011), as shown in
Fig. 5, is integrated with an in-house fabricated 4  4 tin-oxide gas sensor array,
arranged in four rows and four columns, to obtain odor fingerprints, and a Bluetooth
interface for wireless communication. A resistance to time conversion circuit is
used to digitize the sensor resistance.
The layout and the cross-sectional structure of the sensing element of the
tin-oxide gas sensor array used in the microelectronic nose are shown in Fig. 6.
In the sensing element, a convex micromachined hotplate (MHP), containing an
oxide/low-stress nitride/oxide (O/N/O) multilayer membrane, is fabricated with the
dimensions 190  190 μm2 (Bin et al. 2007). An air gap between the MHP and
substrate is created by the removal of a 2.8 μm sacrificial polysilicon layer, which
results in improving the heat efficiency by preventing heat leakage into the
substrate.
In order to introduce varying characteristics into the sensor array, two post-
treatment schemes, ion implantation and metal catalyst, are used on three rows and
three columns of the 4  4 sensor array. Three metal catalysts, namely, Pt, Pd, and
Au, are used along the three columns, and three ions, namely, B, P, and H, are
implanted along the three rows. There is no posttreatment scheme along the
remaining column and row. The micrograph of the 4  4 sensor array and the
resulting chip are shown in Fig. 7.
We characterize the microelectronic nose system with three gases, C2H6O, CO,
and H2, under different operating conditions, and the experimental setup used to
acquire the fingerprints of these gases is shown in Fig. 8. The gases are stored in

Microelectronic Nose System


Sensor Array
Voltage
Regulator

Readout
Circuit MCU
Bluetooth
Module

Fig. 5 An integrated microelectronic nose system


356 A. Bermak et al.

b O/N/O

LTO
Oxide
Silicon

Fig. 6 (a) The layout and (b) the cross-sectional structure of the sensing element of the 4  4
sensor array

Fig. 7 Microphotograph of the 4  4 tin-oxide gas sensor array and fabricated chip

cylinders, and mass flow controllers (MFCs) are used to control the concentration of
these gases. The concentration of all three of these target gases is varied from 0 to
250 ppm during sensor array characterization.
In order to capture the response of the microelectronic nose system, firstly dry air
is injected for 500 s to obtain the baseline resistance, and then the target gas is
injected for 250 s to obtain its signature. The samples taken by all the sensors in the
array are digitized after every second. A typical response of the microelectronic nose
is shown in Fig. 9. A 16-dimensional feature vector, corresponding to the 16 sensors
of the 4  4 sensor array, is obtained by dividing the steady-state resistance during
the gas injection with the baseline resistance in each cycle of 750 s duration.

Performance Comparison of Gas Identification Algorithms

In order to compare the performance of the gas identification algorithms, including


QDA, GMM, KNN, SLP, MLP, SVM, spike distance, and glomerular latency
17 Artificial Olfactory Systems 357

a Air Gas Chamber


MFC
C2H6O
IN Microelectronic OUT
MFC
Nose
CO
MFC
H2
MFC MFC Control and Data
Acquisition Setup

Gas Cylinders

b c

d e

Fig. 8 Experimental setup for electronic nose characterization (a) block diagram of the setup, (b)
gas cylinders, (c) mass flow controllers (MFCs), (d) data acquisition setup, (e) electronic nose
chamber

coding, a 5  2 cross-validation technique is used on the normalized data. The


classification results of these algorithms are reported in Table 1.
QDA is performed by assuming a multivariate normal distribution model and its
parameters are estimated through the ML approach. The GMM is used to model the
mixture component densities. An EM algorithm is used to compute density param-
eters, and K-means clustering is used to initialize the GMM. To evaluate the
358 A. Bermak et al.

x 105 Response of 4 x 4 sensor array on C2H6O exposure


Sensor Resistance
10
8 Sensor1
6
4 Sensor2
2
Sensor3
0
−2 Sensor4
0 100 200 300 400 500 600 700 800
Time Sensor5
x 105 Response of 4 x 4 sensor array on CO exposure
Sensor6
20
Sensor Resistance

15 Sensor7
10
Sensor8
5
0 Sensor9
−5 Sensor10
0 100 200 300 400 500 600 700 800
Time Sensor11
Response of 4 x 4 sensor array on H2 exposure Sensor12
x 105
12
Sensor Resistance

10 Sensor13
8
6 Sensor14
4
Sensor15
2
0 Sensor16
−2
0 100 200 300 400 500 600 700 800
Time

Fig. 9 Response of 4  4 sensor array on exposure to three target gases

Table 1 Classification Classification method Classification performance


performance (%) of
QDA 79.583
different algorithms with
the microelectronic nose GMM 79.167
system KNN 82.083
SLP 89.167
MLP 91.25
SVM (linear) 80.833
SVM (RBF) 85.833
Glomerular coding 82.5
Spike distance 92.918
PCA 69.167
LDA 87.917

performance of the KNN, K is set to three. An SLP is used with the gradient descent
technique to learn parameters. An MLP is used with one hidden layer containing
five hidden units. To test the SVM, a linear SVM and an SVM with a RBF kernel
are used. For glomerular latency coding, different patterns appear for each target
gas rather than a unique pattern, and these patterns are shown in Figs. 10, 11, and 12
for C2H6O, CO, and H2, respectively. In these figures, the numbers at the outer
boundary indicate the ranks, and the numbers at the inner boundary represent the
glomeruli IDs at those ranks. For the spike distance algorithm, a single reference
17 Artificial Olfactory Systems 359

Fig. 10 Resultant patterns 1


of glomerular latency 4
coding for C2H6O exposure 3.5
with the microelectronic 3
Pattern 1
2.5
nose system
2
1.5
1
0.5
4 0 2 Pattern 2

Pattern 3

Fig. 11 Resultant patterns 1


of glomerular latency 4
coding for CO exposure 3.5 Pattern 1
with the microelectronic 3
nose system 2.5
2
1.5
1 Pattern 2
0.5
4 0 2

Pattern 3

Pattern 4

Fig. 12 Resultant patterns of 1


glomerular latency coding for 4
H2 exposure with the 3.5 Pattern 1
microelectronic nose system 3
2.5
2 Pattern 2
1.5
1
0.5 Pattern 3
4 0 2
Pattern 4

Pattern 5

Pattern 6

3
360 A. Bermak et al.

0.4
C2H6O
CO
0.3 H2

0.2

0.1
PC2

−0.1

−0.2

−0.3

−0.4
−0.5 −0.4 −0.3 −0.2 −0.1 0 0.1 0.2 0.3 0.4
PC1

Fig. 13 Data projection by using PCA with data from the microelectronic nose system

spike sequence is used by averaging the latency of each sensor in the array across all
the available spike sequences for each gas.
PCA and LDA are used to reduce the computational complexity by projecting
data in a reduced feature space. By using PCA, two principal components are used
for data projection, as shown in Fig. 13, because more than 90 % of the variance is
explained by them. By using LDA, at most two coordinates can be used for data
projection, as shown in Fig. 14, because there are three target gases in our case
study. Performance evaluation on these projected data sets is carried out through
different classifiers, and the maximum performance among these classifiers is
reported in Table 1.

Summary

The concept of an electronic nose has evolved to mimic the functionality of the
biological olfactory system. An array of gas sensors with varying characteristics is
used to obtain odor fingerprints to attempt to follow the same principle as the
olfactory receptor neurons generating a unique spike pattern in the olfactory
system. Odor classification algorithms are integrated into the electronic nose
system to find a relationship between the response of the sensor array and the
odor class in an attempt to behave in the same way as the brain identifies odor from
spike patterns generated by sensory neurons. Although the electronic nose is tested
in many applications, there are still many challenges to make it comparable to its
biological counterpart in both the sensing and classification aspects. The number of
17 Artificial Olfactory Systems 361

26
C2H6O
CO
H2
24

22
Co−ordinate 2

20

18

16

14
165 170 175 180 185 190 195 200 205
Co−ordinate 1

Fig. 14 Data projection by using LDA with data from the microelectronic nose system

sensors used in the electronic nose is very small as compared to olfactory sensory
neurons in the biological olfactory system because of their high-power consump-
tion and stringent operating conditions. More sophisticated technologies are
required to support the fabrication of an array with a large number of sensors
with varying characteristics, reduced power consumption, and operation under
flexible ambient conditions. Although many gas identification methods have been
investigated, the major challenge remains to find a hardware friendly and fast gas
identification algorithm with high classification performance, without requiring
in-field manual tuning of the parameters.

References
Alpaydin E (2010) Introduction to machine learning. MIT Press, Cambridge, MA
Bermak A, Belhouari SB (2006) Bayesian learning using gaussian process for gas identification.
IEEE Trans Instrum Meas 55(3):787–792
Bhattacharyya N, Bandyopadhyay R, Bhuyan M, Tudu B, Ghosh D, Jana A (2008) Electronic nose
for black tea classification and correlation of measurements with tea taster marks. IEEE Trans
Instrum Meas 57(7):1313–1321
Bin G, Amine B, Philip CHC, Gui ZY (2007) An integrated surface micromachined convex
microhotplate structure for tin oxide gas sensor array. IEEE Sensors J 7(12):1720–1726
Brahim-Belhouari S, Bermak A (2005) Gas identification using density models. Pattern Recogn
Lett 26(6):699–706
Chen HT, Ng KT, Bermak A, Law MK, Martinez D (2011) Spike latency coding in a biologically
inspired micro-electronic nose. IEEE Trans Biomed Circ Syst 5(2):160–168
Distante C, Ancona N, Siciliano P (2003) Support vector machines for olfactory signals recogni-
tion. Sens Actuators B Chem 88(1):30–39
362 A. Bermak et al.

Gang P, Ulrike T, Orna A, Meggie H, Nisrean S, Yoav YB, Salem B, Roxolyana AB, Abraham K,
Hossam H (2009) Diagnosing lung cancer in exhaled breath using gold nanoparticles. Nat
Nanotechnol 4:669–673
Gutierrez R, Nagle HT (1999) A method for evaluating data-preprocessing techniques for odor
classification with an array of gas sensors. IEEE Trans Syst Man Cybern B Cybern 29
(5):626–632
Hassan M, Belhaouari SB, Bermak A (2015) Probabilistic rank score coding: a robust rank-order
based classifier for electronic nose applications. IEEE Sensors J 15(7):3934–3946
Jeong MB, Mark Z, Myung HK, Kimberly LT, Alec W, Martin M (2010) Tin-oxide-nanowire-
based electronic nose using heterogeneous catalysis as a functionalization strategy. ACS Nano
4(6):3117–3122
Malnic B, Junzo H, Takaaki S, Linda BB (1996) Combinatorial receptor codes for odors. Cell 96
(5):713–723
McEntegart CM, Penrose WR, Strathmann S, Stetter JR (2000) Detection and discrimination of
coliform bacteria with gas sensor arrays. Sens Actuators B Chem 70(1–3):170–176
Murphy KP (2012) Machine learning: a probabilistic perspective. MIT Press, Cambridge, MA
Po CC, Fumiaki NI, Hsiao KC, Koungmin R, Chongwu Z (2009) A nanoelectronic nose: a hybrid
nanowire/carbon nanotube sensor array with integrated micromachined hotplates for sensitive
gas. Nanotechnology 20(12):125503
Theodoridis S, Koutroumbas K (2008) Pattern recognition. Academic, Boston
Turner Anthony PF, Magan N (2004) Electronic noses and disease diagnostics. Nat Rev Microbiol
2(2):161–166
Ulrike T, Ilana S, Radu I, Maria N, Noa A, Dorina R, Yael T, Faris A, Abraham M, Judith AP,
Hossam H (2013) Detection of alzheimer’s and parkinson’s disease from exhaled breath using
nanomaterial-based sensors. Nanomedicine 8(1):43–56
VanRullen R, Guyonneau R, Thorpe S (2005) Spike times make sense. Trends Neurosci 28(1):1–4
Victor VS, Bradly KB, Kelly W, Serghei D, Andrei K (2006) Toward the nanoscopic “electronic
nose”: hydrogen vs carbon monoxide discrimination with an array of individual metal oxide
nano- and mesowire sensors. Nano Lett 6(8):1584–1588
Victor VS, Joachim G, Thomas S, Evghenii S, Andrei K (2007) A gradient microarray electronic
nose based on percolating SnO2 nanowire sensing elements. Nano Lett 7(10):3182–32188
Wilson AD, Baietto M (2009) Applications and advances in electronic-nose technologies. Sensors
9(7):5099–5148
Yamani J, Boussaid F, Bermak A, Martinez D (2012) Glomerular latency coding in artificial
olfaction. Front Neuroeng 4(18):1–9
Zhiyong F, Dawei W, Pai CC, Wei YT, Jia GL (2004) ZnO nanowire field-effect transistor and
oxygen sensing property. Appl Phys Lett 85(24):5923–5925
Closed-Loop Bidirectional Neuroprosthetic
Systems 18
Kea-Tiong (Samuel) Tang, Hsin Chen, and Yu-Po Lin

Contents
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 364
Design Considerations for Closed-Loop Neuroprosthetic Microsystems . . . . . . . . . . . . . . . . . . . . . . 365
Neural Recording Circuits . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 365
Neural Stimulation Circuits . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 367
Embedded Signal Processors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 369
Wireless Power Transmission and Battery Implants . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 369
System Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 370
Microsystems for Closed-Loop Control on Epileptic Seizure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 370
Pacemaker with Output Power Feedback Control . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 373
Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 377
References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 380

Abstract
In recent years, electrical stimulation has been an attractive alternative for treating
several neural disorders. The delivery of electrical stimulation can be controlled
by either an open-loop or a closed-loop manner. Currently, most commercial
systems adopt the open-loop approach to control neural stimulation, but open-
loop control has been found to become less or even adverse effective in the long
term. In addition, a fully implantable, closed-loop system is desirable in most
applications, so as to treat or to monitor a disease for a long term with minimum
risk of postoperative infection. Therefore, this chapter first introduces the advan-
tages of closed-loop control over open-loop control. The design considerations of
a fully implantable, closed-loop systems are then described and compared for
different applications. As the closed-loop control can be achieved by either an

K.-T. S. Tang (*) · H. Chen · Y.-P. Lin


Department of Electrical Engineering, National Tsing Hua University, Hsinchu, Taiwan
e-mail: kttang@mx.nthu.edu.tw; hchen@ee.nthu.edu.tw; m65013@gmail.com

© Springer Science+Business Media, LLC, part of Springer Nature 2022 363


M. Sawan (ed.), Handbook of Biochips,
https://doi.org/10.1007/978-1-4614-3447-4_35
364 K.-T. S. Tang et al.

embedded or external microprocessor, the advantages of different architecture are


further discussed. Finally, two examples are introduced to exemplify different
design requirements and considerations.

Introduction

Neural stimulation, a recent medical treatment by electrically stimulating the neural


system through microelectrodes, is expected to improve, recover, or even restore
neural functions for specific neural disorders. Electrical stimulation has recently
been proven effective for several diseases (Hatsopoulos and Donoghue 2009;
Scherberger 2009; Nicolelis and Lebedev 2009; Andersen et al. 2010; Green and
Kalaska 2011) including Parkinson’s disease (Aouizerate et al. 2004), motor dys-
function (Bragin et al. 2000), tremor (Oluigbo et al. 2012), dystonia (Oluigbo et al.
2012), restoring cognitive function (Theodore et al. 2011; Berger et al. 2005;
Hochberg and Donoghue 2006), epilepsy (Chen et al. 2014; Young et al. 2011;
Tariqus-Salam et al. 2012), bladder control (Boyer et al. 2000; Sawan 2004; Majerus
et al. 2011; Steve et al. 2012; Li et al. 2012; Mounaïm et al. 2010), angina pectoris
(Sugimachi and Sunagawa 2009), hypertension (Sugimachi and Sunagawa 2009),
heart failure (Sugimachi and Sunagawa 2009; Lee et al. 2011), obsessive-
compulsive disorder (OCD) (Berger et al. 2008), and pain control (Oluigbo et al.
2012). Research in this domain has markedly increased, and many, such as retinal
prosthesis (Schmidt et al. 1996; Coulombe and Sawan 2004), cochlear implant
(Zierhofer et al. 1995), functional electrical stimulation, and deep brain stimulation
(Berger et al. 2008), have been intensively studied for improving patients’ quality
of life.
Electrical stimulation systems, according to signal pathways, can be divided into
open-loop and closed-loop systems (Santaniello et al. 2011). An open-loop stimu-
lation system continuously stimulates specific neural cells to trigger or inhibit neural
signals. Although open-loop stimulation may effectively treat neural-related dis-
eases, the continuous nature of the stimulation may cause patient adaptation or even
some side effects, decreasing treatment effectiveness (Volkmann 2004). The contin-
uous stimulation of open-loop systems also consumes more power, resulting in a
shorter battery lifetime. By contrast, recent studies have shown that closed-loop
stimulation systems outperform open-loop systems (Stanslaski et al. 2012). A
closed-loop system detects specific neural signals in the pathway and determines
when to perform stimulation based on these signals. In closed-loop stimulation, the
stimulation frequency can be decreased, and the power consumption of the system
can be reduced. This event-driven stimulation process, which is feasible only when
an abnormal neural signal is detected, avoids stimulating tissues unnecessarily.
Nonetheless, recent studies have shown that only a few, specific diseases, includ-
ing motor dysfunction (Bragin et al. 2000), epilepsy (Chen et al. 2014; Young et al.
2011; Tariqus-Salam et al. 2012), hypertension (Sugimachi and Sunagawa 2009),
heart failure (Sugimachi and Sunagawa 2009), impaired cognitive function
(Theodore et al. 2011; Berger et al. 2005; Hochberg and Donoghue 2006), and
18 Closed-Loop Bidirectional Neuroprosthetic Systems 365

urinary incontinence (Boyer et al. 2000; Sawan 2004; Majerus et al. 2011; Steve
et al. 2012; Li et al. 2012; Mounaïm et al. 2010), can be treated using a closed-loop
control stimulation system. In short-term applications, the semi-implantable closed-
loop system can successfully treat disease and monitor the physiological condition of
the patient; however, treating most diseases requires long-term treatment and mon-
itoring. Furthermore, in long-term applications, the semi-implantable closed-loop
system may induce a risk of infection while lowering treatment efficiency and the
patient’s quality of life. To achieve higher treatment efficiency while lowering the
risk of postoperative infection, the fully implantable closed-loop system is desired in
most implantable applications. A few recent studies have shown the fully implant-
able closed-loop system to be effective in specific disease applications, such as those
for epilepsy (Chen et al. 2014), bladder control (Boyer et al. 2000; Sawan 2004;
Majerus et al. 2011; Steve et al. 2012; Li et al. 2012), and heart failure (Sugimachi
and Sunagawa 2009; Lee et al. 2011). To date, closed-loop systems have not been
applied to diseases, such as Parkinson’s disease, tremor, dystonia, OCD, heart
failure, and pain control, because the neural signals necessary to control the closed
loop have yet to be identified or because they are difficult to acquire.
The closed-loop system can gather feedback on more than neural signals;
the feedback system can also monitor the power dissipation and temperature of
the implant. System analysis of power and temperature feedback can optimize the
transmitting power and increase the system’s stability and adaptability. Therefore,
the closed-loop stimulation system can be divided into a closed-loop system for
neural signals and a closed-loop system for power control. The closed-loop stimu-
lation system for neural signals focuses on detecting the target neural signals and
controlling the stimulation parameters based on these detected signals. The closed-
loop stimulation system for power control focuses on more stable power transmis-
sion corresponding to different implant environments and stimulating loads.

Design Considerations for Closed-Loop Neuroprosthetic


Microsystems

Several implantable microsystems have been proposed for treating epilepsy, heart
failure, and bladder control in a closed-loop manner. To achieve closed-loop control
on neural stimulations, these microsystems normally contain a neural recording
circuit, a neural stimulation circuit, an embedded signal processor, and circuits for
wireless power and data transmission, as shown in Fig. 1. The design considerations
for these circuits are discussed in the following subsections.

Neural Recording Circuits

Different applications need to record different physiological signals, such as ECGs,


EMGs, ECoGs, neural spikes, or even the bladder pressure. All these signals have
amplitudes lower than 10 mV, but their dynamic ranges and bandwidths differ
366 K.-T. S. Tang et al.

Skin

Wireless Data Wireless


Neural
Transceiver Data
Stimulator
Transceiver
Embedded
Signal
Processor Wireless
Wireless Embedded
Power
Power Signal Electrode
Management
Transmitter Processor
System

Neural
Battery Acquisition
Front-end

Fig. 1 The general architecture of an implantable microsystem able to control neural stimulation in
a closed-loop manner

Fig. 2 Amplitude and frequency characteristics of common biopotential signals

significantly from each other, as shown in Fig. 2 (Webster 1992). In addition, many
physiological signals accompanied by background drifting or artifacts can vary over
a dynamic range of several decades. Therefore, the design of recording circuits must
fit the characteristics of specific target signals. For example, high-frequency oscilla-
tions in ECoGs are identified as a main signature for the onset of an epileptic seizure.
To record the high-frequency oscillation accurately, the recording amplifier should
18 Closed-Loop Bidirectional Neuroprosthetic Systems 367

have a flat bandwidth from 0.5 to 200 Hz, while suppressing all other frequency
components to minimize the cross-interference. In addition, signals below 50 μV can
also contain valuable information or distinctive features. The noise of a recording circuit
is normally desired to be lower than 5 μV, and a programmable gain is helpful to prevent
large signals from driving the amplifier’s output to saturation. Moreover, multichannel
recording is crucial for enhancing detection reliability. The speed required for the
analog-to-digital converter (ADC), thus, grows linearly with the number of channels.
It is challenging to design a high-speed, low-power ADC with an LSB resolution that is
lower than the noise level. By contrast, the recording circuits for bladder control or heart-
rate regulation have much looser requirements for the bandwidth, gain, and speed of
ADCs. Table 1 summarizes the target signals and corresponding design specifications
for different closed-loop neuroprosthetic microsystems.

Neural Stimulation Circuits

The design of neural stimulation circuits needs to consider the stimulation targets
and the impedance of stimulation electrodes. As Table 1 shows, different applica-
tions require distinct stimulation parameters (i.e., currents, frequencies, and pulse
widths) for controlling target nerves effectively. These parameters have been iden-
tified from clinical trials. In general, current-mode stimulation is more favorable than
voltage-mode stimulation because current-mode stimulation facilitates precise con-
trol on the net charge injected into a tissue. A zero net-charge injection is preferable
for enhancing electrode endurance and avoiding any toxicity or side effects. This can
be achieved through biphasic stimulation and current-balancing techniques (Yoo and
van Hoof 2010). Table 1 shows that disrupting an epileptic seizure requires only
several tens of microamperes, whereas bladder control requires a maximal stimula-
tion current of 2 mA. The latter is challenging especially for an implantable
microsystem without any battery. In addition to achieving the required stimulation
parameters, the circuit design must ensure that the supply voltage is high enough to
accommodate the voltage drops across stimulating electrodes, which is of consider-
able concern when a large current (>500 μA) is delivered through a small micro-
electrode (<100 μm in diameter). For example, if the electrode impedance is 10 kΩ,
a current of 500 μA induces a voltage drop of 5 V across one electrode. Assuming
that the reference electrode has the same impedance as the stimulating electrode, the
supply voltage of the stimulation must be higher than 10 V. This circumstance
explains why epileptic control needs a higher supply voltage than the other two
applications. However, the requirement for a high stimulation voltage is contradic-
tory to the low-power design of an implantable microsystem, whose supply voltage
is normally kept low to reduce power consumption. Therefore, a charge pump (i.e., a
voltage multiplier) is required for the stimulation circuit, and for an implantable
microsystem with a limited power budget, it is crucial to maximize the power
efficiency of a charge pump. Moreover, in contrast to the charge pumps in conven-
tional memory circuits, these charge pumps require a maximal output current higher
than the required stimulation current.
368 K.-T. S. Tang et al.

Table 1 Target signals and corresponding design specifications


Heart-rate
Disease Epilepsy Bladder control regulation
Target signals ECoG (Chen et al. Bladder pressure ECG
2014) (Majerus et al. 2011)
Signal dynamic 0.05–1 mV (Chen et al. 0–250 cm H2O (Majerus 0.1–10 mV (Yoo
range 2014) et al. 2011) and van Hoof
2010)
Signal freq. 0.5–200 Hz (Young 3–20 Hz (Steve et al. 0.5–300 Hz (Yoo
et al. 2011) 2012) and van Hoof
2010)
AFE channel 8 (Chen et al. 2014) 1 (Majerus et al. 2011) 1 (Lee et al. 2011)
ADC speed 500 k (Chen et al. 2014) 10 Hz (Majerus et al. 800 Hz (Lee et al.
2011) 2011)
ADC resolution 10 bit (Chen et al. 2014) 8 bit (Majerus et al. 2011) 8 bit (Lee et al.
2011)
Stimulation 20–50 uA (Chen et al. 0–2 mA (Li et al. 2012) N/a
current 2014; Young et al.
2011)
Stimulation 0–10 V (Chen et al. 2–4 V (Boger et al. 2012) 0–3.2 (Lee et al.
voltage 2014) 2011)
Stimulation pulse 800 Hz (Young et al. 0.5–30 Hz (bladder 0.5–31.25 (Lee
frequency 2011) contraction), 1 kHz et al. 2011)
(sphincter blockade)
(Li et al. 2012; Mounaïm
et al. 2010; Boger et al.
2012)
Stimulation pulse 0.5 ms (Young et al. 100 us (Li et al. 2012; 62.5 u–1.94 ms
width 2011; Tariqus-Salam Boger et al. 2012) (Lee et al. 2011)
et al. 2012)
Stimulation target Zona incerta, depth of Sacral roots (Boger et al. Cardiac nerves
sulcus, superficial 2012) (Stokes 1996)
cortex, deep
hippocampal focus
(Chen et al. 2014;
Tariqus-Salam et al.
2012)
Electrode 10 k–250 k Ω (Young 612–792 Ω (Kaula et al. 250–1 k Ω (Stokes
impedance et al. 2011) 1996) 1996)
Signal processing Time-domain entropy Threshold comparison Threshold
and fast Fourier for bladder pressure comparison for
transform (Chen et al. detection (Majerus et al. R-wave (Lee et al.
2014) 2011) 2011)
Closed-loop Internal External Internal
scenario
Data rate 4 Mbps (Chen et al. 50 k (Majerus et al. 2011) 50 k (Halperin
2014) et al. 2008)
18 Closed-Loop Bidirectional Neuroprosthetic Systems 369

Embedded Signal Processors

In general, an embedded signal processor manages the configuration of recording/


stimulation circuits, the packaging of data for wireless transmission, and the reali-
zation of closed-loop control on neural stimulation. For the closed-loop control, it is
important to consider whether the control algorithm is implemented by an embedded
processor or by an external device which communicates with the embedded proces-
sor in real time. If the algorithm is implemented by the embedded processor, then the
recorded signals are analyzed by the microsystem directly. Direct analysis not only
allows the microsystem to control the stimulation in real time (with a latency of less
than 1 s) but also reduces the power and bandwidth required for transmitting all of
the recorded data from the implant. The lower control latency further enhances the
therapeutic effect. However, processing all of the signals within the implant requires
extra power and chip area. Some feature extraction and classification algorithms
even demand more memory space. The programmability of the control algorithm is
also compromised for the limited power budget. These constraints limit the com-
plexity of the embedded algorithm. By contrast, these constraints are released by
implementing the algorithm in an external device, although high-speed data trans-
mission can entail much power consumption. As the control relies on the decision of
an external device, the control latency can increase considerably. Therefore, the
implementation of control algorithms depends on algorithm complexity, tolerable
control latency, and the bandwidth required for data transmission. For example, as
the bladder-control algorithm is complex and the data rate for monitoring bladder
pressure is low, closed-loop control can be achieved by using an external device. By
contrast, as minimizing control latency is crucial for heart-rate regulation and
epileptic control, the control algorithms for these applications are realized by an
embedded processor.

Wireless Power Transmission and Battery Implants

The efficiency of wireless power transmission is extremely sensitive to the alignment


of transmission coils. To ensure enough power is transmitted without introducing
extra heat to damage tissues, the power transmitter can be controlled by the signal
fed back from the implant. In addition, a battery implant helps to maintain a stable
power supply even if the wireless power transmission is interrupted. The necessity
for a battery implant depends on the power consumption of the microsystem, the
space available for the implant, and the complexity of the surgery. If the space is
limited or if the surgery substantially increases the risk of infection, designing a
batteryless microsystem equipped with a power-monitoring circuit is crucial. The
power-monitoring circuit not only labels the periods during which the data are
correctly recorded but also prevents the microsystem from generating irregular
stimulations that would damage the tissues.
370 K.-T. S. Tang et al.

System Examples

To better understand the design requirements and the consideration of different


treating applications, the two closed-loop fully implantable microsystem examples
of epilepsy and heart-rate regulation applications that published in recent researches
are discussed in this section. This section will focus on design method and system
architecture consideration to achieve effective treatment of disease and proposed
feasible suggestions to enhance system performance.

Microsystems for Closed-Loop Control on Epileptic Seizure

Figure 3 shows the architecture of a microsystem that monitors the ECoG continu-
ously and activates neural stimulation whenever an epileptic seizure is detected
(Chen et al. 2014). This microsystem exemplifies the design concerns discussed in
section “Design Considerations for Closed-Loop Neuroprosthetic Microsystems.”
The recording circuit consists of two stages of amplifiers and a bandpass filter.
Figure 4a shows the first-stage amplifier, which adopts the design concepts in
Harrison et al. (2003) and Harrison (2007) to facilitate the recording of the ECoG.
The main features include: (1) using the differential architecture and an opamp with a
high common-mode rejection ratio to eliminate common-mode interferences;
(2) using the pseudo-resistor to achieve a high-pass corner frequency below 1 Hz,
thus blocking the DC offsets or low-frequency drifts induced at the electrode-
electrolyte interface; (3) having the input impedance much higher than the electrode
impedance so that the impedance mismatch between the two input electrodes has
negligible effects; (4) minimizing the power consumption to elongate the battery
lifetime; and (5) incorporating an autoreset circuit to prevent stimulation artifacts
from saturating the output of the amplifiers. Figure 4b shows the measured frequency
responses of the amplifier. The corner frequencies are tunable for fitting the charac-
teristics of different target signals. The second-stage amplifier further provides three
programmable gain levels for recording signals with different dynamic ranges. The
measured input-referred noise is 5.23 μVrms, approximately the same as the minimal
level of ECoGs (Yoo and van Hoof 2010, Chap. 4). If a lower noise level is
necessary, the chopper-stabilization technique proposed in Verma et al. (2010) and
Fan et al. (2011) can be adopted to reduce the noise level below 0.1 μVrms.
However, the chopper technique potentially consumes additional power for chop-
ping modulation, as well as for feedback loops that boost the input impedance (Fan
et al. 2011) or eliminate the intrinsic offsets and output ripples (Verma et al. 2010). In
addition, whenever the amplifier is reset to eliminate stimulation artifacts, the time
for the chopping modulation to reach equilibrium is non-negligible. Therefore, it is
important to evaluate whether chopping technique is really necessary for a target
application.
To overcome the voltage drop across electrodes, the stimulation circuit of the
microsystem employs a charge pump whose output voltage adapts in accordance
with the feedback signal generated by a current controller, as shown in Fig. 5a. The
18
Closed-Loop Bidirectional Neuroprosthetic Systems

Fig. 3 Architecture of a microsystem which monitors the ECoGs continuously and activates neural stimulation (Chen et al. 2014)
371
372 K.-T. S. Tang et al.

Fig. 4 (a) The autoreset capacitive-coupled instrumentation amplifier and (b) its measured fre-
quency response (Chen et al. 2014)

Fig. 5 (a) The adaptive stimulator. (b) A constant current of 30 μA is delivered regardless of the
loading impedance (Chen et al. 2014)
18 Closed-Loop Bidirectional Neuroprosthetic Systems 373

Fig. 6 Chip photograph of the microsystem for closed-loop control on epileptic seizure (Chen et al.
2014)

current controller monitors the stimulation current delivered through the electrode.
As the current drops below the target value, the feedback signal triggers the charge
pump to generate a higher output voltage automatically. This approach helps to
maintain a constant stimulation current regardless of the variability in electrode
impedance, as shown in Fig. 5b.
Regarding seizure detection, the embedded signal processor extracts both the
entropy and frequency spectra as the features of ECoG signals. These extracted features
are classified using the linear least squares method. The simple classifier allows a
detection latency of only 0.8 s and demonstrates a detection accuracy of greater than
92 %. Both the detection accuracy and latency are improved over the microsystem in
Verma et al. (2010), which, despite its employment of a more elegant classifier (i.e., the
support vector machine) for seizure detection, extracts only frequency features.
Figure 6 shows the chip photograph of the microsystem to control epileptic seizures
in Long-Evans rats with spontaneous spike-wave discharges (SWDs). The SoC was
fabricated in an 0.18-μm CMOS process. Figure 7 shows the experimental setup and
the results of using the microsystem. The onset of seizure is detected with a latency of
0.8 s, and the detection triggers neural stimulation to eliminate the SWD effectively.
The experimental results demonstrate how closed-loop control can enhance the real-
time therapeutic effects. Nevertheless, it is notable that the features of epileptic seizures
can change with neuromodulation or vary across subjects. A classifier with online
learning ability would be crucial for maintaining a reliable closed-loop control.

Pacemaker with Output Power Feedback Control

Figure 8 shows the system architecture of a pacemaker with output power feedback
control proposed by Lee et al., including the external and internal circuits. The
374 K.-T. S. Tang et al.

Fig. 7 (a) The experimental setup and (b) the experimental results of seizure control with the
microsystem on a Long-Evans rat (Chen et al. 2014)

external circuits consist of a digital signal processor (DSP), data transmission circuit,
and wireless power transmission circuit. The internal circuits consist of a power
interface circuit, digital circuit, and sensing circuit. For heart-rate calculation, the
system specifications for the sensing circuit do not require overly complex circuit
architecture or lengthy algorithm computation; however, the circuit specifications for
the power supply are critical for safety.
To avoid power supply failure that may cause the pacemaker to malfunction and
endanger a patient, a stable power supply is required for system operation. Conse-
quently, a battery is generally necessary in this type of a system; furthermore, the
18
Closed-Loop Bidirectional Neuroprosthetic Systems

Fig. 8 Block diagram of the proposed close-loop microstimulator system with an external device (Lee et al. 2011)
375
376 K.-T. S. Tang et al.

Fig. 9 Block diagram of a two-battery-based power management system (Lee et al. 2011)

battery must provide a continuous supply of electric power. Charging a battery with
wireless power transmission through a closed-loop power control is essential for
achieving consistent power. The closed-loop power control ensures optimized trans-
mission efficiency and a reduced excessive heat that may damage the neuron cells.
Figure 9 shows a two-battery power management system that ensures a constant
power supply for stimulation. The operating principle involves using one battery to
provide voltage regulation and to stabilize the power supply for the system while the
other battery is charging; stabilized power is achieved by constantly exchanging these
two batteries. A sensor monitors the battery voltage. When the voltage is lower than a
specific level, the first battery is switched to charging mode, while the second battery
serves as the power supply. Exchanging the two batteries in this manner enables the
battery voltage to satisfy the requirements of the system. The two-battery power
management system was fabricated using 0.18-μm 2P4M process, as shown in Fig. 10.
Wireless power transmission is often implemented through coils to charge the
battery of an implanted device; however, the efficiency is greatly affected, not only
by the loading but also by the angle and distance of the coils. To improve efficiency and
to ensure a stable power supply, a closed-loop power control mechanism for the system
is required, as shown in Fig. 11. An internal sensing circuit monitors the output voltage
of the rectifier, and this voltage data is transmitted to an external digital controller
through load-shift keying (LSK) transmission. Based on the voltage data transmitted,
the digital controller adjusts the supply voltage of the power amplifier, changing the
output power to achieve closed-loop power control. Figure 12 shows the time-domain
18 Closed-Loop Bidirectional Neuroprosthetic Systems 377

Fig. 10 Chip photograph of


pacemaker with output power
feedback control (Lee et al.
2011)

Fig. 11 Closed-loop inductive wireless power transmission across the skin (Kiani and Ghovanloo
2010)

measurement results of the closed-loop power control system. The results show how
the closed-loop system adjusts the output power of the power amplifier, based on coil
movement and load current data, to stabilize the output voltage of the rectifier within a
specified range. Figure 13a shows a comparison of the transmission efficiency levels for
the open-loop and closed-loop systems. The results verify that the closed-loop system
effectively improves transmission efficiency, thus reducing the possibility of cell
damage caused by heat dissipation from low transmission efficiency. Figure 13b and
c shows the superior tolerance to the environment of the closed-loop system, compared
with that of the open-loop system.

Conclusion

This chapter introduces the advantage and feasibility of treating several neural
diseases with an implantable microsystem able to control the electrical stimulation
in a closed-loop manner. As recent studies have indicated that closed-loop control is
378 K.-T. S. Tang et al.

Fig. 12 Closed-loop power transmission system measured waveforms. (a) Changing the coils’
relative distance from 2 to 0.5 cm and the coupling coefficient. (b) Changing the transponder
loading from 11.2 to 18.7 mW (Kiani and Ghovanloo 2010)
18 Closed-Loop Bidirectional Neuroprosthetic Systems 379

Fig. 13 (a) Simulated and measured overall efficiency values versus the coils’ distance in open-
and closed-loop conditions. (b) Open- and closed-loop load regulation. (c) Open- and closed-loop
voltage variations versus Tx coil rotations (Kiani and Ghovanloo 2010)
380 K.-T. S. Tang et al.

able to treat diseases more effectively than open-loop control, it is crucial to develop
implantable microsystems able to interact with neural systems in a closed-loop
manner. The development is believed to be beneficial for both improving disease
treatment and advancing neuroscience research.

References
Andersen RA, Hwang EJ, Mulliken GH (2010) Cognitive neural prosthetics. Annu Rev Psychol 61:
169–190
Aouizerate B, Cuny E, Martin-Guehl C et al (2004) Deep brain stimulation of the ventral caudate
nucleus in the treatment of obsessive-compulsive disorder and major depression. Case report.
J Neurosurg 101(4):682–686
Berger TW, Ahuja A, Courellis SH et al (2005) Restoring lost cognitive function. IEEE Eng Med
Biol Mag 24(5):30–44
Berger TW, Gerhardt G, Liker MA et al (2008) The impact of neurotechnology on rehabilitation.
IEEE Rev Biomed Eng 1:157–197
Berger TW, Hampson RE, Song D et al (2011) A cortical neural prosthesis for restoring and
enhancing memory. J Neural Eng 8(4):1–11
Boger AS, Bhadra N, Gustafson KJ (2012) High frequency sacral root nerve block allows bladder
voiding. Neurourol Urodyn 31(5):677–682
Boyer S, Sawan M et al (2000) Implantable selective stimulator to improve bladder voiding: design
and chronic experiments in dogs. IEEE Trans Rehabil Eng 8(4):464–470
Bragin A, Hetke J, Wilson CL et al (2000) Multiple site silicon-based probes for chronic recordings
in freely moving rats: implantation, recording and histological verification. J Neurosci Methods
98:77–82
Chen W-M, Chiueh H, Chen T-J et al (2014) A fully integrated 8-channel closed-loop neural-
prosthetic CMOS SoC for real-time epileptic seizure control. IEEE J Solid-State Circ 49(1):
232–247
Coulombe J, Sawan M (2004) An implant for a visual cortical stimulator. In: CDEN biomimetics
symposium, Montreal, Sept 2004
Fan Q, Member S, Sebastiano F et al (2011) A 1.8 μW 60 nV/√Hz capacitively-coupled chopper
instrumentation amplifier in 65 nm CMOS for wireless sensor nodes. IEEE J Solid-State Circ
46(7):1534–1543
Green AM, Kalaska JF (2011) Learning to move machines with the mind. Trends Neurosci 34(2):
61–75
Halperin D, Kohno T, Heydt-Benjamin TS et al (2008) Security and privacy for implantable
medical devices. IEEE Pervasive Comput 7(1):30–39
Harrison RR (2007) A versatile integrated circuit for the acquisition of biopotentials. In: 2007 I.E.
Custom Integrated Circuits Conference, San Jose, California, pp 115–122
Harrison RR, Charles C, Member S (2003) A low-power low-noise CMOS amplifier for neural
recording applications. IEEE J Solid-State Circ 38(6):958–965
Hatsopoulos NG, Donoghue JP (2009) The science of neural interface systems. Annu Rev Neurosci
32:249–266
Hochberg LR, Donoghue JP (2006) Sensors for brain-computer interfaces. IEEE Eng Med Biol
Mag 25(5):32–38
Kaula NF, Mihran R, Woloszko J (1996) Charge and impedance analysis of 4500/plus hours of
electrical stimulation of sacral roots with a foramen electrode implant. In: Annual international
conference of the Engineering in Medicine and Biology Society, Amsterdam, The Netherlands,
pp 341–342, Oct 1996
Kiani M, Ghovanloo M (2010) An RFID-based closed-loop wireless power transmission system for
biomedical applications. IEEE Tran Circ Syst II: Express Briefs 57(4):260–264
18 Closed-Loop Bidirectional Neuroprosthetic Systems 381

Lee S-Y, Su M-Y, Liang M-C et al (2011) A programmable implantable microstimulator SoC with
wireless telemetry: application in closed-loop endocardial stimulation for cardiac pacemaker.
IEEE Trans Biomed Circ Syst 5(6):511–522
Li Y-T, Chen J-J, Chen L-T et al (2012) Wireless implantable biomicrosystem for bladder pressure
monitoring and nerve stimulation. In: IEEE Biomedical Circuits and Systems Conference,
Hsinchu, Taiwan, pp 296–299, Nov 2012
Majerus SJA, Fletter PC, Damaser MS et al (2011) Low-power wireless micromanometer system
for acute and chronic bladder-pressure monitoring. IEEE Trans Biomed Eng 58(3):763–767
Mounaïm F, Elzayat E, Sawan M et al (2010) New neurostimulation and blockade strategy to reduce
sphincter resistance in spinalized dogs. J Contemp Eng Sci 3(7):321–337
Nicolelis MAL, Lebedev MA (2009) Principles of neural ensemble physiology underlying the
operation of brain–machine interfaces. Nat Rev Neurosci 10:530–540
Oluigbo CO, Salma A, Rezai AR (2012) Deep brain stimulation for neurological disorders. IEEE
Rev Biomed Eng 5:88–99
Santaniello S, Fiengo G, Glielmo L et al (2011) Closed-loop control of deep brain stimulation: a
simulation study. IEEE Trans Neural Syst Rehabil Eng 19(1):15–24
Sawan M (2004) Microsystems dedicated to wireless multichannel monitoring and micro-
stimulation: design, test and packaging. In: International Conference on Solid-State and Inte-
grated Circuits Technology, Alexandria, EGYPT, pp 1408–1411, Oct 2004
Scherberger H (2009) Neural control of motor prostheses. Curr Opin Neurobiol 19:629–633
Schmidt EM, Bak MJ, Hambrecht FT et al (1996) Feasibility of a visual prosthesis for the blind
based on intracortical microstimulation of the visual cortex. Brain 119(2):507–522
Stanslaski S, Pedram A, Cong P et al (2012) Design and validation of a fully implantable, chronic,
closed-loop neuromodulation device with concurrent sensing and stimulation. IEEE Trans
Neural Syst Rehabil Eng 20(4):410–421
Steve JAM, Steven LG, Michael AS et al (2012) Wireless, ultra-Low-power implantable sensor for
chronic bladder pressure monitoring. J Emerg Technol Comput Syst 8(2):11
Stokes K (1996) Cardiac pacing electrodes. Proc IEEE 84(3):457–467
Sugimachi M, Sunagawa K (2009) Bionic cardiology: exploration into a wealth of controllable
body parts in the cardiovascular system. IEEE Rev Biomed Eng 2:172–186
Tariqus-Salam M, Sawan M, Nguyen D (2012) Implantable closed-loop epilepsy prosthesis:
modeling, implementation and validation. J Emerg Technol Comput 8(2):9
Verma N, Shoeb A, Bohorquez J et al (2010) A micro-power EEG acquisition SoC with integrated
feature extraction processor for a chronic seizure detection system. IEEE J Solid-State Circ
45(4):804–816
Volkmann J (2004) Deep brain stimulation for the treatment of Parkinson’s disease. J Clin
Neurophysiol 21(1):6–17
Webster JG (1992) Medical instrumentation: application and design, 2nd edn. Houghton Mifflin,
Boston
Yoo HJ, van Hoof C (2010) Bio-medical CMOS Ics (integrated circuits and systems). Springer,
New York
Young C-P, Liang S-F, Chang D-W (2011) A portable wireless online closed-loop seizure controller
in freely moving rats. IEEE Trans Instrum Meas 60(2):513–521
Zierhofer CM, Hochmair-Desoyer IJ, Hochmair ES (1995) Electronic design of a cochlear implant
for multichannel high-rate pulsatile stimulation strategies. IEEE Trans Rehabil Eng 3:112–116
Closed-Loop Neuromodulation System-on-
Chip (SoC) for Detection and Treatment 19
of Epilepsy

Ming-Dou Ker and Cheng-Hsiang Cheng

Contents
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 384
System-on-Chip (SoC) for Closed-Loop Neuromodulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 386
System Architecture . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 386
Circuit Design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 387
Experimental Results . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 400
Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 409
References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 411

Abstract
This chapter presents a 16-channel closed-loop neuromodulation system-on-chip
(SoC) for detection and treatment on human epilepsy. In this SoC, a 16-channel
neural-signal acquisition unit (NSAU), a biosignal processor (BSP), a 16-channel
high-voltage-tolerant stimulator (HVTS), as well as wireless power and bidirec-
tional data telemetry are included. In the NSAU, the input protection circuit is
used to prevent MOSFET devices from electrical overstress during the high-
voltage stimulations. Hence, NSAUs can share the same electrodes with stimu-
lators. The auto-reset chopper-stabilized capacitive-coupled instrumentation
amplifiers (AR-CSCCIAs) are designed with the chopper-stabilized technique
with offset reduction loop. The entropy-and-spectrum seizure detection algorithm
is implemented in the BSP, which can perform 0.76-s seizure detection latency
and 97.8% detection accuracy in the experimental results. When the seizure onset
is detected by the BSP, the HVTS with adaptive supply control can deliver
biphasic current stimulation of 0.5 ~ 3 mA to suppress the seizure onset. The
developed SoC is powered wirelessly, and the bidirectional data telemetry is
realized through the same pair of coils in 13.56 MHz. The downlink data rate is

M.-D. Ker (*) · C.-H. Cheng


Biomedical Electronics Translational Research Center, National Yang Ming Chiao Tung University,
Hsinchu City, Taiwan
e-mail: mdker@ieee.org

© Springer Science+Business Media, LLC, part of Springer Nature 2022 383


M. Sawan (ed.), Handbook of Biochips,
https://doi.org/10.1007/978-1-4614-3447-4_6
384 M.-D. Ker and C.-H. Cheng

211 Kb/s with the binary phase-shift keying (BPSK) modulation and a BPSK
demodulator. The uplink data rate is 106 Kb/s with the load-shift keying (LSK)
modulation. The developed SoC has been fabricated in a 0.18-μm low-voltage
CMOS process with 1.8 V/3.3 V devices. Electrical tests have been performed to
characterize the SoC performance. In vivo animal experiments using minipigs
have been performed to successfully verify the closed-loop neuromodulation
functions on epileptic seizure suppression. In human clinical trials, the developed
SoC has been performed to successfully suppress human epileptic seizures by
closed-loop stimulation.

Introduction

Epilepsy, a common neurological disorder, afflicts about 1% of the world’s popula-


tion (World Health Organization2006). It is characterized by recurrent seizures that
occur after an episode of abnormal electrical activities in the brain. Epileptic seizures
may cause a brief lapse of attention, unnatural posturing, or severe and prolonged
convulsions. The unexpected seizures impact the quality of life on patients and their
families.
Traditionally, the common treatment for epilepsy is medication. However, still
around 30% of epileptic patients are drug resistant or have intolerable adverse
effects. For these patients, the resection surgery of the seizure onset region in the
brain might be beneficial. But the risks of neurologic deficits after the surgery, such
as memory impairment, visual field loss, or movement malfunctions, are always of
serious concern.
Electrical neuromodulation on the central nervous system for drug-resistant
epileptic patients has been attempted, and the preliminary results have shown that
it could be a promising solution (Chang and Barbaro 2011; Al-Otaibi et al. 2011).
There are two types of neuromodulation systems, namely, open-loop and closed-
loop. In the open-loop neuromodulation system, continuous electrical stimulation is
delivered to the brain, leading to a higher power dissipation which decreases the
battery lifetime of the implanted devices.
On the other hand, the closed-loop or responsive neuromodulation system
for epilepsy delivered electrical impulses to the selected brain region in response
to the detected epileptic or pre-epileptic activities (Gigante and Goodman 2011;
Chen et al. 2014; Shoaran et al. 2016; Kassiri et al. 2016, 2017). The closed-loop
neuromodulation system is a more promising treatment for epilepsy because it can
immediately and accurately detect epileptic activities and suppress seizures on the
right brain region to achieve a higher treatment efficacy, less power dissipation, and
longer battery lifetime.
From the clinical data (Valentin et al. 2015), electrical current stimulation of up to
3 mA on cortical surface at seizure onset site was required to control human epileptic
seizures. Recently, implantable medical devices (IMDs) with closed-loop electrical
stimulation and wireless power/data telemetry for seizure control have been reported
(Gigante and Goodman 2011; Kassiri et al. 2016, 2017; O’Leary et al. 2018) as a
19 Closed-Loop Neuromodulation System-on-Chip (SoC) for Detection and. . . 385

potential and efficient clinical treatment. In Gigante and Goodman (2011), the
closed-loop neural prosthetic system with seizure detection achieved detection
latency of 0.8 s. However, since the system is designed for rats, the stimulation
current is only 30 uA. In Kassiri et al. (2016), the stimulation current is up to 1 Ma; in
Kassiri et al. (2017), the stimulation current is up to 1.35 Ma; both are not enough for
human. Moreover, there are two frequency bands used in the implanted system for
power and data transmission. The large antenna area could be an issue for the
implanted system. In O’Leary et al. (2018), the wireless power and data telemetry
is not integrated into the SoC, where the stimulation current is only up to 1 mA, still
not enough for human.
Wireless power and bidirectional data telemetry are required in IMDs. So far,
many structures have been reported (Fuketa et al. 2014; Chen and Moore 2009;
Sonkusale and Luo 2008; Lee et al. 2013). Among them, wireless power and only
uplink data telemetry were realized in one pair of coils (Fuketa et al. 2014) or two
pairs of coils (Chen and Moore 2009). In Sonkusale and Luo (2008), only data
telemetry is implemented on chip with one pair of coils, but power telemetry has not
been integrated on the same chip. In Lee et al. (2013), only downlink telemetry is
integrated with power telemetry in one pair of coils. However, the data rate is only a
few kilobits per second (bps). In practical applications, one pair of coils is preferred
to fit the size limitation of implanted medical device. Bidirectional data telemetry
with high data rate is required to transmit biosignals out and get data in to param-
eterize the implants through external control units.
A 16-channel closed-loop seizure control CMOS system-on-chip (SoC) for
human epileptic seizure control is presented in this chapter. In this system, each
channel (electrode) can sense ECoG and stimulate tissue independently. According
to the clinician’s experience, 16 channels (electrodes) can cover the possible
seizure onset zone. Up to 3 mA biphasic stimulation current is designed to be
delivered to the tissue. An input protection circuit in the front-end preamplifier
sharing the same electrode with the stimulator is designed to protect transistors
from overstressing by high stimulation voltage (Wu et al. 2018). In Wu et al.
(2018), only the neural-signal acquisition unit is described. However, this work
presents the whole system including SoC with the wireless power and bidirectional
data telemetry. The wireless power and bidirectional data telemetry circuits are
designed to achieve high transmitted power with high power conversion efficiency
(PCE) for the implantable SoC, as well as to obtain high uplink and downlink data
rates through the same pair of high-Q coils in ISM band (13.56 MHz). In the
wireless power transfer, a new 2X/3X active rectifier with 2 V and 3 V output
voltages and delay compensation is developed to enhance the power conversion
efficiency. In the wireless data telemetry, a new binary phase-shift keying (BPSK)
demodulator is designed to demodulate downlink BPSK data, and a load-shift
keying (LSK) modulator is designed to transmit electrocorticography (ECoG) data
to the external device through the high-Q coils. The closed-loop seizure control
SoC achieves a high seizure detection accuracy of 97.8% within 0.76 s of
detection latency, as verified on the animal in vivo experiments of minipigs and
human clinical trials.
386 M.-D. Ker and C.-H. Cheng

System-on-Chip (SoC) for Closed-Loop Neuromodulation

System Architecture

Figure 1 shows the architecture of the overall microsystem including the closed-loop
seizure control SoC in the IPG (Implantable Pulse Generator) case, a pair of high-Q
coils, and the chip of external control system. In the SoC, a neural-signal acquisition
unit (NSAU), a biosignal processor (BSP), a high-voltage-tolerant stimulator
(HVTS), and a wireless power and data telemetry unit are integrated. The signal
processing flow is described as follows. The ECoG signals are sensed through the
electrodes on cortical surface and sent to the NSAU in the SoC. The sensed ECoG
signals are amplified and processed by the auto-reset chopper-stabilized capacitive-
coupled instrumentation amplifiers (AR-CSCCIA), the programmable trans-
conductance gain amplifiers (PTGA), the multiplexer (MUX), and the trans-
impedance amplifier (TIA) (Chen et al. 2014). Then the signals are digitized by
the delta-modulated SAR ADC and further processed by the BSP to extract both
entropy and power spectral density in specific frequency bands for seizure detection.

Neural-Signal Acquisition Unit (NSAU)


16 channels
M
U TIA DMSAR
AR- AR- ADC
IPC PTGA
PTGA X
CSCCIACACCIA

cortical surface
electrodes Chopper
Gain
CH 10b
High-Voltage- CLK SEL

Tolerant Stimulator Bio-Signal Processor


Stim.
Control
Decoder

Ridge
Seizure Feature
Regression
Detection Extraction
16b Classifier

High-
16 channels

voltage-
AVDD(1.8v)

DVDD(1.8v)

tolerant Wireless Power and Data Telemetry


stimulus
LSK
driver De/Encoder
mod. 2X/3X
Active
LDOs Rectifier
IPG case Current Adaptive
Regulated Charge
DAC Pump CDR
BPSK
Charge Pump input (3V)
demodulator

Closed-Loop Neuromodulation SoC

Bilateral Data Transceiver


BPSK
LSK

Decoder/ BPSK LSK


Encoder
skin
Mod. Demod.

high-Q Coil
Class-E
PA

External Control System

Fig. 1 Block diagram of the proposed closed-loop seizure control SoC and external control system
19 Closed-Loop Neuromodulation System-on-Chip (SoC) for Detection and. . . 387

Once the seizure is detected, the BSP sends a stimulation control signal to activate
the HVTS in order to generate biphasic stimulation currents to suppress abnormal
brain activities. Moreover, the BSP also resets the memory. Thus, each seizure
detection result is independent, which can avoid instability of the closed-loop
system. To achieve a constant stimulation current over a wide impedance range of
electrodes-tissue interface, the adaptive regulated charge pump, the current digital-
to-analog converter (DAC), and high-voltage-tolerant stimulus drivers are used (Ker
et al. 2013; Luo et al. 2017; Luo and Ker 2018). The adaptive regulated charge pump
generates a high voltage up to 12 V without gate reliability issues in low voltage
CMOS process. The output voltage is regulated by pulse-frequency-modulation
(PFM) feedback. The maximum output stimulation current is 3 mA. The control
clock of each charge pump stage has a phase shift from each other, which can reduce
the maximum peak current from 3 V supply voltage provided by the 2X/3X active
rectifier. The adaptive supply voltage control technique is used in the adaptive charge
pump, which can reduce the conduction loss on high-voltage-tolerant stimulus driver.
The external control system consists of a bidirectional data transceiver and a
class-E power amplifier (PA). The detection and stimulation parameters in the BPSK
form and the power of SoC are transmitted from the external control system to the
SoC wirelessly. The BPSK data is demodulated by the BPSK demodulator whereas
the power is regulated by the 2X/3X active rectifier and the low-dropout regulators
(LDOs). The seizure detection result and the recorded ECoG in the LSK form are
transmitted back from the LSK modulator of SoC to the external control system
through the same coil.

Circuit Design

The circuit implementation can be divided into four different parts: NSAU (neural-
signal acquisition unit), BSP (biosignal processor), 16-channel HVTS (high-voltage-
tolerant stimulator), and wireless power/data telemetry unit.

Neural-Signal Acquisition Unit (NSAU)


As shown in Fig. 2, the 16-channel NSAU consists of 16 input protection circuits,
AR-CSCCIAs, PTGAs, a MUX, a TIA, a ten-bit delta-modulated SAR ADC, a
ripple reduction loop (RRL) global control, and a clock controller (Wu et al. 2018).
The ECoG signals sensed from the electrodes through the input protection circuit are
amplified by the AR-CSCCIA with 45-dB gain and the PTGA with a programmable
gain of 5/15/25 dB for patient-specific ECoG signal acquisition. The PTGA also
converts differential voltage ECoG signals into single-ended current signals for the
MUX so that the fast channel selection on current signals can be achieved in the
MUX. The TIA is used to convert input current signals into voltage signals and drive
the capacitor array of delta-modulated SAR ADC. Since the channel multiplexing
frequency is 32 kHz, the TIA output should be settled down before SAR ADC
sampling, which means that the maximum settling time is 7.8125 μs. Considering
the linearity and dynamic range, the current-based topology is better than the voltage
388 M.-D. Ker and C.-H. Cheng

Gain control
DMSAR ADC
16 channels Classifier

DAC
Memory

input AR- MUX TIA 10b


protection PTGA
CSCCIA
Circuit (IPC)

ADC
SAR
CH_SEL
CH_sleep
Chopper CLK RRL CLK ARU CLK

RRL Global Control Clock Controller

Fig. 2 Structure of the NSAU (Wu et al. 2018)

topology. The PTGA is designed by using the source degeneration circuit. Since the
signal paths among PTGA, MUX, and TIA are of current signals with low imped-
ance, high slew rate, high dynamic range, high channel selection rate, and short
transient time of PTGA can be achieved. In the proposed closed-loop seizure control
system, the NSAU, which senses the ECoG signal, has to share the same electrode
with the HVTS, which delivers the constant current stimulation to suppress the
seizure onset. During the stimulation, the gate-oxide overstressing caused by the
high voltage generated by the HVTS on the MOS devices in the NSAU should be
avoided. To prevent this overstressing, an input protection circuit is designed by
using high-voltage-tolerant switches and self-adaption bias circuits (Wu et al. 2018).
Figure 3 shows the architecture of the AR-CSCCIA, which is composed of an
operational amplifier (OP1), an RRL, an offset reduction loop (ORL), an auto-reset
unit (ARU), and a bandpass filter (BPF). To filter out the flicker noise while
amplifying the ECoG signal, the chopper modulation technique is used. The input
modulator CHin is placed after the input capacitors CIN to achieve high input
impedance and good electrode dc offset rejection capability. Since the signal is
demodulated back to the baseband before reaching the output, the output dominate
pole and the bandwidth (BW) of OP1 can be designed as small as that of the
amplifier without chopper modulation. Figure 4a shows the schematic of
AR-CSCCIA. The inverter-based cascode OP amplifier OP1 uses both PMOS and
NMOS transistors as input pairs to form the inverter-based cascode structure and
achieve a better noise-efficiency factor (NEF). The RRL and the ORL are designed
to reduce the chopper-induced artifacts of chopper ripple and input-referred offset,
respectively (Wu et al. 2018). Without the ORL, the offset of AR-CSCCIS caused by
the mismatches of input chopper switches and parasitic capacitors at the virtual
ground nodes of OP1 would be amplified by chopper modulation. Then, the output
offset voltage might cause the undesired gain degradation and dc-operating point
shift of the PTGA. Because of the stimulation artifacts and interfaces, the
AR-CSCCIA may be saturated and recovered slowly. To improve this situation, an
ARU is added in the AR-CSCCIA by comparing the output voltage of the
19 Closed-Loop Neuromodulation System-on-Chip (SoC) for Detection and. . . 389

Fig. 3 Architecture of the AR-CSCCIA

AR-CSCCIA with the preset voltages. Once the output voltage exceeds the preset
margin, the gate voltage of the pseudoresistors RFB in Fig. 3 is shorted to ground to
provide a fast reset path. With the ARU, the stimulation artifact is settled down in
9 ms. A source degeneration architecture is used in the design of PTGA as given in
Wu et al. (2018). The circuit is shown in Fig. 4b. When the channel is deselected, the
power control gate is turned off (CH_sleep ¼ 1.8 V) to save the power dissipation of
the deselected PTGA. When the channel is selected and the power control gate is
turned on, the transit time is designed to obtain the output with low distortion. Since
the interface between the PTGA and the TIA is at low impedance, it can achieve a
high slew rate, low transit time, and small output swing. The small output swing
benefits to the linearity of the amplifier (Chen et al. 2014). Note that the mismatch
issue of PTGA and TIA is less significant because the preceding AR-CSCCIA has
the high enough gain of 45 dB. The NSAU provides a three-step gain (50, 60, and
70 dB) for patient-specific signal scaling. The high-pass corner is at 0.59 Hz and the
low-pass corner is at 117 Hz. The NEF of AR-CSCCIA is 3.78.

Biosignal Processor (BSP)


Complex analysis such as the approximate entropy (ApEn) has proven to work well on
distinguishing from electroencephalography (EEG) signals during wakefulness and
seizures. The ApEn is a measurement that quantifies both regularity and predictability
over time-series data. The time-series signals containing many repetitive patterns have a
390 M.-D. Ker and C.-H. Cheng

a VDD

Vb0 OP1 ORL RRL

M1 M2 Global RRL Digital Control

CHin CHout1

Vi- Vb1
M3 M4
VO+ Sample Switch and Sense VO+
Vi+ Comparator
VO-
Vb2 MOPR1 MOPR3
M5 M6 VHP3

CHout2 MOPR2 MOPR4


CORL
7b current DAC

14b Local
M7 M8 CHORL RRL
Digital
Vb3 M10 CMFB MO1 MO2 Control
M9 VCMO MO0
VbORL
VL1-VL7 VR1-VR7

b
CH_sleep
Mgmclk

Mgm4 Mgm5

Io_gm

Vgmi+ Mgm2 Mgm3 Vgmi-

R G0

R G1/2 V SW_GAIN R G1/2


1

R G2/2 V SW_GAIN R G2/2


2

Mgm0 Mgm1
Vgmb

Fig. 4 (a) Schematic of the AR-CSCCIA; (b) schematic of PTGA (Wu et al. 2018)

relatively smaller ApEn. Conversely, the less predictable process has a higher ApEn.
Because of the fact that the periodic signal components of seizures reduce the com-
plexity levels and the ApEn significantly, the ApEn is utilized to analyze the complexity
19 Closed-Loop Neuromodulation System-on-Chip (SoC) for Detection and. . . 391

Fig. 5 (a) ApEn and spectrum features in seizure onset waveforms of human; (b) seizure detection
algorithm

of ECoG signals. Figure 5a shows the ApEn value versus the time. It can be seen from
Fig. 5a that during the seizure onset of human, the ApEn value is nearly zero.
However, only the feature of ApEn value for the seizure detection cannot achieve
enough accuracy because artifacts and slow-wave sleep waveforms may have lower
ApEn values. The ECoG spectral analysis based on short-term Fourier-transform
shows that the seizure has a large spectral power in 7–9 Hz and 14–18 Hz bands as
observed in the epilepsy experiments of rats. However, the human epilepsy is much
more complex than the rat epilepsy. The power spectral density in frequency bands
from 4 to 64 Hz shows significant changes during the human epileptic seizure onset.
Thus, the average power spectral densities over the 4 Hz intervals are calculated in
the 4–64 Hz band as 15 features. As shown in Fig. 5a, the band power distribution of
4–64 Hz is obvious during the seizure onset of human. Thus, the 15 features of
average band power spectral density can be extracted. The decimation-in-frequency
(DIF) fast Fourier transform (FFT) is used for the hardware implementation to
calculate the power of specific frequency bands. In the calculation of the overall
392 M.-D. Ker and C.-H. Cheng

feature of power spectral density, the multiple outputs of FFT in the specific
frequency bands are multiplied by weighting factors and summed up together.
The seizure detection algorithm is shown in Fig. 5b where the extracted
features of ApEn and power spectral density from FFT are fed into the ridge
regression classifier (RRC). The RRC method finds a best fitting linear model
that minimizes the mean square error between the system output and the
desired output. Since the output of linear model is the weighted sum of
input features, it is suitable for hardware implementation with reduced
computational cost.
Figure 6 shows the hardware implementation diagram of BSP where the
128-point DIF FFT and mean band power are used to calculate the power spectral
density. The entropy calculation is used to calculate the ApEn. The power spectral
density and ApEn are then processed by the RRC. The RRC determines the seizure
onset channel within 0.36 ms calculation time.

BSP Data Signals Input / Output Signals Setting Signals

Mean Band Power 128-point DIF FFT


N=2 N=32
y[94] y[80] N=2 N=64
N=32 Radix-2
y[62] y[48]
N=2 N=32 N=128
y[126] y[112] N=2 N=64
N=2 N=32
y[1] y[8]
>>2 >>2 SRAM SRAM
(128x26 bits) (128x26 bits)

SRAM
w15 w1 w0 ADC
(2048x10 bits)
Ridge
Regression Register Files
0 Decoder
Classifier (1 x 443 bits)

Entropy Calculation
HVTS
CM1 C1P u(i)
CM2 r u(j)
Encoder
Divider
CM3 u(i+1)
Offline Training 2r u(j+1)
CM4 C2P
W=(XTX+αI2)-1XTT

Fig. 6 Hardware implementation of the biosignal processor


19 Closed-Loop Neuromodulation System-on-Chip (SoC) for Detection and. . . 393

The training of the regression classifier was performed in an off-line computer by


using the recorded ECoG data of five patients to extract the 16 weights and the
threshold of the regression classifier. Then, different recorded ECoG data of the five
patients were used in the testing stage. The accuracy, sensitivity, and specificity were
obtained through the average of the results from the five patients. The accuracy,
sensitivity, and specificity are 97.8%, 96.0%, and 100%, respectively.

High-Voltage-Tolerant Stimulator (HVTS)


Figure 7 shows the architecture of 16-channel HVTS (Ker et al. 2013; Luo et al.
2017; Luo and Ker 2018), which consists of a three-stage adaptive regulated charge
pump, a current DAC with the triode indicator, a decoder, and the 16-channel high-
voltage-tolerant stimulus drivers. The biphasic stimulation current pulses are adjust-
able from 0.5 mA to 3 mA. The structures of adaptive regulated charge pump and
high-voltage-tolerant stimulus driver are shown in Fig. 8. In the adaptive regulated
charge pump, the output voltage is proportional to the output clock frequency of a
four-phase clock generator. It uses the PFM feedback to generate the regulated
output voltage of 4xVDD. The PFM feedback consists of a four-phase clock
generator, a voltage-controlled oscillator (VCO), and an error amplifier. By applying
phase-shift clock control scheme, the control clock of each charge pump stage has a
phase shift different from each other. This can decrease the peak current from supply
and enhance the transient response of the wireless power telemetry.
To integrate with other circuits in the SoC and decrease the mask cost, the HVTS
is implemented in a 180 nm low-voltage process. The problems of gate-oxide

Interface Impedance
Overall Architecture of High-Voltage-Tolerant Stimulator
Cathodic node

Adjust AMP[2:0]
Adaptive Regulated Current DAC with
Charge Pump 4×VDD Triode Indicator Rf CDL

Region3
RS
Region2
Region1
Region0 Anothdic node
Read Out_En channel3
channel2
CAT channel1
16 bits channel0
ANO
Decoder

High- Amplifier in NSAU


DISCHARGE Electrode
Voltage-
R[1:0] Tolerant
16 bits
C[2:0] Stimulus Read Out
PRI Driver

16 channels

Fig. 7 Architecture of the high-voltage-tolerant stimulator (HVTS) (Luo et al. 2017; Luo and
Ker 2018)
394 M.-D. Ker and C.-H. Cheng

VDD
4×VDD
3-Stage Charge Pump

RF1
Error amp.
4-Phase Clock -
VCO
Generator +
RF2

Adaptive Regulated 3-bit RDAC and


Digital Controller
Charge Pump (CP) Adjust

4×VDD

High-Voltage-Tolerant Adjust MC3 Vb1


Stimulus Driver
MC4 Vb2
Triode
indicator

4×VDD S1
M1
Taper Inverter
Self-adaption n1
3×VDD Bias Circuit
M2
MB1
D2
n2
MB5 n7

MB2
M3
nth VDD bias circuit

n3

2×VDD M4 Electorde

n8
M5
MB3
ECoG Signals

D4 n4
MB6 n9 M6
MB4
D1
D3 n5
1×VDD
M7
MD1 n6 MD2

VDD Read Out


S2 M8
I/O device
Taper Inverter

Fig. 8 Structures of adaptive regulated charge pump and high-voltage-tolerant stimulus driver
(Luo et al. 2017; Luo and Ker 2018)

overstressing, hot-carrier effect, and other reliability issues should be considered.


The high-voltage-tolerant stimulus driver is designed to solve both reliability and
safety issues.
19 Closed-Loop Neuromodulation System-on-Chip (SoC) for Detection and. . . 395

As shown in Fig. 8, the transistors MB1 to MB6 act as a self-adaption bias circuit
to keep the voltages across each MOS device in the stacked structures within 3.3 V
under 4xVDD (12 V) power supply. The output of the regulated charge pump is
driven by the “adjust” signal. A triode indicator is used to control the regulated
charge pump that provides an adaptive power supply at the 4xVDD node to ensure
MC3 and MC4 operated in the saturation region during constant current stimulation.
Since the voltage on the double-layer capacitor of the electrode is increased gradu-
ally during constant current stimulation, the voltage drop across the transistors MC3
and MC4 becomes less than 0.8 V. Once the cascode transistors (MC3 and MC4) are
out of the saturation region, the triode indicator generates an “adjust” signal to the
regulated charge pump which increases the supply voltage at 0.5 V steps promptly to
keep both MC3 and MC4 in saturation and maintain a constant stimulation current.

Wireless Power and Bidirectional Data Telemetry


It is important for the implanted SOC to have a maximum power efficiency to reduce
the power dissipation and keep the device temperature variation below 2  C
(Implants for surgery 2008). Due to the maximum 3 mA stimulation current, about
90% of power dissipates on the HVTS during the stimulation period. In order to get
the highest power efficiency, minimizing the number of charge pump stages of the
HVTS can increase the power efficiency because higher number of charge pump
stages leads to lower efficiency. Therefore, a new architecture of active rectifier that
can provide both 2 V and 3 V output voltages is adopted. By using the 3 V as the
input, the number of charge pump stages can be effectively reduced and its power
efficiency can be increased.
Figure 9 shows the architecture of wireless power and bidirectional data telemetry
which consists of a 2X/3X active rectifier, two LDOs, a BPSK demodulator, a LSK
modulator, and a De/Encoder. The received AC signal in the secondary coil is
regulated by the 2X/3X active rectifier with delay compensation. The regulated

Downlink

External
Control System
Wireless power and bi-directional
data telemetry VDD3 (3V)
Class-E HVTS
PA Vin
LDOs
LSK m od.

VDD2 (2V) AVDD(1.8v)


2X/3X Active ALDO
BPSK LSK Rectifier
Skin

DVDD(1.8v)
mod. Demod. DLDO

Decoder/
Encoder
BPSK demodulator De/Encoder BSP
Q = 163

Q = 245
VSS
ECoG &
Parameters
detection Uplink

Fig. 9 The architecture of wireless power and bidirectional data telemetry


396 M.-D. Ker and C.-H. Cheng

2 V is connected to ALDO and DLDO for analog and digital power supply,
respectively. The regulated 3 V provides the input voltage of HVTS.
The circuit structure of the 2X/3X active rectifier is shown in Fig. 10, which
consists of a start-up circuit, a NMOS active diode, two PMOS active diodes, two
delay-compensated comparators (DCMPH and DCMPL) with delay compensation
control, a level shifter, and off chip filtering capacitors.
In Fig. 10, the voltage level of the output voltage Vg02p of DCMPH is from 0 V to
VDD2 (2 V). Since the I/O device can handle 3.3 V in 0.18 μm CMOS technology, a
simple level shifter can be designed by using I/O devices to convert the voltage level
from VDD2 to VDD3 (3 V) without device overstress issue. It converts the voltage
level and enhances the driving ability to drive the large input capacitance of power
transistor MP2.
To minimize the device size for implantation, only one pair of coils for both
wireless power and bidirectional data telemetry is adopted in the proposed implanted
SoC. The high-Q coils are used to achieve a high wireless PCE since the energy can
be stored at the specific frequency of 13.56 MHz. The inductances and Q values of
TX and RX coils are significantly increased by the permeability of the ferrite core.
Thus, the efficiency can also be increased. The resonant inductive link with ferrite
core achieves 76.3% power transfer efficiency as reported in Wu et al. (2014).

VDDA
DP2 VDD2
Start-up Constant GM
circuit
EN bias circuit
Vc1
+ VDP - VDD3
MP2
EN +
Vg03p
Cs1 Vm-VDP
Skin

Level -
shifter
Cs4

DP1
DCMPL
VDD2
EN
+ +
- MP1
Cs2
Vm-VDP Cs3 VDP 2Vm-VDP-VDN
- + Vg02n -
Vg02p DCMPH
EN
MN1

Vlower VSS
- VDN +

DN
I/O device

Fig. 10 The circuit structure of 2X/3X active rectifier


19 Closed-Loop Neuromodulation System-on-Chip (SoC) for Detection and. . . 397

Since the pair of coils has high Q values of 245 in primary and 163 in secondary,
the data channel capacity is decreased. Therefore, the uplink and downlink data rates
are designed to 106 Kbps and 211 Kbps, respectively. When a downlink BPSK data
transition occurs, the secondary coil requires a few cycles to invert its phase. Under
this situation, the edge of BPSK signal is not significant and the conventional edge-
detection BPSK demodulators cannot be applied. Thus, the PLL-based edge-detec-
tion BPSK demodulator is proposed as shown in Fig. 11a where a PLL is used to
lock the carrier frequency. The source-switching topology is used in the charge pump
to guarantee a lower charge sharing when the switch is turned on. The loop-
bandwidth of PLL is designed at 900 KHz to optimize phase noise performance.

PLL-based edge-detection BPSK Demodulator


2X/3X
BPSK Phase- Voltage- BSP CLK
Active Charge Pump
Rectifier input Frequency
& Loop Filter
Controlled BSP
Detector Oscillator

Frequency
Divider
Trigger Data Demod.
Decoder
Detector Recovery BPSKdata

Reset

Clock Demod.
Recovery BPSK clock
(211KHz)
b
VDD VDD V
RESET V DD VDD VDD
MVCOb2 MVCO4 MVCO5
MVCOb1 MVCOc1
IVCO

MVCOc2 MVCO9 MVCO11


Vctrl VDD MVCO10

MVCO2
MVCO1
Ibias Vx

MVCO3 MVCO6 MVCO7 MVCO8

Fig. 11 (a) Block diagram of PLL-based edge-detection BPSK demodulator; (b) schematic of the
voltage-controlled oscillator in the proposed PLL-based edge-detection BPSK demodulator
398 M.-D. Ker and C.-H. Cheng

In Fig. 11a, the phase-frequency detector can sense the phase change and generate
phase difference signals when the data change. A trigger detector is designed to
detect the output of phase-frequency detector and generate a trigger signal when the
phase difference signals exceed a preset threshold. The data recovery is composed of
a D flip-flop which inverts the current data when a trigger signal is generated. The
output of data recovery is sent to the decoder. The clock recovery is composed of a
divided-by-64 frequency divider. The input of the clock recovery is the carrier signal
(13.56 MHz), and its output generates a clock frequency of 211 KHz. Due to the
change of carrier frequency during the data transition, the clock recovery requires a
reset signal, which is the trigger signal from the trigger detector, to prevent non-
synchronization of data and clock.
Figure 11b shows the schematic of voltage-controlled oscillator in the proposed
PLL-based edge-detection BPSK demodulator. MVCO6-MVCO11 are the inverter
chain for oscillating. To eliminate the process-variation-induced frequency shift
due to which the trigger detector failed to detect the data edges, a current control
unit composed of MVCO1-MVCO3 is designed to convert the input control voltage
Vctrl to the current IVCO supplied to the VCO to control its output frequency. When
the control voltage Vctrl is fed from the loop filter, Vx in Fig. 11b can be written as
rffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi
2Ibias
VX ¼ Vctrl  Vth  ð1Þ
βMVCO2

where Ibias is the constant bias current of the VCO, βMVCO2 ¼ μCox(W/L), and μ,
Cox, W, L, and Vth are the mobility, gate capacitance density, width, length, and
threshold voltage of the MOS device MVCO2, respectively. Since the bias current
Ibias is a constant value, Vx is linearly proportional to Vctrl. Thus the current IVCO fed
to the inverter chain can be expressed as
ffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi
s ffi!2
1 2Ibias
IVCO ¼ βMVCO1 VDD  Vctrl þ ð2Þ
2 βMVCO2

It can be seen from Eq. (2) that the current is not sensitive to Vth which can reduce
the process variations. The tranconductance Gm and the VCO gain KVCO of the VCO
can be expressed as
 rffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi
2Ibias
Gm ¼ βMVCO1 VDD  Vctrl þ ð3Þ
βMVCO2

Gm
KVCO ¼ ð4Þ
2NCout Vsw
where the N is stage number of the inverter chain, Cout is the output capacitance of
each stage in the inverter chain, and Vsw is the output signal swing of VCO. It can be
seen from Eqs. (3) and (4) that Gm and KVCO are not affected by the variations of Vth.
19 Closed-Loop Neuromodulation System-on-Chip (SoC) for Detection and. . . 399

The LSK modulation is adopted to transmit the recorded ECoG signals and the
seizure detection results as the uplink data to the external control system for
monitoring purposes. The data is transmitted by changing the loading of secondary
coil, which causes the amplitude change on the primary coil. Since the loading
change of secondary coil by the LSK modulator decreases the wireless power
transfer efficiency, the pulse modulation is used in this design to maintain high
wireless power conversion efficiency when the LSK data is transmitted.
Figure 12a shows the block diagram of LSK modulator which consists of two D-
flip-flops and an OR gate. The LSK modulator sends a short pulse only when the
LSK data changes. Figure 12b shows the block diagram of LSK demodulator which
consists of an envelope detector, a low pass filter (LPF), a comparator, a Schmitt
trigger, and a pulse demodulator. The envelope of the signal on the primary coil is
detected by the envelope detector. The comparator compares the output of the
envelope detector with its DC level extracted by the LPF to amplify the output of
the envelope detector. Then the pulse demodulator is used to demodulate the uplink

V DD

D Q
LSK data
LSK data
clk
rst

Delay
LSK_pulse
V DD
LSK_pulse
D Q

clk
rst

Delay

Divider LSK demodulated clock


reset

En out
Envelope Cmp out Schmitt Pulse
Comparator LSK demodulated data
Primary coil Detector LPF trigger Sch out Demodulator

Primary coil Cmp out LSK demodulated clock

LSK demodulated data

Fig. 12 Block diagram of the (a) LSK modulator and (b) LSK demodulator
400 M.-D. Ker and C.-H. Cheng

data for the external control system. To synchronize the transmitted uplink data in the
receiver end at bit level, the divided-by-128 divider is used to divide the primary coil
signal at 13.56 MHz and generate a clock at 106 KHz for LSK demodulation. To
eliminate the frequency drift due to the amplitude changes of primary coil signal
caused by LSK data transmission, the reset signal of divider is connected to the
output of Schmitt trigger to maintain LSK data synchronization.

Experimental Results

The closed-loop seizure control SoC and the chip of external control system were
fabricated in 0.18-um CMOS technology with 1.8 V/3.3 V CMOS devices. The chip
areas of the implanted SoC and the external chip are 20 mm2 and 3.38 mm2
(including the ESD pads), respectively, as shown in Fig. 13. Each circuit was tested
separately, and the function of the whole system was verified in both electrical and
animal tests.

Electrical Test
The measured input-referred noise Vn, rms of the fabricated AR-CSCCIA is shown in
Fig. 14. The inputs of AR-CSCCIA are grounded while the output is analyzed by a
dynamic signal analyzer. The measured output noise is divided by the gain of
AR-CSCCIA (45 dB) to obtain the input-referred noise. With the chopper modula-
tion, the input-referred noise of AR-CSCCIA is only 2.09 uVrms integrated in the
bandwidth (BW) of 0.5–117 Hz when the SoC is wirelessly powered. The noise-
efficiency factor NEF of the NSAU is 3.78. The measured spurious-free dynamic
range (SFDR) of the fabricated NSAU is 50 dB as shown in Fig. 15. The measured
SNDR is 48.7 dB, and the effective number of bits (ENOB) of the SoC is 7.8.

Fig. 13 Chip micrographs of the proposed closed-loop seizure control SoC and the external
control system
19 Closed-Loop Neuromodulation System-on-Chip (SoC) for Detection and. . . 401

10-6 2.09μVrms
Input referred noise
spectrum (V/√Hz)

Chopper freq.

10-7

100 101 102 103


Frequency (Hz)
Fig. 14 The measured input-referred noise of the fabricated AR-CSCCIA

0dB (dB20)
-2dB@97Hz

-20dB
Amplitude (dB)

-40dB -52dB
-55dB @194Hz
-52dB
@154Hz
@291Hz

-60dB

-80dB

-100dB

-120dB
100 1K 10K
Frequency (Hz)

Fig. 15 The measured spectrum analysis of the fabricated NSAU

Figure 16 shows the measured biphasic stimulus current at 3 mA and the


corresponding measured adaptive power supply voltage with the measured “Adjust”
signal of the fabricated HVTS as shown in Figs. 7 and 8. It can be seen from Fig. 16
that the adaptive power supply can be pumped and stepped up from 8 V to 11.5 V
following the “Adjust” signal generated by the triode indicator. Since the voltage on
the double-layer capacitor of the electrode is increased gradually during constant
402 M.-D. Ker and C.-H. Cheng

Current (mA)
3.0
1.5 Biphasic Stimulus Current +3mA
0.0 0mA
-1.5 -3mA
-3.0

2
Voltage (V)

Adjust
enable
1
Adjust
0

12
Voltage (V)

11.5V
9
6 Adaptive Power Supply 8V

3
0
0.5 1.0 1.5 2 2.5
Time (ms)

Fig. 16 Measurement results of the fabricated HVTS with adaptive supply voltage control

current stimulation, using the adaptive power supply can decrease the conduction
loss of the high-voltage-tolerant stimulus driver and increase the power efficiency of
HVTS to 54% from the original 37% with a fixed 4xVDD of 12 V, both under the
stimulus current of 3 mA. The overshoot current is about 0.2 mA, which is within the
safe stimulation range.
Figure 17 shows the measured secondary coil input waveforms, rectifier output
voltages VDD3 and VDD2, and the output voltage AVDD of the fabricated 2X/3X
active rectifier and ALDO as shown in Figs. 9 and 10. It can be seen from Fig. 17 that
3 V (VDD3) and 2 V (VDD2) can be generated by the active rectifier whereas 1.8 V
(AVDD) can be generated by the ALDO to provide a stable supply voltage for the
analog circuits of SoC. The measured power conversion efficiency of 2X/3X active
rectifier is 80.8%, and the measured ripples of VDD3, VDD2, and AVDD are
50.4 mVpp, 40.2 mVpp, and 39.2 mVpp, respectively.
For the data transmission, the downlink data in BPSK form as demodulated by the
proposed PLL-based edge-detection BPSK demodulator are shown in Fig. 18 where
the generated 3 V by the 2X/3X active rectifier is also shown. This verifies the
capability of simultaneous downlink data and power transmission. The measured
power conversion efficiency of 2X/3X active rectifier during BPSK data transmis-
sion is 76.7% at 1 cm distance. When the input amplitude of the 2X/3X active
rectifier is 2 V, the measured bit error rate of the fabricated PLL-based edge-detection
BPSK demodulator is 105 as shown in Fig. 19.
19 Closed-Loop Neuromodulation System-on-Chip (SoC) for Detection and. . . 403

Fig. 17 Measurement results of the fabricated 2X/3X active rectifier and LDOs

BPSK data
3

Voltage (V)
1

4 -1
VDD3 Demod. BPSK data
Voltage (V)

2
3V

0
Demod. BPSK clock
Voltage (V)

2
211KHz
0
0 15 30 45 60 75 90 105 120 135 150

Time (µs)

Fig. 18 Measurement results of the fabricated PLL-based edge-detection BPSK demodulator

The measured uplink data in LSK form as demodulated by the proposed LSK
demodulator are shown in Fig. 20. The uplink data rate is 106Kbps and the measured
bit error rate is 104. Since the neural signal in the uplink data is below 100 Hz, the
404 M.-D. Ker and C.-H. Cheng

100

10-1
Bit error rate

10-2
Data rate = 211 Kbps
10-3

10-4

10-5

0 0.5 1.0 1.5 2.0

Input Amplitude (Vpp)


Fig. 19 The measured bit error rate of the fabricated PLL-based edge-detection BPSK
demodulator

LSK data
Voltage (V)

1
Voltage (V) Voltage (V)

0
Primary Coil
2

0
Voltage (V)

Demod. LSK data VDD2


2 2

0 0

0 50 100 150 200 250 300 350 400 450 500


Time (µs)
Fig. 20 Measurement results of demodulated data from the fabricated LSK demodulator and the
voltage waveforms on the primary coil
19 Closed-Loop Neuromodulation System-on-Chip (SoC) for Detection and. . . 405

Fig. 21 The measured power dissipation pie chart of the seizure control SoC: (a) the seizure
control SoC operated in standby mode; (b) the seizure control SoC operated in stimulation mode

bit error rate of 104 under 106 Kbps is acceptable according to (Rush and Troyk
2012). When the LSK data change, the amplitude on the primary coil increases and
the proposed LSK demodulator can demodulate the LSK data. The power conver-
sion efficiency during LSK data transmission is 63.8%. The energy-per-bit of BPSK
demodulator and LSK modulator is 1.027 and 0.14 nJ/b with 211 Kbps and
106 Kbps, respectively.
The total power consumption of the proposed SoC is 3.12 mW in standby mode
and 54 mW in stimulation mode. The pie charts of power dissipation in these two
modes are shown in Fig. 21.
The performance summary of the developed SoC is given in Table 1 where
comparisons with that of (Chen et al. 2014; Shoaran et al. 2016; Kassiri et al.
2016, 2017) are also listed.
In the neural recording system (Chen et al. 2014; Shoaran et al. 2016; Kassiri
et al. 2016, 2017), the low-frequency flicker noise is dominant. When the amplifier
bandwidth is increased, the low-frequency noise has lower contribution to the total
input-referred noise. Since the signal bandwidth of Chen et al. (2014) is designed
from 0.1 Hz to 7 KHz which is larger than that in this work, the NEF of (Chen et al.
2014) is lower. It can be seen from the comparison that the developed SoC achieves
highest detection accuracy, highest programmable stimulation currents, and highest
overall power conversion efficiency calculated from the input of primary coil to the
output of 2X/3X active rectifier under the maximum loading currents of 3 mA
(2X) and 16 mA (3X), while only one pair of coils is used for wireless power and
bidirectional data telemetry.

Animal Test
The function of the fabricated closed-loop seizure control SoC in 0.18 μm CMOS
technology was verified with the in vivo animal tests of minipigs. Figure 22 shows
the animal experimental setup where the minipig was implanted with 16-channel
406

Table 1 The performance summary of the developed closed-loop seizure control SoC
JSSC’14 (Chen et al. VLSI’16 (Shoaran JSSC’16 (Kassiri et al. JSSC’17 (Kassiri
2014) et al. 2016) 2016) et al. 2017) This work
Process 0.18 μm 0.18 μm 0.13 μm 0.13 μm 0.18 μm
# of channels 8 16 64 64 16
Input referred noise 5.23 (0.1–7 KHz) 5.9 (10–10 KHz) 4.2 (1–5 KHz) 1.13 (0.01–500 Hz) 2.09 (0.59–117 Hz)
(μVrms) (bandwidth)
NEF 1.77 2.94 6.9 2.86 3.78
Accuracy 92% n.a 88–96% 88–96% 97.76%,
Sensitivity n.a 100% 75% 75% 96%
Specificity n.a n.a n.a n.a 100%
Latency 0.8 s 0.5 s n.a n.a 0.76 s
BSP efficiency 77.91 μJ/(feature ext. + n.a n.a n.a 62.5 μJ/(feature ext. +
classification) classification)
Charge pump stage 5 (38%) No No No 3 (54%)
(PCE)
Stimulation current 30 μA biphasic <818 uA biphasic 10–1000 μA biphasic 10–1350 μA 500–3000 μA biphasic
biphasic
Adaptive stimulation Yes No No No Yes
control
In-vivo object Rat (30 uA) Rat (560 uA) Rat (150 uA) Rat (150 uA) Mini-pig (3 mA)
(stimulation current)
Electrode model (Rs, (Rs ¼ 1–250 KΩ, (Rs ¼ 1 KΩ, (Rs ¼ 1KΩ, Rf ¼ n. a, (Rs ¼ 1 KΩ, (Rs ¼ 3 KΩ,
Rf, Cdl) Rf ¼ n.a, Rf ¼ n.a, Cdl ¼ n.a) Rf ¼ n.a, Cdl ¼ n.a) Rf ¼ 200 KΩ,
Cdl ¼ 4–200 nF) Cdl ¼ n.a) Cdl ¼ 400 nF)
M.-D. Ker and C.-H. Cheng
19

Suitable object Rat Rat Rat Rat Human


Power dissipation 2.8 mW (standby) 14.8uW (standby) 2.17 mW (UWB) 5.8 mW 1.07 mW (delay- 3.12 mW (standby)
(FSK) based UWB) 54 mW (stimulation)
5.44 mW
(VCO-based UWB)
Wireless transmission Dual-band (13.56 M, No Quad-band (1.5 M, Dual-band (1.5 M, Single-band
(carrier frequency) 400 MHz) 916.4 M, <1 G, 3.1–10 G) (13.56 MHz)
3.1–10 G)
Downlink data rate 4 Mbps (0.07 nJ/b) No n.a n.a 211 Kbps (1.027 nJ/b)
(energy per bit)
Uplink data rate 1 Mbps (0.16 nJ/b) No 1.2 Mbps (3.08 nJ/b) 10 M bps (0.01 nJ/b) 105 Kbps (0.14 nJ/b)
(energy per bit) 10 Mbps (0.01 nJ/b) 46 Mbps (0.08 pJ/b)
10 Mbps (2.22 pJ/b)
Power transfer ~8.3% (overall) No 40% (overall) n.a 48% (overall)
efficiency
Closed-Loop Neuromodulation System-on-Chip (SoC) for Detection and. . .
407
408 M.-D. Ker and C.-H. Cheng

Oscilloscope
Compact DAQ
controller
(cDAQ-9136) Recorded
Anodic Cathodic
ECoG
Detection Stim. Stim. Stim.
Parameters Control

SoC Sensing and Stimulation


Electrodes

Fig. 22 Animal experimental setup

planar electrodes on the cortical surface. The seizure onset of minipigs was induced
by injecting penicillin. The SoC was parameterized by a compact DAQ controller
through an input pad. The recorded ECoG by the NSAU, the stimulation control
signal by the BSP, and anodic and cathodic simulation current waveforms by the
HVTS were connected to the oscilloscope through output pads. Figure 23 shows the
waveforms of recorded ECoG, stimulation control signal, and the generated stimulus
currents. It can be seen that the seizure onset is detected and the stimulus current of
3 mA is delivered to the electrode within 0.76 s. After the stimulation, the seizures are
suppressed and the measured ECoG is recovered to the normal state. The closed-loop
function of the proposed closed-loop seizure control SoC is verified successfully.

Human Clinical Trials


The fabricated SoC has been also verified by human clinical trial, and the developed
system has been certified by IEC 60601-1 and IEC 60601-1-2 for safety validation.
Figure 24 shows the screen of the developed system for medical applications, where
the seizure events can be detected by the developed system, and the closed-loop
stimulation is performed by the fabricated SoC. Figure 25 shows the ECoG wave-
form recorded from an epileptic patient without closed-loop stimulation, where the
epileptic seizure duration is about 100 s. Figure 26 shows the ECoG waveform
recorded from the same patient with closed-loop stimulation, where the seizure can
19 Closed-Loop Neuromodulation System-on-Chip (SoC) for Detection and. . . 409

Voltage (V)
Normal Seizure Onset Normal
1.5
Recorded ECoG
1.0

0.5

Voltage (V)
+3 3
Current (mA)

Stim. Control
1
0
Stimulus
Current
-3

0 1 2 3 4 5 6 7 8 9
Time (s)
2
Voltage (V)

Recorded ECoG
1 +3.0

Current (mA)
+3mA +1.5
0 Anodic Stim. 0.76s
0
Cathodic Stim.
-3mA -1.5

-3.0
0 0.25 0.5 0.75 1 1.25 1.5 1.75 2 2.25 2.5

Time (s)

Fig. 23 Measurement results of animal experiment

be suppressed and the seizure duration is reduced to 20 s. The clinical trials have
been conducted on five patients under IRB regulation. With the closed-loop stimu-
lation, the average duration of seizure is reduced by 70%. The total number of
seizures is 21, and the number of closed-loop stimulations is also 21, which means
the seizure-event detection accuracy is 100%.

Summary

A 16-channel closed-loop neuromodulation SoC for human epileptic seizure control


has been designed, fabricated, and verified. In the SoC, a 16-channel NSAU, a BSP,
a 16-channel HVTS, and wireless power and bidirectional data telemetry are inte-
grated together into a single silicon chip. Through in vivo animal tests on minipigs
410 M.-D. Ker and C.-H. Cheng

Fig. 24 Graphical user interface of the developed system

Seizure onset

0 15 30 45 60 75 90 115 Time (s)

Fig. 25 ECoG waveform without closed-loop stimulation

and the clinical trials on human, the closed-loop function of SoC has been success-
fully verified. The measured seizure detection latency is 0.76 s with the accuracy of
97.8%. Up to 3 mA biphasic current stimulation can be delivered through electrodes
to suppress the epileptic seizures. The closed-loop neuromodulation SoC with
seizure detection and biphasic current stimulation has been demonstrated to be a
valuable solution to treat human epilepsy.
19 Closed-Loop Neuromodulation System-on-Chip (SoC) for Detection and. . . 411

Seizure onset

Stimulation

0 15 30 45 60 75 90 115 Time (s)

Fig. 26 ECoG waveform with closed-loop stimulation

References
Al-Otaibi FA, Hamani C, Lozano AM (2011) Neuromodulation in epilepsy. Neurosurgery 69(4):
957–979
Chang EF, Barbaro NM (2011) Epilepsy surgery: the emerging field of neuromodulation.
Neurosurg Clin N Am 22(4):ix–x
Chen J, Moore BCJ (2009) A wireless and batteryless 130mg 300μW 10b implantable blood-
pressure-sensing microsystem for real-time genetically engineered mice monitoring. In: IEEE
international solid-state circuits conference digest of technical papers, 2009, pp 428–429, 429a
Chen W et al (2014) A fully integrated 8-channel closed-loop neural-prosthetic CMOS SoC for real-
time epileptic seizure control. IEEE J Solid State Circuits 49(1):232–247
Fuketa H et al (2014) Organic-transistor-based 2kV ESD-tolerant flexible wet sensor sheet for
biomedical applications with wireless power and data transmission using 13.56 MHz magnetic
resonance. In: IEEE international solid-state circuits conference digest of technical papers, 2014,
pp 490–491
Gigante PR, Goodman RR (2011) Responsive neuromodulation for the treatment of epilepsy.
Neurosurg Clin N Am 22(4):477–480
Implants for surgery – active implantable medical devices – part 3: implantable neurostimulators
(2008). ISO 14708-3:2008(E). International Organization for Standardization (ISO), Geneva
Kassiri H et al (2016) Battery-less tri-band-radio neuro-monitor and responsive neurostimulator for
diagnostics and treatment of neurological disorders. IEEE J Solid State Circuits 51(5):
1274–1289
Kassiri H et al (2017) Rail-to-rail-input dual-radio 64-channel closed-loop neurostimulator. IEEE
J Solid State Circuits 52(11):2793–2810
Ker M-D, Chen W-L, Lin C-Y Load-adaptive bioelectric current simulator. US Patent 8,527,061,
Sept 3, 2013
Lee S-Y, Hong J-H, Hsieh C-H, Liang M-C, Kung J-Y (2013) A low-power 13.56 MHz RF front-
end circuit for implantable biomedical devices. IEEE Trans Biomed Circuits Syst 7(3):256–265
Luo Z, Ker M-D (2018) A high-voltage-tolerant and power-efficient stimulator with adaptive power
supply realized in low-voltage CMOS process for implantable biomedical applications. IEEE
J Emerging Sel Top Circuits Syst 8(2):178–186
Luo Z, Ker M-D, Yang T-Y, Cheng W-H (2017) A digitally dynamic power supply technique for
16-channel 12V-tolerant stimulator realized in a 0.18-μm 1.8-V/3.3-V low-voltage CMOS
process. IEEE Trans Biomed Circuits Syst 11(5):1087–1096
412 M.-D. Ker and C.-H. Cheng

World Health Organization (2006) Neurological disorders: public health challenges. World Health
Organization, Geneva
O’Leary G et al (2018) A recursive-memory brain-state classifier with 32-channel track-and-zoom
Δ2 Σ ADCs and Charge-Balanced Programmable Waveform Neurostimulators. In: IEEE inter-
national solid-state circuits conference digest of technical papers, Feb. 2018, pp 296–298
Rush AD, Troyk PR (2012) A Power and Data Link for a Wireless-Implanted Neural Recording
System. IEEE Trans Biomed Eng 59(11):3255–3262
Shoaran M et al (2016) A 16-channel 1.1 mm2 implantable seizure control SOC with sub-μw/
channel consumption and closed-loop stimulation in 0.18 μm CMOS. In: Proceedings of. IEEE
symposium VLSI Circuits (VLSI-Circuits), Jun. 2016, pp 1–2
Sonkusale S, Luo Z-Y (2008) A complete data and power telemetry system utilizing BPSK and
LSK signaling for biomedical implants. In: Proceedings of IEEE EMBS, 2008, pp 3216–3219
Valentin A, Ughratdar I, Cheserem B, Morris R, Selway R, Alarcon G (2015) Epilepsia partialis
continua responsive to neocortical electrical stimulation. Epilepsia 56(8):e104–e109
Wu C-Y, Qian X-H, Cheng M-S, Liang Y-A, Chen W-M (2014) A 13.56 MHz 40 mW CMOS high-
efficiency inductive link power supply utilizing on-chip delay-compensated voltage doubler
rectifier and multiple LDOs for implantable medical devices. IEEE J Solid State Circuits 49(11):
2397–2407
Wu C-Y, Cheng C-H, Chen Z-X (2018) A 16-channel CMOS chopper-stabilized analog front-end
ECoG acquisition circuit for closed-loop epileptic seizure control system. IEEE Trans Biomed
Circuits Syst 12(3):543–553
Closed-Loop/Bidirectional Neuroprosthetic
Systems 20
Gabriel Gagnon-Turcotte, Olivier Tsiakaka, Guillaume Bilodeau, and
Benoit Gosselin

Contents
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 414
Neural Communication Within the CNS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 415
Processing in the CNS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 416
Interfacing with the Brain . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 418
Bioamplifiers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 418
ADC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 421
Neuro Stimulation Circuits . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 425
Electrical Stimulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 425
Optogenetic Stimulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 430
Closed-Loop Systems . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 431
Neural-Input, Physical-Output . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 431
Neural-Input, Neural-Output . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 433
Neural Decoder . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 434
Spike-Based Decoder . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 435
LFP-Based Decoder . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 440
Neural Network . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 441
References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 443

Gabriel Gagnon-Turcotte, Olivier Hyacinthus Tsiakaka and Guillaume Bilodeau contributed


equally with all other contributors.

G. Gagnon-Turcotte · O. Tsiakaka · G. Bilodeau · B. Gosselin (*)


Department of Electrical and Computer Engineering, Laval University, Quebec City, QC, Canada
e-mail: gabriel.gagnon-turcotte.1@ulaval.ca; olivier.tsiakaka.1@ulaval.ca;
guillaume.bilodeau.3@ulaval.ca; benoit.gosselin@gel.ulaval.ca

© Springer Science+Business Media, LLC, part of Springer Nature 2022 413


M. Sawan (ed.), Handbook of Biochips,
https://doi.org/10.1007/978-1-4614-3447-4_31
414 G. Gagnon-Turcotte et al.

Abstract
The future of brain research lies in the development of new technologies that will
allow to advance our understanding of how this complex organ processes,
integrates, and transfers information. Some of these new technologies can interact
with the brain by recording the inter-neuron communications, decoding these
communications, stimulating brain areas, or retroactively taking actions based on
the communications analysis. A new paradigm that holds promise for the devel-
opment of complex brain machine interface, draws from a parallel utilization of
brain stimulation, electrophysiology, and neural data analysis. These complemen-
tary approaches can be used to close the loop between the neuron signalisation
and some biological or mechanical means, through the utilization of smart
implantable sensors and actuators. Among several future applications in medi-
cine, this approach is envisioned to allow artificial neural connections for
bypassing a deficient neural circuit, induce plasticity, prevent seizures, and
control artificial or biological body limb.
This chapter covers the techniques and circuits commonly used to design closed-
loop/bidirectional neuroprosthetic systems. Among others, it discusses circuits for
the whole neural signal acquisition chain, from the neuron to the bioamplifier and
the analog-to-digital converter. This discussion is followed by the presentation of
neuro electrical and optical stimulation circuits for optogenetic stimulation. The
loop between the neural acquisition chain and the stimulation circuits is closed with
the presentation of closed-loop/bidirectional neuroprosthetic systems. Both systems
with neural-input/physical-output and neural-input/neural-output are introduced,
and digital techniques to decode the neural signal for issuing the proper stimulation
feedback are discussed.

Introduction

Neuroscience and electrical stimulation are advancing rapidly. The growing knowl-
edge in the body perception and actuation mechanisms (visible through all the
dedicated journals), as backed by new technological tools, is helping us toward
elucidating the basic processing in the normal brain. Limbs injuries or losses and
chronic pain are treated nowadays by stimulating the spinal cord (SC) or the
peripheral muscles to improve movement and sensation. These advancements are
also giving way to understanding the pathological brain by influencing the neural
activity for therapeutic purposes. The emergence of vagus nerve stimulation, deep
brain stimulation (DBS), and spike-timing-dependent plasticity as treatments for
epilepsy, Parkinson’s disease, and chronic stroke is becoming standard practice for
neurological disorders (El Hady 2016).
Nowadays, the patient needs to switch on and off the prosthetic or DBS system. In
theory, these systems could grant a greater quality of life if they were adaptative. In
reality, they stimulate continuously and respond only to specific events regarding
selected biomarkers or user-controlled commands.
20 Closed-Loop/Bidirectional Neuroprosthetic Systems 415

This chapter takes a look at the ongoing move from a restrictive strategy: from
where the neuroprosthetic only perceives and reports, or takes a command and
applies the instructions, to the closed-loop paradigm given that the system can
sense and act accordingly. This paradigm leverages multidisciplinary resources to
design neural interfaces that take advantage of the electrical nature of the signals
circulating in the central nervous system (CNS) and the available computing power
to devise self-adaptating systems. Not only because it is how we envisage such
systems but because the feedback principle ensures that the human body functions
fundamentally, from the cell ions balance to the locomotion adjustments. Given that
the brain is a complex computational machine with the neuron as the element to
process inputs and outputs, closing the loop provides the ability to restore/augment
functions. This way directly affects disorders with precision, and thus needs few
training from the user because of the neural dynamics involved. These new tools
hold promise to comprehend basic mechanisms of brain structure to a cellular level
and pave the way for treating neurological diseases.

Neural Communication Within the CNS

The CNS is divided into groups of neurons dedicated to performing specific con-
scious or unconscious tasks such as dilating the pupils, breathing, and ensuring the
motor skills of the limbs of the body. These groups of neurons communicate with
each other, individually or in groups, to function properly by sending each other
electrical stimuli with information that is temporally and spatially encoded. This
Action Potential (AP or “spike”) is the fundamental communication targeted by
neural interface. Figure 1 shows the typical shape of an extracellular AP train
showing the different phases of cell depolarization creating a spike as well as its
duration. In addition to the spikes, the brain generate signals called local field
potentials (LFP), which are made up of the sum of the currents flowing in the
surrounding neurons. Figure 2 shows the typical shape of a recorded LFP signal.
This type of signal is known to have an amplitude higher than spikes and a lower
frequency content.
The challenge in neuroprosthetics is to be able to sort through or decode the
relevant information within the neural communication processes. Existing systems
that succeed in interpreting neural signals are referred to as brain–machine interfaces
(BMIs), brain–computer interfaces (BCIs), and neuromotor prostheses or
neuroprosthetic. Basic restoration of the vision and hearing senses are already in
place through auditory and retinal implants with a unidirectional approach. Typical
solutions revolve around electrodes and amplifier-based circuits to be able to record
the activity of a single or a group of neurons, filter, and digitize the signals. Indeed,
the progress in fabricating microelectrode arrays (MEA) is giving rise to a better
localized DBS with greater spatiotemporal stimulation patterns without damaging
the tissues. Importantly, these new generation interfaces gave an opportunity for
bidirectional communication with the CNS. Thus, such elements that we will discuss
416 G. Gagnon-Turcotte et al.

Depolarisation Repolarisation
phase phase

Rest phase 3 ms
Overshoot

APs
200 μV

1s

Fig. 1 Waveform of an extracellular spike train with different phases, recorded in the brain of a rat

LFP signal

400 μV
0.5 s

Fig. 2 Typical waveform of an LFP signal recorded in the brain of a rat

in this chapter are important. The stimulation and the feedback decoder will also be
seen to grasp the concept of a closed-loop approach.

Processing in the CNS

Contrary to other implanted devices, neuroprosthetics are interfacing directly with


the user’s CNS. A neural system is typically multidirectional because it feeds and
takes information from upstream, downstream, and sideways connections. The base
20 Closed-Loop/Bidirectional Neuroprosthetic Systems 417

computing unit, the neuron, hence reacts in real time to several inputs, including its
own that other connected neurons process and feed back. This chain takes place at
different levels and in-between levels of processing, which makes the organism
continuously react to environmental stimuli. Considering that a single neuron as a
feedforward and feedback device is a complex visualization, that allows us to
perceive advanced tasks such as memory and learning. In this instance, the neuron
not only fires according to its inputs, but also according to its present state, which is
determined by previous inputs and outputs.
Electrical stimulation is used in the brain and in the peripheral nervous system
(PNS) to induce neuronal activation changes in the user. Traditional investigation
techniques have focused on generating the right set of stimuli (e.g., voltage, inten-
sity, frequency, and polarization) for studying the response of a population of
neurons and analyzing the outputs. However, this way considers a population of
neurons as feedforward devices only.
Neuroprosthetics mean to intercept those changes to extract the signal from the
biological source without environmental noise thanks to amplifiers and filters. To
restore or improve one’s ability, three basic types of neuroprosthetics are designed:
neural input and physical output (e.g., arm prosthetic and BMI), physical input and
neural output (e.g., cochlear or visual implants), and neural input and neural output.
The two former types operate in open-loop and do not adequately restore the
function despite their increasing complexity. The reason is that the body relies on
multiple feedback loops. Indeed, these open-loop devices have been recognized to
not achieve good standards and the closed-loop approach is now mandatory
although it poses challenges to multiple disciplines, from the bio-compatibility to
the signal processing and wireless communication. Thus, the feedback loop
approach, or its derivative the bidirectional neuroprosthetic system, is mainly con-
sidered nowadays.
The importance of feedback in the nervous system is highlighted since investi-
gators such as Cannon, Lorente de No, and Ramón y Cajal focused their studies on
the structure of the brain and the underlying neuroanatomy at the center of its
mechanism (e.g., fiber connections and neuronal structure). This effort is now
continued by Buzsáki, with a step further toward the comprehension of cognitive
mechanism (Horch and Kipke 2017).
Taking over the supply chain of information and the decision-making process of
the subsequent action, a functionality normally pertaining to the CNS, is not an easy
feature to implement. Neuroprosthetics can interface at the CNS level (brain and SC)
or at the PNS, for such end. The last configuration is relatively easier as it clearly
identifies the sensitive and motor pathways. However, different biomarkers are at the
center of control strategies for their implementation.
The chapter is organized as follow. In section “Interfacing with the Brain,” we
present the means for interfacing with the brain (e.g., microlelectrodes,
bioacquisition circuits, and analog-to-digital converter (ADC)) and detail the critical
design step following neural signal acquisition. Section “Neuro Stimulation Cir-
cuits” presents techniques for cell stimulation and discusses neuronal activity
manipulation. Section “Neural-Input, Physical-Output” presents an overview for
418 G. Gagnon-Turcotte et al.

closed-loop stimulation with a neural input and a physical output. Section “Neural-
Input, Neural-Output” presents an overview for closed-loop stimulation with a
neural input and neural output.

Interfacing with the Brain

A proper interface must be provided using electrodes to record and/or modulate its
activities. The challenge when going up the chain of the brain-SC-PNS is mainly the
size and the amplitude of the signal to record, where voltage potential changes are to
the tens of micro volts, and to the micrometer scale. The recording of such
low-amplitude signal is usually conducted using high-impedance microelectrodes
(100 kΩ–10 MΩ) implanted in the brain and interfaced with a low-noise amplifica-
tion circuit. Among the types of materials with high impedance constituting the
microelectrodes, glass, tungsten, stainless steel, base alloys platinium, iridium, and
silicon are used. These electrodes can be used according to different topologies,
which vary according to the application or type of experiment to be conducted.
Two methods for recording spikes are commonly used: intracellular and extra-
cellular recordings. Intracellular recording involves inserting the microelectrode
inside the cell (typically a glass micropipette because of its high impedance) and
makes it possible to record the voltage at rest of the cell membrane and spikes from
the axon and the interior of the cell. This type of recording is more difficult to
perform on small neurons and unfortunately damages the cell. Extracellular record-
ing is more suitable for measuring spikes externally to the cell and is compatible with
a wider variety of electrodes. In addition, this type of recording is very suitable for
performing experiments using living subjects. The cells under observations are less
damaged by the electrode. Thus, it is a perfect candidate for use in closed-loop/
bidirectional neuroprostetic device. The risk is important, but the use of more
invasive MEA can cause damage to the neurons and local micro-vasculature. The
high resolution comes at the cost of damaging the blood-brain barrier through
mechanical action and chemical integrity of such electrodes.
The neural interfaces or BMI must make sense of those signals once recorded
through these electrodes. The target is typically a neuron, or a group of neurons, that
fires spikes to communicate with one another. The closed-loop system aims to
intercept those spikes and to devise the correct action to take consequently, such
as nullify a pain signal, move an artificial limb, or stimulate another brain area.
However, the proper bio-acquisition circuit must be designed to acquire the neural
signals with the highest quality for proper feedback control.

Bioamplifiers

Precise and robust bio-acquisition circuits are keys for observing neural microcir-
cuits in vivo. The main challenges when designing a bioamplifier for neural record-
ing are:
20 Closed-Loop/Bidirectional Neuroprosthetic Systems 419

• Low noise: the spike amplitude can be as low as few tenth of microvolts.
• Low power: one of the most important parameter for chronic and implanted
devices.
• Bandwidth (BW): capturing LFP and spikes requires a BW between approxi-
mately 1 Hz and 7 kHz. Such BW requires a high-pass cutoff frequency in the
hertz for the LFP, which is challenging to achieve with integrated components.
For instance, GΩ resistor values are required when dealing with femto Farad
on-chip capacitors.

Fully Differential Topology


Most bioamplifier topology relies on fully differential circuits for the following
reasons. First, an intrinsic dynamic range improvement of 6 dB is obtained, which
is crucial when dealing with low-voltage power supply. Second, with a well-
designed circuit layout, an attenuation of the environmental noise (e.g., interference,
cross-coupling, and 60 Hz) occurs given that the noise sources are applied similarly
on both differential signals. Third, an intrinsic cancelation of the even-order har-
monics occurs, which improves the overall total harmonic distortion (THD). One
should argue that component duplication is needed to achieve a fully differential
design, but the circuit size is not doubled. One of the drawback is the use of a
common-mode feedback circuit, but the advantages of a fully differential neural
bioamplifier topology outweigh the disadvantages.

Classical Topology
Figure 3 shows the classical single-stage fully differential bioamplifier topology for
neural recording. Such topology act as an amplifier, a high-pass filter (because of the
input capacitor and the feedback network), and a low-pass filter due to the intrinsic
Gm-C filter created by the operational transconductance amplifier (OTA) (A1 in
Fig. 3) and its loading capacitance (CL, not shown in the figure). This topology has
one recording electrode and one reference electrode, and the circuit amplifies/filters
the μV signals between both. A grounding electrode (often a simple silver wire) also
exists, which keeps the body at a known potential in a way to keep the input voltages

Fig. 3 Schematic of the


classical fully differential Recording
R
bio-amplifier design electrode C2
C1
- +
A1 -
+
Ref
electrodeC1
C2
Ground R
electrode
420 G. Gagnon-Turcotte et al.

in the range of operation of the bioamplifier. If differential recording is not required


to reduce the number of electrode for instance, then the reference electrode and the
ground can be connected together, and the circuit will amplify the voltage difference
between the body ground and the recording electrode. Such configuration is well
suited for recording with many bioamplifier simultaneously given that a unique
reference electrode can be connected to the input of each bioamplifier, which
requires twice less electrodes.
In the circuit of Fig. 3, the ratio of capacitor C1/C2 sets the mid-band gain Am, and
the high-pass cutoff frequency is determined by the resistor R and the capacitor C2.
Thus, FHp ¼ 1/(2nRC2). The low-pass analog cutoff frequency is set by the loading
capacitance and the transconductance Gm of the OTA, that is, FLP ¼ Gm/(2πAmCL).
One of the
  main parameters in a neural bioamplifier is the input-referred
 noise (IRN)
V 2in,rms , which is closely related to the IRN of the OTA V 2n,ota,rms . Notably,
V 2in,rms relates to V 2n,ota,rms by the following formula (Harrison 2003):

 2
C1 þ C2 þ Cin
V 2in,rms ¼  V 2n,ota,rms ð1Þ
C1

As shown in (1), the input capacitance of the OTA, Cin, increases the IRN of the
whole neural bioamplifier. To decrease the effect of Cin, C1 must be sufficiently
large, and the size of the input transistors must be limited (proportional to Cin). This
argument is counter-intuitive considering that increasing the input transistors typi-
cally reduces the 1/f noise of the OTA, and a compromise must be made.

Feedback Resistors
One challenge when recording neural signal with on-chip components is to achieve
the proper BW. For instance, implementing on-chip capacitors is area consuming
and does not allow the creation of large capacitors of a few tens of pF at most. If we
consider the circuit of Fig. 3, for instance, its high-pass cutoff frequency is defined
by FHP ¼ 1/(2πRC2), as covered in section “Classical Topology.” Now, if the
targeted neural signal is LFP, then the proper high-pass cutoff frequency to cover
the whole frequency spectrum is of 0.5 Hz. Assuming the CMOS process limits the
creation of capacitor in the pF range, it will require feedback resistors in the range of
the TΩ, or more likely hundreds of TΩ. Resistor with such high values is difficult to
produce with classical material in CMOS (e.g., metal strips and oxyde). An alterna-
tive for generating resistors in the TΩ range using CMOS technology is to design
pseudo-resistors instead by using PMOS, NMOS, or both transistor types.
The main idea is to create a resistive structure using transistors forced in their
subthreshold regions. Many typologies for creating pseudo-resistances exist and
are reviewed in (Sharma et al. 2021). Figure 4 shows one possible implementation
with one PMOS and one NMOS having their gates connected to a tunable signal
and their bulk connected to VDD and VSS, respectively. As shown in
Sharma et  al.(2021), the PMOS in this topology  exhibits
 a nonVxylinear resistance
V xy
of Rp ¼ Ix, p  1  e , and the NMOS Rn ¼ Iy, n  1  e UT , , where UT is
UT UT UT
20 Closed-Loop/Bidirectional Neuroprosthetic Systems 421

Fig. 4 On possible Vtune,p Vtune,n


implementation of a tunable
pseudo-resistor structure

Vx Vy
VDD VSS

the thermal voltage, and Ix,p and Ix,n are the currents flowing the bulk of the
PMOS and NMOS, respectively. Here, Ix,p and Ix,n are non linearly related to the
gate-bulk voltages, and thus, a tunable resistance in the TΩ range can be achieved
(Sharma et al. 2021).

ADC

The ADC plays an important role in designing a neural implant because it brings the
analog signals into the digital domain where they can be processed and analyzed.
However, for neural applications, the bio-amplifier IRN, the THD, and the
unpredictable neuronal background noise limit the maximal achievable resolution
the whole acquisition chain can achieve. Thus, a good ADC topology is one that can
match the performances of the bio-amplifier over a certain BW for the lowest power
consumption.
Many ADC architecture can be used for neural applications. One of the main-
stream topology is the successive approximation register (SAR) architecture. The
high-level concept of this topology is presented in Fig. 5, and it mainly consists of
comparator, a digital-to-analog converter (DAC) and some digital control logic. At
each clock cycle, the SAR logic will set one bit of the DAC output to ensure
convergence as close as possible to the signal at the output of the sample and hold
(S/H in Fig. 5). This topology is simple in general, but its resolution is limited by
many of its internal components. For instance, the DAC requires tight matching of its
internal capacitors, while the comparator requires offset compensation techniques. In
its basic form, the SAR ADC has a fixed resolution for whatever signal is recorded,
such as LFP, spikes, and EEG. Similar to many other ADC architecture, the Nyquist
criterion must be severely respected to avoid aliasing, which has to be considered
when designing the low-pass filler of the bioamplifier.
Another topology that is gaining popularity for neural applications is the Delta-
Sigma (ΔΣ) ADC (Kassiri et al. 2017). In contrary to the SAR topologies, the ΔΣ has
the following advantages (non-exhaustive):

• Intrinsically, they are less strict to the Nyquist criterion because of the
oversampling.
• Intrinsically, they have a tunable resolution by changing the sampling frequency.
• Going from the analog domain to the digital domain requires few analog
components.
• They are robust to the offsets of the comparators.
422 G. Gagnon-Turcotte et al.

Conditionned CLK
S/H -
neural signal
SAR Logic
DAC +

Digital Increment/
Decrement Ready
estimation Data
Critical Converted value

Fig. 5 Schematic of the classical SAR ADC design

The concept of a first-order one-bit ΔΣ is presented in Fig. 6. The idea behind


the ΔΣ is that the integrator tries to reduce the error between the input signal x(n)
and the outputted binary stream y(n). A first-order ΔΣ works as follows: on the
clock signal, the error between x(n) and y(n) (i.e., x(n) – y(n)) is integrated, and the
output of the integrator is fed into a comparator. The comparator output a “1” if
the integrated value is positive, or a “0” otherwise, that is y(n). Then, y(n) is
passed through a 1-bit DAC, which has an output that is fed back and substracted
from the input signal x(n). To say otherwise, at each clock cycle the ΔΣ tries to
reproduce x(n) with a 1-bit binary stream. The relation between the x(n) and y(n)
can be found from Fig. 6 and is
 
yðzÞ ¼ xðzÞz1 þ eðzÞ 1  z1 , ð2Þ

where e(z) is the quantization noise induced by the 1-bit quantizer (the comparator).
The transfer function (1 – z1) has a high-pass behavior and thus leaks few
quantization noise in the lower frequencies, such as at DC y(e j0) ¼ x(ej0). As the
clock frequency is increased, the non-affected BW by e(z) increases also. This
condition leads to a better reproduction of x(z) by y(z) after removing the high-
frequency quantization noise induced by e(z)(1 – z1) by digital low-pass filtering.
Figure 7a shows an example of a quantization noise spectrum produced by a 1-bit
ΔΣ, where the region less afected by the quantization noise is identified. In a
theoretical ΔΣ, the power of e(z) at DC tend toward –1dB, but the thermal noise
of all the internal components of the ΔΣ puts a practical limit. Figure 7b shows a
sinewave sampled by a ΔΣ, in which the sinewave is barely affected by e(z). The
ratio between the ΔΣ sampling frequency ( fsampling ΔΣ ) and the minimal sampling
frequency required to respect the Nyquist criterion ( fsampling) is called oversampling
ratio (OSR), as defined in OSR ¼ fsampling ΔΣ /fsampling. We can find from (2) that the
signal-to-noise distortion ratio (SNDR) of y(z) is defined by the following relation:

SNDR ¼ 6:02N þ 1:76  5:17 þ 30 log ðOSRÞ, ð3Þ

where N is the number of bit of the quantizer (1-bit in Fig. 6). As shown in (3),
doubling the OSR increases the SNDR by 9 dB. The relation linking the SNDR to
the ENOB is of
20 Closed-Loop/Bidirectional Neuroprosthetic Systems 423

Conditionned Integrator One-bit quantizer 1 -bit Converted value


+
neural signal y(n) = x(n) + y(n-1)
x(n) - y(n)
CLK CLK ...100111100110…
Binary stream
1 -bit DAC

Fig. 6 Concept of a classical first-order ΔΣ

-30
1-bit stream of a
-50 -40 sinewave in the
dBFS/NBW [dB]

dBFS/NBW [dB]
spectrum of
-50
-60 interest
Spectrum little
-70
affected by the -60

quantization noise
-70
-80
-80

-90
-90

-100
-100

0 0.001 0.01 0.1 1 0 0.001 0.01 0.1 1


Normalized frequency Normalized frequency
(a) (b)

Fig. 7 (a) Power spectrum of the quantization noise of a ΔΣ ADC (with thermal noise), (b) Power
spectrum of a sinewave quantized by a ΔΣ ADC

SNDR  1:76
ENOB ¼ : ð4Þ
6:02
Thus, every doubling of the OSR results in a theoretical improvement of 1.5 bits.
Figure 8 shows a possible implementation of a first-order fully differential ΔΣ using
switched capacitors (SC). It consists of a typical SC integrator with offset cancel-
ation, which connects the reversed output signal to the capacitors C1 during the clock
phase ϕ2 to produce the subtraction at the input. In such ΔΣ, the limitations come
mostly from the capacitor matching, the limited opamp slew-rate, and the thermal
noise, while the comparator offset is inherently canceled by the integrator and the
feedback loop.
Given that the output of the ΔΣ is oversampled, the signal must be filtered to
remove the quantization noise and further downsampled by the OSR value to reduce
the quantity of data. These operations are shown in Fig. 9a, b, in which 9a shows the
signal of Fig. 7b low-pass filtered, while 9b shows the spectrum of the signal after
decimation, where the original sinewave spectrum has no quantization noise.
When using a simple neural amplifier, like the one of Fig. 3, relying on a ΔΣ ADC
has many advantages. The Nyquist frequency is pushed at a higher frequency
( fnyquist ΔΣ ¼ OSR  fnyquist) because of the oversampling. This feature relaxes the
constraints imposed on the low-pass cutoff frequency of the neural amplifier. Thus,
using the intrinsic first-order Gm-C of the OTA in Fig. 3 is often sufficient. The final
424 G. Gagnon-Turcotte et al.

Vcm Integrator & subtraction


φ2 C3 y(n)
φ2

φ1
Comparator 1-bit DAC
+DACref
φ1 φ1

φ1
C1 C2
-
+
- -
x(n) A +
-
+
+ -
+
φ1 C1 C2 φ1

-DACref
φ2 C3
φ1
φ2

Vcm

Fig. 8 Circuit 1-bit first-order fully differential ΔΣ

-60

-30
-70
-40 1 -bit stream
1 -bit stream
dBFS/NBW [dB]
dBFS/NBW [dB]

-80 after digital


-50
after digital filtering and
-90
-60 filtering decimation by
-70 -100 100
-80 -110

-90
-120
-100
-130
-110
-140
-120

-150
0 0.001 0.01 0.1 0 0.2 0.4 0.6 0.8
Normalized frequency Normalized frequency
(a) (b)

Fig. 9 (a) Power spectrum of a sinewave quantized by a ΔΣ ADC after digital filtering, (b) Power
spectrum of (a) after decimation

low-pass filtering can be realized digitally before the downsampling step. This
feature can also be taken advantage of when one wants to target many types neural
signal BW (e.g., LFP or spikes) with the same circuit. If the neural amplifier is
designed to reach the higher frequency content of the spikes, then the same circuit
can also be used to target the lower-frequency content without changing the low-pass
cutoff frequency and without risking aliasing. For example, if the neural amplifier
provides an analog low-pass cutoff frequency of 7 kHz, then the minimal over-
sampling frequency is fnyquist ΔΣ, min ¼ ~14 kHz. This result means the over-
sampling frequency of the ΔΣ can be as low as 28 kHz. If we suppose that the
circuit will be used to target LFP with a frequency content up to 200 Hz; then,
fsampling  400 Hz to respect the Nyquist criterion, and that an ENOB of 8 bits is
required. Then, using (3) and (4), we can show that OSR  38, which leads to
fsampling ΔΣ  38  400 Hz ¼ 15200 Hz, which is greater than fnyquist ΔΣ, min ¼ ~14
20 Closed-Loop/Bidirectional Neuroprosthetic Systems 425

kHz. Then, the signal can be digitally filtered to isolate the BW of 200 Hz before the
decimation step is performed.

Neuro Stimulation Circuits

Stimulation methods are commonly used along with electrophysiological recording


in BMI or BCI applications to modulate the electrical activity of the cells for
ensuring better interface with the brain. The most widespread stimulation method
is electrical stimulation. It is widely used to generate activity in the CNS and PNS
through an electrode in contact with the tissue (Butz et al. 2018; Kassiri et al. 2017).
It is used in research and clinical applications because it is simple to use given that it
requires only an electrode and a few circuits to modulate the activity in a population
of neuron. A novel approach, optogentics, uses light to modulate neural activity in
neurons expressing photosensitive proteins. This revolutionary method can be more
precise than electrical stimulation because it will target only the area expressing the
photosensitive proteins and allows to generate or inhibit neural activity depending
on the protein expressed and the wavelength of the light used. It is, however, less
accessible given that it requires a genetic modification or a viral vector to express the
photosensitive proteins. Both methods are important in applications, such as BMIs,
BCIs, and neuro prosthesis, and the circuits needed for each method will be detailed
in this section.

Electrical Stimulation

Electrical stimulation consists of injecting charges in neural tissue by passing a


current through an electrode interfaced with neural tissue. With sufficient charges
injected, the membrane potential is depolarized and spikes can be triggered. The
equivalent circuit for electrical stimulation is shown in Fig. 10a. The goal of the
electrical stimulation circuit is to control the amount of charge delivered to the tissue.
This control can be conducted using circuits modulating the charge directly, the
current which is the flow of charges, or the voltage which can represent the current
when the electrode impedance is known. In Fig. 10a, the electrode is represented as a
resistor and the electrode-tissue impedance is represented as a series resistor and
capacitor. The electrode-tissue interface will affect the overall impedance of the
circuit, and it can vary during the course of experiments due to movement or the
tissue response to the electrode implantation. The impedance of the electrode can
vary from 500 to 1500 Ω for DBS (Brocker and Grill 2013), but will vary depending
on the application.
The stimulation pattern also has to be considered when designing a stimulation
circuit. A common stimulation pattern used is the square pulse (Butz et al. 2018).
The pulses used can be cathodic (sink) or anodic (source). Both will trigger spikes if
sufficient charges are injected. Figure 11a shows the effect of a cathodic or anodic
pulse on the nearby neurons and their membrane potential. In both cases, spikes can
426 G. Gagnon-Turcotte et al.

Fig. 10 Circuit representation of an (a) electrical stimulation circuit with electrode model and (b)
an optogenetic stimulation circuit

Fig. 11 (a) Concept of cathodic and anodic electrical stimulation with the resulting membrane
potential and (b) Single and biphasic square pulse stimulation pattern and the resulting voltage at
the electrode. The charge accumulation is highlighted for the single-phase stimulation pattern

be generated, but anodic pulses will need to be approximately five times higher than
cathodic pulses (Brocker and Grill 2013). The reason is that anodic pulses will
hyperpolarize the membrane at the stimulation point, which will not lead to a spike
20 Closed-Loop/Bidirectional Neuroprosthetic Systems 427

generation directly. The adjacent part of the membrane that will get depolarized as a
result of the anodic pulses is shown in Fig. 11a, and it will lead to a higher required
current for the same stimulation. Using stimulation pulses for electrical stimulation is
a common practice, these patterns have some drawbacks. As shown in Fig. 10a, the
electrode-tissue interface impedance can be represented as a resistor and capacitor in
series. This condition is reflected as a charge accumulation in the tissue when
imbalanced pulses are applied. This accumulation results in a voltage drift that can
be damageable to the neural tissue during long-term experiments (Butz et al. 2018).
Figure 11b shows the effect of single-phase pulses (top) on the residual voltage. As a
result, a charge balancing method needs to be implemented to keep the electrical
stimulation safe for the subject. Figure 11b shows an example of biphasic charge
balanced stimulation pattern (bottom). The circuits required for the three stimulation
control methods and for charge balancing are detailed in the following sections.

Constant-Current Circuits
Constant-current stimulation circuits have the advantage of precisely controlling the
charge injected (through the current) regardless of the impedance of the electrodes
and the possible changes in electrode-tissue impedance during stimulation. They are
also simple to implement in integrated circuits because they use mainly current
sources and current DACs. The two main configurations for current-controlled
simulators are presented in Fig. 12a. The figure shows the configuration using two
current DACs to source and sink current to and from the electrode. This configura-
tion uses two separate DACs to allow single-phase and biphasic pulse stimulation as

Fig. 12 (a) Circuit implementation of a biphasic current-controlled stimulator using two current
DACs. (b) Circuit implementation of a biphasic current- controlled stimulator using one current
DAC in an H-bridge configuration
428 G. Gagnon-Turcotte et al.

the ones presented in Fig. 12b. The downfall of these stimulators when implemented
in CMOS technology is that the imperfections of the process will make obtaining
equal current in the source DAC as in the sink DAC impossible. This condition will
result in imbalanced stimulation and will require safety of the charge balancing
circuits. The configuration presented in Fig. 12b partly solves this problem by using
only a current sink and an H-bridge to switch the polarity of the electrode and the
current flow. This configuration uses only a current DAC and four switches to
implement biphasic stimulation. Considering that both phases come from the same
current DAC, the process imperfections will not cause a drift between the current in
each phase. The downfall for these configurations of current-controlled stimulation
is that they are less power efficient than other control methods. The reason is that
voltage is always at the maximum possible value and the electrode voltage will vary
with the current used. For lower current, the electrode voltage will also be lower such
that the excess voltage from VDD to the electrode voltage will be compensated in the
current sources. Ultimately power loss occurs.

Constant-Voltage Circuits
Constant-voltage circuits are the simplest to implement given that the only circuit
needed is an adjustable voltage source directly applied to the electrode. This imple-
mentation is usually conducted using a voltage DAC or simply a voltage source with a
switch to apply voltage to an electrode. This method is simple and efficient. However,
it does not allow to consider the potential change to the total impedance of the
electrode and electrode-tissue interface, which can make the total charge for each
stimulation pulse variable. This condition causes difficulty in precisely controlling
the stimulation current/charge for each pulse. This method is still widely used because
its simplicity compared with other methods (Haas et al. 2018).

Constant-Charge Circuits
Constant-charge circuits can precisely control the amount of charge injected using
capacitors. Figure 13 shows the concept of charge-controlled stimulation circuits. It
is based on a two-phase circuit. During phase 1, the capacitor is charged indepen-
dently from the electrode for a set time, which will lead to a precise charge. During
phase 2, the capacitor is isolated from the source and connected to the electrode to be
discharged through the electrode. This method can be as efficient as the voltage-
controlled stimulation but can control the charge injected as precisely as the current-
controlled stimulators. The main drawback for this kind of circuit is the need for a
large capacitor for each stimulation electrode, which is impractical when designing
with CMOS technology. Thus, charge-controlled stimulation circuits are suitable
only for a stimulator of low channel count.

Charge Balancing Circuits


Charge balancing circuits need to be implemented to protect the tissue from the
residual charge left after stimulation. Passive charge balancing is a method that
brings the constant voltage to zero between pulses without measuring it (Butz et al.
2018). Active charge balancing measures either the charge in each pulse during
20 Closed-Loop/Bidirectional Neuroprosthetic Systems 429

Fig. 13 (a) Circuit implementation of a constant-charge stimulation circuit using two switches and
a capacitor and (b) Phases of operation for the stimulator’s switches and the resulting voltages for
the capacitor and the electrode

biphasic stimulation or the leftover charge after the pulses to bring the residual
charge near zero (Butz et al. 2018).
Figure 14a shows the first passive charge balancing method that uses a capacitor
in series with the electrode to block DC voltage and thus keep the electrode at a safe
voltage. This method is simple and effective. However, it requires large capacitor,
which limits its use in multi channel neurostimulators, and the settle time for the
capacitor can be long, which can limit the stimulation frequency. Figure 14b shows
the second passive charge balancing method, which uses a transistor or switch to
short the electrode to the common voltage. In this way, any excess charge is passed
through the transistor to ensure safety of the stimulation for the tissue. This method is
also simple and effective. However, the design of the shorting switch needs to be
conducted carefully given that the voltage and current will be determined by the
residual charge, which can vary during stimulation.
Active charge balancing circuits are based on measuring the current during
stimulation or the residual voltage after stimulation and using that measure to
bring the residual charge near zero. Figure 15a shows an active charge balancing
method that aims to measure the amount of charge in the first pulse to match the
second pulse to it. It does so by measuring the current or voltage (relates to current
for the same electrode) and integrating it through the first and second phase. The
pulses can be adjusted using this measurement. This can ensure that both phases are
balanced and no residual charge will exist on the electrode.
Figure 15b shows another common active charge balancing method. This method
is based on measuring the residual voltage after each stimulation pattern. If the
residual voltage is not within the acceptable range, then a short pulse is applied to
reduce the offset. This procedure is repeated until the residual voltage is within the
predetermined acceptable range. Both methods are more complex to design than the
passive methods but are very safe during long-term experiments given that they
measure safety for each stimulation pulse. They are also more suitable for use in a
multi-channel neurostimulator designed in CMOS technology given that they use
less area than large capacitors (Butz et al. 2018).
430 G. Gagnon-Turcotte et al.

Fig. 14 Two main passive charge balancing methods. (a) DC blocking capacitor and (b) electrode
shorting

Fig. 15 Two main active charge balancing methods. (a) Measuring the current/voltage during the
first phase of stimulation and integrating this value to obtain the total charge and balance each pulse.
(b) After biphasic stimulation, the comparator measures if the electrode voltage is back to Vcm; if
not, a stimulation pulse is sent. This procedure is repeated until the electrode voltage is back to Vcm

Optogenetic Stimulation

Optogenetic stimulation circuits are based on controlling the current flowing through a
light source. Figure 10b shows the basic principle of an optogenetic stimulation circuit
using an LED and current source to control the light intensity through the LED.
Figure 16 shows an implementation of the current source used to regulate the current
in the LED. On the left, a current reference is implemented using a simple resistor and
20 Closed-Loop/Bidirectional Neuroprosthetic Systems 431

Fig. 16 Example of a circuit to control the current passing through an LED for optogenetic
stimulation. On the left, a constant-current reference based on a resistor and current mirror is
present. On the right, the output stage is implemented using a current mirror and an enhanced output
impedance using an op-amp to regulate the output current more precisely. Two switches are added
after the op-amp to control the stimulation pattern

current mirror. On the right, an output stage is implemented using an enhanced output
impedance current mirror and switches to control the stimulation pattern.

Closed-Loop Systems

Neural-Input, Physical-Output

The closed-loop control efforts are concentrated around the difference between the
measured and the desired output. In contrast to an open-loop system that blindly
stimulates the biological state, the closed-loop stimulation aims to apply neural
stimulation depending on the real-time needs. This error signal dictates the changes
in the parameters of the actions that a neuroprosthetic must take considering that the
feedback gives a response from the tissues. The fundamental nature of such feedback
does not need to be the same as the command. It could be from any modality (e.g.,
electric, optic, and magnetic) so long as it gives a relevant indication in real time for
the system.
Ultimately, these means of observations are used to improve the overall behavior
of any activity. Thus, the advances in optogenetic devices from various teams
(Deisseroth 2011) have been received adamantly by neuroscientists given that they
open a new path for closing the loop and investigating the neural circuitry. Figure 17
shows the basic building blocks of a typical closed-loop neuroprosthetic measuring
neural signals to interpret the right course of actions.
Apart from the technique, the approach of the goal which differs from traditional
science also exists. Given that the device is designed to answer the user’s need and
432 G. Gagnon-Turcotte et al.

Fig. 17 Closed-loop neuroprosthetic measuring neural signals to interpret the right course of
actions

accommodate with his/her habits and daily life activities, one can see how closing
the loop answers the customization problem: from the designer point of view, the
mere performance of the tool that is typically a well-defined goal is overshadowed by
the matching of the user with the device, according to its neurophysiologic and
neuropsychologic states. From the user point of view, a powerful tool is useless if it
is not designed to be manipulated by this specific user. In that sense, closing the loop
benefits to both ends given that it aims to provide a self-tuning tool for the user.

User-Controlled Neuroprosthetics
Lower limb neuroprosthetics typically rely on finger-activated switches to allow
control by the user. This simple input used with an able hand functions gives a clear
signal for the system and can be used to improve the intent identification. However,
signals delivered through switches show a great disadvantage in the access to the
command during daily life activities (e.g., holding a box). Moreover, contralateral-
activated switches presuppose that the condition of the user authorizes to use the able
arm,for example, to control their instrumented arm. It also requires both hands to be
free. Thus, designers use joint gestures, respiration, or even voice control to over-
come this limitation.
Upper limb systems face a motricity problem because the user cannot give such a
cue through voluntary movements. The extent of the condition (e.g., paralysis and
tremors) shapes the design of the system for a suitable custom control. A greater
source of voluntary control is available through myoelectric signals (MES) from the
muscles, even after SC injury (Horch and Kipke 2017). The use the EMG signal as a
low-risk feedback biomarker, for example, can overcome these limitations. User
controlled signals are then decoded with a logic or proportional process. The
20 Closed-Loop/Bidirectional Neuroprosthetic Systems 433

generated action is thus dictated by the magnitude of the input signal or a boolean
command.

Physical Output: Interfacing with the Periphery


When going down the chain CNS-neuronal pathways-limb, the engineer is faced
with afferent and efferent nerves (the neurons that conduct spike to the SC or toward
it and thus named sensory and motor neurons) in place of a sensorimotor center
(i.e., the brain or the SC). Those sensory receptors are responsible to all the inputs to
the environment we take, from the roughness of a surface to the music we are
listening to. On the contrary, motor neurons are responsible for the motions a body
takes to the contraction of a single muscle.
When the neuroprosthetics is designed for the limb, it sees the peripheral nerves
stimulating the muscles, which will push and pull the sensory nerves at a few depth
within the body and thus gives a neuronal feedback. If those tissues cannot give such
information, the system needs a sensory block on the tissues. In some sense, the
afferent and efferent functionalities are to be redesigned.
In the case of a complete arm prosthetic, the eye-hand coordination allows an
open-loop system user to have a sense of the movement. However, the propriocep-
tive and tactile feedback generated by system-wide sensory approaches are essential
in allowing the patient to feel the object and locate its limb in the space.

Neural-Input, Neural-Output

Figure 18 shows a closed-loop scheme commonly used in brain research, where


brain areas are stimulated according to other brain activity. As shown in Fig. 18,
performing brain stimulation in parallel with neural recording to close the loop
requires four essential components: a device that can sense biopotentials and deliver
stimulation within the brain (e.g., electrodes and/or optical fibers), a neural recording
interface, a decoder, and a neuro stimulator. First, an electrode senses the
low-voltage electrical activity of the brain, which has a voltage that is further
conditioned and digitized by a neural recording interface. Then, a closed-loop
scheme can be established between the neural recording interface and the neuro
stimulator through a dedicated decoder. Specifically the digitized neural signal is
passed to an embedded processor, or an off-line computer to assess the activity
patterns, compare the activity with a set point, and issue proper stimulation. The
decoder can be used to trigger stimulation sequences when specific neural patterns
occur. When the neural stimulator is activated, it delivers proper electrical currents to
the stimulation electrode, or to enable the light sources (e.g., laser, LED and μLED)
for optogenetics. Then, the stimulation activates specific neural circuit, which
changes the recorded neural signal. Ultimately, a feedback loop is implemented.
As mentioned above, closing the loop between the neural data acquisition chain
and the neural stimulator often requires a decoder that processes and analyzes the
neural data in real time to make a decision, which is either to stimulate or not. Most
decoders are based on the analysis of either the LFP signals and/or the spikes. The
434 G. Gagnon-Turcotte et al.

Fig. 18 Closed-loop within the brain concept: The electrodes sense the low-voltage electrical
activity of the targeted neurons, with a voltage that is further conditioned and digitized by a neural
recording interface. Then, the digitized neural signal is analyzed by a decoder that issues a
stimulation trigger when specific neural patterns occur

type of signal will affect the decoder architecture, as well as the expected behavior of
the closed-loop scheme.

Neural Decoder

The mathematics model responsible for relating neural activity with the physiologic
function is the decoder. Closed-loop neuroprosthetics tend to lean toward
unsupervised approaches to deal with such complex systems: heterogeneous neural
signals are observed, which leads to the generation of a control response in real time.
20 Closed-Loop/Bidirectional Neuroprosthetic Systems 435

In doing so, this approach expects to build a neural lexicon of real-world interactions,
which is also needed in the encoding process to interact seamlessly with the nervous
system (El Hady 2016). Many techniques have been developed to decode the neural
data in real time, and they range from the simplest spike detection decoder, spike
firing-rate decoder, to more complex spike sorting decoder, and finally decoder based
on the lower-frequency LFP signal from multiple recording site within the brain.

Spike-Based Decoder

The spike-based decoders requires a preprocessing step, which consists of detecting


or isolating the spikes from the background noise, as shown in Fig. 19. Three
common types of algorithm can be used to detect the spikes:

1. Threshold based:
• Positive/Negative (Sodagar et al. 2009)
• Absolute value operator (Obeid and Wolf 2004)
2. Energy based:
• Nonlinear energy operator: NEO(n) ¼ x(n)2  x(n  1)  x(n + 1) (Karkare
et al. 2011)
• Multiresolution Teager’s operator: MTEO(n) ¼ x(n)2  x(n  k)  x(n + k)
3. Filter based:
• Signal convolution with a filter bank
• Absolute value convolution with a filter bank
• NEO convolution with a filter bank

The filter-based detection algorithms are the most power hungry technique
because they requires convolutions with a bank of filters/templates, while the
threshold- and energy-based techniques require a comparison of the output of an
operator (except with the positive/negative where no operator is applied) with a
threshold. Many techniques can be used to compute the threshold:

1. Fixed threshold (Sodagar et al. 2009) h i


jxj
2. A multiple of the maximum absolute deviation: MAD ¼ median 0:6745
(Shalchyan et al. 2012)

Spikes to detect
RAW neural signal

Fig. 19 Spike detection concept. The red spikes are isolated from the background noise
436 G. Gagnon-Turcotte et al.

3. A multiple of the RMS value over a finite window (Breanne et al. 2015)
4. A multiple of the average value produced by the NEO or MTEO operators
(Karkare et al. 2011)
5. A multiple of the standard deviation (Harrison 2003)

Even if the fixed threshold is the most simple technique, each threshold on each
recording channel needs to be manually tuned, which is less practical, specially for
use in a closed-loop/bidirectional neuroprosthetic device where the robustness is
important. The other techniques are adaptive to the noise floor and sudden noise
variations when computed on a finite window of samples and run in real time.

Decoders Based on Simple Detection


Once the spikes are extracted from the raw neural signal, they can be analyzed for
controlling the neural stimulation. The most strait forward type of decoder does not
require a set point and consists of stimulating upon each spike detection. The concept
of this technique is shown in Fig. 20. This technique was used in (Mendrela et al.
2018), in which an electrical stimulation pulse is triggered each time the neural
signal crosses a fixed, predefined threshold. This technique is simple and straight-
forward, but it is highly sensitive to noise and motion artifacts, especially in live
applications. Nevertheless, the robustness of this technique can be improved by
performing real-time spike sorting to prevent a false positive due to noise and other
artifacts (Nguyen et al. 2014).

Mean Firing Rate and PI Regulator/Decoder


Another type of algorithm consists of using a proportional integral (PI) regulator to
control the average firing-rate of the neurons (Newman et al. 2015). As demonstrated
in Newman et al. (2015), this technique can be used to regulate the mean firing rate
with optogenetic stimulation. The average firing rate is computed as follows

Neural
recording
interface
Threshold Decoder
(for fixed values, otherwize
computed by the regulator)

Detect the spikes and triggers a signal for each detection


Stimulation pattern
(one pulse/spike in this example)
Stimulator (optical in this example)

Fig. 20 Concept of a decoder based on spike detection event. For each spike detection event, a
stimulation pattern is issued (optogenetic stimulation is used in this example)
20 Closed-Loop/Bidirectional Neuroprosthetic Systems 437

f ðtÞ ¼ αrðtÞ þ ð1  αÞf ðt  dt Þ, ð5Þ

where dt is the interval at which the f(t) is recalculated, r(t) is the number of detected
spikes during dt, and α is the weighting factor computed as follows: α ≈ 1  edt/τ,
where τ is the time constant, taken as τ ¼ 2.5 s in (Newman et al. 2015).
Then, f (t) is compared to a desired firing rate f* (i.e., the set point), and the error

between the set point and f(t) is computed by substrating  ¼ f  f(t).
 bothI e(t)
Thereafter, the error is fed to a PI controller, PI ðzÞ ¼ P þ 1z1  eðzÞ , where
P and I are the proportionnal and integral gain, respectively. When using
optogenetics stimulation, the output of the PI controller can be used to control the
pulse frequency and duty cycle. For this purpose, two simple formulas are proposed
in Newman et al. (2015): Pulsefrequency(t) ¼ 10  PI(t) + 10 Hz and
Pulsewidth ¼ 5  PI(t)(in ms).

Decoder Based on Clustered Spikes


Another type of decoder makes their stimulation decisions not on the spike detection
events, but on the clustering, that is spike sorting, of each detected spike. When
recording, each neuron will have a unique position compared with the electrode.
When the depolarisation of the membrane of the neuron occurs, it will propagate
from one side of the axon of the neuron to the other side. As a result, the electrode
will sense a different waveform according to its location, and each neuron in the
vicinity of the electrode will be observed as a unique waveform. This phenomenon is
described in Fig. 21. We can then use this behaviour to associate each detected spike
to a specified neuron through a clutering algorithms.

+ + +
- - -

Active region, or
depolarised

----++++++++ ++++----++++ ++++++++----


++++-------- ----++++---- --------++++

Axon Axon Axon


Spike propagation

Fig. 21 Model of a spike recorded with an extracellular electrode. The depolarized region moves
from one end of the axon to the other, which generates a positive, negative voltage and then positive
seen by the electrode, that is a three-phase spike
438 G. Gagnon-Turcotte et al.

The system presented in Nguyen et al. (2014) allows closed-loop stimulation


according to the detected and classified spikes. The algorithm classifies the detected
spikes with a template matching algorithm, which is a computationally intensive
technique that requires a training phase. For instance, in Nguyen et al. (2014), the
templates are extracted from the raw recordings made at the beginning of the
experiment. Then, live spike sorting is performed using the dot product between
the spike waveforms and each template, followed by a normalization step, and the
spikes are assigned to the template having the maximum score of correlation.
In this technique, the set point is the type of waveform, or cluster, that will trigger
stimulation. When an spike is associated with a cluster, a pulse light can be triggered
depending on the cluster type, which means that the user must manually select some
clusters that will trigger a stimulation pulse if a spike is associated with it.
Another decoder approach consists of merging the technique of section “Mean
Firing Rate and PI Regulator/Decoder” and the spikes clustering information.
Merging these two allows to trigger stimulation patterns based on the firing rates
of specific neurons, instead of merging all the spikes together, including the false
detection (i.e., the noise), to calculate the firing rate. This strategy is used in
(Gagnon-Turcotte et al. 2020) along with optogenetic stimulation, where a decoder
counts the number of detected spikes for each cluster within a sliding time window.
Each spike-to-cluster association can be set to trigger a stimulation pattern after a
predetermined amount of occurrences is found within a sliding time window. The
duration of the sliding window can be configured to target numerous types of
recognizable firing patterns, such as spike bursts of varying lengths. Meanwhile,
the stimulation patterns, that is, number of pulses, pulse width, and the stimulation
channel, are configurable by the user.
The on line clustering technique proposed in Gagnon-Turcotte et al. (2020) works
as follows: each detected spike is passed through a Symmlet-2 discrete wavelet
transform, and the resulting coefficients are compressed for wireless transmission.
Then, a subset of the compressed wavelet coefficients is fed to a sorting module,
which treats the subset of coefficients as a vector. The classification algorithm using
this vector is depicted in Fig. 22. When a spike is detected, the distance between its
newly created vector and the cluster average vectors is calculated. If the minimal
distance is greater than some threshold, then a new cluster is created and initialized
with the new vector values. Otherwise, the new vector is associated to the closest
cluster, and its average vector is updated using a moving average calculation. In the
latter case, the distance is computed between the updated cluster average vector and
the other clusters average vectors. If the minimal distance between the cluster
average vectors is less than another threshold, then the clusters are merged together.
Once the detected spikes are clustered, the decoder estimates the mean firing rate
of each neuron inside a sliding time window. Moreover, a stimulation signal is
triggered when the averaged firing rate reaches the set point.
An optimized algorithm to estimate the mean firing rate of individual neurons is
described in Fig. 23a. This algorithm, introduced in Gagnon-Turcotte et al. (2020), is
20 Closed-Loop/Bidirectional Neuroprosthetic Systems 439

Fig. 22 Unsupervised classification algorithm proposed in Gagnon-Turcotte et al. (2020). This


technique groups the closest vectors, that is, some coefficients of a wavelet transform, together.
Then, the result is used to estimate the mean firing rate of individual neurons to issue the proper
stimulation feedback

Digitized neural Spike detection & Spike clustering/ Mean firing rate within a sliding time window & stimulation decision
data compression sorting

Wait for cluster &


Spike time stamp (TNEW)
FIFO empty?
Get the cluster FIFO Yes Push the new time
memory pointer stamp in the FIFO
Dimentionnality No
reduction Read the time stamp (T OLD)
at the top of the cluster FIFO Trigger stimulation
TOLD - TNEW > Sliding time window?

Yes No FIFO size > # of occurrences


for that cluster?
6x6-bits vector Enqueued the time Yes Clear the
Dequeued the FIFO
stamp in the FIFO FIFO
No

(a)

(b)

Fig. 23 (a) Diagram of the closed-loop decoder algorithm proposed in Gagnon-Turcotte et al.
(2020). This decoder counts the number of detected spike and their cluster association within a
sliding time window, (b) example of the decoder in (a), the closed-loop decoder can trigger a
feedback stimulation pattern if three similar spikes from a same cluster are within a 25 ms time
window
440 G. Gagnon-Turcotte et al.

optimized to use only basic first-in first-out (FIFO) structures, and thus, it is suitable
in real-time applications. The algorithm works as follows: each cluster is associated
with a unique FIFO, which contains the latest spike timestamps for that cluster.
When a new spike-to-cluster association becomes available, a memory pointer to the
FIFO structure belonging to that cluster is retrieved. If the FIFO is empty, then the
spike timestamp (TNEW) gets enqueued. Otherwise, the module reads the older
timestamp (TOLD) at the top of the FIFO. If TOLD  TNEW is greater than the sliding
window length, then the top of the FIFO is dequeued. Then, TNEW is enqueued, and if
the FIFO size is equal to the number of spikes required to trigger a feedback
stimulation pattern, then the FIFO is cleared and a stimulation trigger is issued.
An example of this technique is presented in Fig. 23b, in which the set point is
configured to three occurrences of spikes belonging to clusters 1 and 2 in a 25 ms
time window, while the spikes belonging to clusters 3–5 are configured to trigger no
stimulation.

LFP-Based Decoder

Decoder based on the low-frequency neural signals have applications in the treat-
ment neurological diseases, like Epilepsy, and considerable research has been
conducted on early detection of epileptic seizures using this type of signals. Many
algorithms have been developed, but seizure prediction and detection techniques that
use multi-site recordings to quantify synchronization among two or more neural
signals have demonstrated to produce the best accuracy. Indeed, the synchronization
between the low-frequency signals, that is, the LFP, is changing before and during an
epileptic seizure (Netoff and Schiff 2002), which gives a clear indicator for the
upcoming seizures. This indicator can then be used to close the loop by generating
stimulation triggers before and during the epiliptic seizure to alleviate its effects.
This technique is demonstrated in Abdelhalim et al. (2011), in which a decoder
computes a phase locking value (PLV) between two recorded sites in the brain. For
two signals to be synchronized, their instantaneous phase difference must be con-
stant. The first step consists of applying a Hilbert transform on the two neural signals
to obtain their complex representation, which is composed of a real and an imaginary
component: Vlfp1 ¼ R(Vlfp1) + jI(Vlfp1) and Vlfp2 ¼ R(Vlfp2) + jI(Vlfp2). The Hilbert
transform in the discrete domain consists of convolving the digitized neural signal
2
with h[x], where hðnÞ ¼ πn for n even or h[n] ¼ 0 for nodd. Then, the instantaneous
I ðV lf p, x Þ
phase of Vlfp,x can be computed easily: ϕx ¼ tan 1 R V . If the difference
ð lfp, x Þ
between ϕ1 and ϕ2 is constant, Δϕ[n] ¼ ϕ1[n]  ϕ2[n] ¼ const, then a phase
synchronization between Vlfp1 and Vlfp2 occurs.
Once the phase difference Δϕ is computed, an algorithm must be applied on it to
evaluate the phase constancy over time. Statistical evaluation tools can be used to
estimate the level of phase-synchronization between two signals such as mean phase
coherence and entropy index. The approach of (Abdelhalim et al. 2011) is based on
20 Closed-Loop/Bidirectional Neuroprosthetic Systems 441

the PLV computed between 0 and 1 to evaluate the amount of phase-synchronization


defined by the following equation:
vffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi

u"N 1 "
1 u X X
N 1
PLV ½n ¼ t sin ðΔϕ½n  i2 þ cos ðΔϕ½n  i2 , ð6Þ
N i¼0 i¼0

where N is the length of the filter. Once the PLV is computed, PLV [n] is compared
with a fix threshold to detect the upcoming seizure.
This technique has been implemented inside an integrated circuit (Kassiri et al.
2017) along with electrical stimulation to close the loop. In Kassiri et al. (2017), after
a detection event by the decoder, an arbitrary-waveform current-mode stimulation is
applied to the stimulation electrodes with a stimulation pattern specifically chosen
for a given subject.

Neural Network

The use of a neural signal through a neural network for a closed-loop interpretation
opens an opportunity for a feedback. However, it can be directly related or unrelated
given that the response of the CNS can be nonlinear and temporally disconnected to
a pinpointed event. The design of a device to replace (or act as a surrogate to) a
complex process is a great endeavor to tackle with first-order solutions or simple
spike detection techniques. Enforcing the central role of the interpretation to trans-
late and give meaning to the neuronal signal may be incompatible with the dynamic
functions of the brain. Therefore artificial neural network is fit to continuously learn
independently and adapt to the user’s environment interactions (El Hady 2016).
As of today, the body is still considered a too complex machinery to work only on
a part of it to understand it all and designers are focusing on using the whole body to
interpret the intent more accurately. Furthermore, several hundreds of parameters are
available as numerous degrees of freedom (DOF) in multiple input multiple output
systems. They incorporate numerous feedback loop for a self-tuning approach.
However, architectures at present tend to have too many inputs, which causes
redundancy and over-fitting of parameters.

Neural Network Study Case: The Artificial Limb Prosthetic


A physical output neuroprosthesis is embodied by the artificial hand to restore the
lost functional movement. It interfaces with the nerve fibers connected to the muscle
tissues (existing or not) or the neighboring surface muscles giving the intent of
motion. Surface electrode electromyography are currently preferred over implanted
ones because they provide a noninvasive access to the physiological processes
causing the contraction of the muscles. They face selectivity and performance
limitations that the software aims to compensate by classifying different MES
more precisely for more accuracy.
442 G. Gagnon-Turcotte et al.

More intuitive control of the prosthetic is becoming possible through miniatur-


ized electrode arrays implanted in the residual nerves of an amputee to record
electroneurography signals. The study realized by Nguyen et al. (2020) shows the
typical case of artificial limb. With signals of tens of microvolts from combined
individual axons, implanted electrodes require extensive surgery to isolate, and
extract the desired features. Figure 24 shows the surgery and positioning method
of the MEA. The design (a mix of cuff and intrafascicular shanks) records neural
data from single-axon and population of neurons and provide sensory feedback by

Fig. 24 Hardware, acute surgery for placement of the MEA inside the nerve, software processing
flow for motor intent decoding. (Adapted from (Nguyen et al. 2020))
20 Closed-Loop/Bidirectional Neuroprosthetic Systems 443

stimulating the related nerve. This feature is only possible with an improved
selectivity that newer systems are aiming for in the next generation of interfaces.
Figure 24 shows the the level of integration, which enables several computing
blocks to fit in the wearable for minimization need of a full-fledged computer.
Once the signals are pre-processed by classical methods, a neural deep network
trained with the able hand of the subject is in charge of decoding the fine intent of the
control of the prosthetic hand respecting various DOFs, as shown in Fig. 24. Indeed,
extracting and interpreting information from such an amount of data is typically fed
to an artificial network trained to identify real-world intents. The multiple parameters
adjusting continuously within its layers are making it a good candidate for this
application and its functioning resembling that of a brain with artificial neurons. The
choice of a recurrent neural network is also representative as to the degree of
similarity that designers are going after with various feedback loops within the
system itself. However, the use of such neural network makes the work of decoding
and sorting in place opaque because of its end use, the prosthetic. Nevertheless,
neuroprosthetic is getting more precise and more performing with the translational
approach to closed-loop methodology.

References
Abdelhalim K et al (2011) Phase-synchronization early epileptic seizure detector vlsi architecture.
IEEE Trans Biomed Circuits Syst 5(5):430–438
Breanne CP et al (2015) Comparison of spike sorting and thresholding of voltage waveforms for
intracortical brain–machine interface performance. J Neural Eng 12(1):1741–2560
Brocker DT, Grill WM (2013) Principles of electrical stimulation of neural tissue. Handb Clin
Neurol 116:3–18
Butz N, Taschwer A, Nessler S, Manoli Y, Kuhl M (2018) A 22 v compliant 56 μw twin-track active
charge balancing enabling 100% charge compensation even in monophasic and 36% amplitude
correction in biphasic neural stimulators. IEEE J Solid State Circuits 53(8):2298–2310
Deisseroth K (2011) Optogenetics. Nat Methods 8(1):26–29
El Hady A (2016) Closed loop neuroscience. Academic
Gagnon-Turcotte G et al (2020) Smart autonomous electro-optic platforms enabling innovative
brain therapies. IEEE Circuits Syst Mag 20(4):28–46
Haas M, Vogelmann P, Ortmanns M (2018) A neuromodulator frontend with reconfigurable class-b
current and voltage controlled stimulator. IEEE Solid-State Circuits Lett 1(3):54–55
Harrison RR (2003) A low-power integrated circuit for adaptive detection of action potentials in
noisy signals. IEEE Annu Int Eng Med Biol Soc (EMBC’03) 4:3325–3328
Horch KW, Kipke DR (2017) Neuroprosthetics: theory and practice. World Scientific, p 8
Karkare V, Gibson S, Markovic D (2011) A 130-μw, 64-channel neural spike-sorting DSP chip.
IEEE J Solid State Circuits 46(5):1214–1222
Kassiri H et al (2017) Rail-to-rail-input dual-radio 64-channel closed-loop neurostimulator. IEEE J
Solid-State Circuits 52(11):2793–2810
Mendrela AE et al (2018) A high-resolution opto-electrophysiology system with a miniature
integrated headstage. IEEE Trans Biomed Circuits Syst 12(5):1065–1075
Netoff TI, Schiff SJ (2002) Decreased neuronal synchronization during experimental seizures.
J Neurosci 22(16):7297–7307
Newman LP, Fong MF, Millard DC, Whitmire CJ, Stanley GB, Potter SM (2015) Optogenetic
feedback control of neural activity. eLife. https://doi.org/10.7554/eLife.07192
444 G. Gagnon-Turcotte et al.

Nguyen TK et al (2014) Closed-loop optical neural stimulation based on a 32-channel low-noise


recording system with online spike sorting. J Neural Eng 11(4):046005. https://doi.org/10.1088/
1741-2560/11/4/046005
Nguyen AT et al (2020) A bioelectric neural interface towards intuitive prosthetic control for
amputees. J Neural Eng. https://doi.org/10.1088/1741-2552/abc3d3
Obeid I, Wolf PD (2004) Evaluation of spike-detection algorithms for a brain-machine interface
application. IEEE Trans Bio-Med Eng 51(6):905–911
Shalchyan V, Jensen W, Farina D (2012) Spike detection and clustering with unsupervised wavelet
optimisation in extracellular neural recordings. IEEE Trans Biomed Eng 59(91):2576–2585
Sharma K, Pathania A, Pandey R, Madan J, Sharma R (2021) Mos based pseudo-resistors
exhibiting tera ohms of incremental resistance for biomedical applications: analysis and proof
of concept, integration. Integration 76:25–39
Sodagar AM et al (2009) An implantable 64-channel wireless microsystem for single-unit neural
recording. IEEE J Solid State Circuits 44(9):2591–2604
Current-Based Neurostimulation Circuit
and System Techniques 21
Rohollah Shirafkan and Omid Shoaei

Contents
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 446
Constant-Current Stimulators . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 448
Quasi-constant-Current Stimulators . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 453
Pulsed-Current Stimulators . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 456
Other Waveforms . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 457
Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 461
Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 468
References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 468

Abstract
Electrical stimulation is one of the most commonly used and effective approach in
various biomedical applications including neuromuscular pain relief, deep brain
stimulation (DBS), cochlear and retinal implant, etc. Current-mode stimulators
are usually preferred in electrical stimulation because of their controllability on
the delivered charge to the tissue. In addition to the conventional rectangular
constant-current stimulation which is a well-established approach in research and
industry for many years, other kinds of current stimulation waveforms including
quasi-constant, chopped pulse, rising and falling exponential, Gaussian, half-sine,
etc. are used and reported in literature recently. This chapter investigates several
techniques for implementing different kinds of current-mode stimulators with its
focus on the shape of the stimulation current waveforms they generate. The
impact of each shape on the efficacy of the stimulation is briefly reviewed, and

R. Shirafkan · O. Shoaei (*)


Bio-Integrated Systems Lab, School of Electrical and Computer Engineering, University of Tehran,
Tehran, Iran
e-mail: r.shirafkan@ut.ac.ir; oshoaei@ut.ac.ir

© Springer Science+Business Media, LLC, part of Springer Nature 2022 445


M. Sawan (ed.), Handbook of Biochips,
https://doi.org/10.1007/978-1-4614-3447-4_42
446 R. Shirafkan and O. Shoaei

various circuit techniques to implement each waveform are investigated. The


reviewed techniques are compared to each other, and the results are comprehen-
sively brought in a table.

Introduction

Electrical stimulation is widely used for variety of biomedical applications in order


to excite neuronal cells. Different kinds of neural disorders like Parkinson’s disease,
essential tremor, and dystonia have been rehabilitated by electrical stimulation from
which one of the most widely used is deep brain stimulation (DBS) (Oluigbo et al.
2012). There are two main categories of electronic circuits for electrical stimulation:
voltage-mode stimulation (VMS) and current-mode stimulation (CMS). In the VMS
technique, a constant voltage is applied to the electrode, while in the CMS technique,
a known current is injected into the tissue usually by making use of a current source.
The VMS technique is inherently more efficient since the voltage source is directly
connected to the electrode-tissue impedance and all of the energy that is drawn from
the power supply is transferred to the load. Thus, the efficiency is near 1. However,
the amount of the delivered charge cannot be precisely controlled in this approach
because the electrode-tissue impedance varies especially in long term. In the CMS
technique, the headroom voltage of the current source causes some power dissipa-
tion which leads to power efficiency degradation. Despite this drawback, the current-
mode stimulation is generally preferable due to the safety issues and its inherent
controllability of the delivered charge to the tissue. There is also a third category of
the stimulation named charge-mode stimulation (ChgMS). In this approach which is
presented in Lee et al. (2014), by using a switched-capacitor circuit, a capacitor is
charged to a certain voltage in one phase, and then it is delivered to the load in
another phase. The aim of the charge-mode stimulation is to benefit the good features
of both voltage-mode and current-mode techniques as the headroom voltage of the
current source is eliminated and also there is more controllability on the delivered
charge. However, as mentioned in Liu et al. (2011a), the exact stimulus charge
cannot be identified except for limiting the maximum charge amplitude since the
maximum charge that can be delivered is the amount of the charge that is stored in the
capacitor. Figure 1 shows the simplified schematics for the three abovementioned
techniques alongside their load’s voltage and current waveforms during the stimulation.
As previously mentioned, since the current-mode stimulation satisfies the best
safety standard in terms of the charge delivery, it is the most preferable approach
among the abovementioned techniques. Charge-imbalanced stimulation causes elec-
trochemical reactions on the tissue or the electrode surface which may lead to strong
lesions around the electrode (Merrill et al. 2005). Also, a high level of charge-
imbalanced stimulation can cause gassing at the electrode-tissue interface which
leads to changing the PH balance in the electrolyte solution and damaging the tissue
(Liu et al. 2011a). In monophasic stimulation, only passive charge balancing which
simply shorts the electrode-tissue impedance after the cathodic phase is used to
balance the delivered charge. Use of only passive charge balancing results in high
21 Current-Based Neurostimulation Circuit and System Techniques 447

VDD

VDD
Ianode VDD φ1 φanod
φanod i(t)
φanod φ2 i(t)
i(t)
φcathod Rs
Rs
v(t)
Icathode Rs v(t)
Cdl v(t) Cstore
VSS
Cdl
VSS Cdl
φcathod φ1 φ cathod
VSS

v(t) v(t) φcathod v(t)

cathodic delay cathodic delay cathodic delay


t t t
anodic anodic
anodic

i(t) i(t) i(t)

t t
t

(a) (b) (c)

Fig. 1 Three main categories of electrical stimulation with their typical circuits and the load’s
voltage and current waveforms. (a) Voltage-mode stimulation (VMS), (b) current-mode stimulation
(CMS), and (c) charge-mode stimulation (ChgMS)

peak current that can hyperpolarize the cells (Merrill et al. 2005). Typical waveform
of the biphasic stimulation for current mode is shown in Fig. 1b. The biphasic
stimulation is usually divided into two phases. A cathodic phase which excites the
cells and an anodic phase to accumulate the injected charge for the so-called active
charge balancing. According to Eq. 1, the net charge that is delivered to the load in
one period of stimulation should be equal to zero in steady-state situation.
ð
1
Qave ¼ iðtÞdt ¼ 0 ð1Þ
T T

Where T is one period of stimulation. The amplitude of the second phase


(i.e., anodic) is usually smaller in order to prevent exciting the other cells in this
phase. Consequently, the duration of the second phase is longer than that of the first
phase (i.e., cathodic) to balance the injected charge. Between the two phases, there is
a time period of null current which is typically around 100 μs (Merrill et al. 2005). As
mentioned in Merrill et al. (2005), in the biphasic stimulation, the reverse current in
the second phase may suppress the action potentials of some neurons that were
induced in the first phase. This will increase the required stimulation threshold level
for the biphasic stimulation compared to that of the monophasic stimulation.
448 R. Shirafkan and O. Shoaei

The presence of this null current reduces the threshold of the biphasic stimulation
such that its stimulation threshold level becomes similar to that of the monophasic
stimulation. In order to perfectly balance the remaining charge in the electrode-tissue
interface capacitor due to the mismatch that exists in electronic circuits, usually a
third phase which is passive charge balancing is also added after the anodic phase. A
DC-blocking capacitor is also added in series with the electrode in order to further
increase the safety. The DC-blocking capacitor prevents any direct current flow
through the electrode in case of a failure and also helps the charge balancing.
Other advantages of this capacitor are explained in detail in Liu et al. (2008a).
This chapter focuses on different kinds of current-mode stimulators with an
emphasis on the shape of the stimulation current waveforms. The current stimulators
are classified according to the shape of the waveform they generate. Each kind of
waveform is introduced, and the circuit techniques to generate that kind of waveform
are investigated.

Constant-Current Stimulators

Generating a constant current to stimulate the neural tissue is a well-established


approach that is used for many years in research and industry. In this approach, a
current source is used together with the output stage which is usually one of the four
topologies that are shown in Fig. 2. In Fig. 2a, the stimulation current is monophasic,
while in Fig. 2b–d, a biphasic load current can be generated. In the topology shown
in Fig. 2b, there should be two symmetric power supplies. In order to prevent using
two power supplies, a resistive divider and a large off-chip capacitor can be used to
generate a middle voltage equal to half of the main power supply according to
Fig. 2c. However, the dynamic range of the stimulation current reduces to half. As
shown in Fig. 2d, a full-bridge structure can be used to generate the biphasic current
by using only one power supply and without reducing the dynamic range of the
stimulation current. However, a full-bridge structure cannot be used in multichannel
stimulators that have a common return path.
The current sources shown in Fig. 2 can be made by different techniques. A
critical issue that should be considered for designing the current source is to reduce
its headroom voltage. Reducing this headroom voltage has a direct impact in
increasing the stimulator efficiency. Another issue that is worth considering is the
output impedance of the current source.
A current source is typically made up of a current DAC and a current mirror to
copy the DAC current to the output stage of the stimulator which is shown in
Fig. 3a. A typical approach of implementing the current DAC is the binary-weighted
current DAC which uses the binary-weighted-sized mirrored transistors with the
same gate bias to control the DAC current that is used in several works like Liu et al.
(2011b). In order to reduce the sizes and areas of the transistors, a binary-weighted
biasing technique with identical transistors in each branch is proposed in
DeMarco et al. (2003).
21 Current-Based Neurostimulation Circuit and System Techniques 449

Fig. 2 Output stage of conventional current-mode stimulators. (a) Monophasic stimulation with
passive charge balancing, (b) biphasic stimulation using two symmetrical power supplies, (c)
biphasic stimulation using single supply and generating middle voltage, and (d) biphasic stimula-
tion making use of single supply and full-bridge

Another approach is to use a voltage DAC in a voltage to current converter circuit


as shown in Fig. 3b. In this approach the output impedance of the current source
improves since an active feedback is utilized to keep the output current constant.
Some techniques have also been proposed to reduce the headroom voltage and also
to improve the output impedance that are summarized in Fig. 4. In Fig. 4a which is
proposed in Ghovanloo and Najafi (2005), instead of buffering the DAC voltage and
using a fixed resistor like Fig. 3b, a voltage-controlled resistor that is controlled by
the DAC output voltage is used to reduce the headroom voltage. Figure 4b which is
450 R. Shirafkan and O. Shoaei

Fig. 3 Typical techniques to generate output current of stimulators. (a) Using a current DAC and a
current mirror to copy the DAC current to the output stage and (b) using a voltage DAC and a fixed
resistor in a voltage to current converter circuit

Fig. 4 Techniques used to reduce headroom voltage: (a) Voltage control resistor controlled by
analog DAC voltage (Ghovanloo and Najafi 2005), (b) array of binary-weighted transistors directly
controlled by digital signal (Liu et al. 2008b)

presented in Liu et al. (2008b) shows an array of binary-weighted transistors that are
directly controlled by digital control signals. By making use of the applied digital
control signals, there is no need for analog biasing and linearity compensation
resulting in further reduction of the circuit complexity.
The conventional techniques usually use a fixed voltage supply. There, this fixed
voltage is designed according to the worst-case scenario which is the maximum
stimulation current multiplied by the tissue resistance plus the maximum voltage
drop on the electrode-tissue capacitor. Thus, for the lower stimulation currents an
21 Current-Based Neurostimulation Circuit and System Techniques 451

excess voltage drop is applied on the current source and the efficiency decreases
substantially. In order to solve this problem, some works such as Lee et al. (2013)
and Luo and Ker (2018) have suggested to use an adaptive power supply. The
voltage of the power supply is set according to the stimulation current and to the
magnitude which is somewhat larger than the voltage of the electrode at the end of
each stimulation phase to ensure that the current source remains in the saturation
region during the stimulation period. For example, in Lee et al. (2013) the stimulator
uses a closed-loop power supply system which detects the stimulation site potential
by a voltage readout channel and adjusts the voltage accordingly to improve the
efficiency. An efficiency improvement of 30% is reported in Lee et al. (2013). Also
in Luo and Ker (2018), a self-adaption power supply is realized by a four-stage
charge-pump and pulse-skip regulation scheme to achieve a higher efficiency. To
further increase the efficiency, a so-called adiabatic power supply can be used. In the
adiabatic power supply, the voltage across the electrode tracks the minimum voltage
that is needed to stimulate the tissue with a specific stimulation current. Figure 5
shows the power loss associated with stimulators using fixed, adaptive, and adiabatic
power supplies. Figure 5a shows the case where the power supply is fixed and set
according to the worst-case scenario. Figure 5b shows the adaptive power supply in
which the power supply voltage is still fixed but set according to the stimulation
current and the load impedance and remains constant during the stimulation phase.
Although in the former scheme the dissipated power is reduced with respect to the
case with the worst (largest) fixed supply voltage, still there is some considerable
power dissipation here. The dissipated power in this case is proportional to the
voltage that is charged on the electrode-tissue capacitor during the stimulation phase.
According to Eq. (2), as the stimulation current or the stimulation time increases, the
power dissipation also increases. The smaller electrode-tissue capacitance also leads
to the larger power dissipation.

I Stim :T Stim
ΔV Cdl ¼ ð2Þ
Cdl

IStim is the stimulation current, TStim is the stimulation time, Cdl is the electrode-
tissue capacitance, and ΔV Cdl is the amount of the voltage that the electrode-tissue
capacitor is charged to during the stimulation time. Figure 5c shows the idea for the
adiabatic power supply technique in which the dissipated power indicated in the
other two methods is eliminated.
Recently, a fully integrated constant-current stimulator with an adiabatic power
supply is developed and presented in Ha et al. (2019) which is shown in Fig. 6. An
adiabatic power supply is implemented by making use of an LC tank receiving RF
power from an external link which is resonated at 190 MHz to generate a low supply
voltage around 0.8 V followed by a block that utilizes a stack of programmable
modified differential Dickson charge pumps. The power supply voltage (VDD_Stim)
tracks the stimulation voltage (Vstim) to keep the stimulation current constant during
the stimulation time. Unlike common stimulators which waste the stored energy in the
452 R. Shirafkan and O. Shoaei

Fig. 5 Various structures power loss. Shaded areas are wasted energy. (a) Power supply is fixed in
all stimulation current and designed for worst-case scenario, (b) power supply is adapted according
to the stimulation current, and (c) adiabatic power supply that provides the minimum voltage that is
needed for electrode

Fig. 6 Fully integrated constant-current stimulator with adiabatic power supply and with energy
replenishing capability (Ha et al. 2019); single-ended equivalent model of the stimulator
21 Current-Based Neurostimulation Circuit and System Techniques 453

electrode-tissue capacitor down to the negative supply or ground during the charge
balancing period, in this system the mentioned energy is delivered back to the power
supply (VDD). According to the article (Ha et al. 2019), 63.1% of the energy that is
stored in the first phase is recovered to the power supply, i.e., VDD in the second
phase.

Quasi-constant-Current Stimulators

To generate the adiabatic voltage across the electrode in order to minimize the power
dissipation, some works have used switching techniques. In these techniques the
current waveform is not quite constant, and a ripple can be seen on the amplitude of
the stimulation current which is termed quasi-constant current here. Kelly and Wyatt
(2011) used a switched-capacitor to switch through a bank of capacitors in which
each one is pre-charged to a certain voltage. This way a ramp voltage is simulated
with small voltage steps that is applied to the electrode. Figure 7 shows the technique
and its stimulation voltage and current waveforms.
The resolution of tracking the electrode voltage is proportion to the number of
voltage steps that the stimulator can generate which in turn is related to the number
of pre-charged capacitors being used. Therefore, the silicon area required by this
approach is large especially when more than one channel is needed to be stimulated
simultaneously.
Another technique is to use a switching power supply to directly track the
electrode voltage which is proposed in Arfin and Sarpeshkar (2012).
The technique is shown in Fig. 8. The electrode voltage is directly tracked by
making use of a dynamic power supply. There is a feedback loop that senses the
output current and compares it to the desired current (Vcur), and according to the

Fig. 7 Generating adiabatic supply making use of a pre-charged capacitor bank (Kelly and Wyatt
2011): (a) Simplified schematic of the stimulator and (b) stimulation voltage and current waveforms
454 R. Shirafkan and O. Shoaei

Fig. 8 Adiabatic stimulator with dynamic power supply (Arfin and Sarpeshkar 2012): (a) System
architecture and (b) forward buck, reverse-boost dynamic power supply

error, the output voltage is decreased or increased by changing the duty cycle of the
PWM signal applied to the power supply switches. The other benefit of this system is
that by making use of the forward buck, reverse-boost topology shown in Fig. 8b, the
energy that is stored on the electrode-tissue capacitor in the first phase of the
stimulation can be recovered in the second phase which increases the efficiency of
the stimulator further. In order for the converter to work in the reversed mode (for
biphasic stimulation), one terminal of the electrode is connected to Vmid which is
regulated using a bulky capacitor of 10 μF there. In order to increase the dynamic
range of the current stimulator up to 10 mA or so for real use cases of DBS
applications from less than 500 μA in Arfin and Sarpeshkar (2012), the differential
dynamic power supply is proposed in Shirafkan and Shoaei (2018).
The topology shown in Fig. 9 uses two buck-boost converters which can be
directly connected to the battery. By making use of a differential topology, the need
for the mid-rail reference voltage and the H-bridge switches at the output stage is
21 Current-Based Neurostimulation Circuit and System Techniques 455

Fig. 9 Differential dynamic power supply used to generate adiabatic voltage across electrode
(Shirafkan and Shoaei 2018): (a) System architecture and (b) differential buck-boost converter used
as dynamic power supply

eliminated, and at the same time, the electrode-tissue capacitor energy recovery
feature is maintained. The drawback of this technique is that two off-chip inductors
should be used. However, it is shown in Shirafkan and Shoaei (2018) that multi-
channel stimulation is possible without duplicating the inductors and control circuits
for each channel by using a time interleaving technique.
456 R. Shirafkan and O. Shoaei

Pulsed-Current Stimulators

Another shape of the current waveform that can be used for stimulation is pulsed-
current. In these stimulators, a series of high-frequency small current pulses known
as chopped pulses is delivered to the load instead of a rectangular constant-amplitude
current. The general shape of these kinds of stimulation current waveforms are
shown in Fig. 10.
A comparison between the chopped pulsed-current and the conventional
constant-current stimulation is done in Jiang and Demosthenous (2018). Making
use of in vivo tests on a male adult guinea pig by stimulating the animal nerve and
recording the evoked vestibule-ocular reflex (VOR), it is shown that the chopped
pulsed-current and the conventional constant current have the same stimulation
efficacy for the same amount of the delivered charge.
Jiang and Demosthenous (2018) presented an approach to stimulate different
channels at the same time while maintaining the power isolation feature among
different channels. The approach is shown in Fig. 11. Each channel has one local
on-chip capacitor which is charged to the power supply voltage in one phase, and the
power required for each channel is provided by that local capacitor and a current
source in another phase. The capacitor of each channel can be integrated on-chip
since the duration of each pulse is low due to the high-frequency chopped pulses.
However, the size of every channel capacitor for the maximum stimulation of 1 mA
is calculated to be about 1.5 nF in Jiang and Demosthenous (2018) which can be
substantially large when the number of channels increases and/or the required
stimulation current amplitude increases to range of 10 mA or so. For example, an
on-chip 2.38 nF capacitor is integrated in a 0.6 μm HV CMOS technology with a
physical area of 1.27 mm2 in Jiang and Demosthenous (2018).
Recently, a new technique which is shown in Fig. 12 is presented in Urso et al.
(2019) to generate chopped pulsed-current. In this approach, a dynamic power
supply without the output filtering capacitor is used to deliver the pulse current to
multiple electrodes simultaneously in a time-multiplexing manner. Only one external
inductor is needed to simultaneously stimulate several channels. As shown in

Fig. 10 Stimulation waveform: (a) constant current and (b) Chopped pulsed-current
21 Current-Based Neurostimulation Circuit and System Techniques 457

Fig. 11 Simultaneous stimulation with power isolation by making use of local capacitors for each
channel (Jiang and Demosthenous 2018)

Fig. 12a, the technique uses a forward buck-boost topology. In phase one (∅1), the
energy is stored in the inductor, and in the second phase (∅2) the stored energy in the
inductor is directly delivered to the load via an H-bridge (not shown here for
brevity). Figure 12b shows the current waveform of each channel for simultaneous
n-channel stimulation. Each channel can be stimulated independently with program-
mable current amplitude. The problem with the abovementioned technique is that
this approach uses a time-multiplexing technique to simultaneously stimulate mul-
tiple channels. When the number of channels increases, the delay between the two
subsequent packets in one channel increases, and this causes fewer neurons in the
targeted area around an electrode to be excited. One way to solve this problem is to
increase the multiplexing frequency which in turn increases the circuit power
consumption that may not be favorable.

Other Waveforms

In order to increase the power, energy, and charge efficiency of the stimulators, some
research works suggest to use different waveforms other than the ones mentioned in
the previous sections. In Sahin and Tie (2007), seven different waveforms including
constant rectangular, linear increase and decrease, rising and falling exponential,
Gaussian, and sinusoidal are investigated. The shapes are shown in Fig. 13. In Sahin
and Tie (2007), it is concluded that the linear decrease and falling exponential and
Gaussian waveforms were the most efficient pulse shapes. Robillard et al. (2006)
concludes that the rising exponential waveform is the energy-optimal type of
waveform making use of Hodgkin-Huxley model. However, Wongsarnpigoon
et al. (2010) refuted the claims about the energy-optimality of the rising exponential
458 R. Shirafkan and O. Shoaei

Fig. 12 Chopped pulsed-current generator using dynamic DC-DC converter (Urso et al. 2019): (a)
Simplified schematic of stimulator and (b) current waveforms of simultaneous n-channel
stimulation

Fig. 13 Different stimulation


waveforms
21 Current-Based Neurostimulation Circuit and System Techniques 459

waveform and showed that the decaying exponential results in higher efficiency in
some cases. According to Wongsarnpigoon et al. (2010), the previous works used a
linear (passive) model of the membrane which ignores many important characteris-
tics of the cells. Therefore, when using the nonlinear (active) model, the rising
exponential waveform may not be the energy-optimal one. It is noted that the
power, charge, and energy cannot simultaneously become optimum, and the selec-
tion of the waveform depends on the stimulation parameters as well as the applica-
tion of the stimulation (Wongsarnpigoon et al. 2010).
As mentioned, the exponential waveforms are known as being energy-efficient
pulse shapes for nerve stimulation. The exponential current can be readily realized
by bipolar transistors but in a CMOS technology, multiple techniques have also been
proposed like Taylor’s series approximation (Kumngern et al. 2008). In these works,
transistors operate in the saturation region. Most of these approaches are power
hungry, and the parameters of the exponential current are fixed. In Ethier and Sawan
(2011), the rising exponential current is generated making use of the transistors
working in the weak inversion region. A MOS transistor shows an exponential
characteristic when operating in the weak region according to Eq. (3):
 
  V gs V th
W
I d ¼ I D0 :e ð3Þ
nV T

L
where n is the slope factor and VT is the thermal voltage. It is worth noting that
operating in this region, the frequency performance is poor, and the current is
dependent on temperature. These drawbacks can be ignored in biomedical stimula-
tors especially when a good power efficiency can be achieved. Figure 14 shows the
technique. A voltage ramp is applied to the gate of the transistors being always below

Fig. 14 Rising exponential generator using voltage ramp generator and transistors that are biased
in weak inversion region (Ethier and Sawan 2011). Only the negative current generator is shown
460 R. Shirafkan and O. Shoaei

Fig. 15 Falling exponential


generator using an active RC
circuit. The transistor is biased
in triode region (Maghami
et al. 2016)

the threshold voltage of the transistors. The offset and slope of the ramp voltage
determine the pulse duration and the time constant of the exponential waveform. The
amplitude is also set by adjusting the size of the current mirror transistors.
A multi-waveform current generator which generates rectangular, half-sine, and
plateau half-sine waveforms is presented in Hasanuzzaman et al. (2013). The half-
sine generator consists of a gilbert cell using two MOS transistor differential pairs
operating in the subthreshold region. The scaled version of the current DAC and the
half-sine current pulse are added making use of a second-generation current con-
veyor to generate the plateau half-sine waveform.
Maghami et al. (2016) reported a multi-waveform stimulator that can generate
rectangular, rising, and falling exponential for a retinal visual prosthesis. The rising
exponential generator presented there is inspired from Ethier and Sawan (2011). For
the falling exponential pulse, a circuit is proposed which is shown in Fig. 15. A
capacitor is charged through a transistor which is biased in the triode region. The
circuit is in fact a simple RC network which its current is falling exponential. In order
to realize a large time constant which is necessary for a biomedical stimulation, a
second-generation current conveyor (CCII)-based capacitance multiplier is used.
The supply voltage of the output stage of the stimulator is also provided by making
use of positive and negative charge pumps. Figure 16 shows the architecture
(Fig. 16a) and also the micrograph of the fabricated chip (Fig. 16b) for multi-
waveform stimulator presented in Maghami et al. (2016). As shown in the figure,
the area is 1.5  1.5 mm which is fabricated in the IBM 130-nm CMOS technology.
Mooziraji and Shoaei (2016) also presented a multi-waveform stimulator which
can generate rectangular, falling, and rising exponential waveforms. The basic
circuit idea for the rising exponential is similar to Ethier and Sawan (2011). How-
ever, in his work, both falling and rising exponential waveforms are produced by
making use of linear falling and rising ramp voltages, that are applied to the gate of a
MOS transistor which operates in the weak inversion, respectively. Figure 17 shows
the schematic of the multi-waveform stimulator. Toggling among the waveforms is
easily possible by changing the ramp voltage that is applied to the gate of the binary-
weighted transistors which are used as a current DAC that tunes the amplitude of the
stimulation current. The time constant of the stimulation current is also set by the
21 Current-Based Neurostimulation Circuit and System Techniques 461

Fig. 16 (a) Chip architecture and (b) micrograph of the chip fabricated in IBM 130-nm CMOS
technology for the multi-waveform stimulator presented in Maghami et al. (2016)

Fig. 17 General architecture of rectangular, rising, and falling exponential current generator
presented in Mooziraji and Shoaei (2016)

slope of the ramp voltage which is set by a 3-bit current DAC and a capacitor for
both rising and falling exponential waveforms (not shown here for brevity).

Summary

Table 1 sums up the results of this study performed on different current stimulators
with different pulse shapes. It can be seen from the table that the constant-current
waveform has been used more frequently than the other waveforms for various
applications including DBS, retinal, neuromuscular, etc. Most of these works use
the conventional method which includes a DAC and a current source at the output
stage as shown in Fig. 3. The power supply in these works is fixed except the works in
Lee et al. (2013) and Luo and Ker (2018) which use some kind of adaptive power
supply and the recent work in Ha et al. (2019) which uses an adiabatic power supply.
Table 1 Summary of the study on the current-mode stimulators in literature
462

Current
Maximum Output source
Waveform output Current Supply stage output
Reference shape Circuit technique Application current resolution voltage Compliancea Channels headroom impedance
Liu et al. Constant- Conventional FES 1.5 mA 100 μA 12 V 4.1–8.9 V 2 tripoles 2.94– N/A
(2011b) current (HFCS technique 6.49 V
to omit
DC-blocking
capacitor)
DeMarco et al. Constant- Conventional Retinal 400 μA 8 bit 5 V N/A N/A 2.5 V >20 MΩ
(2003) current
Ghovanloo and Constant- Conventional General 210 μA 5 bit 5V 4.25 V 4 750 mV >10 MΩ
Najafi (2005) current purpose
Liu et al. Constant- Conventional FES 1 mA 4 bit 5,18 V 15.5 V 4 2.5 V >40 MΩ
(2008b) current (HFCS technique
to omit
DC-blocking
capacitor)
Lee et al. Constant- Conventional with DBS 2.48 mA 5 bit 2.5– 2.35–4.45 V 4 150 mV N/A
(2013) current adaptive power 4.6 V
supply
Luo and Ker Constant- Conventional with Epilepsy 3 mA 4 bit 6.7– N/A 1 N/A N/A
(2018) current adaptive power 12.3 V
supply with
0.8 V
step
Ha et al. (2019) Constant- Conventional with General 145 μA N/A 3.3– N/A N/A N/A N/A
current Adiabatic supply purpose 3.9 V
voltage and energy
recovery
R. Shirafkan and O. Shoaei
21

Kelly and Quasi- Adiabatic power General – – 1.75 V N/A 1 – –


Wyatt (2011) constant supply using bank purpose
current of capacitors
Arfin and Quasi- Adiabatic power General N/A – Battery N/A 1 – –
Sarpeshkar constant supply using purpose (3.3 V)
(2012) current dynamic DC-DC
converter
Shirafkan and Quasi- Adiabatic power DBS 10 mA – Battery 13 V 2 – –
Shoaei (2018)b constant supply using (3.7 V)
current differential
DC-DC converter
Jiang and Pulsed- Simultaneous General 1 mA N/A 5, 12 V N/A 1 – –
Demosthenous current stimulation using purpose
(2018) switched-capacitor
power isolation
method
Urso et al. Pulsed- Inductor based General 10 mA – 3.5 V 11 V 8 – –
(2019) current Buck-boost purpose
DC-DC converter
without output
capacitor
Ethier and Constant- Using MOSFETS General 167.2 μA 1.8, 3.3 13.6 V for 4 N/A N/A
Sawan (2011) current, in weak inversion purpose 8.46, RL ¼ 100 kΩ,
Current-Based Neurostimulation Circuit and System Techniques

rising mode 8.95 V 14.3 V for


exponential RL ¼ 150 kΩ
Hasanuzzaman Constant- Half-sine using Visual 196 μA 7 bit 13 V 10 V 16 N/A N/A
et al. (2013)b current, gilbert cell with intracortical
half-sine, transistor in
plateau subthreshold
half-sine region
(continued)
463
Table 1 (continued)
464

Current
Maximum Output source
Waveform output Current Supply stage output
Reference shape Circuit technique Application current resolution voltage Compliancea Channels headroom impedance
Maghami et al. Constant- Using MOSFETS Retinal 96 μA 4 bit 3.3 V 2.4 V 1 N/A N/A
(2016) current, in weak inversion
rising and mode for rising
falling exponential and
exponential active RC network
for falling
1exponential
Mooziraji and Constant- Using MOSFETS General 1 mA 4 bit 1.8, N/A 1 0.15 V 24 Mohm
Shoaei (2016)b current, in weak inversion purpose 3,3 V
rising and mode for both
falling rising and falling
exponential exponential
Circuits
power
consumption Output-stage
Die (without Electrode-tissue supply
Current source Load size stimulation capacitor energy voltage
Reference linearity impedance Technology (mm2) power) Efficiency recycling generation Year
Liu et al. DNL < 0.053 1 KΩ 0.6 μm SOI 4 N/A N/A NO On-chip 2011
(2011b) LSB CMOS regulator
INL < 0.195
LSB
DeMarco et al. DNL: 2.11 <10 KΩ 1.2 μm 4.84 53.4 μW N/A NO N/A 2003
(2003) LSB CMOS
INL: 3.11
LSB
R. Shirafkan and O. Shoaei
Ghovanloo and N/A 10 KΩ AMI 1.5 μm 0.05 N/A N/A NO N/A 2005
21

Najafi (2005) CMOS


Liu et al. DNL: 0.032 1–10 KΩ 1 μm SOI 1.53 26 mW N/A NO Integrated 2008
(2008b) LSB CMOS (mostly charge pump
INL: 0.065 charge pump
LSB for 17.9 V
and 1 mA) ~
25 mW
Lee et al. DNL: 0.17 2 KΩ 0.5 μm 2.25 14 μA static Stimulator: NO Integrated 2013
(2013) LSB CMOS current only 58–68% adaptive
INL: 0.43 LSB for current Adaptive rectifier
stimulator supply: 72–
87%
Overall:
40–60%
Luo and Ker N/A 4 KΩ 0.18 μm 5 N/A 56% @ NO Integrated 2018
(2018) CMOS 2.4 mA four-stage
charge pump
Ha et al. (2019) N/A 250 Ω, 0.18 μm SOI 0.22 N/A N/A YES Integrated 2019
900 Ω,50 KΩ CMOS adiabatic
power supply
Kelly and – 1.15 KΩ 1.5 μm 4.76 338 μW N/A YES Bank of 2011
Wyatt (2011) CMOS capacitors
Current-Based Neurostimulation Circuit and System Techniques

Arfin and – 500 Ω–2 KΩ 0.35 μm N/A 40 μW 35–50% YES Adiabatic 2012
Sarpeshkar CMOS DC-DC
(2012) converter-
stimulator
itself
Shirafkan and – 200 Ω– 0.18 μm HV – 60 μW 30–60% YES Adiabatic 2018
Shoaei (2018)b 1000 Ω CMOS DC-DC
converter-
stimulator
465

itself
(continued)
Table 1 (continued)
Circuits
466

power
consumption Output-stage
Die (without Electrode-tissue supply
Current source Load size stimulation capacitor energy voltage
Reference linearity impedance Technology (mm2) power) Efficiency recycling generation Year
Jiang and – 3 KΩ 0.6 μm HV 10 N/A N/A NO N/A 2018
Demosthenous CMOS
(2018)
Urso et al. – 100 Ω–1 KΩ 0.18 μm HV 3.65 100 μW 68% peak NO Adiabatic 2019
(2019) CMOS buck-boost
DC-DC-
stimulator
itself
Ethier and DNL: 0.098 50 KΩ– 0.18 μm 1.02 28.5– N/A NO Integrated 2011
Sawan (2011) LSB 150 KΩ CMOS, 8.38 88.3 μW negative and
INL: 0.163 0.8 μm 51.37 mW positive
LSB CMOS/ charge pump
DMOS
Hasanuzzaman N/A 100 KΩ 0.13 μm 3.06 Overall: N/A NO N/A 2013
et al. (2013)b CMOS, 16 12.44 mW
0.8 μm
CMOS
Maghami et al. DNL: 0.23 25 KΩ 0.13 μm 2.25 Overall: N/A NO Integrated 2016
(2016) LSB CMOS 3.4 mW negative and
INL: 0.364 positive
LSB charge pump
Mooziraji and DNL: 0.008 1 KΩ 0.18 μm – 23.2 μW 94% only NO External 2016
Shoaei (2016)b LSB CMOS output
INL: 0.096 (simulation) stage
LSB
R. Shirafkan and O. Shoaei

a
Voltage that can be delivered to load
b
Simulation results
21 Current-Based Neurostimulation Circuit and System Techniques 467

In the conventional system, the number of channels can be easily extended. Also, the
output current resolution and linearity are more controllable in this approach because
of using a current DAC. However, in most of the works using the constant-current
waveform that were investigated here, the efficiency of the stimulators is not reported.
Only in Lee et al. (2013) and Luo and Ker (2018) which use some kind of adaptive
power supply, the overall efficiency of 40–60% and 56% are reported, respectively.
Few works, namely, Kelly and Wyatt (2011), Arfin and Sarpeshkar (2012), and
Shirafkan and Shoaei (2018) use quasi-constant waveform. In these works, the adia-
batic power supply is generated by making use of switched-capacitor circuits or
dynamic power supply. The advantages of using these approaches compared to the
conventional design are high-voltage compliance and also the higher efficiency of the
stimulator. Besides the adiabatic power supply, the energy recovery from the electrode-
tissue capacitor is also possible in anodic phase which improves the efficiency further.
For example, the overall efficiency of 30–60% is reported in Shirafkan and Shoaei
(2018) for different loads and stimulation currents. However, the main disadvantage of
these designs is that they cannot be scaled for applications which needs multiple
channels since the number of the external components are high. Another drawback
for these systems is that the stimulation current resolution is more difficult to control
compared to the conventional system and has not been reported in any of these works.
Two main recent works support the pulsed-current waveform (Jiang and
Demosthenous 2018; Urso et al. 2019). The work in Jiang and Demosthenous
(2018) provides simultaneous multichannel stimulation using a switched-capacitor
power isolation method. The multichannel capability of this technique is limited
since a local capacitor is used for each channel to act as the supply of the channel
during stimulation. The work in Urso et al. (2019) uses a dynamic power supply
which can be used for general applications with high-voltage compliance. The
number of channels is expandable without increasing the number of external com-
ponents, and the peak efficiency of 68% is reported. However, the current resolution
of the system is not reported in the paper.
Although considerable amount of research work such as the ones reported in
Sahin and Tie (2007), Robillard et al. (2006), and Wongsarnpigoon et al. (2010)
have been done to investigate the impact of other different waveforms such as
rising and falling exponential, Gaussian, linear increase and decrease, etc. for
stimulation of cells in terms of energy, power, and charge efficiency, circuit
techniques to generate these kinds of waveforms are limited in literature especially
in biomedical applications and for current-mode stimulators. The main idea of
using MOS transistors in weak inversion and applying a linear ramp voltage to the
gate of these transistors which is presented in Ethier and Sawan (2011) is used in
some other works like Maghami et al. (2016) and Mooziraji and Shoaei (2016) for
the rising exponential waveform. Another work by Hasanuzzaman et al. (2013)
generates half-sine and plateau half-sine waveforms using a gilbert cell with
transistors in subthreshold region. In terms of the stimulator efficiency, number
of channels, DAC linearity, and resolution, the characteristics of these techniques
are also similar to those in the previously mentioned conventional method with
similar advantages and disadvantages.
468 R. Shirafkan and O. Shoaei

Fig. 18 Channel length for stimulators which were investigated in this study

It is also worth noting that according to the published reports, the fabrication
technology node used to implement neural stimulators have not been evolved too
much. The maximum and minimum channel length for the used transistors have
been 1.5 and 0.13 μm, respectively. Figure 18 shows the distribution of the used
technologies. As shown in the figure, most of the works used 0.18 μm technology.
The need for reliable technologies for medical device and also the high output
voltage compliance can be the main reasons of the usage of the established technol-
ogies for neural stimulators.

Conclusion

Among the electrical neural stimulators, the current-mode stimulators are usually
preferred because the amount of the charge that is delivered to the tissue can be
effectively controlled. Several techniques have been used to implement these kinds
of stimulators. This chapter has provided an overview of these techniques by
classifying the current stimulators according to the pulse shape they generate. The
constant-current, quasi-constant-current, pulsed-current, and other kinds of wave-
forms including the rising and falling exponential and linear increase and decrease
have also been briefly discussed, and various circuit techniques to implement each
waveform are investigated.

References
Arfin S, Sarpeshkar R (2012) An energy-efficient, adiabatic electrode stimulator with inductive
energy recycling and feedback current regulation. IEEE Trans Biomed Circuits Syst 6(1):1–14
DeMarco S, Wentai L, Singh P, Lazzi G, Humayun M, Weiland J (2003) An arbitrary waveform
stimulus circuit for visual prostheses using a low-area multibias DAC. IEEE J Solid State
Circuits 38(10):1679–1690
21 Current-Based Neurostimulation Circuit and System Techniques 469

Ethier S, Sawan M (2011) Exponential current pulse generation for efficient very high-impedance
multisite stimulation. IEEE Trans Biomed Circuits Syst 5(1):30–38
Ghovanloo M, Najafi K (2005) A compact large voltage-compliance high output-impedance program-
mable current source for implantable microstimulators. IEEE Trans Biomed Eng 52(1):97–105
Ha S, Kim C, Park J, Cauwenberghs G, Mercier P (2019) A fully integrated RF-powered energy-
replenishing current-controlled stimulator. IEEE Trans Biomed Circuits Syst 13(1):191–202
Hasanuzzaman M, Simard G, Krouchev N, Raut R, Sawan M (2013) Capacitive-data links, energy-
efficient and high-voltage compliant visual intracortical microstimulation system. In: 2013 IEEE
international symposium on circuits and systems (ISCAS2013)
Jiang D, Demosthenous A (2018) A multichannel high-frequency power-isolated neural stimulator
with crosstalk reduction. IEEE Trans Biomed Circuits Syst 12(4):940–953
Kelly S, Wyatt J (2011) A power-efficient neural tissue stimulator with energy recovery. IEEE Trans
Biomed Circuits Syst 5(1):20–29
Kumngern M, Chanwutitum J, Dejhan K (2008) Simple CMOS current-mode exponential function
generator circuit. In: 2008 5th international conference on electrical engineering/electronics,
computer, telecommunications and information technology
Lee H, Park H, Ghovanloo M (2013) A power-efficient wireless system with adaptive supply
control for deep brain stimulation. IEEE J Solid State Circuits 48(9):2203–2216
Lee H-M, Kwon K-Y, Li W, Ghovanloo M (2014) 24.2 A power-efficient switched-capacitor
stimulating system for electrical/optical deep-brain stimulation. In: 2014 IEEE international
solid-state circuits conference digest of technical papers (ISSCC)
Liu X, Demosthenous A, Donaldson N (2008a) Five valuable functions of blocking capacitors in
stimulators. In: Proceedings of 13th annual international conference FES society, Freiburg,
pp 322–324
Liu X, Demosthenous A, Donaldson N (2008b) An integrated implantable stimulator that is fail-
safe without off-chip blocking-capacitors. IEEE Trans Biomed Circuits Syst 2(3):231–244
Liu X, Demosthenous A, Donaldson N (2011a) Neural interfaces for implanted stimulators. In:
Kramme R, Hoffmann K-P, Pozos RS (eds) Springer handbook of medical technology. Springer,
Berlin/Heidelberg, pp 749–766
Liu X, Demosthenous A, Donaldson N (2011b) An integrated stimulator with DC-isolation and fine
current control for implanted nerve Tripoles. IEEE J Solid State Circuits 46(7):1701–1714
Luo Z, Ker M (2018) A high-voltage-tolerant and power-efficient stimulator with adaptive power
supply realized in low-voltage CMOS process for implantable biomedical applications. IEEE
J Emerging Sel Top Circuits Syst 8(2):178–186
Maghami M, Sodagar A, Sawan M (2016) Versatile stimulation back-end with programmable
exponential current pulse shapes for a retinal visual prosthesis. IEEE Trans Neural Syst Rehabil
Eng 24(11):1243–1253
Merrill DR, Bikson M, Jefferys JG (2005) Electrical stimulation of excitable tissue: design of
efficacious and safe protocols. J Neurosci Methods 141(2):171–198
Mooziraji F, Shoaei O (2016) A high power efficient multi-waveform current stimulator used in
implantable neural stimulation. Analog Integr Circ Sig Process 86(3):459–469
Oluigbo CO, Salma A, Rezai AR (2012) Deep brain stimulation for neurological disorders. IEEE
Rev Biomed Eng 5:88–99
Robillard C, Coulombe J, Nadeau P, Sawan M (2006) Neural stimulation safety and energy
efficiency: waveform analysis and validation. In: 11th annual conference of the international
FES society, pp 94–96
Sahin M, Tie Y (2007) Non-rectangular waveforms for neural stimulation with practical electrodes.
J Neural Eng 4(3):227–233
Shirafkan R, Shoaei O (2018) A power efficient, differential multichannel adiabatic electrode
stimulator for deep brain stimulation. Analog Integr Circ Sig Process 95(3):481–497
Urso A, Giagka V, Van Dongen M, Serdijn W (2019) An ultra high-frequency 8-channel
neurostimulator circuit with 68% peak power efficiency. IEEE Trans Biomed Circuits Syst 13(5):
882–892
Wongsarnpigoon A, Woock J, Grill W (2010) Efficiency analysis of waveform shape for electrical
excitation of nerve fibers. IEEE Trans Neural Syst Rehabil Eng 18(3):319–328
Immunoreaction-Based Sensors to Improve
Bacterial Detection 22
Huilin Zhang, Nanjia Zhou, and Feng Ju

Contents
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 472
Basic Mechanisms of Bacterial Sensors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 473
Optical Biosensor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 473
Colorimetric Biosensor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 474
Fluorescent Biosensor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 476
Surface Plasmon Resonance Biosensor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 476
Electrical Biosensor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 478
Electrochemical Biosensors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 478
Piezoelectric Biosensor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 482
The Material and Fabrication of the Biochip . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 483
The Materials of Biochip . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 483
The Fabrication of Biochip . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 484
Bacterial Sensors for the Future . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 484
References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 485

H. Zhang
Division of Environment and Resources, School of Engineering, Westlake University, Hangzhou,
China
e-mail: zhanghuilin@westlake.edu.cn
N. Zhou
Division of Nanotechnology and Energy, School of Engineering, Westlake University, Hangzhou,
China
e-mail: zhounanjia@westlake.edu.cn
F. Ju (*)
Division of Environment and Resources, School of Engineering, Westlake University, Hangzhou,
China
Division of Environment and Resources, Westlake University, Hangzhou, China
e-mail: jufeng@westlake.edu.cn

© Springer Science+Business Media, LLC, part of Springer Nature 2022 471


M. Sawan (ed.), Handbook of Biochips,
https://doi.org/10.1007/978-1-4614-3447-4_38
472 H. Zhang et al.

Abstract
Bacterial sensors are an emerging interdisciplinary field integrating advances in
fields of materials science, chemistry, and biology enabling rapid and low-cost
detection of bacteria. Optical and electrical biosensors have been under fast
development in recent years for their rapidness and low measurement cost.
This chapter presents the common sensing principles, immunoreaction-based
detection methods, and their recent applications in this field. Biochip promotes
bacterial sensors by integrating them into user-friendly, cost-effective, and min-
iaturized platform. The main materials and the fabrication of biochip are intro-
duced. It is advantageous to integrate the biochips and bacterial sensors into facile
and sensitive detection methods and devices.

Introduction

Harmful bacteria, as one major cause of illness, pose a serious threat to public safety
and people’s health. Bacterial detection is a key to the prevention and pertinent
control of the outbreaks or aggravation of various diseases, such as tuberculosis,
typhoid, cholera, tetanus, leprosy, sepsis, urinary tract infection, food poisoning, etc.
The conventional methods for bacterial detection mainly include agar plating,
polymerase chain reaction, and enzyme-linked immune-sorbent assay. These
approaches either require expensive instrumentation and highly trained personnel,
or they are time-consuming and labor intensive. In the past decades, various bio-
sensors have emerged as alternatives for rapid detection of harmful and cultivable
bacteria from food, animals, human, and environments. The typical targets for
biorecognition include the whole bacterial cell and the intracellular proteins and
nucleic acids (i.e., DNA and RNA) (Ahmed et al. 2014). The improved design of
bacterial sensors requires more rapid, on-site applicable, and attractive alternative
methods. The application of biochips provides essentially miniaturized and high-
throughput platforms for biochemical reactions with minimal requirement in the
volumes of samples and reagents, ideal mixing conditions, and state-of-the-art
detection principles and devices (Kumar et al. 2013). Two commercialized examples
of biochips, PhyloChip and GeoChip, are ultra-high-throughput, fast, and low-cost
DNA microarray technologies developed and optimized to quantify more than
thousands of bacterial phylogenetic markers and functional genes from numerous
environmental or human microbial samples in parallel. Therefore, bacterial sensors
based on the biochips exhibit significant advances, appreciable achievements, and
promising future for the cost-effective bacterial diagnosis in the clinical and envi-
ronmental settings (Kim et al. 2009; Kumar et al. 2013).
This chapter provides an overview of immunoreaction-based optical sensors and
electrical sensors that are commonly used because of their short detection time
and good data reliability at relatively low costs. Recent advances in the technologies
and monitoring mechanisms related to bacterial detection are briefly introduced. We
also review the materials and the fabrications of the biochips, together with some
22 Immunoreaction-Based Sensors to Improve Bacterial Detection 473

recent examples of bacterial sensors and their advantages and limitations. Finally, we
summarize perspectives and challenges in the field of bacterial sensors.

Basic Mechanisms of Bacterial Sensors

Bacterial sensors based on biochemical or biological mechanisms are a new type of


bioanalytical method involving multidisciplinary knowledge of information tech-
nology, biotechnology, analytical chemistry, materials science, physics, etc. The
basic mechanisms of such bacterial sensors are shown in Fig. 1. In brief, a biometric
component is fixed on a specific transducer, i.e., the system that translates the signal
from one kind of device to another. The target in the sample biochemically reacts
with the biometric component fixed on the transducer by diffusion or active mixing.
This step generates electrical, thermal, or optical signals. The target quantity can be
calculated according to the signal strength.
In this chapter, the main types of this kind of bacterial sensors including the
optical biosensors and electrical biosensors are described. The significant advantages
and limitations of these biosensors are briefly summarized in Table 1.

Optical Biosensor

Optical biosensors are convenient biosensors that typically utilize light emission or
light absorption for the detection of bacteria and output optical signals as the detected
objects. Usually, the process involves the transition between the energy levels of
certain molecules or nanoparticles contained in the sensing element (Banica 2012).

Interference Transduction
Signal

Target Biomolecules

Fig. 1 A schematic of a typical bacterial biosensor


474 H. Zhang et al.

Table 1 Immunoreaction-based bacterial sensors reviewed and their advantages and challenges
Sensor Advantages Challenges Ref.
Colorimetric Convenient operation, fast, Relatively high detection limit, [1–2]
biosensor handheld device, smartphone reproducibility of imaging,
integratable, and flexible array instability, and short shelf life
size
Fluorescent Simultaneous detection, high Sensitive to pH and oxygen [3–4]
biosensor sensitivity, and selectivity change, biocompatibility, and
photostability issues
Surface Label-free, real-time detection, High detection limit, bulky in [5–6]
plasmon and high sensitivity size, sensitive to motion,
resonance sweat, and temperature, and
biosensor long calibration time
Amperometric High selectivity, sensitivity, Poor universality, complexities [7–8]
biosensors low-cost, and continuous for sensor design, electrode
detection modification, and operation
Impedance Rapid detection, low detection Prone to noise from ions, [9–11]
biosensor limit, and label-free detection selectivity in real samples,
reusability, and reproducibility
Piezoelectric High sensitivity, label-free Prone to noise from impurity [12–13]
biosensor detection, and microfluidic and high performance
integratable piezoelectric thin films
[1–2] Farka et al. 2018; Kangas et al. 2017; [3–4] Strianese et al. 2012; Xu, Callaway, Wang & Li,
2015; [5–6] Taylor et al. 2007; Villena Gonzales et al. 2019; [7–8] Bahadir and Sezginturk 2016; Xu
et al. 2016; [9–11] Chen et al. 2015; Daniels and Pourmand 2007; Xu et al. 2016; [12–13] Fu et al.
2017; Shen et al. 2011

It is mainly based on absorbance, fluorescence, or reflection to monitor bacterial


targets. An optical method is widely applied in field detection because of its
advantages such as being portable, rapid, and cost-effective.

Colorimetric Biosensor

Colorimetric biosensor plays an important role in the detection of bacteria, especially in


the point-of-care diagnostics. These sensors are portable, rapid, and convenient to
operate (Table 1). The user can easily observe the change of color with naked eyes
without the need for additional analytical instrumentation. Its basic working principle is
that the change of the number (or concentration) of target bacterial cells in the sample
can cause a proportional color change of the detection solution; thus the exact number of
the target cells in the sample can be predicted and measured according to the change of
the color. The common substances that can change color and are applied mainly include
enzyme-substrate, precious metal nanoparticles, acid-base indicator, etc.
Tetramethylbenzidine (TMB) is widely used in the chromogenic reaction. It can
be catalyzed and activated by horseradish peroxidase (HRP), and consequently its
color changes from blue to yellow after stopping the reaction with hydrochloric acid.
The color change system of TMB-HRP is a typical methodological implementation
22 Immunoreaction-Based Sensors to Improve Bacterial Detection 475

Enzyme Color 1
High con.

Low con. Color 2

Colorless
No target

Polyclonal antibody

Target

Monoclonal antibody

Fig. 2 A schematic of a colorimetric biosensor based on immunoreaction

in colorimetric biosensors (Fig. 2). The chromogenic reactions are usually coupled
with a bacterium-specific immunoreaction. The target is captured by a monoclonal
antibody which is immobilized on a plate. The HRP is bonded to the polyclonal
antibody by the reaction between streptavidin and biotin. The sandwich is formed
due to the antigen-antibody reaction and the HRP-driven chromogenic catalysis of
TMB. Depending on the concentration level of the bacterial target, the final color of
the substrate will be different. Enzymatic methods are widely used in colorimetric
biosensors for their intuitive results. However, the development of natural enzymes
typically involves a high production cost, and their activities often decrease during
chemical reactions. The demand for and application of cheaper mimic enzymes are
increasing. Take Prussian blue as an example, this dye is a kind of mimic enzyme
composed by K4[Fe(CN)6] and K3[Fe(CN)6]. It can also catalyze TMB for its
peroxidase-like activity. Prussian blue can be coated by the treated bull serum
albumin (BSA) and form the sandwich with antibody and target. After adding the
TMB, the absorbance can be detected by a spectrometer to achieve the quantitative
analysis of the target (Farka et al. 2018). With the development of facile electronic
platforms, intelligent recognition shows a favorable advantage in colorimetric anal-
ysis, and smartphones have been often used for image collection and the visualized
detection of targeted bacterial cells. Recently, Zhang et al. developed a bacterial
sensor on capillary based on Fe nanoclusters and smartphone imaging (Zhang et al.
2019). Based on the principal that the iron ions (Fe3+) could be released from the
nanocluster bacteria and react with K4[Fe(CN)6] to form Prussian blue, this system
476 H. Zhang et al.

was developed for detecting different concentrations of the targets by monitoring the
color intensity of Prussian blue using a smartphone, showing a high sensitivity for
monitoring spiked Salmonella spp. in milk.

Fluorescent Biosensor

Fluorescence is a kind of photoluminescence phenomenon. It is generated by a


molecule transition from the ground electronic level to a higher level (Banica
2012). Fluorescent biosensor exhibits simultaneous detection in transduction; thus
it is also widely used in bacteria detection. Usually, the basic working principle is to
introduce the fluorescent substance into the detection system by means of immuno-
logical binding, and then the qualitative or quantitative analysis of the target can be
gained based on the dependent relationship between the fluorescence intensity and
the concentration of the target bacteria.
Quantum dots and fluorescein are the common fluorescent materials used as the
measurable signals in the detection system. The quantum dots with different sizes
can emit fluorescence at different wavelengths. In this sense, multicolor quantum
dots can be combined with immunomagnetic separation to realize the simultaneous
detection of multiple targeted microorganisms. Xu et al. developed a fluorescent
biosensor that can simultaneously detect four foodborne pathogens, namely,
Escherichia coli O157:H7, Staphylococcus aureus, Listeria monocytogenes, and
Salmonella typhimurium (Xu et al. 2015). In brief, the immunomagnetic beads
were first modified with different antibodies. The above four pathogens were then
isolated from enriched food samples. After that, the quantum dots of the four
different wavelengths bonded with antibodies were added to form a sandwich
structure. Finally, the quantum dots were detected based on spectrograph, and
fluorescence intensity was used to determine the concentration of foodborne patho-
gens. Under optimized conditions, the detection limits of these four pathogens in
beef could achieve as low as ~102 CFU/mL within only 2.5 h. As a chemical
molecule, fluorescein is easily bonded with protein or DNA with stable exposure
in a long time. Furthermore, a fluorescent microsphere formed by the polymer
microsphere and the fluorescent molecule is often used to amplify the signal.
Recently, Wang et al. combined a fluorescent biosensor with microfluidic technol-
ogy. This largely simplified the pretreatment of the sample and organically integrated
the whole detection system (Wang et al. 2019). The targets were labeled with
immune fluorescent microspheres, and a smartphone app that utilizes inter-frame
difference algorithm was developed to monitor the concentration of the Salmonella
typhimurium in apple juice (Fig. 3).

Surface Plasmon Resonance Biosensor

Surface plasmon resonance (SPR) is widely used in the detection of bacteria due to
its label-free operation, high sensitivity, and compatibility for real-time detection
22 Immunoreaction-Based Sensors to Improve Bacterial Detection 477

Unbound
Other fluorescent
impurities microspheres

Magnetic Magnetic
separation separation

Fluorescent
Smartphone App for real- microscopic system
time video processing
Outlet
Inlet

LED light source

Salmonella typhimurium MNP FMS MAb PAb

Fig. 3 The detection of Salmonella typhimurium based on a fluorescent biosensor integrated with
microfluidic technology (Wang et al. 2019). The target bacteria were first isolated and then formed
the sandwich with the fluorescent microspheres. The fluorescent spots in the microfluidic chip could
be monitored using smartphones

(Table 1). Plasmon is a large group of electrons in the oscillation state. The surface
plasmon is formed when the light irradiates at the surface of certain metals, and this
phenomenon is termed as surface plasmon resonance (Campbell and Xia 2007). The
detection principle is shown in Fig. 4. Typically, the biological components (anti-
body or aptamer) that can recognize the targets are first bonded to the gold surface of
the crystal. Upon sample addition, the target in the sample selectively binds to the
biometric materials, thereby causing an increase in the mass of the crystal surface
and, thereafter, a change in the refractive index of the light. Finally, the number of
target bacteria can be calculated by detecting the change of the light refractive index.
For the detection of bacteria, a SPR chip often needs to be modified with
antibodies. It is feasible to achieve the simultaneous detection of multiple types of
bacterial targets by integrating multiple modification reactions with microfluidics.
Taylor et al. reported a novel method for the simultaneous and quantitative analysis
of four foodborne pathogens by multichanneled SPR biosensors (Taylor et al. 2007).
Eight different antibodies were used to modify an eight-channel SPR sensor,
followed by injecting apple juice samples containing Escherichia coli, Salmonella
spp., Listeria spp., and Campylobacter spp. Under the optimized conditions, the
detection limit of this method ranges from 3.4  103 to 1.2  105 CFU/mL for these
four common foodborne pathogens.
Despite its aforementioned advantages, the SPR chip that utilizes reflected light
from the signal is susceptible to interference. Researchers have tried to improve the
478 H. Zhang et al.

Polarized Reflected

Prism

Sensor chip

Target Antibody Impurities

Fig. 4 A schematic of surface plasmon resonance biosensors modified from Campbell and Xia
(2007)

signal-to-noise ratio by adding the metal nanoparticles on the surface of the SPR chip
(Mitchell 2010; Vaisocherova-Lisalova et al. 2016). For example, gold nanoparticles
were used to amplify the signal in the SPR bacterial sensors (Vaisocherova-Lisalova
et al. 2016). In specific, a three-step detection assay was utilized to achieve both the
rapid and sensitive detection of pathogens based on ultralow fouling and
functionalized poly(carboxybetaine acrylamide) (Fig. 5). The detection limits for
Escherichia coli and Salmonella spp. in hamburger could reach down to 57 and
7.4  103 CFU/mL, respectively.

Electrical Biosensor

Bacteria can be detected based on the changes in the electric properties of the mass or
medium through measuring changes of electrical properties. In this section, electro-
chemical and piezoelectric are introduced.

Electrochemical Biosensors

Electrochemical biosensors usually use electrodes as conversion elements to immo-


bilize biometric materials (antibodies, aptamers, enzymes, etc.) on the surface of the
electrodes. The biometric materials specifically separate the target from the complex
sample. Then the electrode can convert the biochemical reaction signal into an
electrical signal to achieve the qualitative or quantitative analysis of the target.
22 Immunoreaction-Based Sensors to Improve Bacterial Detection 479

Fig. 5 A schematic of a three-step assay for the detection of bacterial pathogens in food samples
(Vaisocherova-Lisalova et al. 2016). The antibody was first immobilized on the SPR chip and captured
the bacteria; after washing, the gold nanoparticles were linked to the bacteria by another antibody

According to the types of signals, electrochemical biosensors can be divided into


impedance-, current-, potential-, and conductivity-based biosensors. Due to the low
sensitivity of potential- and conductivity-type biosensors, the electrochemical bio-
sensors in current research are mainly designed based on current and impedance
signals for bacterial detection.

Amperometric Biosensor
Amperometric biosensors are widely used in the detection of bacteria for their high
selectivity, sensitivity, low cost, and continuous detection (Table 1). It can detect
bacteria quantitatively by monitoring the change of current. The relationship
between electric current and the concentration of the analyte can be expressed by
the Cottrell equation (Grieshaber et al. 2008):
pffiffiffiffiffiffi
nFAC0 D j
i¼ pffiffiffiffiffi ð1Þ
πt
where i is the current to be tested (A), n is the number of electrons, F ¼ 96,485 C/mol
(the Faraday constant), A is the area of the electrodes (cm2), C0 is the original
concentration of analyte (mol/cm3), D is diffusion coefficient of the target (cm2/s),
and t is the operation time (s).
Currently, the commonly used methods mainly include cyclic voltammetry, pulse
method, sine method, and square wave method. There are three kinds of electrodes in
the system including working electrode, reference electrode, and counter electrode.
Two peaks (reduction and oxidation) in the current-voltage curve will be generated
480 H. Zhang et al.

Fig. 6 The cyclic voltammogram (a) and the schematic mechanism of an amperometric biosensor
(b) (Xu et al. 2017)

when the isosceles triangle-shaped pulse voltage is applied to the working electrode.
If the potential of the first half is scanned toward the cathode, the electroactive
substance is reduced on the electrode to generate a reduction wave. When the
potential of the second half is scanned toward the anode, the reduced product is
again oxidized on the electrode to generate an oxidation wave. Therefore, a trian-
gular wave scan completes a cycle of reduction and oxidation processes known as
cyclic voltammetry Fig. 6a.
The current-type biosensor measures the concentration of the target microorgan-
ism by measuring the current signal and usually generates a current signal by using
enzyme catalysis to generate a redox reaction. A common current biosensor sche-
matic is shown in Fig. 6b. It is usually based on the enzyme system (Xu et al. 2017).
First, the target in the sample is specifically captured by the antibody on the
electrode, and then an antibody linked to a label such as an enzyme combined
with the bacteria to form a double-antibody sandwich complex. Finally, the electro-
chemically inert substance is catalyzed as an active substance by an enzyme to cause
a redox reaction. The quantitative detection of the target bacteria can be gained by
measuring the change in the current signal during redox reaction.

Impedance Biosensor
Electrical impedance is the impedance that the circuit shows when an alternating
voltage is applied. Electrochemical impedance spectroscopy is an advanced method
to study the response of electrochemical systems and monitor the recognition
processes. In general, the ohmic resistance of the solution, the capacitance at the
electrode/solution interface, and the rate constant of the electrochemical reaction can
all be affected by the identification reaction that occurred at the electrode surface
(Banica 2012). The impedance biosensor is designed to provide detectable values for
only the ohmic resistance. At present, a study has reported the use of impedance
biosensors for detecting foodborne pathogenic bacteria (Yang et al. 2004). The basic
working principle based on the immunoreaction and the use of K3[Fe(CN)6] and
K4[Fe(CN)6] as a redox pair is shown in Fig. 7. First, the antibody is immobilized on
the surface of the microelectrode of an interdigitated electrode array. Prior to surface
22 Immunoreaction-Based Sensors to Improve Bacterial Detection 481

Fig. 7 Principle of an impedance biosensor based on immunoreaction (Yang et al. 2004)

modification, the electron transfer resistance at the electrode surface is small, which
contributes to small impedance. After surface functionalization, the impedance will
increase with the increase of the electron transfer resistance. Upon the capture of
antigen by antibody, the electron transfer resistance is further increased, and the
impedance value of the electrode is thus further increased. Electron transfer resis-
tance has a good linear relationship with E. coli in the concentration range of ~105 to
~108 CFU/mL (Yang et al. 2004). The amount of the targets can be eventually
quantitatively detected by detecting the change of impedance.
Although advantages such as simple instrument, rapid, low-cost, and easy inte-
gration facilitate the wide use of impedance biosensors, the complex electrode
modification processes are required during the manufacture of the traditional imped-
ance biosensor. For example, the biomolecules need to be immobilized on the
electrode surface. However, when antibodies directly adsorbed into the metal sur-
face, their bioaffinity will be reduced, which lead to a low capture ratio of target and
unstable biosensor. In recent years, researchers have developed a new type of
482 H. Zhang et al.

Substrate Ions
Polyclonal antibody Enzyme

Target

Electrode

Monoclonal antibody Immunomagnetic beads


Fig. 8 Principle of an impedance biosensor based on enzymatic catalysis

modification-free impedance biosensor based on magnetic separation and urease


catalysis which greatly improves sensitivity (Chen et al. 2015; Xu et al. 2016). The
processes can be described as follows (Fig. 8): the target bacteria in the sample are
first captured by immunomagnetic beads modified with a monoclonal antibody; a
catalytic enzyme (e.g., urease, glucose, and oxidase) is then added to form a beads-
bacterial-enzyme sandwich complex; upon the addition of catalytic substrate (e.g.,
urea glucose), the substrate will be catalyzed to generate a large number of ions; and
finally the change in the impedance value can be detected by the electrode.

Piezoelectric Biosensor

Piezoelectric biosensors use piezoelectric materials as sensing materials and monitor


the target by acoustic waves. Different sound waves can be excited in the medium by
different transducers, generally including bulk acoustic waves, surface acoustic
waves, plate waves, and love waves. Bulk acoustic waves are sound waves with a
general frequency between 5 and 20 MHz. They propagate inside the medium. The
thinner the medium is, the higher the detection sensitivity would be. Piezoelectric
sensors with bulk acoustic waves are also referred to as quartz crystal microbalance
(QCM). QCM is widely used in bacterial detection for its high sensitivity and user-
friendliness. The microbial targets can be captured by immobilizing biometric
materials on the surface of the crystals, thereby causing mass changes with which
the target can be detected by monitoring the resonance frequency changes of the
quartz crystals. The relationship between mass and resonant frequency can be
expressed by the Sauerbrey equation below:

ΔF ¼ 2:26F20 Δm=A ð2Þ

where ΔF is the natural resonant frequency of quartz wafer (MHz); Δm is the Quality
change (g); and A is the effective piezoelectric surface area of quartz wafer (cm2).
22 Immunoreaction-Based Sensors to Improve Bacterial Detection 483

The constant is inversely proportional to the density (2.648 gcm3) and shear
modulus of the quartz wafer. The negative sign indicates that the surface quality of
the quartz crystal increases, causing the vibration frequency to decrease.
Up until now, most piezoelectric biosensors use a QCM chip for microbial
detection. Shen et al. used immunomagnetic beads to capture target bacteria and
then combined them with streptavidin-modified gold particles to form a magnetic
bead-bacteria-gold particle complex, which was used to amplify the signal and then
immobilized in quartz using protein A (Shen et al. 2011). The antibodies coated on
the surface of the crystal specifically captured the target bacterial cells, and, finally,
the resonance frequency was measured. This resulted in the detection of Escherichia
coli O157:H7 down to a low detection limit (only 23 CFU/mL) within 4 h.

The Material and Fabrication of the Biochip

Due to the high complexity in the noise background of food or other environmental
samples, it is usually necessary to separate the target bacteria from the complex
sample before the detection of pathogenic bacteria. In this case, the process of the
detection of bacteria often includes sample collection, target separation, detection,
and data analysis. The emergence and increasing popularity of microfluidic chips
provide a remarkable alternative for the traditional detection system. It allows real-
time integration of multiple analysis methods and rapid on-site detection on a
compact chip. The major materials and the fabrication of the biochip are introduced
as follows.

The Materials of Biochip

The materials that are used to fabricate the biochip mainly include polymers,
inorganic materials, and biomaterials such as papers. In the past decades, PDMS
(Polydimethylsiloxane) has become the most popular choice for the manufacturing
of biochips due to its low cost and easy processability. Compared with other
materials such as polycarbonate, polymethylmethacrylate, etc., PDMS has good
gas permeability which is favorable for the growth of microorganisms. However,
the surface of PDMS is hydrophobic and is prone to non-specific gas adsorption. In
this case, a hydrophilic treatment is required. In addition, it is difficult to process
PDMS featuring complex three-dimensional structures. Alternatively, hydrogel
materials with excellent biocompatibility are also commonly used to fabricate the
biochip. Microfluidic channels made with hydrogels promote the transport and
diffusion of small molecules in the channel. Glass is a typical representative of
biochip made of inorganic materials. The advantage of glass is that it is chemically
stable, high strength, and good thermally conductive at high temperatures; thus
accurate nanoscale channels can be gain by processing its surface. The concept of
paper-based microfluidic chips was proposed by the George Whitesides team
(Bracher et al. 2009). Because of its low cost, good biocompatibility, and ease of
484 H. Zhang et al.

manufacturing, paper chip technology has been rapidly developed, and multiple
complex functions can be realized on a single paper chip device. However, the limits
of paper chip mainly include the high detection limit, the loss of sample due to the
open channel in the paper chip, and the difficulty in controlling the fluid.

The Fabrication of Biochip

To date, the main methods for manufacturing biochips mainly include photolithog-
raphy, soft photolithography, and 3D printing. As a traditional method, photolithog-
raphy is capable of processing nanoscale features on different substrates such as
silicon and glass. Firstly, the photoresist is spin-coated on the surface of the glass
into a thin layer. The photoresist is exposed to ultraviolet light under the occlusion of
the abrasive; after the target surface is etched with hydrofluoric acid, the channel is
packaged to another smooth plan. The main disadvantages of photolithography are
high production costs, time-consuming processing, and the use of hazardous
reagents. Soft photolithography is rapid prototyping which can design and fibrate
channel using PDMS. In this process, a 3D model is first printed to develop the
pattern. Prepolymer is subsequently cast and allowed to cure. Then, this PDMS
replica is removed from the master, oxidized, and sealed. This technology has
gradually matured and can easily realize the fabrication of the chip without expen-
sive instruments. However, it is still time-consuming and requires multiple pro-
cessing steps. 3D printing is an additive process with high precision. Thanks to its
rapid development, 3D printing is becoming more popular with further reduction of
material and equipment costs. The advantages of 3D printing are simple operation
and one-step molding of the chip without a pattern. Furthermore, the materials from
polymers to various types of biological materials all can be selected to meet specific
needs.

Bacterial Sensors for the Future

Bacterial sensors are an interdisciplinary new field combing advances in the field of
materials science, chemistry, biology, etc., enabling rapid and cost-effective detec-
tion of bacteria with particular medical, clinical, food safety, and environmental
importance. In the past decades, various biosensors have been developed for user-
friendliness, low-cost, and multiplexed detection of biomaterials (e.g., enzymes,
antibodies, aptamers, and DNA) closely related to pathogen diagnostics, animal
healthcare, and environmental and food safety. However, several challenges still
remain for their future real-world applications. First, most bacterial sensors need a
prior cultivation step before detection due to the high complexity and usually low
abundance of the targets in the samples. This step may, however, favor enrichment
thus detection of those well-known and easy-to-culture bacteria, whereas neglect
thus underestimates the majority of bacterial cells which are not cultivable under
today’s known laboratory conditions. To overcome or reduce such cultivation
22 Immunoreaction-Based Sensors to Improve Bacterial Detection 485

intrinsic effects, priority may be given to developing bacterial sensors with lower
detection limit to avoid cultivation or with minimal cultivation before robust detec-
tion. This effort would also reduce the required detection time thus allowing in the
future more timely diagnosis of clinically important, multidrug-resistant bacterial
pathogens including carbapenem-resistant, ESBL-producing, and/or vancomycin-
resistant Enterobacteriaceae.
Another issue lies in the loss of or reduced sensitivity of today’s lab-scale
bacterial sensors when applied to practical samples. For example, the immunological
detection method is a widely used method for biosensor detection. As this method
requires the recognition of antigen and antibody, the specificity and sensitivity of the
sensor are deeply influenced by the biometric identification. Since the biosensor
detection method often involves many experimental steps, the detection process is
cumbersome and may result in poor reproducibility of the analytical results.
The future development of bacterial biosensors will focus on several aspects,
namely, miniaturization, multifunctionality, intelligence, and smart integration. It is
promising to develop a new generation of low-cost, high-sensitivity, high-
throughput (e.g., with multiple targets), and high-stability biosensors combined
with the new materials and manufacturing processes. It is well expected that bacterial
sensors integrated within a biochip for bacterial detection are promising and will
become more and more significant and popular.

References
Ahmed A, Rushworth JV, Hirst NA, Millner PA (2014) Biosensors for whole-cell bacterial
detection. Clin Microbiol Rev 27:631–646
Bahadir EB, Sezginturk MK (2016) A review on impedimetric biosensors. Artif Cells Nanomed
Biotechnol 44:248–262
Banica F-G (2012) Chemical sensors and biosensors – fundamentals and applications. Wiley,
Chichester
Bracher PJ, Gupta M, Mack ET, Whitesides GM (2009) Heterogeneous films of ionotropic
hydrogels fabricated from delivery templates of patterned paper. ACS Appl Mater Interfaces
1:1807–1812
Campbell DJ, Xia YJ (2007) Plasmons: Why should we care? JoCE 84:91
Chen Q, Lin J, Gan C, Wang Y, Wang D, Xiong Y et al (2015) A sensitive impedance biosensor
based on immunomagnetic separation and urease catalysis for rapid detection of Listeria
monocytogenes using an immobilization-free interdigitated array microelectrode. Biosens
Bioelectron 74:504–511
Daniels JS, Pourmand N (2007) Label-free impedance biosensors: opportunities and challenges.
Electroanalysis 19:1239–1257
Farka Z, Cunderlova V, Horackova V, Pastucha M, Mikusova Z, Hlavacek A et al (2018) Prussian
blue nanoparticles as a catalytic label in a Sandwich Nanozyme-linked immunosorbent assay.
Anal Chem 90:2348–2354
Fu YQ, Luo JK, Nguyen NT, Walton AJ, Flewitt AJ, Zu XT et al (2017) Advances in piezoelectric
thin films for acoustic biosensors, acoustofluidics and lab-on-chip applications. Prog Mater Sci
89:31–91
Grieshaber D, Mackenzie R, Vörös J, Reimhult EJS (2008) Electrochemical Biosensors – Sensor
Principles and Architectures. Sensors 8:1400–1458
486 H. Zhang et al.

Kangas MJ, Burks RM, Atwater J, Lukowicz RM, Williams P, Holmes AE (2017) Colorimetric
sensor arrays for the detection and identification of chemical weapons and explosives. Crit Rev
Anal Chem 47:138–153
Kim JS, Anderson GP, Erickson JS, Golden JP, Nasir M, Ligler FS (2009) Multiplexed detection of
bacteria and toxins using a microflow cytometer. Anal Chem 81:5426–5432
Kumar S, Kumar S, Ali MA, Anand P, Agrawal VV, John R et al (2013) Microfluidic-integrated
biosensors: prospects for point-of-care diagnostics. Biotechnol J 8:1267–1279
Mitchell J (2010) Small molecule immunosensing using surface plasmon resonance. Sensors
(Basel) 10:7323–7346
Shen ZQ, Wang JF, Qiu ZG, Jin M, Wang XW, Chen ZL et al (2011) QCM immunosensor detection
of Escherichia coli O157:H7 based on beacon immunomagnetic nanoparticles and catalytic
growth of colloidal gold. Biosens Bioelectron 26:3376–3381
Strianese M, Staiano M, Ruggiero G, Labella T, Pellecchia C, D’Auria S (2012) Fluorescence-based
biosensors. Methods Mol Biol 875:193–216
Taylor AD, Ladd J, Yu Q, Chen S, Jiang S (2007) Quantitative and simultaneous detection of
four foodborne bacterial pathogens with a multi-channel SPR sensor. Biosens Bioelectron
22:752–758
Vaisocherova-Lisalova H, Visova I, Ermini ML, Springer T, Song XC, Mrazek J et al (2016)
Low-fouling surface plasmon resonance biosensor for multi-step detection of foodborne bacte-
rial pathogens in complex food samples. Biosens Bioelectron 80:84–90
Villena Gonzales W, Mobashsher AT, Abbosh A (2019) The Progress of glucose monitoring-A
review of invasive to minimally and non-invasive techniques, Devices and Sensors. Sensors
(Basel) 19:800
Wang S, Zheng L, Cai G, Liu N, Liao M, Li Y et al (2019) A microfluidic biosensor for online and
sensitive detection of Salmonella typhimurium using fluorescence labeling and smartphone
video processing. Biosens Bioelectron 140:111333
Xu L, Tyson Callaway Z, Wang R, Wang HF, Slavik M, Wang A et al (2015) A fluorescent
Aptasensor coupled with Nanobead-based Immunomagnetic separation for simultaneous detec-
tion of four foodborne pathogenic Bacteria. Trans ASABE 58:891–906
Xu M, Wang R, Li Y (2016) Rapid detection of Escherichia coli O157:H7 and Salmonella
typhimurium in foods using an electrochemical immunosensor based on screen-printed inter-
digitated microelectrode and immunomagnetic separation. Talanta 148:200–208
Xu M, Wang R, Li Y (2017) Electrochemical biosensors for rapid detection of Escherichia coli
O157:H7. Talanta 162:511–522
Yang L, Li Y, Erf GF (2004) Interdigitated Array microelectrode-based electrochemical impedance
immunosensor for detection of Escherichia coli O157:H7. Anal Chem 76:1107–1113
Zhang H, Xue L, Huang F, Wang S, Wang L, Liu N et al (2019) A capillary biosensor for rapid
detection of Salmonella using Fe-nanocluster amplification and smart phone imaging. Biosens
Bioelectron 127:142–149
Implantable Brain-Computer Interfaces
for Monitoring and Treatment 23
of Neurological Disorders

Hossein Kassiri and Roman Genov

Contents
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 488
General Considerations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 489
System-Level Architecture . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 489
Neural Recording . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 489
Neurological Event Detection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 490
Responsive Neurostimulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 491
Wireless Power and Data . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 491
Chronic Implantation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 492
System and Circuit Design for Neural Recording . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 492
Design Considerations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 492
Conventional Architectures . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 494
Fully Discrete-Time Front-End Architecture . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 495
System and Circuit Design for Neuro-Stimulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 496
Introduction, Modes, and Modalities . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 496
Commercial Neurostimulators . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 498
Electrical High-Voltage Stimulators . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 501
On-Chip Neural Signal Processing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 503
Epilepsy Seizure Detection: An Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 504
Communication-Computation Trade-Off . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 508
References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 514

Abstract
Implantable medical devices play an increasingly important role in enabling
experimental research and alternative treatment options for improving quality
of life for millions of people suffering from various brain neurological disorders.
In this chapter, we will review various circuit- and system-level considerations

H. Kassiri (*)
York University, Toronto, ON, Canada
e-mail: kassiri@yorku.ca
R. Genov
Department of Electrical and Computer Engineering, University of Toronto, Toronto, Canada

© Springer Science+Business Media, LLC, part of Springer Nature 2022 487


M. Sawan (ed.), Handbook of Biochips,
https://doi.org/10.1007/978-1-4614-3447-4_69
488 H. Kassiri and R. Genov

and trade-offs that must be taken into account in design of such systems in order
to achieve high-resolution real-time brain electro-physiological activity monitor-
ing, low-latency low-power digital signal processing, and highly effective elec-
trical stimulation.

Introduction

According to a report published by World Health Organization (WHO), there are


approximately one billion people worldwide affected by neurological disorders
(World Health Organization 2006). There is a significant portion (up to 30%,
depending on the disorder) of these patients who remain refractory to the currently
available pharmacological solutions and brain surgery (World Health Organization
2006). Monitoring and treatment of neurological disorders through responsive
neuro-modulation using a microelectronic brain implant has been investigated as a
promising alternative for patients who are refractory to current pharmacological
solutions. Such a device must record neural activity at high spatial resolution,
process-recorded signals, and trigger-responsive action (e.g., electrical stimulation)
to control an undesired neurological event.
While neural signal processing and responsive stimulation are required only for
diagnostic and treatment applications, continuous brain activity monitoring is
needed and is the first step toward any research on the brain. Depending on the
required spatial and temporal resolution as well as the level of tolerated invasiveness,
various brain-imaging/-monitoring technologies are available. Table 1 summarizes
and compares different brain-imaging/-monitoring methods in terms of their spatial
and temporal resolution, spatial coverage, and invasiveness. Generally, noninvasive
imaging technologies such as magnetic resonance imaging (MRI), positron emission
topography (PET), and magnetoencephalography (MEG) provide larger coverage of
the brain with low to moderate spatial resolution, while more invasive methods such
as different variations of electrophysiological activity recording using electro-
corticography (ECoG) or penetrating intracranial electrodes can typically yield
higher spatial resolution, albeit for a smaller area of the brain.

Table 1 Various neural activity-monitoring methods compared in terms of their spatiotemporal


resolution and coverage
Technology Spatial resolution (mm) Spatial coverage Temporal resolution (s)
MRI 0.5–100 0.5 cm2< 500<
PET 5–100 50 cm2< 10<
fMRI 2–100 20 cm2< 0.5<
MEG 5–100 50 cm2< 0.05<
EEG 10–100 100 cm2< 0.05<
ECoG 1–50 1–500 cm2 0.005<
Depth EEG 0.1–0.5 0.001–0.2 cm2 0.0005<
Patch Clamp 0.01–0.03 1–20 neurons 0.0001<
23 Implantable Brain-Computer Interfaces for Monitoring and Treatment of. . . 489

A similar trend exists for temporal resolution. The noninvasive imaging methods
that provide high spatial coverage typically can produce an image every 100 ms at
best. In contrast, surface EEG, ECoG, or depth EEG recording technologies have the
capability of outputting data with high temporal resolution, which in some cases
could be considered as real time.
In a case that a miniaturized portable (e.g., implantable or wearable) solution is
desired, the range of available technologies becomes significantly more constrained.
Strict requirements of such a device in terms of physical dimensions, weight, and
power consumptions prevent the use of MRI, PET, or MEG systems. On the other
hand, recent advances in integrated circuit and microfabrication technologies have
made it possible to realize miniaturized microsystems capable of brain activity
monitoring with high temporal resolution. In this chapter, first, we review general
system-level requirements for wireless implantable neural interfacing microsystems.
Next, we discuss circuit-level design requirements, implementation challenges, and
examples for fully wireless systems capable of neural (i) recording, (ii) stimulation,
and (iii) signal processing. We also discuss energy efficiency and design trade-offs
that must be considered when developing such implantable devices.

General Considerations

System-Level Architecture

Figure 1a shows the top-level block diagram of a wireless neural interface micro-
system. Neural data acquisition is performed using an array of recording channels,
where each channel conducts low-noise amplification, filtering, and digitization. If
the device is intended for diagnostic applications, a digital signal-processing block
follows the recording array. Hardware implementation of the signal-processing
algorithms for detection of certain neurological disorders typically tends to have
inferior performance (in terms of computation accuracy), compared to a software-
based implementation, but has the advantage of lower latency and making the
system needless of sending the raw recorded signals, which consequently leads to
relaxing the throughput requirements of the wireless communication (Salam et al.
2016). This is discussed in detail in section “On-Chip Neural Signal Processing” of
this chapter. Moreover, a neural stimulation block including several stimulation
channels (each connected to a stimulating electrode) is added to perform controlled
injection of electrical charges to the brain to enable neuromodulation.

Neural Recording

To record both local field potentials (LFPs) and action potentials (APs), each
recording channel must have a low-noise front-end circuit that amplifies signals
with amplitudes ranging from 10 μV to 1 mV and a sub-Hz to 10 kHz frequency
bandwidth. Additionally, electrochemical reactions at the electrode-tissue interface
490 H. Kassiri and R. Genov

NEURAL INTERFACE MICROSYSTEM

DEPTH ELECTRODES
EEG, ECoG, OR

PROCESSING

DATA/POWER
TELEMETRY
RECORDING

WIRELESS
SIGNAL
CHANNEL

STIMULATION
CHANNEL

POWER

DATA Rx &
POWER Tx
DATA
(a) SURFACE EEG
ELECTRODES

SCALP
ECoG
SKULL ELECTRODE
DURA MATER ARRAY

CORTEX
DEPTH
MICROELECTRODES
(b)
Fig. 1 (a) A general block diagram of an inductively powered wireless implantable neural
interface; (b) different possible electrode placement options for brain neuro-electrophysiological
activity monitoring

cause a significant DC offset at the inputs (up to several hundred millivolts) that must
be removed to avoid front-end amplifier saturation. The front-end circuit should also
have an excellent noise performance to ensure high-quality recording with sufficient
signal-to-noise ratio. Following amplification, recordings should be digitized using
an analog-to-digital converter (ADC) before being transmitted to an external com-
puter or fed to an on-chip digital processor. Various architectures have been proposed
over the past two decades, all aiming at achieving all of the above while minimizing
the on-silicon area and power consumption of each channel to maximize the number
of channels that can be integrated on-chip without surpassing the area/power bud-
gets. A detailed discussion on this is included in section “System and Circuit Design
for Neural Recording” of this chapter.

Neurological Event Detection

Following amplification and digitization, the recorded data is processed to detect a


certain neurological event (e.g., an upcoming epilepsy seizure). The detection for
most applications should be done with a few-microseconds latency, which empha-
sizes the necessity of an on-chip implementation for the signal-processing unit
23 Implantable Brain-Computer Interfaces for Monitoring and Treatment of. . . 491

(to avoid delays associated with two-way wireless communication of the recorded
data to an external computer). Several on-chip processors for neural-interfacing
applications have been reported. Depending on the application, a wide range of
signal features (e.g., magnitude, phase, power spectral density, entropy, etc.) is
extracted from the recorded signals, and fed to computational algorithms ranging
from simple thresholding to sophisticated machine-learning-based methods to per-
form detection. We have an extended review of algorithms, their computational
requirements, and an example implementation in section “On-Chip Neural Signal
Processing” of this chapter.

Responsive Neurostimulation

Responsive neuro-stimulation is done upon detection of a neurological event to


manipulate/modulate brain states. For effective neuro-modulation, many-site elec-
trical stimulation is required, ideally with pulse amplitude, frequency, and duty-cycle
of the pulses injected in each site being fully programmable. The neuro-stimulation
is performed using various agents including electrical voltage, current, charge, and
light. Different types of neuro-stimulators and related challenges are discussed in
more detail in section “System and Circuit Design for Neuro-Stimulation” of this
chapter.

Wireless Power and Data

For an implantable system designed for chronic (i.e., long term) applications, power
and configuration commands should ideally be transferred to the device wirelessly.
An inductive powering link allows for replacing heavy and bulky batteries with
smaller rechargeable ones or, in some cases, removes the requirement for a battery
altogether. The inductive link should have a reasonable range (i.e., a few millimeters
to centimeters, depending on the application) while keeping specific absorption rate
(SAR) below the safety-permitted limit.
A typical wearable/implantable microsystem must also communicate the
recorded neural information as well as signal-processing results to outside the
body for display and/or further processing. The communication must be done
using a high-throughput power-efficient wireless link. Depending on the application,
the receiver is typically located a few centimeters to a few meters apart from the
implant. The application also determines what data-rate is required for the wireless
link. Narrow-band far-field transmitters are reported in some works to transmit data
to as far as a few meters (Kassiri et al. 2014). However, they typically have high
power consumption and lower maximum data-transfer rate compared to carrier-less
alternatives. Short-range UWB transmitters have also been used in a few designs, as
they exhibit much higher data-rate while consuming lower power (Soltani et al.
2015). Near-field-based data transmitters have also been reported where the induc-
tive power transfer link will also be used for data communication. In these systems,
492 H. Kassiri and R. Genov

unlike the previous designs where far-field transmitters were employed, a backscat-
tering method is used to transmit the recorded data back to the external chip. This
method uses the data bits to turn on/off a switch that modulates the loading
conditions of the forward power telemetry link. Therefore, it modulates the reflected
energy that will be captured by the detector (interrogator) circuit on the power-
transmitting external chip, and only needs a single extraswitch to be added to the
implanted sensor node. Hence, it adds minimally to the power consumption of the
implanted chip. The simple design and minimal power and area requirements come
at the cost of very limited throughput as well as challenges when multiple implants
need to simultaneously communicate their data to the same receiver.

Chronic Implantation

Chronic implantation constraints such as the ease of implantation and use, biocom-
patibility, leakage-safe encapsulation, and long lifetime must also be considered
when designing an implantable device. A multichannel implantable system,
designed for long-term neurostimulation, must not only exhibit excellent perfor-
mance in terms of electrical properties, but also should have a small form factor, be
fully wireless, and operate fully autonomously. The silicon die is typically directly
bonded to a printed circuit board (PCB), and minimum number of peripherals must
be used.

System and Circuit Design for Neural Recording

Design Considerations

Electrode Placement
Figure 1b shows different possible electrode placements in the close proximity of the
human brain. As mentioned earlier, depending on the required spatiotemporal
resolution as well as the level of invasiveness, one of these options is selected.
Surface EEG records brain electrical signals on the scalp, which represent an
averaged neural activity of the neighboring area. Recording from the scalp has the
advantage of being noninvasive and safe; however, it yields the lowest spatial and
temporal resolution among all. This is due to the existence of physical layers such as
skull bone and tissues between the recording sites and the brain. The situation with
depth EEG is the opposite, where both action potentials (AP) and local field
potentials (LFPs) can be acquired with high spatiotemporal resolution using pene-
trating microelectrodes that are in close contact with neurons. This makes depth EEG
recording ideal for applications that require high spatial resolution but with a limited
spatial coverage (i.e., small area). The rich information obtained using this method
comes at the cost of tissue damage caused during electrode insertion. Additionally,
for long-term applications, electrode displacement could degrade the recorded signal
quality, and the body immune system could also react to the inserted electrodes
23 Implantable Brain-Computer Interfaces for Monitoring and Treatment of. . . 493

causing further issues. Intracranial EEG (iEEG) or electrocorticography (ECoG) can


be considered as a midpoint between the two aforementioned methods. It provides
better spatial and temporal resolution compared to the surface EEG due to its
proximity to the brain and, at the same time, is less invasive compared to the
depth of EEG microelectrodes as its electrodes do not penetrate the cortex. Because
of its reasonable spatiotemportal resolution and level of invasiveness, ECoG is
commonly known as the gold standard for many cognition and brain-computer
interface applications, especially when chronic (long-term) implantation is required.

Challenges
Design of a compact low-noise and low-power front end for recording small-
amplitude neural signals (10 μV-1 mV) that have frequency content in sub-Hz to
10 kHz band creates various challenges. To ensure minimal signal attenuation and
also high common-mode signal rejection, the amplifier’s input impedance must be
an order of magnitude larger than the electrode impedance that is typically in the
range of a few to tens of MΩ. Its input-referred noise power must be an order of
magnitude smaller than the noise generated by the background neural activity and
the electrode’s contribution. In addition, considering that neural signals at very low
frequencies (i.e., <10 Hz) are of great importance in most applications, an effective
method for flicker noise suppression/removal must be adopted. The above specifi-
cations must be met while keeping power and area at the minimum possible, and the
channel’s effective number of bits at the maximum possible. The former is important
when scaling the number of channels to a very high number, and the latter is
important to allow for more accurate signal processing.

Input-Referred Noise
For the reported neural amplifiers in the literature, techniques such as large input
device sizing, correlated double sampling, and chopping are utilized to reduce the
input-referred noise. Large input device sizing significantly limits the channel count
and can only improve the noise performance to a certain extent before resulting in an
area-inefficient implementation. Correlated double sampling partially removes the
low-frequency content of the signal at the input of the opamp which includes the
offset and the flicker noise. On the other hand, chopper stabilization filters the flicker
noise after up-modulating it to a higher frequency. Despite being effective tech-
niques for flicker noise reduction, both these techniques have limitations in neural
amplifiers. When applied to a conventional neural amplifier with AC-coupled inputs
(i.e., a DC-removing capacitor placed between the electrode and the input transis-
tors), they result in noise multiplication and/or input impedance reduction. This is
partially addressed by positive-feedback impedance-boosting techniques, which
come with stability concerns.

DC Offset Removal
Removing DC offset at the input of the recording amplifier is another challenge in
the design of neural interface front ends. The offset is generated due to electrode-
tissue chemical reactions and can saturate the amplifier. The offset potential occurs
494 H. Kassiri and R. Genov

between the working and reference electrodes and is a function of chemical com-
position of the electrode-tissue interface. To avoid this issue, all the solutions
suggested in the literature can fall within three methods: low DC gain, stabilization,
and high-pass filtering. The first two methods are not investigated anymore due to
their limited performance in offset removal. On the other hand, high-pass filtering
has been investigated in many forms as described next.

Conventional Architectures

Conventional realization of a high-pass transfer function is done by adding an


ac-coupling capacitor between the electrode and the input transistors of the amplifier.
A typical closed-loop ac-coupled neural front end is shown in Fig. 2a. Closed-loop
voltage gain and low-frequency high-pass pole of this circuit is set by C1/C2 and
1/(R2C2), respectively. To prevent parasitic capacitances from causing significant
mismatch and gain error, C2 is normally kept above a minimum value of around
200fF. As a result, to achieve both a low-frequency (<1 Hz) high-pass pole and a
high voltage gain, C1 has to be larger than 10 pF. Such a large capacitance increases
channel area significantly and is not scalable with CMOS technology.
Motivated by this, DC-coupled front-end amplifiers with an offset-removal
feedback were proposed as an alternative. For instance, an analog feedback loop is
used to sense and cancel the DC offset, similar to what is shown in Fig. 2c. However,
the opamp in the feedback increases the power consumption significantly and its
open-loop gain variation results in a varying high-pass pole. Differential difference
amplifier topology shown in Fig. 2d is also utilized to remove the DC offset. Despite
being effective in DC offset removal, it can only be used for systems with a small
number of channels as it requires the use of a large (≈nF) off-chip capacitor in each
channel to achieve the low-frequency high-pass pole.
A fully digital implementation of the feedback loop for offset cancellation causes
very small additional area and power consumption and allows for adjusting the high-
pass pole with high accuracy. A digital feedback implementation is reported in
(Muller et al. 2012) that it adds/removes parallel transistors to/from the input-
differential pair for the input DC offset correction (Fig. 2f). Changing the number
of parallel input transistors modifies the input device noise and leads to offset-
dependent noise performance. Thus, the feedback implementation must be modified
to achieve offset-independent noise performance.
A typical digitally assisted DC-coupled open-loop front end is shown in Fig. 2e.
For this circuit, the offset is compensated by a digital feedback loop eliminating the
bulky input capacitor. However, only DC offset of up to 50 mV is typically
removed using examples of this method shown in Fig. 2f (Muller et al. 2012) and
(Fig. 2g) (Kassiri et al. 2014), which is only sufficient when the front-end input
impedance is significantly smaller than the electrode impedance. Also the open-loop
configuration with channel-to-channel gain variations is an important disadvantage
of DC-coupled neural amplifiers. The change in the channel gain is normally due to
supply voltage and process variations. As a result, the signal amplitude and phase
information of different channels cannot be directly compared to each other.
23 Implantable Brain-Computer Interfaces for Monitoring and Treatment of. . . 495

R Vin
-
OTA Vout
+

C1 C2 REF
Vin- + R
- Vout+
OTA
OTA -
+ Vout-
Vin+
C1 C2
C
(c)
R Vin+ +
Vin- - Vout
OTA
(a) +
-

Vin + R
OTA Vout C
-
(d)
C R
VIN+ w w
DOUT
OTA LPF ADC
(b) VIN-

w, w
DIGITAL
Vin DOUT DAC
LPF
+ DREF
AMP ADC
Vref

DAC Digital
LPF
(f)
(e) VREF

IDAC LPF ADC


DOUT=A(VIN-VREF)+Q
OTA

VIN+VOFF

DIGITAL
IDAC=IOFF I-DAC
LPF
+ DREF

(g)
Fig. 2 (a) AC-coupled, (b–d) analog-assisted DC-coupled, and (e–g) digitally assisted
DC-coupled neural amplifiers

Consequently, without channel-to-channel gain-mismatch removal, any multivariate


signal processing done among different channels will have a significant error. To
solve this issue, the gain of all channels must be set to a nominal value using a
calibration block implemented with minimum power and silicon overhead.

Fully Discrete-Time Front-End Architecture

Motivated by the abovementioned shortcomings of the conventional AC-coupled


and DC-coupled front ends, the feasibility of a mixed-signal architecture commonly
known as “ADC direct” has been investigated over the past few years. An additional
496 H. Kassiri and R. Genov

motivation for an ADC-direct architecture is the limited dynamic range of conven-


tional OTA-based (AC or DC coupled) designs, which prevent them from recording
neural signals in the presence of large stimulation artifacts. This is important as it
allows for simultaneous recording and stimulation, which is critical in optimizing
stimulation parameters (e.g., magnitude, frequency, duty cycle, etc.), particularly for
a patient-specific therapy.
During and shortly after stimulation, large (i.e., >100 mV) artifacts appear at all
recording electrodes, including the reference electrode, with various magnitudes
correlated with their proximity to the stimulation site. As a result, the artifact’s
manifestation at the inputs of the differential recording circuit is a mixture of large
common-mode (CM) and differential mode (DM) variations. These artifacts could
easily saturate the recording circuit, if they are not dealt with. Simultaneous recording
of minuscule neural signals and large artifacts requires very high dynamic range
(i.e., >80 dB), which is impossible to achieve using conventional architectures
without exceeding, by a large margin, the power budget. The most common approach
to address this issue is adopting an “ADC-direct” architecture, which is an umbrella
term for neural recording circuits that merge the amplifier and the quantizer into one
stage and leverage mixed-signal techniques to achieve a high dynamic range (DR)
required for recording both the neural signals and the DM artifacts.
Figure 3 shows three ADC-direct architectures representing several recently
reported designs for high-DR neural recording, all using a form of noise-shaping
oversampling quantizers. The common aspect in design of these architectures is that
they all prevent large differential-mode artifacts from entering the ΔΣ modulator by
subtracting an approximated version of it from the input. This reduces the dynamic
range of the signal entering the modulator substantially and, thus, ensures the
linearity of the integrator (i.e., the Σ operator). The way the feedback is implemented
(i.e., capacitive vs resistive) differentiates these designs. They are also different in
using phase-mode (a.k.a. VCO-based) versus voltage-mode quantizers, and/or in
employing different orders of noise shaping. However, their common problem is
rooted in conducting the feedback subtraction at the input. A capacitive feedback
results in a significant ZIN reduction (due to chopper switches at the input). This
could be addressed by using a resistive feedback, but at the cost of extensive use of
passives (scalability concern) and OTAs (to improve gm, noise efficiency concern).
Additionally, almost all these designs’ channel area are dominantly occupied by
large passives (e.g., for capacitive/resistive DACs, or for impedance-boosting loop
stabilization), which could significantly degrade their scalability with CMOS
process.

System and Circuit Design for Neuro-Stimulation

Introduction, Modes, and Modalities

As mentioned previously, responsive neuro-stimulation is done upon detection of a


neurological event to modulate undesired brain activity. Voltage-controlled stimula-
tion (VCS), current-controlled stimulation (CCS), and switched-capacitor charge-
23 Implantable Brain-Computer Interfaces for Monitoring and Treatment of. . . 497

Nth ORDER
VIN gm VOLTAGE
LOOP
QUANTIZER
DOUT
FILTER

DAC
CAP
DSP/
DEM

VIN gm PHASE
VCO
QUANTIZER
DOUT

DAC
CAP

DSP/
DEM

Nth ORDER
VIN gm VOLTAGE
LOOP
QUANTIZER
DOUT
FILTER
DAC
RES

DSP/
DEM

Fig. 3 Simplified representation of recently published ADC-direct architectures for artifact-


tolerant neural recording

base stimulation (SCS) are the three modalities reported in literature for electrical
stimulation (Kassiri et al. 2019). VCS allows for controlling the voltage at the
electrode but cannot control the amount of the injected charge during stimulation,
which could cause suboptimal results and tissue damage. SCS offers the best energy
efficiency and an excellent control on the injected charge but has the disadvantage of
limited control over the stimulation pulse shape. CCS, on the other hand, has an
excellent control of charge injected into the tissue and can deliver a precisely
programmed current pulse shape. As a result, the majority of brain stimulators use
CCS modality.
Nevertheless, CCS typically suffers from poor power efficiency compared to
VCS and SCS. This is because the supply voltage in these stimulators is set to
accommodate a sufficient headroom voltage (voltage compliance) for the worst-case
scenario, which is stimulating with the highest-amplitude current into the largest
possible electrode-tissue interface impedance. Having such high supply voltage,
when stimulating with lower-than-maximum current amplitudes or into smaller-
than-maximum impedances, results in a significant portion of the consumed power
being wasted. Driven by this, load-adaptive designs have been reported (e.g., Kassiri
et al. (2016)) that vary the supply voltage to the minimum required value based on
the output of a sensory feedback loop, which will be discussed later in this section.
498 H. Kassiri and R. Genov

From an entirely different perspective, neuro-stimulators can be categorized into


open-loop and closed-loop ones. Open-loop neurostimulators are devices that
deliver preprogrammed periodic electrical pulses to the brain via implanted elec-
trodes. A physician can program the device based on the severity of the patient’s
disease to determine how often and how intense these pulses need to be. Despite
their benefits, open-loop neurostimulators operation is considered blind due to the
lack of a brain-monitoring feature. This causes several issues, both in terms of
patient’s safety, and the device longevity and efficacy. For instance, long-term
continuous neurostimulation is shown to cause habituation and changes in the neural
chemistry, which can result in an ineffective treatment after a while. Also, since these
devices deliver stimulations constantly, they subject the patient to excess pulses
which reduces the overall efficiency of the open-loop technique, and also results in a
faster battery depletion. Additionally, for many neurological disorders such as
epilepsy, an effective stimulation only takes place if it is triggered at the right
time. To address mentioned shortcomings, closed-loop neurostimulators have been
investigated during the past years, and only recently, a few of such devices are
introduced to the market. Closed-loop neurostimulators constantly monitor patient’s
neuro-physiological activity to detect/predict a neurological event of interest that is
likely to happen. Only when a particular event is detected, the stimulation is
triggered and pulses are delivered to the neural system.

Commercial Neurostimulators

Open-Loop Neurostimulators
A list of key open-loop neuromodulators currently available on the market is
presented below.
Medtronic: Medtronic has offered a series of open-loop brain stimulators that
target diseases such as essential tremor and Parkinson’s disease. For instance, the
Activa RC™ (Rechargeable) shown in Fig. 4a is a 40 gram device, typically
implanted subcutaneously near the clavicle, and connected to leads implanted in
the brain. After being programmed, it delivers controlled electrical pulses to pre-
cisely targeted areas of the brain. This deep brain stimulation is done both in current
and voltage modes, through up to 4 electrodes. The device is battery-powered, and
the battery lasts up to 9 years depending on the frequency of the stimulations. It
comes with a patient programmer device that checks the battery status of the pulse

Fig. 4 Three commercially available open-loop battery-powered neurostimulators: (a) Medtronic


Activa RC™, (b) St. Jude Brio™, and (c) Boston Scientific Vercise PC™
23 Implantable Brain-Computer Interfaces for Monitoring and Treatment of. . . 499

generators and allows the physicians to adjust the therapy wirelessly. The transmis-
sion is with a carrier frequency of 175 kHz, and an output level of -48dBμV/m.
St. Jude: St. Jude medical has also introduced the Brio™, shown in Fig. 4b, with
an advanced rechargeable technology that functions for at least 10 years. The device
is designed for the treatment of Parkinson’s disease, and its biggest difference
compared to other devices with similar application is the use of constant current
pulse delivery. It supports 2 leads and 16 contacts and has three options for the
current path: monopolar, bipolar, and multipolar. It is implanted under the skin of the
upper chest and sends the impulses through wire leads that attach the device to
electrodes implanted in the brain.
Boston Scientific: Another Implantable pulse generator is the Vercise PC™
(Primary Cell) shown in Fig. 4c, from Boston Scientific. This 16-contact implantable
pulse generator features a multiple independent current control (MICC) system with
current steering technology, which allows precise control of the stimulation field.
The user-friendly environment of its programming software allows for precise
programming of all the parameters of the stimulation. It can also report a graphical
summary of the therapeutic benefits and side effects at a given position along the
DBS lead.

Closed-Loop Neurostimulators
Below are some of the commercially available closed-loop neurostimulators.
Neuropace: The Neuropace RNS™ (Responsive Neurostimulator), shown in
Fig. 5a, is a closed-loop device designed for epilepsy treatment. This device is
28  60  7.7 mm3 and weighs about 17 g and is powered by a battery with a
longevity around 2 to 3.5 years. Unlike other closed-loop devices, it is implanted in
the brain and delivers pulses in a DBS fashion, upon detection of an upcoming
epilepsy seizure. Once stimulation is triggered, current-regulated biphasic pulses
with 40-1000 μs width are delivered to eight electrodes implanted in the brain. At the
same time, the recorded brain data are transferred wirelessly to the patient’s data
management system. The physicians use a programmer to control the stimulator and
a wand to monitor patient’s brain activity. The wand works with a short-range
wireless radio frequency link. Wireless transmission is done in 20–50 kHz range
with effective radiated power of 224 pW or less. Tables 2 and 3 compare various
characteristics of different types of open-loop and closed-loop neurostimulators,
respectively.

Fig. 5 (a) Neuropace RNS™ responsive neurostimulator; (b) Medtronic Activa PC + S™ closed-
loop neurostimulator; and (c) LivaNova Aspire SR™ closed-loop neurostimulator
500 H. Kassiri and R. Genov

Table 2 State-of-the-art commercial open-loop neural stimulation systems


Activa RC™ Brio™ Vercise PC™
Figure 4a Figure 4b Figure 4c
Manufacturing Medtronic St. Jude Boston Scientific
company
Release date May 2009 June 2015 September 2015
Stimulation site Deep brain Deep brain Deep brain stimulation
stimulation stimulation
Targeted application Parkinson’s Parkinson’s Parkinson’s Disease
Disease Disease
Essential tremor Essential tremor Dystonia, essential
tremor
Size (mm3) 54  54  11 48  53  10 22
Weight (grams) 40 29 N/R
No. of stim. channels 4 16 16
Output current (mA) 0.25–5 0–12.75 0.1–20
Output voltage (V) 0–10.5 0–6.5 N/R
Frequency (Hz) 2–250 2–240 2–225
Pulse width (μs) 60–450 50–500 10–450
Battery longevity 9 10 25 (rechargeable)
(years)
Battery type Rechargeable Rechargeable Nonrechargeable/
rechargeable
Implant site Chest area Chest area N/R
Recorded data Wireless Wireless Wireless
monitoring
N/R: Not Reported

Medtronic: Another product introduced by Medtronic is the Activa PC + S™


(Primary Cell + Sensing), shown in Fig. 5b. This new device, with similar physical
and electrical stimulation characteristics to Activa PC, has the added feature of
recording brain activities, and sending stimulation pulses accordingly. Implanted
subcutaneously near the clavicle, it is able to monitor brain activities to see how
patients respond to the therapy and allows the doctors to improve the therapy. In
addition to programming the device, the programmer also interrogates information
such as battery status from the device.
LivaNova: LivaNova (previously Cyberonics) has recently introduced the Aspire
SR™ (Seizure Response), shown in Fig. 5c. This device constantly monitors the
heart beat and delivers stimulation pulses when it detects a rapid heart rate raise. The
ECG (ElectroCardioGram) sensor and the pulse generator, implanted in the chest
area, are connected to the vagus nerve and deliver pulses through lead wires. This
25-g generator is programmed using a wireless remote wand. The device receives
programming signals from the wand and transmits back telemetry information. The
power source is a lithium carbon monofluoride battery with a lifetime of approxi-
mately 4–7 years, depending on the frequency of the pulses.
23 Implantable Brain-Computer Interfaces for Monitoring and Treatment of. . . 501

Table 3 State-of-the-art commercial closed-loop neural stimulation systems


RNS™ Activa PC + S™ Aspire SR™
Figure 5a Figure 5b Figure 5c
Manufacturing Neuropace Medtronic LivaNova
Company
Release date November 2013 January 2013 June 2015
Stimulation site Deep brain stimulation Deep brain Vagus nerve
stimulation stimulation
Targeted application Drug-resistant epilepsy Parkinson’s Epilepsy
Disease
Size (mm3) 28  60  7.7 65  49  15 14
Weight (grams) 16 67 25
No. of stim. channels 8 4 N/R
Output current (mA) 6–11.5 0–25.5 0–3.5
Output voltage (V) 6–12 0–10.5 N/R
Frequency (Hz) 1–333 2–250 1–30
Pulse width (μs) 40–1000 60–450 130–1000
Battery longevity 2–3.5 9 4–7
(years)
Battery type Nonrechargeable Rechargeable Nonrechargeable
Implant site In the skull, under the Chest area N/R
scalp
Recorded data Wireless Wireless Wireless
monitoring
N/R: Not Reported

Commercial Devices Review Conclusion


Reviewing commercially available neurostimulators reveals that closed-loop devices
generally outperform open-loop ones in treatment of targeted neurological disorder,
mainly due to the signal-processing feature integrated into them. The critical role of
the signal-processing unit motivates for studying various available algorithms in
terms of their performance (accuracy and latency) and their feasibility for hardware
implementation. Hardware implementation of the algorithm is important to achieve
real-time responsive stimulation, but makes computational complexity one of the
main deciding factors.

Electrical High-Voltage Stimulators

Motivation
As mentioned previously, despite their advantages, current-controlled stimulators
typically suffer from poor power efficiency compared to VCS and SCS. This is
mainly due to the supply voltage of these stimulators that is set to accommodate a
sufficient headroom voltage for the worst-case scenario, which is stimulating with
the highest-amplitude current into the largest possible tissue impedance. This power
502 H. Kassiri and R. Genov

loss could be significant depending on the load impedance and the required stimu-
lation current amplitude. For a rectangular current-mode stimulation pulse with an
amplitude of Istim, the minimum required supply voltage is:

I stim T stim
VDDmin ¼ I stim  Relec þ þ V headroom , ð1Þ
Celec
where Relec and Celec are resistive and capacitive components, respectively, and
Vheadroom is the minimum required headroom voltage. This equation shows that the
required voltage compliance for a neuro-stimulator is set by stimulation current
amplitude and the electrode impedance. For deep brain stimulation, and for a typical
electrode resistance of 1 kΩ and the stimulation current magnitude of 1 mA, the
stimulator could be implemented in a standard CMOS process with thick oxide
devices that can tolerate up to 3.3 V. However, for some applications such as muscle
stimulation high current amplitudes up to tens of Milli-Amperes are required. Also,
in the applications such as retinal implants and transcranial stimulators that require
small stimulation currents (<100 μA), electrode impedance could be as high as
50 kΩ. Based on Eq. 1, both these cases demand for voltage compliance that is much
higher than what is available in a standard CMOS process.

High-Voltage Compliance
Reported solutions to address this issue are either using a high-voltage process or
using standard CMOS process, but with a circuit architecture that does not impose a
greater-than-supply voltage between device terminals at any time. Using high
voltage devices to achieve high compliance, allows for simple circuit architectures
supplied by a 20–50 v voltage source, that does not have the risk of a device
breakdown. The disadvantage of such high supply voltages is when stimulating
with lower-than-maximum current amplitudes or into smaller-than-maximum
electrode-tissue impedances, which results in a significant portion of the consumed
power being wasted. Considering high voltage levels in these systems, the wasted
power could be as significant as 10 the delivered power to the tissue.

Adaptive Power Supply


To address the power loss issue, some power adaptive neurostimulators are reported
(Kelly and Wyatt 2011; Arfin and Sarpeshkar 2012; Williams and Constandinou
2013). The common goal of all these designs is to set the supply voltage at the
minimum required compliance. This demands for the supply voltage to be adaptive
to the stimulation current amplitude, that is set by the user, as well as to the electrode
impedance variations.
In Kelly and Wyatt (2011), the authors have reported an energy efficient stimu-
lator with adaptive supply voltage. The stimulator essentially mimics the electrode
voltage that is expected for a particular current. The supply voltage variation is done
by tapping into different terminals of a secondary power telemetry coil which has the
advantage of not needing a DC-DC converter. However, there are only a few
possible voltage levels. This means that the stimulation current is not controlled
23 Implantable Brain-Computer Interfaces for Monitoring and Treatment of. . . 503

precisely. Also the reported design does not take electrode impedance variations into
account.
In Arfin and Sarpeshkar (2012), the authors have reported an energy efficient
charge-balanced voltage-mode neurostimulator. To guarantee a charge-balanced
operation, the stimulation current is sensed and compared with a reference value,
and if needed, the electrode voltage is changed to adjust the current to the desired
value. Using this method, the electrode voltage is always set at the exact required
value that is demanded by the stimulation current and electrode impedance. How-
ever, this method requires a DC-DC converter with bulky external components in
each channel, which prevents it from being scaled to multiple channels.
In Williams and Constandinou (2013) a fully integrated DC-DC converter is
designed to set the supply voltage slightly higher than the minimum required
electrode voltage for a particular stimulation current. Despite being fully integrated
and scalability, the supply is only adaptive to current variations and does not operate
as expected if electrode impedance varies. Also unlike Arfin and Sarpeshkar (2012),
the supply voltage has only 4 different levels (3 v, 6 v, 9 v, 12 v) which result in a
maximum of 50% power saving.
Based on the above, there is still a need for a multichannel neuostimulator that can
deliver a charge-balanced electrical pulses (ideally, of arbitrary waveforms) to the
brain with a high voltage compliance, while minimizing its power consumption.
Such design must have an adaptive control system that adjusts the system’s supply
voltage based on (a) the stimulation current amplitude, and (b) instantaneous elec-
trode impedance of each channel. For this system, power and stimulation commands
should ideally be provided through a wireless link.
In Kassiri et al. (2016) we have presented a 4-channel wireless and battery-less
neurostimulator with impedance-tracking power-adaptive voltage compliance. The
device houses a 10 mm2 0.35 μm HV-CMOS SoC that performs current-mode
arbitrary-waveform stimulation with voltage compliance of up to 20 V. An on-chip
mixed-signal controller together with a 3-bit charge-pump maintain supply voltage
at its minimum required value, resulting in up to 68.5% saving in power. An 8-bit
current DAC is implemented in each channel, which together with adjustable supply
voltage yield a current range from 23 μA to 95 mA (100 Ω load). The device receives
both power and configuration commands wirelessly using a near-field inductive link.
The neurostimulator SoC is wire bonded on a 2  2 cm2 PCB. Additional rigid and
flexible PCBs of the same size provide wireless command and power interface. The
3-board 2  2  0.7 cm3 stacked system weighs 6 g.

On-Chip Neural Signal Processing

As mentioned previously, following amplification and digitizing, signal processing


must be performed on the recorded signals to detect neurological disorders. In this
section, we first discuss different steps of design and on-chip implementation of a
signal-processing algorithm for epilepsy seizure detection as a representative exam-
ple. Next, we discuss the energy, speed, and computational power implications of
504 H. Kassiri and R. Genov

on-chip versus off-chip implementation of a neural signal-processing algorithm and


review trade-offs that need to be considered when deciding among different imple-
mentation options.

Epilepsy Seizure Detection: An Example

To compare different detection algorithms, epilepsy seizure is selected as an example


for the targeted neurological event. Being the third-most popular neurological
disorder, with 15% of the patients refractory to the current treatment options
(drugs and surgery), neurostimulators are expected to be crucial in improving quality
of life in many epileptic patients. First, we go over the main requirements for an
epilepsy seizure detection algorithm. Next, a thorough review of different categories
of algorithms is presented. The review is followed by a conclusion regarding the
signal features and classification methods. The section ends with a review of state-
of-the-art hardware implementation of signal-processing algorithms for the detection
of neurological disorders.

Practical Requirements
It is almost four decades that algorithms/methods for epileptic seizure detection are
sought. Early success seemed to be achieved during the first few years of investiga-
tion by many groups. However, after about two decades, researchers found method-
ological mistakes in the performance evaluation and statistical significance of then-
existing algorithms, which stopped them from pursuing their implementation on a
therapeutic device. Several studies challenged the reliability and reported results by
previous works and showed that the optimistic detections/predictions were made due
to various methodological caveats. In short, the major issue was that the performance
evaluation was made on overoptimized algorithms applied to small selected dataset.
In 2007, Mormann et al. performed a thorough review on seizure prediction
algorithms (Mormann et al. 2007). They concluded that to evaluate methodological
quality of a seizure detection algorithm, first, it must be tested on unselected
continuous long-term recordings covering several days of EEG, to capture all the
physiological states of a patient. Second, performance must be evaluated based on
not only the sensitivity, but also the specificity with respect to the applied prediction
horizon. Finally, the results must be tested using a statistical validation method to
prove that it is above the chance level.

Seizure Detection Algorithms


Over the past 15 years, several seizure detection algorithms have been reported with
consideration of the above requirements (Williamson et al. 2012; Li et al. 2013;
Kuhlmann et al. 2010). Both analytical and machine-learning-based classifiers are
investigated, and relative success is achieved in some cases of both approaches.
Algorithms can also be categorized based on their feature selection. In terms of
spatial specificity, both univariate and multivariate algorithms are reported. By
adding spatial information to the inputs, the algorithms are able to compare the
23 Implantable Brain-Computer Interfaces for Monitoring and Treatment of. . . 505

same features for different locations on the brain, and yield a generally higher
detection accuracy. Due to the importance of feature selection for an accurate seizure
detection, here we categorize reported algorithms based on the nature of signal
features they extract prior to classification.

Time-Based Methods
A major group of algorithms are based on time-domain features and pattern recog-
nition. In Williamson et al. (2012), spatiotemporal correlation is utilized to perform
seizure prediction. The authors use delay correlation and covariance matrices that are
computed at different delays. Prior to that, principal component analysis is
performed to choose subject-specific top features to be used for correlation analysis.
Finally, a support vector machine is used to perform classification. The algorithm is
tested on Freiburg dataset and achieves 86–95%, with 1.68-to-0.72 false positives
per day.
In Li et al. (2013), the authors have investigated early seizure prediction by
monitoring the changes in neuronal spiking rate during the pre-ictal period. After
optimizing the threshold value for each patient in the Freiburg dataset, they have
achieved 72.7% sensitivity with 2.64 false positives per day (50-min seizure predic-
tion horizon).
A major group of time-based detection algorithms work based on signal’s instan-
taneous phase when filtered to a certain frequency range. Phase synchronization
received an increasing attention when it was shown to outperform other multivariate
algorithm in a comparative study. In Kuhlmann et al. (2010), mean phase coherence
is utilized as a seizure indicator that experiences a sudden decrease before the seizure
onset. In addition to evaluating the algorithm with typical parameters suggested in
previous studies, the authors also investigated the effect of averaging window length,
data smoothing, and seizure prediction horizon. The authors report a highest sensi-
tivity of 88%, but with false positive rate of 0.64–4.69 per hour.

Frequency-Based Methods
Spectral information extracted from the recorded EEG signals are also used in
several studies to perform epileptic seizure detection. In Park et al. (2011), eight
frequency bands of the recorded EEG signals are utilized for spectral power calcu-
lations. After preprocessing and normalization of the data, best features were
selected and fed to a cost-sensitive support vector machine to classify between
pre-ictal and inter-ictal states. High sensitivity of 98.3% with an average of seven
false positives per day is achieved.
In Gadhoumi et al. (2013), the authors have calculated wavelet energy and
entropy to differentiate between pre-ictal and inter-ictal states. To achieve best
results, data preprocessing is done to select the best discriminating channels for
each patient. An average sensitivity of 85% is reported while having an average 2.4
false positives per day, with seizure prediction horizon of 36 min.
In Bandarabadi et al. (2015), spectral power of different EEG bands is used again
on signals from the EPILEPSIAE database. Signals from six recording sites are
analyzed, and spectral power ratio is used as the discriminating parameter for seizure
506 H. Kassiri and R. Genov

prediction. All possible ratios are calculated among 5 standard EEG bands, and
nonimportant ones are removed prior to classifications. An SVM classifier is used for
classification, yielding an average sensitivity of 78.36% with 3.6 false positives per
day, while seizure prediction horizon was slightly higher longer than 33 min.

Algorithm Review Conclusions


Judging from the studies reviewed above and many more published works, some
general conclusions could be made regarding different aspects of a seizure prediction
algorithm. Comparing works with univariate and multivariate features shows that the
most success is achieved when both types of measures are utilized. In terms of type of
features used, none of time-based or frequency-based features demonstrate superiority,
and their results are comparable, which suggests using a combination of both types,
which might improve seizure prediction performance at higher computation cost.
Comparing studies that have used the same (or similar) features for prediction
with significantly different outcome suggests that features could have various effec-
tiveness on different patients, and to achieve the best outcome, feature selection must
be performed for each patient. Perhaps the most interesting conclusions from
reviewing many seizure prediction studies are the following: (a) while increasing
the number of features used for classification increases the demanded computational
power, it does not necessarily improve classification results. In fact, there are many
algorithms with very few features that perform comparable to several-feature coun-
terparts. (b) Results from threshold-based and AI-(Artificial Intelligence) based
algorithms are also comparable.
Taking all the studies into consideration, it can be concluded that for the best
outcome, high-cost approaches such as increasing the number of temporal, spatial, or
spectral features, and implementing a very advanced machine-learning-based clas-
sifier, will not be as rewarding as selecting and combining the right patient-specific
features. Once the right features are selected, a simple thresholding could yield a
highly accurate, yet low-cost classifier.
Finally, to be able to perform reliable clinical trials for the development of a
therapeutic device, the algorithm must be fully integrated into a chronically implant-
able device that can perform recording and signal processing over a long (>1 month)
period of time.

Hardware Implementation Review


As discussed previously, clinical requirements such as low-latency detection and
on-time reaction to an upcoming neurological event such as epilepsy seizure neces-
sitate hardware implementation of the event detection/prediction algorithm in a
therapeutic device. Along with benefits, hardware implementation of a signal-
processing algorithm comes with challenges due to the tight size and energy budget
associated with an implantable device. Therefore, computational power, algorithm
complexity, power consumption, and scalability of area and power become impor-
tant design parameters that must be taken into account. This introduces a trade-off
between above parameters and seizure detection performance (sensitivity, specific-
ity, and latency).
23 Implantable Brain-Computer Interfaces for Monitoring and Treatment of. . . 507

Similar to the studies done on software implementation of seizure detection


algorithms, there is a great amount of research effort on low-power compact
hardware implementation of such algorithms (Verma et al. 2010; Yoo et al. 2013;
Chen et al. 2014; Shoaran et al. 2015). Table 4 compares recently published brain-
interface SoCs with a signal-processing unit integrated in them for neurological
event detection. The listed devices are designed for the detection of various neuro-
logical events and have a wide range of channel count (1 to 128), and some of them
are equipped with closed-loop electrical stimulation unit for neuromodulation. The
table also lists different extracted features and conducted algorithms prior to classi-
fication, and the signal-processing engine used. For classification, both thresholding
and machine-learning-based algorithms are reported.
Table 4 Representative examples of brain-implantable SoCs with integrated DSP for neurological
event detection
(Yoo et al. (Shoaran (Kassiri et al.
(Verma 2013) (Chen et al. et al. 2015) 2017)
et al. 2010) JSSC 2014) TCAS-II TBioCAS
Specifications JSSC 2010 2013 JSSC 2014 2015 2017
Tech. (μm) 0.18 0.18 0.18 0.18 0.13
Area (mm2) 6.25 25 13.47 – 12
Supply (V) 1.0 1.8 1.8–10 0.8 1.2
Power diss. 0.077 – 2.8 0.017 1.3
(mW)
Number of rec. 1 8 8 16 24
channels
SIGNAL ✓ ✓ ✓ ✓ ✓
PROCESSING
DSP Feature Feature Feature Feature Tri-core
extraction extraction extraction extraction CORDIC
Univariate Spectral Spectral Spectral Coastline Mag. and
feature power temporal power and phase
variations entropy
Bivariate  Spectral   Phase
feature spatial synchrony
variations
Classifier SVM SVM LLS Thresholding Thresholding
NEURAL   ✓  ✓
STIMULATION
# of stim. – – 1 – 24
channels
Current range – – 0.03 – 0.01–1
(mA)
IN-VIVO   ✓  ✓
RESULTS
Sensitivity – – 92 – 88–96
(%)
False positive – – – – 0.03
rate (/h)
508 H. Kassiri and R. Genov

Communication-Computation Trade-Off

Introduction
Figure 6 illustrates a block diagram of a continuous brain-monitoring and real-time
feedback system. It includes five main blocks: (i) an implant, (ii) a wearable, (iii) a
handheld, (iv) a stationary device, and (v) a cloud-based server. The implant has five
components, the processor (P1), the amplifier (AMP), the neurostimulator
(BUFFER), the tri-band radio (TX 1A, TX 1B, and TX 1C), and the power and
data transceiver (TRX1). The implant records neural signals from multiple elec-
trodes using the AMP, processes the signals in real time in P1, and triggers a
neurostimulation through BUFFER upon an abnormal signal pattern detection.
Several other options for closing the loop exist. The acquired signal can be
transmitted through the tri-band radio to the wearable, handheld, or stationary
devices. The wearable device (e.g., an earpiece) is aligned with the implant and
attached to the scalp for short-distance data communication (TRX 2A) and inductive
power and feedback commands transfer (TRX 2B). The wearable device is more
powerful and thus computationally resourceful than the implant. The implant and the
wearable are connected by a high-speed wireless connection. As a result, neural
signals can be processed in the wearable in real time instead of the implant. Second, a
more complex and accurate algorithm implementation requires a more advanced
signal processor. A handheld (e.g., a cell phone) device can receive neural signals
from the implant (directly or through the wearable repeater), process the signals with
a more complex algorithm, and respond to the implant when needed (e.g., upon an
abnormal brain state detection). Finally, a stationary device (e.g., laptop), as an
external controller, enables clinicians to interact with the implant through radio
frequency transmission as needed for adjusting the algorithm, stimulation parame-
ters, changing operating modes, and storing data. The stationary device enables most
computationally expensive signal processing of neural data which can further
improve the performance. The wearable device performs the authentication for
reprogramming the implant. These and several other possible wireless signal paths
are depicted in Fig. 6.

Computational Requirements
Available computational resources versus algorithmic performance are a key trade-
off for an efficient closed-loop implantable device. In the case of epilepsy, the
challenges in seizure onset detection are variabilities in signal amplitude, frequency,
pattern, and also varying spatiotemporal dynamics of the brain. Thus, a relatively
high amount of computational resources is required in signal processing to address
all these variabilities and detect a seizure effectively. Many algorithms have been
proposed for seizure detection. These algorithms were carried out off-line using a
stationary device (e.g., a desktop computer). These types of algorithms cannot be
employed in a low-power implantable microchip due to their extensive computa-
tional requirements. More recently, lower-complexity seizure detection algorithms
with moderate detection performance have been proposed for in-implant-integrated
seizure detection.
23

<10cm <1m <10m


>1Mbps, ~ 1nJ/bit
>10Mbps, ~ 100pJ/bit WIFI
TX 1C

AMP ~10pJ/bit, >100Mbps


TX 1B

TX 1A
TRX 2A TRX 3 TRX 4 TRX 5

P1 P2 P3 P4 P5

SCALP

ON THE BRAIN
MICROELECTRODES
TRX1 TRX 2B
BUFFER
>1kbps
IMPLANT WEARABLE HANDHELD STATIONARY CLOUD
(NEUROSTIMULATOR) (EARPIECE) (CELLPHONE) (LAPTOP) (SERVER)
<10mW <100mW <1W <100W <1000W
~0.1 GOPS ~1GOPS ~10GOPS ~100GOPS ~1000GOPS

TX: TRANSMITTER, RX: RECEIVER, TRX: TRANCEIVER, P: PROCESSOR, GOPS: GIGA OPERATIONS PER SECOND
Implantable Brain-Computer Interfaces for Monitoring and Treatment of. . .

Fig. 6 Block diagram of an implantable closed-loop system and wireless comm. interfaces with external processors (Salam et al. 2016)
509
510 H. Kassiri and R. Genov

Table 5 Detection performance of seizure detection algorithms (Salam et al. 2016)


Sensitivity Specificity Latency (%)
Platform Method Algorithm complexity (%) (s)
Implant Phase ✓ 91 86 5
synchrony
Wearable FFT + tree ✓✓ 93 97 11
Handheld Wavelet + ✓✓✓ 96 89 25
ANN
Stationary Extreme ✓✓✓✓ 98 92 34
learning

Here, we have selected four different seizure detection algorithms to explore their
performance on four different platforms. The first algorithm is for an implant, second
for a wearable, third for a handheld, and fourth for a stationary device. The first
algorithm is based on phase synchronization between two signals; the second is
based on Fast Fourier transformation and a decision tree; the third is based on
discrete wavelet transform and artificial neural network for the handheld; and the
fourth is based on nonlinear features, such as approximate entropy (statistical
analysis), hurst exponent (correlation properties), detrended fluctuation analysis
(temporal correlations), and also machine learning (extreme learning). Performances
of the four algorithms were evaluated using intracerebral EEG (iEEG) recordings of
five patients with epilepsy and are summarized in Table 5. The detection perfor-
mance improves with the increase of the algorithm complexity which requires
computational power.

Trade-Offs
For this design, the experimentally measured power consumption of the on-chip
processor is 897 μW for a clock frequency of 10 MHz and supply voltage of 1.15 V.
To reduce power consumption, both supply voltage and clock frequency could be
reduced to 0.85 V and 2.5 MHz, respectively, at the cost of a higher detection latency.
For a 1.15 V supply at 2.5 MHz, the processor dissipates 231 μW, and for a 0.85 V
supply at 2.5 MHz and 10 MHz, the processor dissipates 102 μW and 412 μW,
respectively. Also for only one pair of channels, operating at 1.7kS/s, the processor
dissipates 3.6 μW when operating with a 0.85 V supply.
Based on these power figures, the seizure detector processor dissipates 3.6 μW/
channel and has an energy efficiency of 210 pJ/bit. For processing off-chip, this
requires an RF wireless transmitter such as the ones listed in Table III. The total
power consumption for transmission is 3.7 mW and 3.8 mW for the FSK and UWB
transmitters, respectively. The ASK wireless receiver used to receive stimulation
commands (within TRX1) has a power consumption of 350 μW. Overall, this yields
an energy efficiency of 3.37 nJ/bit for the FSK TX 1C (10 m range), 90 pJ/bit for the
UWB TX 1B (1 m range), and 18 pJ/bit for the UWB TX 1A (10 cm range).
Based on the above, achieving the 98% detection sensitivity while communicat-
ing by means of the FSK TX directly to a stationary processor comes at the cost of
degrading energy efficiency by a factor of 16. Communicating to a hand-held
23 Implantable Brain-Computer Interfaces for Monitoring and Treatment of. . . 511

processor using a medium-range high-data-rate UWB TX yields 2.3 better energy


efficiency and 5% higher sensitivity, as compared to an implanted signal processor,
at the cost of 20s higher latency. Finally, communicating to a wearable processor
using a short-range medium-data-rate UWB TX yields 11.6 better energy effi-
ciency and 2% higher sensitivity at the cost of 6 s longer latency. Depending on how
the energy efficiency of detection, the latency, and the accuracy are prioritized, one
of the four options described can be used. A solution acceptable for most cases is to
use a wearable data repeater, assuming the cost and the ease of use are tolerable.

Wireless Communication
As discussed previously, wireless data transmission rate and range are also critical
design criteria for an efficient closed-loop implantable device. The high-rate spatio-
temporal information and extensive signal processing are the key parameters for the
implementation of complex algorithms. A high-rate data connection enables high-
performance signal-processing algorithms in the wearable, handheld, or stationary
device as a proxy for the implant. Many radio frequency (RF) wireless transmitters
have been introduced for low-power moderate-rate and high-rate data transmission
applications. Table 6 includes several transmitters and their features. Short-distance
data transmission yields a higher data rate as needed for real-time signal processing
in a remote device and low-latency feedback commands.

Case Study: Seizure-Aborting Neurostimulator


Figure 7 illustrates the system architecture of a closed-loop neurostimulator SoC
presented here as an example. This device includes 64 recording channels, a quad-

Table 6 A comparative study on wireless transmitters (Salam et al. 2016)


Data Output Average Energy TX
rate power power per bit efficiency Range
Device (bps) (dBm) (mW) Modulation (J/bit) (%) (m)
ANT 60 k 0 8.7 147n 11.5 30
ZigBee 250 k 0 to 14.8 OQPSK 59.4n 6.7 10–
20 100
Zarlink 800 k 4.5 to 16.5 FSK 20.6n 6 3
17
Nordic 2M 0 to 40.5 GFSK 20n 2.5 100
RF 16
module
TI RF 600 k +12 to 7.2 FSK 12n 14 30
module 30
Low- 3M +4 to 30.7 GFSK 10.2n 3.2 1–100
power 20
Bluetooth
TX 1C 1.2 M 20 to 3.7 FSK 3.1n 15 10
0
TX 1B 46 M 1 3.8 UWB 85p 21 1
TX 1A 230 M 1 3.8 UWB 17p 21 0.1
512 H. Kassiri and R. Genov

ELECTRODE
ARRAYS CLOSED-LOOP NEUROSTIMULATOR

V1

QUAD-CORE
NEURAL RECORDING

DSP (P1)
CHANNEL (AMP)
V64
I1
CURRENT-MODE
STIMULATOR (BUFFER)
I64
TRI-BAND DATA RADIO
10cm
DUAL-BAND UWB TRANSMITTER (3.1-10.6 GHz) (TX 1A)
UWB RECEIVER 1m
(TRX 2A AND TRX3)
UWB TRANSMITTER (<1 GHz) (TX 1B)

FSK RECEIVER (TRX4)


10m
FSK TRANSMITTER (916.4 MHz) (TX 1C)

POWER AND COMMAND RECEIVER


POWER POWER
1.5 MHz POWER RECEIVER
TRANSMITTER

ASK COMMAND 1.5 MHz ASK COMMAND


TRANSCEIVER (TRX 2B) TRANSCEIVER (TRX1)
COMMANDS

Fig. 7 A simplified functional diagram of the neurostimulator SoC and peripheral blocks (Salam
et al. 2016)

core low-power DSP, 64 current-mode neurostimulators, a triple-band RF transmit-


ter, and an inductive command and power receiver (Kassiri et al. 2014).
Triple-Band Radio: A triple-band RF radio is designed to transmit recorded
iEEG data transcutaneously to the wearable, handheld, or stationary devices. The
3.1–10.6GHz UWB short-range (10 cm) transmitter (TX 1A) communicates through
the scalp to a wearable receiver. The under-1GHz UWB midrange (1 m) transmitter
(TX 1B) communicates to a handheld receiver. The 915 MHz FSK long-range
(10 m) transmitter (TX 1C) communicates to an indoor stationary receiver. Energy
is transmitted by a single coil (TRX 2B) through a multicoil cellular inductive link at
1.5 MHz frequency. The power transmitter outputs 30 mW maximum power for the
12 cm maximum transmission distance with power efficiency of 40% (Kassiri et al.
2014). An ASK command receiver (RX1) reuses the same inductive link to recover
transmitted commands and the clock.
On-Chip DSP: The detection of the seizure onset was based on the fluctuations in
a phase synchrony index (R) between two iEEG recordings. The index R is propor-
tional to the fluctuations in the phase difference of two channels. It is estimated as the
absolute value of the derivative of the time series: |d(Δϕ)/dt|, where Δϕ is the phase
difference between two selected channels. Generally, R is measured using the mean
phase coherence statistic (1 s running window), which is defined as R ¼ |hed(Δϕ)i|.
The quad-core low-power digital signal processor (DSP) in Fig. 7 is designed to
compute the first derivative of the neural signals’ phase synchrony (i.e., spatial
neural synchrony fluctuations), 16 channels per core.
23 Implantable Brain-Computer Interfaces for Monitoring and Treatment of. . . 513

Stimulator circuit: Figure 7 also shows a current-mode stimulator in the feed-


back path of the closed-loop neurostimulator, which triggers a programmable train of
biphase current stimulation upon a seizure onset detection.
In vivo experiment: The closed-loop neurostimulator was implemented as a
4.85 mm  3.3 mm SoC using a standard 1P8M 0.13 μm CMOS technology.
Micrograph of the chip is shown in Fig. 8. The integration area was reduced by
80% compared with an equivalent AC-coupled implementation. The SoC was
validated in a chronic experiment using a rodent model of epilepsy. Four Wistar
rats were intraperitoneally injected with kainic acid which induced recurrent spon-
taneous motor seizures within one to two months. The rats underwent craniotomy for
both hippocampi and frontal lobe microelectrodes implantation. The rats were
divided into two equal groups: the nonstimulation group and the stimulation
group. In each rat, the electrodes were connected to the presented SoC for automatic
seizure detection. Each rat was also video monitored for seizure labeling. Figure 8b
shows an example of in vivo real-time seizure detection in the nonstimulation group.
In the stimulation group, the SoC was also configured to trigger the closed-loop
electrical stimulation in response to a seizure onset detection. Figure 8c illustrates the

UWB
TX 1A
916.4 MHZ FSK
TX 1C
TX1B
UWB

POWER MANAGEMENT
& COMMAND RX1
DUAL CORE
PROCESSIR (P1)

8 X 8 ARRAY OF
CHOPPER-STABILIZED
DIGITALLY CALIBRATED
RECORDING AND
STIMULATOR
CHANNELS

DUAL CORE
PROCESSIR (P1)

(a)

SEIZURE DETECTION (NO STIMULATION) SEIZURE ABORTION BY STIMULATION


VLH (mV) VRH (mV)

0.5 EEG FROM RIGHT HIPPOCAMPUS 0.5 SEIZURE SUPPRESSION


0 0
-0.5 SEIZURE -0.5 ELECTRICAL STIMULATION
NORMAL SEIZURE ONSET
0.5 0.5
EEG FROM LEFT HIPPOCAMPUS
0 0

0.5 EEG FROM RIGHT FRONTAL LOBE 0.5


VRF (mV)

0 0

-0.5 -0.5
1 SEIZURE ONSET DETECTION 1 SEIZURE ONSET DETECTION
SEIZURE DETECTION THRESHOLD
dR / dt

0.5 0.5
0 0
0 40 80 120 160 0 20 40 60 80 100
TIME (SEC) TIME (SEC)
(b) (c)

Fig. 8 (a) Micrograph of the 16 mm2 closed-loop neurostimulator and in vivo experiment results
example; (b) real-time seizure detection; and (c) closed-loop seizure suppression (Salam et al. 2016)
514 H. Kassiri and R. Genov

SoC-triggered stimulation upon a seizure onset detection in the stimulation group


and the seizure having been suppressed. The average sensitivity and specificity of
the detection were 87% and 95%, respectively. Seizure frequency has been reduced
on average by over 76% in the stimulation group compared to the nonstimulation
group.
In a second implementation, a more complex signal-processing algorithm was
deployed on a stationary device. This required a 200 kbps wireless data communi-
cation forward link. As a result, the detection performance was improved to a
sensitivity of 98% with three times fewer false alarms. This required an additional
3.7 mW of power within the implant.

References
Arfin SK, Sarpeshkar R (2012) An energy-efficient, adiabatic electrode stimulator with inductive
energy recycling and feedback current regulation. Biomed Circuits Syst IEEE Trans 6(1):1–14
Bandarabadi M, Teixeira C, Rasekhi J, Dourado A (2015) Epileptic seizure prediction using relative
spectral power features. Clin Neurophysiol 126(2):237–248
Chen WM et al (Jan. 2014) A fully integrated 8-channel closed-loop neural-prosthetic CMOS SoC
for real-time epileptic seizure control. IEEE J Solid State Circuits 49(1):232–247
Gadhoumi K, Lina J, Gotman J (2013) Seizure prediction in patients with mesial temporal lobe
epilepsy using EEG measures of state similarity. Clin Neurophysiol 124:1745–1754
Kassiri H et al (2014) Inductively-powered direct-coupled 64-channel chopper-stabilized epilepsy-
responsive neurostimulator with digital offset cancellation and tri-band radio. IEEE ESSCIRC
Kassiri H et al (2016) An impedance-tracking battery-less arbitrary-waveform neurostimulator with
load-adaptive 20V voltage compliance. IEEE European solid-state circuits conference
Kassiri H et al (2017) Closed-loop neurostimulators: a survey and a seizure predicting design
example for intractable epilepsy treatment. IEEE Trans Biomed Circuits Syst 11(5):1026–1040
Kassiri H, Chen FD, Salam MT, Chang M, Vatankhahghadim B, Carlen P, Valiante TA, Genov R
(2019) Arbitrary-waveform electrooptical intracranial neurostimulator with load-adaptive high-
voltage compliance. IEEE Trans Neural Syst Rehabil Eng 27(4):582–593
Kelly SK, Wyatt JL (2011) A power-efficient neural tissue stimulator with energy recovery. Biomed
Circuits Syst IEEE Trans 5(1):20–29
Kuhlmann L, Freestone D, Lai A, Burkitt AN, Fuller K, Grayden D et al (2010) Patient-specific
bivariate-synchrony-based seizure prediction for short predictionhorizons. Epilepsy Res 91:
214–231
Li S, Zhou W, Yuan Q, Liu Y (2013) Seizure prediction using spike rate of intracranial EEG. IEEE
Trans Neural Syst Rehabil Eng 21:880–886
Mormann F, Andrzejak RG, Elger CE, Lehnertz K (2007) Seizure prediction: the long and winding
road. J Neurol Brain 130(2):314–333
Muller R et al (2012) A 0.013 mm 5μW DC-coupled neural signal acquisition IC with 0.5 V supply.
IEEE J Solid State Circuits 47(1):232–243
Park Y, Luo L, Parhi K, Netoff T (2011) Seizure prediction with spectral power of EEG using cost-
sensitive support vector machines. Epilepsia 52:1761–1770
Salam MT et al (2016) Tradeoffs between wireless communication and computation in closed-loop
implantable devices. IEEE international symposium on circuits and systems (ISCAS)
Shoaran M, Pollo C, Schindler K, Schmid A (2015) A fully-integrated IC with 0.85 μW/
channel consumption for epileptic iEEG detection. IEEE Trans Circuits Syst II Express
Briefs 62:114–118
Soltani N et al (2015) 130nm CMOS 230Mbps 21pJ/b UWB-IR transmitter with 21.3% efficiency.
IEEE European solid-state circuits conference
23 Implantable Brain-Computer Interfaces for Monitoring and Treatment of. . . 515

Verma N et al (2010) A micro-Power EEG acquisition SoC with integrated feature extraction
processor for a chronic seizure detection system. IEEE JSSC 45(4):804–816
Williams I, Constandinou TG (2013) An energy-efficient, dynamic voltage scaling neural stimula-
tor for a proprioceptive prosthesis. Biomed Circuits Syst IEEE Trans 7(2):129–139
Williamson JR, Bliss DW, Browne D, Narayanan J (2012) Seizure prediction using EEG spatio-
temporal correlation structure. Epilepsy Behav 25:230–238
World Health Organization (2006) Neurological disorders: public health challenges. World Health
Organization
Yoo J, Yan L, Damak DE, Altaf MAB, Shoeb AH, Chandrakasan AP (2013) An 8-channel scalable
EEG acquisition SoC with patient-specific seizure classification and recording processor. IEEE
J Solid State Circuits 48(1):214–228
Visual Stimulation Systems
24
Torsten Lehmann, Louis H. Jung, Gregg J. Suaning, and
Nigel H. Lovell

Contents
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 518
Intervention Site . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 519
Retinal Implants . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 520
Implant Architectures . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 521
Serial Versus Parallel Configuration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 521
Active Versus Passive Electrodes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 523
Single Versus Multi-Module Implant . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 524
The Phoenix99 Architecture . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 525
Stimulation Method . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 526
Electrical Architecture . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 528
Stimulator Chip Circuits . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 529
Two-Wire Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 530
Electrode Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 532
Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 537
References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 538

T. Lehmann (*)
School of Electrical Engineering and Telecommunications, University of New South Wales,
Sydney, NSW, Australia
e-mail: t.lehmann@unsw.edu.au
L. H. Jung
Samsung Electronics, Seoul, South Korea
G. J. Suaning
University of New South Wales, Sydney, NSW, Australia
e-mail: g.suaning@unsw.edu.au; greggs@ieee.org

N. H. Lovell
Graduate School of Biomedical Engineering, University of New South Wales, Sydney, NSW,
Australia
e-mail: n.lovell@unsw.edu.au

© Springer Science+Business Media, LLC, part of Springer Nature 2022 517


M. Sawan (ed.), Handbook of Biochips,
https://doi.org/10.1007/978-1-4614-3447-4_5
518 T. Lehmann et al.

Abstract
This chapter concerns trade-offs and design of implanted visual stimulation
systems and the biochips used therein. The impacts on biochip design of
biological intervention methods and overall systems architectures are discussed
and a dual-module serial configured suprachoroidal visual stimulation system
developed. Key biochip circuits for this system, implemented in a 0.35 μm
high-voltage CMOS process, are described, and experimental results validating
the electrical performance of the complete visual stimulation implant are
presented.

Introduction

The mission of restoring vision to people suffering from blindness has been
ongoing for well over a century. However it was only in the past decade when it
met one of its key milestones, transforming the concept of the visual prosthesis to a
complete medical device. The actual concept is based on eliciting visual percepts or
so-called phosphenes, which are spots of light often described by subjects as of a
round or other shape, via electrical stimulation of the neuronal tissue comprising the
visual pathway.
Three types of devices have emerged: retinal, optic nerve, and cortical stimula-
tors. The retinal and optic nerve implants target outer retinal pathologies, involving
degeneration of photoreceptors while leaving the remaining visual pathway intact,
but nonfunctional. These pathologies include hereditary diseases such as retinitis
pigmentosa and age-related macular degeneration. Cortical stimulators on the other
hand attempt to accommodate a larger group of blind patients by directly stimulat-
ing the visual cortex.
Irrespective of the approaches, remarkable achievements have been made in
the field of visual prostheses in recent years. Technological breakthroughs have
been made in many fields of research resulting in chronically implantable devices
that allow people not only to detect large objects with a significant improvement
in their visual performance but, in high resolution devices, also to read large
letters. Although the devices are still far from providing normal vision, these are
definitely outstanding achievements that suggest that a device capable of restoring
adequate vision, vastly improving the quality of life of blind patients, is not too
far away.
With recent human clinical trials proving the efficacy and safety of visual
prostheses as a means of therapeutic intervention to treat patients suffering from
profound vision impairment, there has been an increase in the demand for higher
resolution devices in an attempt to provide enhanced visual percepts. Simulations of
artificial vision as early as 1992 have reported that a minimum of 625 pixels are
required to achieve a visual acuity of 20/30, allowing patients to recognize patterns
(Cha et al. 1992).
24 Visual Stimulation Systems 519

Intervention Site

In a healthy retina, a visual percept begins with the photoreceptors in the retina, a
thin neural layer covering the posterior half of the interior eye (Margalit and Sadda
2003), modulating the release of neurotransmitters according to the received light,
stimulating a chain of interacting cells within the retina. As a result, action
potentials encoding the visual information are generated in the retinal ganglion
cells which are then propagated to the lateral geniculate nucleus via the optic nerve,
see Fig. 1. The information here is then further relayed to the visual cortex. Various
diseases can damage any of these components in the visual pathway potentially
leading to blindness.
In principle, every point on the visual pathway is a viable site of stimulation. As
such several approaches have been proposed. These include direct stimulation of
the visual cortex, the ganglion cell axons forming the optic nerve, and the surviving
neuronal network within the retina. Three distinct locations have been presented
thus far for the retinal devices: above the nerve fiber layer overlaying the ganglion
cells (epiretinal), above the retinal epithelium layer where the photoreceptors reside
(subretinal), and between the sclera and the choroid (suprachoroidal). These
approaches are illustrated in Fig. 1. While clinical trials have proven the feasibility
of all these stimulation sites in eliciting light perception in a human patient
(Margalit et al. 2002), the optimal site of implantation is still a topic of much
debate.

eye
vitreous retina
epiretinal optic nerve
optic
nerve
ganglion cells

bipolar cells

lateral
photo receptors geniculate
nucleus
subretinal
choroid
suprachoroidal
sclera
pigment epithelium visual
cortex

Fig. 1 Intervention sites. Figure showing the visual pathway and electrode arrays positioned on
the retina, the optic nerve, and the visual cortex. Left insert shows details of electrode array
placements for stimulating the retina
520 T. Lehmann et al.

Among the technical challenges involved with the cortical approach is the highly
complex neural processing that exists at the level of the primary visual cortex or
cortex area of V1 (Margalit et al. 2002). Since each area of the cortex responds
differently to visual stimuli with complex spatial organization at the cortical level,
the expected complexity of the image processing algorithms is high for high-
resolution devices. Nevertheless, since the cortical approach can accommodate
the largest number of blind patients, by bypassing many of the neurons in the visual
pathway, cortical devices are being developed by a number of research groups
(Coulombe et al. 2007; Troyk et al. 2003).
The next reported site of stimulation distal to the visual cortex is the optic nerve,
connecting the eye and the central nervous system, consisting of axons of the retinal
ganglion cells. Challenges with this type of device are the difficulties related to
gaining access to small groups of neurons in the nerve fiber to achieve focal
stimulation, phosphene variability induced by changes in tissue conductivity due
to local blood flow, and the generation of spontaneous phosphenes (Margalit
et al. 2002; Delbeke et al. 2003).

Retinal Implants

Retinal implants for prosthetic vision attempt to utilize the existing retinotopic
organization of the neurons within the retina. These devices stimulate at more distal
neuronal levels from the visual cortex, benefiting from natural physiological
encoding and processing that occurs in the visual pathway. Hence, these types of
devices are only applicable to outer retinal pathologies and require the remaining
visual pathway to be intact. Three distinct approaches have been suggested thus far,
which are outlined below.
The main neurons targeted by epiretinal implants are the retinotopically
organized ganglion cell bodies (Margalit et al. 2002). The stimulating array is
placed within the posterior chamber of the retina above the nerve fiber layer
consisting of the retinal ganglion cells. Chronic attachment of the array is one of
the challenges pertaining to the epiretinal approach.
Many of the reported epiretinal devices consist of an external device, responsible
for image acquisition and processing, and an implantable stimulator with electrodes
brought out of its encapsulation. These types of devices can be further divided into
fully intraocular or consisting of a device that sits outside of the eye with the
electrode array being the only component that is situated within the eye (Weiland
et al. 2011; Ortmanns et al. 2007). By having an external image acquisition system,
both hardware and software upgrades are possible. Various image processing
algorithms can be supported including tailored image modulation to tune the device
to individual patients through iterative processes.
However, by having an external camera, natural eye movement is voided,
requiring head scanning to improve the performance of patients equipped with
such a device. To address this issue a device with an intraocular camera and an eye
tracking system with an external controller are also being pursued.
24 Visual Stimulation Systems 521

Early subretinal devices were based on the concept of replacing degenerate


photoreceptors with an array of photodiodes in order to utilize much of the natural
processing that occurs in the proximal visual pathway (Zrenner et al. 1999), includ-
ing the effects of eye movements. More recent subretinal devices have evolved into
traditional active implants employing electrical stimulation of the nervous tissue
and receiving power from an external source via subretinal foil-bound wires.
Although placement of the stimulating array in the subretinal space allows a
more natural mounting location compared to the epiretinal approach, the required
surgical procedures have been known to be more difficult.
In the suprachoroidal approach, the stimulating array is placed in a scleral
pocket. The site of stimulation itself and the absence of direct contact between
the electrode array and the retina results in a safer surgical procedure (Wong
et al. 2009). Since the distance between the retina and the actual stimulating array
is greater than the distances of other retinal devices, the achievable resolution is
expected to be comparatively lower.

Implant Architectures

One effective method of categorizing visual prostheses is to use the location of the
stimulating array. This dictates a number of requirements such as the electrode
design, stimulation technique, stimulation parameters, and image processing
algorithms. However, from an architectural perspective, especially with the recent
advances in visual prosthesis development, the boundaries imposed by the location
of these electrodes are somewhat nebulous. Similar devices are being utilized
interchangeably across different sites of stimulation with architectural choices
such as information transfer, active versus passive electrodes, and single versus
modular approaches differentiating these devices.

Serial Versus Parallel Configuration

All visual prostheses consist of a neural stimulator which receives the stimulation
configuration data from an external source. Previously there have been two types of
sources, one being an independent device responsible for both image acquisition
and processing prior to serial transmission of configuration data to the stimulator
and the other being the incident light itself which is passed through the eye optics
allowing parallel configuration of stimulators. Generally this design choice has
implications for much of the device architecture and presents differing restrictions.
An architectural overview of a system involving serial configuration of stimu-
lation units is depicted in Fig. 2. Generally these systems comprise extraocular
image acquisition devices, typically a small camera driven by a low-power
processing unit, and an implant consisting of a number of stimulating units. The
external device performs image acquisition and upon processing configures the
stimulating units in a serial manner via wireless means. The implant is typically
522 T. Lehmann et al.

Fig. 2 Phoenix99 locations:


Transcutaneous
a serial configured dual- Telemetry
module retinal stimulator. module link
Images are captured by the Two−wire
camera and processed by the interface
external system (not shown). External
Power and stimulation data is camera
then transmitted via the
transcutaneous link to the
telemetry module which
again transmits power and
data to the stimulator module Stimulator
module To external
Eye system

powered by the external device through a transcutaneous inductive power link.


Such an approach allows potential hardware as well as software upgrades without
subjecting the implant patient to further surgery.
To accommodate versatile stimulation strategies, the stimulating units are
implemented to generate highly flexible outputs. These units generally output varying
intensity biphasic and monophasic current waveforms, with flexibility on the phase
width, interphase delay, and the interstimulation delays. Some of these units are
capable of providing a different duration second phase, known as anodic scaling, also
with the option of having either the anodic phase first or the cathodic phase first.
In cases where the image acquisition device is externally placed, the image
acquired is no longer synchronized to the movement of the eye without an eye
tracking module. This generally results in head scanning of the visual field by the
subjects equipped with such a device to improve their visual perception. One way to
circumvent such an issue is to implement an intraocular image acquisition device,
however, at the expense of future upgrades.
One factor limiting the maximum number of stimulating electrodes in the serial
configuration approach is the communications link between the image acquisition
unit and the stimulator. To configure each stimulating unit in a specified window of
time requires both a high-speed communications link and a high-speed local clock
which may result in increased power consumption. It should be noted, however,
even if the speed requirements are met, that the maximum number of stimulating
channels that can be active simultaneously is also limited by the allowable power
dissipation that is set by the available power and the allowed heat dissipation. Such
a limit is dictated by the stimulation site and the device packaging.
24 Visual Stimulation Systems 523

Visual prostheses based on the parallel configuration regime consist of an array


of retinal implanted photodiodes used to convert incident light to stimulation
configuration data (Zrenner et al. 1999). Originally, the intention was to rely only
on the incident light, achieved by using the photodiodes in a photovoltaic mode,
however, due to inefficient solar cells, more recent devices make use of additional
power sources. Since the photodiodes receive inputs directly from the incident
light, parallel programming of all the pixels is possible, and due to these devices
being located within the eye, they preserve the natural eye movement. However due
to lack of external signal processing devices, upgrades and additional image
processing is a challenge in these devices.
More recent devices have moved to active pixel arrays with individual pixels
consisting of a micro-photodiode, accompanied by ancillary circuits for flexible
stimulus delivery. These devices reported external control over the stimulating
units to achieve variable stimulation parameters to accommodate retinas with
different sensitivity or varying ambient light conditions. Not only do these devices
possess stimulation parameter control over the stimulation times and stimulation
patterns, some adopting commonly used biphasic current pulse generators
(Rothermel et al. 2009), but are also provided with power from an external source
either via physical wires or via inductive links. The highest reported number of
electrodes for an active device based on the parallel configuration scheme is 1600
(Rothermel et al. 2009); however, the maximum number of simultaneously active
stimulating units for these types of devices is also limited by the maximum
allowable power dissipation, as is the case for serially configured devices, resulting
in only partial activation of the array at any point in time.

Active Versus Passive Electrodes

Most of the devices based on the parallel configuration scheme via the usage of
photodiodes employ active electrode architectures where the electrodes are grown
on top of the microelectronics, with the location of the microelectrodes
corresponding to the physical location of the individual stimulating units. This is
partially made possible due to the small chip area required by the simple stimulating
units.
Serial configuration scheme-based devices generally have a smaller number of
electrodes, however, with sophisticated stimulating units to allow highly versatile
stimulation outputs. These devices consist of large discrete components, such as
coils, decoupling and tuning capacitors, and rectifying diodes to realize wireless
reception of power and include half-to-full duplex communications links. In order
to minimize the actual size of the stimulating array, the passive electrode approach
has been the architecture of choice, where the stimulating array is brought out of the
encapsulation and placed distal to the implant. By separating all electronics from
the actual stimulating array, reduction in the size and the weight of the array itself
can be achieved concomitantly reducing heating affects to the actual site of
stimulation. The electronics can also be strategically positioned at a site that favors
524 T. Lehmann et al.

the wireless transmission of power and data and at a site that exhibits ample volume
with superior heat-sinking capabilities. By adopting a passive electrode, however, it
becomes rather difficult to achieve a high number of electrodes due to the large
number of connections that must be made between the electrodes and the encapsu-
lation. Disregarding the latter, the sheer number of wires that form the electrodes
results in a large bundle that will be difficult to manipulate due to its rigidity. This
may potentially compromise reliability during implantation; thus, to minimize
surgical difficulties and reliability issues, it is mandatory to keep the length of
these wires as short as possible.
Although in general the active electrode approach has better capability in
accommodating higher number of electrodes, it is difficult to fabricate electrodes
that cover and conform to a large area. Also minimizing the weight and the size of
the array becomes rather challenging, especially in the presence of discrete com-
ponents, generally forcing the adoption of a multi-implant approach discussed in
the following section.

Single Versus Multi-Module Implant

Simpler and safer designs can be realized by a device with a single module
enclosing all the necessary electronics. Such a system is faced with difficulties in
meeting the increasing demand for higher numbers of stimulating channels for
reasons previously discussed. To accommodate higher numbers of electrodes and
ample room for further improvements in the designs, a number of groups have
adopted a multi-implant approach where the device consists of several modules
with each module serving a specific purpose.
Cortical stimulators are one of the devices that may potentially benefit the most
from such an approach. To cover a large area of stimulation, one system reported by
Coulombe et al. (2007) consists of a main controller, responsible for power recov-
ery, regulation, monitoring, wireless communication to and from the external
device, and several stimulating modules, each comprising 16 penetrating micro-
electrodes with no external discrete components. These stimulating modules are
driven by an interface consisting of six wires, carrying DC power supplies, a global
analog control signal and digital control signals. The stimulating modules are daisy-
chained to reduce the number of interconnecting wires for the digital lines. A
parallel configured retinal device based on a similar distributed approach was
reported by Tokuda et al. 2009. Interconnected multi-module stimulators allow
each of the modules to be optimized and be placed where appropriate. This comes at
the cost of added complexity involved with addressing some of the risks pertinent to
the interconnecting wires carrying DC currents of significant magnitude.
If the future of the retinal prosthesis is reliant on pixel density, systems consisting
of multiple stimulating units each comprising a low number of electrodes may not be
the optimal approach due to interunit spacings and track routing. Multi-implant
architecture-based systems with a single stimulating module seem to be the
architecture of choice when providing higher density electrode array in a given area.
24 Visual Stimulation Systems 525

Although there are numerous architectural benefits of the multi-implant


approach, these come at the cost of increased circuit complexity. The stringent
volume requirements of stimulating units, which in most cases will not be capable
of supporting any discrete components, further increase the circuit complexity
within these units. Also, minimizing the number of interconnecting wires while
maximizing the benefit of such an architecture requires carefully designed com-
munications protocols that consider the power requirements of the stimulator units.
Finally, most important of all, it is essential that all failure modes associated with
the interface be addressed to ensure safety to the patient, especially for designs
requiring relatively long interface wires that are insulated only with soft materials.

The Phoenix99 Architecture

The chosen system architecture of a visual prosthesis has a profound impact on the
electronic circuits in the system. In the following, the Phoenix99 implant will be
used as a case study, illustrating design trade-offs and exemplifying typical
integrated circuit implementations found in implanted visual prostheses.
As shown in Fig. 2, the Phoenix99 consists of a stimulator module with a
stimulating electrode array implanted in the suprachoroidal space (between sclera
and choroid at the posterior of the retina) connected via a two-wire cable to a
telemetry module implanted behind the ear. Retinal stimulation was chosen in this
system to make the best use of existing neural pathways while the suprachoroidal
location was chosen for ease of surgery and because this location offers a stable
pocket for the electrode array. Further, electrodes in this location are in close
proximity to, but not touching, surviving neural tissue in the retina. To keep the
electrode array thin for minimizing trauma of the implant site, a passive electrode
array was used, while serial configuration was employed to allow advanced external
signal processing. The Phoenix99 has 98 electrodes on the electrode array (as well
as a separate larger monopolar return electrode) which requires the electronics
controlling the electrodes to be situated very close to the electrode array to avoid
stress on the array and exit wound caused by a large cable. Hence, the Phoenix99
stimulating electronics, as seen in Fig. 3, is located in a miniature capsule within the
ocular orbit which is fixated to the surface of the eye, enabling it to move with the
eye. Because of the restricted volume available in the ocular orbit, the electronics in
the implant capsule is entirely integrated on a single application-specific integrated
circuit. Also, with the deep implantation site of the implant capsule, transcutaneous
power transfer to the stimulating electronics becomes difficult and is further
exacerbated by eye movement. In addition to the electrode array and corresponding
capsule (the stimulator module), the Phoenix99, therefore, has the transcutaneous
link and associated electronics (the telemetry module) located behind the ear,
making the system a dual-module implant. The location of the telemetry module
is much closer to the skin surface than the location of the stimulator module, does
not move relative to the external coil, and offers a much larger volume for the
module. Therefore, the telemetry module may have off-chip components and a
526 T. Lehmann et al.

Fig. 3 Biochip inside the Phoenix99 stimulator module. The electronics in the module is entirely
on-chip. The chip connects to electrodes and two-wire interface via platinum feedthroughs through
the hermetic ceramic/titanium encapsulation

large-area planar coil for efficient transcutaneous magnetic power transfer such as
those used in conventional cochlear implants. The two implant modules are
connected by way of a flexible two-wire helical cable which provides power
transfer to the stimulator module and bidirectional data transfer between the
modules.

Stimulation Method

Therapeutic neurostimulators conventionally employ biphasic, constant current


stimulation (Merrill et al. 2005): to activate the excitable tissue near an active
electrode, in the first phase, a constant current is passed from a return electrode to
the active electrode for a set amount of time (the phase width) after which, in phase
two, the current polarity is reversed and passes through the tissue again for the same
amount of time. The injected charge will to a first order determine the physiological
perception of the stimulation. Using constant current injection allows the stimulator
to accurately control the injected charge, even under variation of electrode imped-
ances. To avoid tissue damage, it is necessary to ensure a very small DC current
(sub 100 nA) flowing in any electrode; at high stimulation rates, therefore, it is
necessary to ensure close-to-zero net injected charge for each stimulation pulse,
which is why biphasic stimulation is commonly used. Modulation of the perception
intensity is typically done by modulating the stimulation current level.
To avoid very large voltages across the stimulating electrodes, stimulation
currents in retinal implants are typically held below about 1 mA; to reach the
perception threshold of the injected charge, phase widths of about 500 μs are then
24 Visual Stimulation Systems 527

Active electrodes IH1 t


IH1 Hex return electrodes
IH2 t
IH2

Hex1 IH3 t
IH1 + IQ1
Hex2 IQ1
IH3 t
IH2 + IQ2
IQ2 t
IQ1 + IQ2 +IQ3
Hex3
Monopolar IQ3 t
IH3 +IQ3
return
electrode
Fig. 4 Hexagonal quasi-monopolar simultaneous stimulation. Figure showing, schematically, the
electrode and current source connections when three Hexes are stimulated with their center
electrode as the active electrodes. Example biphasic current waveforms are shown to the right

required in the suprachoroidal implants (Shivdasani et al. 2010). To address hun-


dreds of stimulation sites without flicker perception, it therefore becomes necessary
to stimulate on multiple electrodes simultaneously.
When stimulating on several sites simultaneously, it becomes important to take
steps to avoid interference between neighboring stimulation sites, which might
obscure the intended perception pattern. The Phoenix99 implant employs a number
of measures to avoid channel interference. A schematic view of the Phoenix99
stimulation method is shown in Fig. 4. The retinal electrodes are arranged in a
hexagonal pattern, and for each stimulation site (Hex center), the current return path
is through the six surrounding electrodes (Wong et al. 2007). Further, stimulation is
carried out using individual current source/sink pairs for each stimulation site
instead of using a low-impedance return current path as is common in implants
employing sequential stimulation. This stimulation arrangement ensures that the
stimulation current flow is localized to each Hex center, significantly reducing
interaction between stimulation sites.
The Phoenix99 implant has 14 stimulating circuits operating simultaneously,
each responsible for stimulating any of the seven electrodes constituting a Hex. By
recruiting electrodes from neighboring Hexes using a suitable electrode multi-
plexor, every one of the 98 retinal electrodes can be used as a stimulation site.
The disadvantage of using local electrodes for the return current, as explained
above, is that such localized current flow reduces the current flow to the excitable
tissue, which is relatively distant from the planar Hex electrodes, when compared
with stimulating against a distant return electrode. Thus, simple localization of the
current flow increases the perceptual threshold, directly leading to an increased
system power draw. For this reason, the Phoenix99 employs a quasi-monopolar
528 T. Lehmann et al.

stimulation approach, whereby each stimulation site, in addition to the localized


Hex current (IHn), has a subthreshold current (IQn) flowing to a distant, large
monopolar return electrode located on the stimulating module capsule. This
arrangement allows for localized simultaneous stimulation at low thresholds
(Matteucci et al. 2012). Figure 4 details the current injection in three Hexes during
a stimulation event when quasi-monopolar stimulation is used.

Electrical Architecture

With the Phoenix99 system and stimulating architectures in place, the electrical
architecture can now be constructed. As shown in Fig. 5, the Phoenix99 visual
prosthesis consists of an external system and an implanted system. The external
system comprises a camera mounted on a pair of glasses, a battery for powering the
entire system, a signal processor which analyzes the video frames captured by the
camera and generates corresponding stimulation frames, and a transcutaneous
magnetic link for transferring power to and communicating with the implanted

External system Implanted system

Transcutaneous link
Power Discrete
Camera Power manager components Power
Signal Link TWI
processor Rx/Tx driver
& Link
driver Test Reference Data
Battery Data Clock Control
unit
host$ ls/
bin proc
dev sbin
Engineering / etc ptm
home usr
Two−wire
clinical
lib
host$_
var
Telemetry
module interface
interface Feedthroughs
Power DAQ
manager
Switch matrix

TWI Stimulator
Rx/Tx 14
Test Ground
Electrode
Control array
Clock unit

Stimulator Monopolar
module electrode

Fig. 5 Phoenix99 electronic architecture. Both telemetry module and stimulator module have
power managers, reference circuits, test interface, and digital control units found in most electronic
systems. The telemetry module, unlike the stimulator module, has large enough volume to
accommodate external discrete components
24 Visual Stimulation Systems 529

system. The external system also has an engineering interface which is not used in
normal operation. The details of the signal processing and electronic circuits
employed by the external parts fall outside the scope of this chapter.
As explained in earlier sections, the implanted system consists of a telemetry
module located behind the ear and a stimulator module located on the eye. The
telemetry module receives power and data from the external system via the
transcutaneous link and, in turn, sends power and data to the stimulator module
via the two-wire interface. The volume in the telemetry implant is large enough to
hold discrete components such as rectifying diodes and energy storing power
supply capacitors. Most of the electronics in this module is conventional and a
detailed description falls outside the scope of this chapter. The two-wire interface,
however, is an important feature particular to the Phoenix99 implant and will be
described in the sections below. At this stage it is important to point out that in case
of fault in the two-wire insulation, one (or both) of the wires may be exposed to the
tissue. To avoid tissue damage in such a fault scenario, both wires are coupled via
discrete capacitors to the electronics in the telemetry module and driven differen-
tially with AC waveforms. This mitigates the risk of exposing the tissue to DC
current flow which could cause tissue damage.
The stimulator module receives power and data from the telemetry module via
the two-wire link. It carries out all functions related to the biological interface: it
carries out stimulations as instructed by the external system, and it measures
electrode voltages and neural response signals. It also carries out implant diagnostic
functions and sends measurement data and diagnostics information back to the
external system via the telemetry implant. Details of key circuits in the stimulator
module biochip will be given in the sections below.

Stimulator Chip Circuits

In order to pack electrodes densely to achieve high electrode count and hence useful
visual acuity, the electrodes need to have a relatively small surface area while still
being operated below the charge-injection limit of the electrode material of choice.
As the electrode impedance is inversely proportional to the electrode area, a dense
electrode array implies a relatively high electrode impedance. Given the required
injected charge to reach perception threshold in typical implanted neurostimulators
using platinum electrodes as the Phoenix99 implant, electrode voltages can reach
tens of volts. With modern-day integrated circuit technologies, such voltages
destroy normal transistors. Therefore the implant electronics must be implemented
in integrated technologies that include high-voltage devices. The Phoenix99
implant is implemented in a 0.35 μm high-voltage CMOS process. The symbols
used in this chapter for the different types of transistor available are shown in Fig. 6.
High-voltage transistors have much worse performance than low-voltage tran-
sistors and take up a significant amount of silicon die area. For this reason, it is
important to minimize the use of high-voltage devices to what is strictly necessary.
To this end, the Phoenix99 implant employs a common strategy of using four power
530 T. Lehmann et al.

Supply Voltage Logic Voltage Symbols Voltage


VDDH 7V to 18V Bx VDD or VSS Thick oxide high voltage
VSSH 4V to 15V Bx* VDDH or VSSH
VDD 3V Bx# VDDH or VSS High drain voltage
VSS 0V Low voltage

Low voltage (switch)

Fig. 6 Voltage ranges for supplies, logic signals, and transistors. Symbols used for high-voltage
transistors also shown

supply voltage: in addition to the chip ground level VSS = 0V and the high-voltage
supply needed for stimulation, VDDH  [7V;18V], two low-voltage domains, VDD
VSS = 3V and VDDH VSSH = 3V are used. Circuits are placed in either
low-voltage domain whenever possible. VDD and VSSH are generated using linear
regulators from the VDDH VSS high-voltage domain. Logic signals are needed in
both low-voltage domains and the high-voltage domain; here, their logic levels are
indicated by a superscript as shown in Fig. 6 (for a logic signal Bx). Standard logic
level shifters are used to translate the logic levels between the voltage domains.
The implant power consumption is a strong function in the high supply voltage.
For this reason, VDDH can be regulated by the external system to the lowest value
needed for each individual implantee.

Two-Wire Interface

The telemetry module drives the two-wire interface via large coupling capacitors
using the current-limited H-bridge shown in Fig. 7. The voltage driven on the
two-wire interface, VTWT = V(TWTA) V(TWTB), can be VDDH (SH1 high),
VDDH (SH2 high), or left floating. The maximum current that can be drawn from
the bridge is controlled by VBTW. The current consumption in the stimulator module
is largely determined by a low quiescent level and the total stimulation current. This
information is available in the telemetry implant. As such, the telemetry implant
can limit the available current in the H-bridge to the expected level, and if a fault
downstream causes excess current flow this can be detected and cause the system to
reset and cease stimulation. The diode in the H-bridge prevents high voltage on the
drain of MA1, thus protecting this low-voltage device and the low-voltage gate-
source regions of the SH1 and SH2 switches.
Data is transmitted from the telemetry module to the stimulator module by
varying the pulse-widths of the H-bridge signals. To transmit data from the stim-
ulator module to the telemetry module, the telemetry module sends a request over
the two-wire interface and subsequently keeps the H-bridge in one state. The
stimulation module will then pulse the insertion of a series impedance in the
two-wire interface path, which cause surge currents to flow in the H-bridge. The
H-bridge current is scaled down and mirrored to the resistor RA1 by the MA1-MA3-
24 Visual Stimulation Systems 531

VDDH

SH1
* SH2
*
TWTA
VDD
SH2 TWTB SH1
RA1
MA3 YRDat
UA2
UA1 VRI
MA1
VBTW MA2
75 : 1
VSS

Fig. 7 Two-wire interface driving circuit (telemetry module). Circuit is a current-limited high-
voltage H-bridge with current sensing

UA1 current mirror and thus these surge current pulses are detected by the UA2
comparator and subsequently decoded by the digital control unit in the telemetry
module.
The two-wire interface circuit in the stimulator module is shown in Fig. 8. The
core element is an active rectifier MB1-MB4 which rectifies the incoming voltage on
the two-wire interface, VTWS = V(TWSA) V(TWSB), generating the main
stimulator module supply voltage VDDH VSS. The parasitic diodes in the rectifier
act as a passive rectifier ensuring the module will power up when the two-wire
interface is first activated. During stimulation, however, it is important to lower the
conduction losses in the rectifier as the rectifier currents are large. The comparators
UB1 and UB2 detect a polarity change in the two-wire interface and switch the gate
signals to the rectifying transistors (via buffers) accordingly. The MB1 gate signal
doubles as the incoming data signal Y*Dat which is decoded by the digital control unit
in the stimulator module. To generate reverse data, MB5 and MB6 can be switched
off, inserting parasitic diodes in the H-bridge current path as described above.
The stimulator module having a small volume requirement can only have
on-chip, and hence very small, power supply capacitance (sub nF). For this reason,
it is critical that the rectifier reverse current when the interface change polarity is
kept very small, or the stimulator module will power down. Due to its length
and helical construction, the two-wire interface cable has a significant inter-wire
capacitance (tens of pF). This slows down the time taken to reverse the interface
polarity without significant reverse current. Finally, during stimulation, the stimu-
lator module supply current draw is significant (several mA). For these reasons the
two-wire interface is kept at a constant polarity during stimulation. When neither
data is being transmitted nor stimulation occurs, the two-wire interface is toggled at
a low frequency to keep the stimulator module powered. Figure 14 depicts the
two-wire voltage at the stimulator module (VTWS) where idling, data transfer, and
stimulation all occur. The droop in voltage during stimulation is due to charge
532 T. Lehmann et al.

TWSA
V DDH VDDH
MB1 MB2
M B5 M B6
U B1 UB2
V DDH
Ctrl Ctrl

X *Stm Y *
Dat
X *Tel

Lvl Lvl
tran tran

VSS MB3 MB4 VSS


TWSB

Fig. 8 Two-wire interface active rectifying circuit. Circuit uses parasitic diodes for start-up and
for impedance telemetry. The “Ctrl” blocks are digital control units while the “Lv1 tran” blocks
are digital level shifters

buildup on the coupling capacitors in the telemetry module and ultimately limits the
maximum system stimulation current.

Electrode Interface

The compliance voltage of a current source is the (smallest) voltage across it


required for it to draw its rated current. In a neurostimulator that employs simul-
taneous stimulation on a number of stimulation sites, it is important that the source
and sink current match well. Otherwise the voltage across (typically) the largest
stimulation current source or sink will drop below its compliance voltage and
probably cause interference between channels. The Phoenix99 stimulator shown
in Fig. 9 operates on a dynamic current copying principle similar to the one reported
by Tran et al. 2014. The stimulator has completely symmetrical circuits for gener-
ating the source (ISP) and sink (ISN) currents. During a calibration phase, the
switches controlled by clock phases ϕ1 and ϕ1D conducts, sampling the required
gate voltage on CH2 (and CH1) such that the MC6 (MC1) drain current equals the
desired stimulation current, IStm. After the ϕ1 and ϕ1D switches open, the MC6 (MC1)
drain currents remain unchanged when switched to the outputs as the ϕ2 switches
conduct. MC9-MC10 and the diodes are present to protect the low-voltage circuits
from overvoltages.
The MC5-UC2 and MC4-UC1 active cascodes ensure high output impedance of the
current sources. The use of a single floating current source (IStm) referencing both
24 Visual Stimulation Systems 533

VDDH

CH1 1: S 0* 1 S *1 2

MC1 S0 MC2 S1
* *
MC3

φ1* MC4
VRP VPCmp
UC1 φ * φ2*
1D

MC9 ISP
VSSH
VDD
MC10
ISN
IStm
φ1D φ2
UC2
VRN VNCmp
φ1 MC5

MC6 S0 MC7 S1 MC8

CH2 1: S0 1 S1 2
VSS
Fig. 9 Stimulator circuit. Circuit is a dual current copier operating at the stimulation current,
generating well-matched source and sink currents without relying on transistor matching

stimulating current source and sink, and the use of current copying without subse-
quent scaling, ensures a good matching between the source and sink currents.
The desired stimulation current, IStm, is generated by a current-output digital-to-
analog converter (DAC). In order to reduce resources consumed by this converter, it
is implemented with only 5-bit resolution. To increase the dynamic range of the
stimulator, however, IStm can also be scaled up by 1, 2, 3, or 4 times. When the DAC
current is scaled, transistors MC7-MC8 (MC2-MC3) are switched in parallel with MC6
(MC1), reducing the required drain voltage of this transistor. In this way the current
sink (source) compliance voltage is reduced.
534 T. Lehmann et al.

In normal operation, the output of the UC2 amplifier (VNCmp) is relatively


constant about a voltage Vth + VRN, where Vth is a MOS threshold voltage. If the
current sink output voltage drops too low, the MC6 drain voltage will drop causing a
sharp rise in VNCmp. VNCmp (and VPCmp) can therefore conveniently be used to flag
out-of-compliance events for the stimulation current sink (source).
The implementation of the floating current DAC is shown in Fig. 10. It is a
simple floating cascode current mirror whose output current can be scaled by a
binary weighted array of transistors switched in by the digital control word
B4B3B2B1B0. The input current IBS (and the bias current for the cascodes, IBC)
can be scaled by 1, 2, 3, or 4 to implement the scaling of the current DAC.
Each of the 14 Hexes in the Phoenix99 electrode array has two independent
associated stimulators: the HDAC (5-bit) generating the localized return current
and corresponding active electrode current (IHn in Fig. 4) and the QDAC (4-bit)
generating the monopolar return current and corresponding active electrode current
(IQn in Fig. 4). The measured HDAC and QDAC current transfer characteristics
from one Hex at different scale factors are shown in Fig. 11.
Each of the 98 electrodes on the Phoenix99 electrode array has an associated
switching circuit shown in Fig. 12. Each electrode can be switched to the current
source and sink belonging to its home Hex and two neighboring Hexes depending
on which set of electrodes are used as active electrodes (see Wong et al. (2007) for
details). Hence, for a particular electrode, the nodes XP1, XP2, and XP3 are routed to
the ISP outputs of the HDAC and QDAC of three Hexes; likewise, the nodes XN1,
XN2, and XN3 are routed to the ISN outputs of the HDAC and QDAC of the same
Hexes. In the Phoenix99 implant, in order to reduce the use of high-voltage
transistors, the switching takes place in the low-voltage domains: VDDH VSSH
for the current sources and VDD VSS for the current sinks. The ME1 and ME2

VDD IStm
IBC IBS
B0 B1 B2 B3 B4

1: 1 2 4 8 16

B0 B1 B2 B3 B4
IBC I BS
VSS IStm

Fig. 10 Floating current DAC circuit. Circuit is a simple floating current mirror with a program-
mable, binary scaled output. Circuit is used for both QDACs and HDACs
24 Visual Stimulation Systems 535

1.2 scale 1
1.0 scale 2
scale 3
0.8 scale 4
IQ1 , IH1 [mA]

0.6

0.4

0.2

0.0

0 5 10 15 20 25 30
Code

Fig. 11 Measured current DAC characteristic. QDAC () and HDAC ( filled). Current measured
is stimulating current flowing out of an electrode

Fig. 12 Electrode switching X P3


circuit. Low-voltage
switching is used for X P2
the current sources and sinks X P1
(X-nodes), while high-voltage
S *
P1 S *
P2 S *
P3
switching is required for
electrode shorting and
measurements (VSn-nodes) # #
S S1 S S2
M E1
V SSH
V S2
E
V S1
VDD

M E2
# #
S S1 S S2

S N1 S N2 S N3
X N1
X N2
X N3

devices and associated diodes protect the low-voltage transistors from the large
voltages on the electrodes.
Platinum electrodes commonly used in therapeutic implants have a significant
capacitive element to their impedance, which stores charge during stimulation. To
536 T. Lehmann et al.

mitigate the flow of DC current due to imperfectly charge-balanced stimulation


pulses, it is therefore common practice to short (and hence discharge) the electrodes
after each stimulation pulse. Such DC current mitigation is particularly important in
visual prostheses where there is no volume to include capacitors in series with the
electrodes. The shorting also defines the DC potential of the tissue with respect to
the implant. To ensure both current sources and current sinks are in compliance at
the onset of stimulation, the shorting potential, VGnd (virtual ground), needs to be
near the mid-range of the stimulating supply, (VDDH + VSS)/2. The Phoenix99
implant has two high-voltage buses, VS1 and VS2, to which each electrode can be
switched. Because of the voltage on these buses, the switches need to be thick-oxide
high-voltage transistors as shown in Fig. 12. The buses can be connected to the
virtual ground voltage for shorting, but can also be connected to the stimulator
module data acquisition system for measuring electrode voltages and, by means of
suitable amplification, electrically evoked compound action potentials picked up by
the electrodes in response to stimulation.
The circuit generating the virtual ground potential used for shorting is shown in
Fig. 13. The six series high-voltage transistors generate a mid-rail reference volt-
age, VMid, used as the input to the MF1-MF4 push-pull class AB source follower
whose output is the virtual ground supply, VGnd.
During the post-stimulation shorting of the electrodes, current should only be
flowing between the electrode unless there is another current path to the tissue
caused by an implant fault. Such current would have to flow via the virtual ground

VDDH

10 : 1

VMid MF1 MF2


1 : 10 VGnd
MF3 MF4
VDD MF6
1 : 1.5 : 1.5
IB
1:1 MF5 S Rs
10 : 1 VSrc
C F1 VSnk
C F2
VSS

Fig. 13 Virtual ground and leakage sensing circuit. Circuit is class AB push-pull source follower
with current sensing. Sense currents are integrated on capacitors to allow sampling using a
low-power data acquisition system
24 Visual Stimulation Systems 537

10

5
V TWS [V]

−5

−10

2
1
V E12 [V]

0
−1
−2

−1 0 1 2 3 4 5
Time [ms]

Fig. 14 Measured complete system doing stimulation. Figure showing idling, data transfer, and
stimulation period on the two-wire interface (VTWS) as well as the voltage across an electrode pair
being stimulated (VE12). The 5 MHz transcutaneous signal is not shown on the figure

circuit. Thus, the virtual ground circuit can be used for implant fault detection by
sensing the current flow in the circuit. The current flowing into the VGnd node is
mirrored to the CF1 capacitor by the current mirror in the MF4 drain. The bias
current plus a threshold is subtracted from the MF4 mirrored drain current by MF5
and the resulting current integrated by the CF1 capacitor. In this manner, any fault
current larger than 500 nA can be detected by the circuit. Current flowing out of the
virtual ground circuit is detected in a similar manner (Jung et al. 2013).
An example measurement of the complete electrical system of the Phoenix99
implant is shown in Fig. 14. The figure shows the two-wire interface voltage at the
input of the stimulator module (VTWS) as well as the voltage between two electrodes
that are configured to carry out a stimulation and between which a back-to-back set
of light-emitting diodes are used as a load (VE12). The system is powered via the
transcutaneous link by a 5 MHz signal which sends a stimulation command just
prior to time t = 0 ms. The stimulation command is relayed over the two-wire
interface to the stimulator module from t = 0 ms to 1.2 ms after which a biphasic
stimulation pulse occurs with a 1 ms phase width.

Conclusion

This chapter described visual stimulation systems and examples of biochips used
for implementing such systems. The chapter outlined advantages and disadvantages
in using three common intervention sites, namely, the retina, the optic nerve, and
538 T. Lehmann et al.

the visual cortex. The chapter further outlined design trade-offs in commonly used
implanted visual prostheses: serial versus parallel configuration, active versus
passive electrodes, and single versus multi-module implants. A case study of the
Phoenix99 dual-module serially configured visual stimulation implant was given
detailing visual stimulation system specific biochip circuits. The particular quasi-
parallel quasi-monopolar stimulation method used in this system was described, as
were the implementations of the current stimulator circuits and circuits for the
unique two-wire inter-module interface. The chapter concluded with a demonstra-
tion of the complete Phoenix99 electrical system, generating biphasic stimulation
pulses powered and controlled by a transcutaneous inductive link.

References
Cha K, Horch K, Normann RA (1992) Simulation of a phosphene-based visual field: visual acuity
in a pixelized vision system. Ann Biomed Eng 20(4):439–449
Coulombe J, Sawan M, Gervais J-F (2007) A highly flexible system for microstimulation of the
visual cortex: design and implementation. IEEE Trans Biomed Circuit Syst 1(4):258–269
Delbeke J, Oozeer M, Veraart C (2003) Position, size and luminosity of phosphenes generated by
direct optic nerve stimulation. Vision Res 43(9):1091–1102
Jung LH, Shany N, Emperle A, Lehmann T, Byrnes-Preston P, Lovell NH, Suaning GJ (2013)
Design of safe two-wire interface-driven chip-scale neurostimulator for visual prosthesis. IEEE
J Solid-State Circuit 48(9):2217–2229
Margalit E, Sadda SR (2003) Retinal and optic nerve diseases. Artif Organs 27(11):963–974
Margalit E, Maia M, Weiland JD, Greenberg RJ, Fujii GY, Torres G, Piyathaisere DV, O’Hearn
TM, Liu W, Lazzi G, Dagnelie G, Scribner DA, de Juan E, Humayun MS (2002) Retinal
prosthesis for the blind. Surv Ophthalmol 47(4):335–356
Matteucci PB, Chen SC, Dodds C, Dokos S, Lovell NH, Suaning GS (2012) Threshold analysis of
a quasimonopolar stimulation paradigm in visual prosthesis. In: Ann Int Conf EMBC. IEEE, pp
2997–3000
Merrill DR, Bikson M, Jefferys JGR (2005) Electrical stimulation of excitable tissue: design of
efficacious and safe protocols. J Neurosci Methods 141:171–198
Ortmanns M, Rocke A, Gehrke M, Tiedtke H-J (2007) A 232-channel epiretinal stimulator ASIC.
IEEE J Solid-State Circuit 42(12):2946–2959
Rothermel A, Liu L, Aryan NP, Fischer M, Wuenschmann J, Kibbel S, Harscher A (2009) A
CMOS chip with active pixel array and specific test features for subretinal implantation. IEEE J
Solid-State Circuit 44(1):290–300
Shivdasani MN, Luu CD, Cicione R, Fallon JB, Allen PJ, Leuenberger J, Suaning GJ, Lovell NH,
Shepherd RK, Williams CE (2010) Evaluation of stimulus parameters and electrode geometry
for an effective suprachoroidal retinal prosthesis. J Neural Eng 7(3):036008
Tokuda T, Hiyama K, Sawamura S, Sasagawa K, Terasawa Y, Nishida K, Kitaguchi Y,
Fujikado T, Tano Y, Ohta J (2009) CMOS-based multichip networked flexible retinal stimu-
lator designed for image-based retinal prosthesis. IEEE Trans Electron Device 56
(11):2577–2585
Tran N, Bai S, Yang J, Chun H, Kavehei O, Yang Y, Muktamath V, Ng D, Meffin H, Halpern M,
Skafidas E (2014) A complete 256-electrode retinal prosthesis chip. IEEE J Solid-State Circuit
49(3):751–765
Troyk P, Bak M, Berg J, Bradley D, Cogan S, Erickson R, Kufta C, McCreery D, Schmidt E,
Towle V (2003) A model for intracortical visual prosthesis research. Artif Organs 27
(11):1005–1015
24 Visual Stimulation Systems 539

Weiland JD, Cho AK, Humayun MS (2011) Retinal prostheses: current clinical results and future
needs. Ophthalmology 118(11):2227–2237
Wong YT, Dommel N, Preston P, Hallum LE, Lehmann T, Lovell NH, Suaning GJ (2007) Retinal
neurostimulator for a multifocal vision prosthesis. IEEE Trans Neural Syst Rehabil Eng 15
(3):425–434
Wong YT, Chen SC, Seo JM, Morley JW, Lovell NH, Suaning GJ (2009) Focal activation of the
feline retina via a suprachoroidal electrode array. Vision Res 49:825–833
Zrenner E, Stett A, Weiss S, Aramant RB, Guenther E, Kohler K, Miliczek KD, Seiler MJ,
Haemmerle H (1999) Can subretinal microphotodiodes successfully replace degenerated
photoreceptors? Vision Res 39(15):2555–2567
Part III
Lab-on-Chip (LoC) for Diagnosis, Monitoring,
and Drug Delivery
Capacitive DNA Hybridization Detection
25
Michael S.-C. Lu

Contents
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 544
Sensing Principles . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 545
Surface Immobilization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 546
Electrode–Solution Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 546
Measurement Methods . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 547
Examples of Capacitive DNA Sensors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 548
Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 550
References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 550

Abstract
Impedance detection based on a non-faradaic process is suitable for making
real-time label-free biosensors by measuring the capacitance change at the
electrode–solution interface. A few capacitive DNA sensors have been
developed in recent years with detection limits ranging from sub-attomolar to
micromolar. This chapter provides a summary of the sensing principles, detec-
tion methods, and related works in this field. To realize miniaturized, highly
sensitive, and cost-effective capacitive DNA sensor arrays for point-of-care
applications, it is advantageous to integrate sensing electrodes and capacitive
readout in a single chip by using integrated-circuit technologies.

M. S.-C. Lu (*)
Department of Electrical Engineering, National Tsing Hua University, Hsinchu, Taiwan, Republic
of China
Institute of Electronics Engineering, National Tsing Hua University, Hsinchu, Taiwan, Republic
of China
Institute of NanoEngineering and MicroSystems, National Tsing Hua University, Hsinchu,
Taiwan, Republic of China
e-mail: sclu@ee.nthu.edu.tw

© Springer Science+Business Media, LLC, part of Springer Nature 2022 543


M. Sawan (ed.), Handbook of Biochips,
https://doi.org/10.1007/978-1-4614-3447-4_9
544 M. S.-C. Lu

Introduction

Deoxyribonucleic acid (DNA) is a molecule that encodes the genetic instructions


used in the development and functioning of all living organisms. Most DNA
molecules are double-stranded helices, consisting of two long biopolymers made
of nucleotides. Each nucleotide is composed of a nucleobase (adenine (A), cytosine
(C), guanine (G), and thymine (T)), as well as a backbone made of alternating
sugars and phosphate groups. Knowledge of DNA sequences has become indis-
pensable for basic biological research, as well as for numerous applied fields such
as diagnostic, biotechnology, and forensic biology. Determination of specific DNA
sequences in biological samples can lead to identification of certain infectious or
inherited diseases as well as cancers.
Several notable advancements in DNA sequencing were made during the 1970s
based on some laborious techniques. Frederick Sanger invented the chain-
termination method to separate fluorescence-labeled DNA fragments according to
their length on a polyacrylamide gel. The time and intensive labor involved for gel
and sample preparation increase the time and cost of DNA sequencing. Sanger
sequencing is the main method which prevailed from the 1980s until the mid-2000s
with significant improvements over the period due to advances in fluorescent
labeling, capillary electrophoresis, automated analysis, and so on. These develop-
ments allowed much more efficient sequencing and thus lowered the cost. Fluores-
cent labeling is required for this method to identify complementary binding of DNA
strands. However, labeling a biomolecule can change the binding properties,
especially for protein targets. Pyrosequencing is a newer, single-nucleotide addition
method that drastically reduces the cost of DNA sequencing. The method is based
on the “sequencing by synthesis” principle that relies on the detection of pyrophos-
phate release on nucleotide incorporation rather than chain termination with
dideoxynucleotides in Sanger sequencing. The activity of a DNA-synthesizing
enzyme with another chemiluminescent enzyme is detected in this method.
Label-free sensing techniques have been intensively investigated for the last few
decades for making affinity-based biosensors. They are able to provide real-time,
inexpensive, and sensitive detection while eliminating expensive reagents and
pretreatment steps required for attaching labels. For detection of DNA hybridization,
single-stranded oligonucleotide probes immobilized on sensor surface can be used as
sequence-specific recognition elements. An electrical impedance biosensor (Daniels
and Pourmand 2007) is able to convert the binding event into an electrical signal upon
hybridization with a denatured single-stranded target DNA having a complementary
sequence. The impedance change at the electrode–solution interface can be both
resistive and capacitive or purely capacitive. Impedance measurements are used to
exploit changes in dielectric properties and/or thickness of the dielectric layer at the
interface due to the binding of probe and target molecules. The impedance change is
solely from the target molecules; therefore, no label is required for impedance
sensing. As compared to fluorescence-based detection methods that require expensive
and bulky instruments, label-free electrical DNA sensors provide great potential for
basic biological research as well as clinical and point-of-care applications due to their
low cost, high sensitivity, specificity, speed, and portability.
25 Capacitive DNA Hybridization Detection 545

Sensing Principles

Impedance biosensors are divided into faradaic and non-faradaic sensors. A fara-
daic process involves charge transfer across an interface, while a transient current
can flow without charge transfer in a non-faradaic process by charging a capacitor.
The faradaic biosensors require the addition of a redox species which is alternately
oxidized and reduced by the transfer of charges to and from the metal electrode
(Bonanni et al. 2006; Li et al. 2007). Therefore, the detection process is not label-
free. No additional labeling is required for non-faradaic impedance biosensors. The
impedance change for non-faradaic impedance biosensors is mainly capacitive,
resulting in the term capacitive biosensors.
Note that the concept of capacitance change in a biological layer near an
electrode–solution interface has been used in a similar detection method based
on field-effect modulation of carriers. This method has been applied in the
electrolyte–insulator–semiconductor interface to form sensing devices known
as ion-sensitive field-effect transistors (ISFETs) which are also suitable for
detection of DNA hybridization (Kim et al. 2004; Bandiera et al. 2007). The
capacitance change occurs in both the biological layer and the semiconductor for
this type of devices. Capacitive biosensing has also been demonstrated by
using capacitive pressure sensors in which the surface stress is induced by affinity
binding (Tsouti et al. 2010). Our discussion is limited to the non-faradaic biosensors
with capacitance change occurring at the electrode–solution interface.
Two types of electrode design are commonly used for capacitance measure-
ments. For the interdigitated electrodes (IDEs), the recognition element can be
immobilized between and on top of electrodes to produce the capacitance change. A
thin region of only a few nanometers in thickness above the electrode is modified
upon specific binding. The sensor performance is enhanced when the IDE dimen-
sions are comparable in size to the target analytes (Laczka et al. 2008). IDEs with a
small linewidth and spacing can be fabricated by means of lithographic techniques
for sensitive detection. For a single working electrode, the capacitance change at
the electrode–solution interface is measured with the recognition element
immobilized on the electrode surface. The measured signal is affected by the
changes of the dielectric permittivity and thickness of the biological layer and the
displacements of solution molecules.
Electrode size determines the actual impedance to be measured. Compared
to sensors with a large area, smaller area results in larger capacitive impedance
at higher frequencies, which may be beneficial for measurements conducted at
high frequencies to avoid drift and noise of the instrument. However, decreasing
the sensor area reduces the total number of immobilized probes and thus the
capacitance change, while percentage capacitive change upon binding may remain
the same. It becomes more difficult to accurately measure the total capacitance
change due to instrument noise and/or parasitic capacitance in the measurement
process. Noise amplification due to parasitic effect is a factor that needs to be
considered because it degrades the signal-to-noise ratio. Integration of the sensing
electrodes and readout circuitry is a feasible direction for device miniaturization
while achieving sensitive detection by reducing the parasitic effect.
546 M. S.-C. Lu

Surface Immobilization

Self-assembled monolayers (SAMs) are utilized in most impedance biosensors to


attach probes at the electrode–solution interface. The selection of SAMs is closely
related to the selectivity, stability, and reproducibility of a biosensor. The most
common methods are based on thiols bound to gold surface and siloxanes to oxide
surface. Thiols are commonly used on noble metals due to the strong affinity of
sulfur for these metals. The probes can be immobilized on top of thiols or the probes
themselves can be thiol modified and formed as a SAM. Both gold and silicon
dioxide are biocompatible materials, and they can withstand harsh chemical
cleaning treatments. For capacitive biosensors, it is desirable to have a tightly
packed SAM with nearly no leakage current during operation, while for faradaic
biosensors, the electrode surface needs to be accessible to the redox species. SAMs
with longer carbon chains provide a denser structure due to hydrophobic interac-
tions of the chains. The thickness of silicon dioxide for immobilization is desired to
be thin to enhance the measured signal resulting from the capacitance change of the
biological layer. Depending on the terminal group and chain length, SAMs are valid
insulators over a certain range of DC bias voltages. Desorption of SAM desorption
would result in a false response.
The length and the terminating head group of blocking thiols influence the
sensitivity and specificity of a capacitive biosensor. Thipmanee et al. (2012)
used four different alkanethiol SAMs to immobilize a C-terminal lysine-modified
acpcPNA for capacitive DNA detection. Blocking thiols of various carbon
chain lengths with a –OH or a –CH3 terminating head group were investigated.
It was found that the blocking thiol with the same length as the total spacer
of the immobilized acpcPNA produced the best sensitivity and specificity,
while the –OH terminating head group provided better results than the –CH3
group.
DNA probes immobilized by using typical alkanethiols or thiolated DNA probes
directly immobilized on gold do not provide stable capacitance measurements over
a long time. It has been shown that, by using COOH-terminated ethylene-glycol
alkanethiols, the electrochemical behavior at the sensing interface is closer to a
capacitor with drastically reduced time drift (Carrara et al. 2009). The ethylene-
glycol film provides highly packed structures to significantly reduce ion pathways
to electrode surface. The same group also demonstrated the use of ethylene-glycol
silane for DNA detection on silicon surface with better results than using the typical
3-aminopropyltriethoxysilane (APTES).

Electrode–Solution Interface

The interface impedances for faradaic and non-faradaic biosensors are represented
by different equivalent circuit models for analysis. The solution resistance due to
the ions in bulk solution is generally not affected by binding. The capacitance at the
electrode–solution interface can be represented as the series combination of the
25 Capacitive DNA Hybridization Detection 547

surface modification capacitance, which depends on the dielectric property and


thickness of the probe layer and the double-layer capacitance. The latter is generally
represented by the Gouy–Chapman–Stern model which is the series combination of
an internal Stern layer (i.e., Helmholtz double layer) and an outer diffuse layer (i.e.,
Gouy–Chapman layer). The double-layer capacitance comprises the first layer of
surface charges absorbed onto the surface and the second layer of ions attracted to
the surface charge via the Coulomb force. The electric field arising from a polarized
electrode decays exponentially due to the attracted opposite ions which screen
the field. The characteristic length of the decay, or the characteristic thickness
of the double layer, is called the Debye length, which is reciprocally proportional
to the square root of ion concentration. The Gouy–Chapman–Stern model combines
the Helmholtz model of a simple capacitor and the diffuse model proposed by Gouy
and Chapman to account for the exponential decrease of electrical potential. The
double-layer capacitance can be varied by adjusting the electrode bias voltage to
attract or repel the diffuse ion layer. The electrode–solution capacitance is typically
represented by a constant-phase element given by 1/( jωC)n, where ω is the fre-
quency, C is analogous to a capacitance, and n is between 0.5 and 1 (n = 0.5 and
1 correspond to a Warburg element and a pure capacitor, respectively). The
behavior of the constant-phase element is attributed to the microscopic surface
roughness and the inhomogeneous current distribution.
In parallel with the electrode–solution capacitance is the series combination of
Warburg impedance and charge-transfer resistance for faradaic sensors, or a leak-
age resistance for non-faradaic sensors. The charge-transfer resistance and the
electrode–solution capacitance are most commonly used for monitoring affinity
binding in faradaic and non-faradaic biosensors, respectively. For the latter the
main capacitance change arises from the probe layer. The double-layer capacitance
is much larger and plays a minor role in the overall capacitance change. Typically,
the capacitance change can be enhanced for non-faradaic sensors by using substan-
tially larger targets or targets with significantly different dielectric property/charge
for affinity binding. The hypothesis is not always correct as other factors, such as
the immobilization process, may affect the results. The probe layer thickness, and
thus the corresponding capacitance, can also be varied by the electrode bias voltage.
Imperfect insulation arising from the leakage resistance can affect the overall
interface impedance of non-faradaic biosensors and reduce the sensitivity of the
measured electrode–solution capacitance. Finite leakage resistance can be affected
by pH changes as a result of ionization of surface groups, such as acid-terminated
SAMs.

Measurement Methods

A conventional impedance biosensor measures the electrical impedance of an


electrode–solution interface at AC steady state with constant DC bias conditions.
This approach, known as electrochemical impedance spectroscopy (EIS), is accom-
plished by imposing a small sinusoidal voltage over a range of frequencies and
548 M. S.-C. Lu

measuring the resulting current. The current-to-voltage ratio gives the impedance,
which consists of both energy dissipation (resistor) and energy storage (capacitor)
elements. Results obtained by EIS are graphically represented by either a Bode plot
or a Nyquist plot. In addition to the frequency-domain method, interface impedance
changes can be measured by the potentiostatic step method where small potential
steps are applied to the working electrode and the transient current responses, as
determined by the time constant of the interface resistance and capacitance, are
measured accordingly. The typical measurement setup consists of a potentiostat
and three standard electrodes (a working electrode, an auxiliary electrode, and a
reference electrode) (Berggren and Johansson 1997).

Examples of Capacitive DNA Sensors

The interface capacitance can decrease due to the increased probe layer thickness
upon hybridization of the target and probe DNA as the solution is displaced away
from the surface. The lower dielectric constant of biomolecules compared to that of
the solution is also the factor that decreases the capacitance. In the work of
Berggren et al. (1999), two different oligonucleotide probes were used for separate
tests. The first sensor used a gold rod with a SAM with oligonucleotide probes of
26 bases modified with an SH-group at the 50 end. The other sensor used phosphor-
ylated oligonucleotide probes of eight bases immobilized on a SAM of cysteamine
on gold electrodes. The probes were complementary to a DNA sequence of the
cytomegalovirus. The potentiostatic step method was used for both sensors to
measure the minimum capacitance change, which was about tenths of nF/cm2,
corresponding to a detection limit of 0.2 attomolar when complementary single-
stranded DNA fragments of the cytomegalovirus were injected and hybridized on
sensor surface.
Liu et al. (2008) reported the use of gold IDE sensors to achieve a detection limit
of 1 nM for the target DNA with 400 base pairs. The work also found that the
increase of base pairs at a fixed DNA concentration also increased the interface
capacitance because of the increased dipole moment. The increased DNA concen-
tration could result in a higher number of counterions attracted by the backbone
charges and thus a larger solution conductance.
Berdat et al. (2008) reported a DNA biosensor based on non-faradaic differential
detection using interdigitated Ti/Pt microelectrodes. Amino-terminated DNA
probes were immobilized onto the glass surface in between the microelectrodes.
The ionic hybridization buffer was replaced by deionized water to eliminate
electrical short-circuit effect. The detection limit was demonstrated to be 1 nM.
The measured conductance change was due to the conduction of counterions on the
DNA backbone instead of the charge-transfer resistance of the electrode–solution
interface.
Numnuam et al. (2009) reported a flow injection system with capacitive bio-
sensors to detect affinity binding between immobilized histone and DNA. Histones
have been found as a possible recognition element because they are the basic
25 Capacitive DNA Hybridization Detection 549

proteins which package and order the DNA into structural units called nucleo-
somes. Histones from calf thymus and shrimp were immobilized, respectively, on
gold electrodes covered with SAM of thioctic acid. The degree of insulation of
the electrode–solution interface was verified by using cyclic voltammetry in a
three-electrode setup, in which the modified gold electrode was used as the working
electrode, Ag/AgCl as a reference electrode, and a platinum rod as the auxiliary
electrode. The electrodes were connected to a potentiostat. The capacitive change
upon hybridization was measured by the potentiostatic step method. A low detec-
tion limit down to 105 ng/l was achieved.
An impedance DNA sensor array can be implemented by using integrated-circuit
technologies to allow miniaturization and integration with on-chip readout circuitry
for real-time high-throughput detection. Monolithic integration also provides the
benefit of enhancing signal-to-noise ratio by reducing the parasitic capacitance
observed at the sensing node, which would otherwise negatively impact the sensing
resolution during direct capacitance measurement. Lee et al. (2010) reported a fully
integrated CMOS (complementary metal oxide semiconductor) impedimetric DNA
sensor array. The changes in the reactive capacitance and the charge-transfer
resistance on the gold sensing electrodes were extracted by applying a triangular
voltage waveform to monitor the produced currents. The currents flowing through
the interface capacitor and resistor are associated with the slope and magnitude of
the applied triangular voltage, respectively. The electrode current was converted to
voltage by a current-to-voltage readout circuit. The electrode–solution interface
was not perfectly insulated. Binding of complementary DNA strands resulted in
more tightly packed molecule layer that increased the interface resistance. The
achieved limit was 10 nM. Gold electrodes were also used to implement a CMOS
capacitive DNA sensor array (Stagni et al. 2007) where capacitance values were
measured by the charge-based capacitance measurement (CBCM) technique. The
CBCM readout is suitable for capacitive detection of low-speed bio-signals and for
chip design that requires low complexity. Single-stranded DNA probes modified
with alkanethiol groups were immobilized on the electrodes by covalent S–Au
bonds. The electrode–solution resistance was measured to be large enough at
10 MΩ. Target DNA of 3 μM was detected upon hybridization. Lai et al. (2012)
reported a CMOS capacitive DNA sensor array by using the CMOS aluminum
electrodes coated with a thin silicon dioxide layer. AIV (avian influenza virus)
capture DNA probes were immobilized on the oxide surface that provided sufficient
insulation for non-faradaic detection. Capacitive sensitivity was enhanced by using
interdigitated microelectrodes with a minimum spacing of 0.6 μm. Capacitance
values were extracted from the measured frequency responses via on-chip circuitry.
Hybridization of the AIV H5 capture and target DNA probes produced a capaci-
tance reduction of 13 % for target DNA concentration varied from 1 to 10 fM,
while a capacitance increase was observed when H5 target DNA was replaced with
noncomplementary H7 target DNA. Yusof et al. (2010) used the CBCM readout
techniques to implement a CMOS DNA sensor array that demonstrated hybridiza-
tion of 20-mer probe oligonucleotides with a capacitance change of 10 fF after
injection of complementary target oligonucleotides.
550 M. S.-C. Lu

There are examples where labels are utilized to enhance the capacitive signals.
Nanoparticles are commonly used to enhance dielectric property changes upon
affinity binding. Moreno-Hagelsieb et al. (2007) presented IDE sensors covered by
a thin insulating layer (Al2O3). Therefore, no gold or noble metal electrodes were
required. They used an indirect labeling scheme which is typically referred as a
sandwich assay. The immobilized DNA probe first hybridized with biotinylated
DNA fragments. Then, the second probe, a biotin antibody coupled with gold
nanoparticles, was attached to the biotinylated DNA. Finally, the hybridization
signal was enhanced by silver precipitation to result in detectable concentration of
cytochrome P450 2b2 gene down to 50 pM. The work applied the AC signal method
to measure the capacitance between interdigitated electrodes and the MOS (metal
oxide semiconductor)-like capacitance to substrate. In addition, self-resonant
frequency change of the sensing structure was also measured to determine the
corresponding capacitance change. Bonanni et al. (2010) measured the impedance
spectrum from gold IDEs with a pitch of 250 nm to obtain the capacitance change.
After hybridization of the breast cancer-related BRCA1 gene, a biotinylated DNA
signaling probe was coupled to streptavidin-modified gold nanoparticles for signal
amplification. A detection limit of 3 μM was demonstrated upon hybridization.

Conclusion

Label-free, highly sensitive detection of DNA hybridization has been demonstrated


by capacitive biosensors. However, many sensors still suffer from low selectivity
and unsatisfactory reproducibility. The measurement techniques and the protocols
for surface functionalization and immobilization need to be optimized and stan-
dardized in order to improve the sensor performance and repeatability. Moreover,
the greatest challenge is to obtain adequate selectivity in the presence of interferents
from real biological samples. From the standpoints of sensitivity enhancement,
sensor miniaturization, and cost reduction toward point-of-care diagnostic applica-
tions, future research can consider integration of capacitive DNA sensors and
readout circuitry in a single chip through integrated-circuit technologies.

References
Bandiera L, Cellere G, Cagnin S et al (2007) A fully electronic sensor for the measurement of
cDNA hybridization kinetics. Biosens Bioelectron 22:2108–2114
Berdat D, Rodrı́guez ACM, Herrera F et al (2008) Label-free detection of DNA with interdigitated
micro-electrodes in a fluidic cell. Lab Chip 8:302–308
Berggren C, Johansson G (1997) Capacitance measurements of antibody-antigen interactions in a
flow system. Anal Chem 69:3651–3657
Berggren C, Stålhandske P, Brundell J et al (1999) A feasibility study of a capacitive biosensor for
direct detection of DNA hybridization. Electroanalysis 11:156–160
Bonanni A, Esplandiu MJ, Pividori MI et al (2006) Impedimetric genosensors for the detection of
DNA hybridization. Anal Bioanal Chem 385:1195–1201
25 Capacitive DNA Hybridization Detection 551

Bonanni A, Fernández-Cuesta I, Borrisé X et al (2010) DNA hybridization detection by electro-


chemical impedance spectroscopy using interdigitated gold nanoelectrodes. Microchim Acta
170:275–281
Carrara S, Benini L, Bhalla V et al (2009) New insights for using self-assembly materials to
improve the detection stability in label-free DNA-chip and immuno-sensors. Biosens
Bioelectron 24:3425–3429
Daniels JS, Pourmand N (2007) Label-free impedance biosensors: opportunities and challenges.
Electroanalysis 19:1239–1257
Kim DS, Jeong YT, Park HJ et al (2004) An FET-type charge sensor for highly sensitive detection
of DNA sequence. Biosens Bioelectron 20:69–74
Laczka O, Baldrich E, Muñoz FX et al (2008) Detection of Escherichia coli and Salmonella
typhimurium using interdigitated microelectrode capacitive immunosensors: the importance of
transducer geometry. Anal Chem 80:7239–7247
Lai WA, Lin CH, Yang YS et al (2012) Ultrasensitive and label-free detection of pathogenic avian
influenza DNA by using CMOS impedimetric sensors. Biosens Bioelectron 35:456–460
Lee K, Lee J, Sohn M et al (2010) One-chip electronic detection of DNA hybridization using
precision impedance-based CMOS array sensor. Biosens Bioelectron 26:1373–1379
Li A, Yang F, Ma Y et al (2007) Electrochemical impedance detection of DNA hybridization
based on dendrimer modified electrode. Biosens Bioelectron 22:1716–1722
Liu YS, Banada PP, Bhattacharya S et al (2008) Electrical characterization of DNA molecules in
solution using impedance measurements. Appl Phys Lett 92:143902
Moreno-Hagelsieb L, Foultier B, Laurent G et al (2007) Electrical detection of DNA hybridiza-
tion: three extraction techniques based on interdigitated Al/Al2O3 capacitors. Biosens
Bioelectron 22:2199–2207
Numnuam A, Kanatharana P, Mattiasson B et al (2009) Capacitive biosensor for quantification of
trace amounts of DNA. Biosens Bioelectron 24:2559–2565
Stagni C, Guiducci C, Benini L et al (2007) A fully electronic label-free DNA sensor chip. IEEE
Sensors J 7:577–585
Thipmanee O, Samanman S, Sankoh S et al (2012) Label-free capacitive DNA sensor using
immobilized pyrrolidinyl PNA probe: effect of the length and terminating head group of the
blocking thiols. Biosens Bioelectron 38:430–435
Tsouti V, Boutopoulos C, Andreakou P et al (2010) Detection of DNA mutations using a
capacitive micro-membrane array. Biosens Bioelectron 26:1588–1592
Yusof Y, Sugimoto K, Ozawa H et al (2010) On-chip microelectrode capacitance measurement for
biosensing applications. Jpn J Appl Phys 49:01AG05
CMOS Capacitance Biosensors to Monitor
Cell Viability 26
Bathiya Senevirathna and Pamela Abshire

Contents
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 554
Cell Adhesion and Viability Sensing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 555
Capacitance Sensing on CMOS Chips . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 557
Cell Capacitance Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 557
Capacitance Sensing Approaches . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 559
Discussion/Tradeoffs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 561
Biocompatible Packaging . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 562
Case Study: Tracking Cell Proliferation Using a CMOS Capacitance Sensor . . . . . . . . . . . . . . . . . 563
Cell Viability and Proliferation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 564
Drug Screening . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 565
Conclusions and Future Outlook . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 568
References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 568

Abstract
Monitoring cell viability and proliferation is a ubiquitous goal with many appli-
cations in industries such as environmental science, food safety, and drug dis-
covery. Conventional approaches to observe viability involve using dyes or
chemical labels to identify healthy and unhealthy cells. However, these markers
could potentially introduce side-effects and are typically endpoint assays: they
cannot provide high-temporal resolution data that could reveal the dynamics of
cell growth and death. Capacitance biosensors have been developed to tackle this
challenge. These devices use complementary metal-oxide semiconductor tech-
nology to build arrays of sensors that can interface directly with cells and detect
cell-substrate capacitance coupling which is indicative of cell health. This chapter
presents an overview of the capacitance sensor chips developed to monitor cell
viability. Front-end designs and system integration challenges are discussed.

B. Senevirathna · P. Abshire (*)


Department of Electrical and Computer Engineering and the Institute for Systems Research,
University of Maryland, College Park, MD, USA
e-mail: pabshire@umd.edu

© Springer Science+Business Media, LLC, part of Springer Nature 2022 553


M. Sawan (ed.), Handbook of Biochips,
https://doi.org/10.1007/978-1-4614-3447-4_11
554 B. Senevirathna and P. Abshire

A specific example of an oscillator-based sensor design is then examined. Results


of in vitro experiments are presented and show the ability to monitor cell
proliferation and response to cytotoxic drugs over several days, with the sensi-
tivity required to observe single-cell morphological events.

Introduction

Cell culture assays are a standard way of assessing the cytotoxicity of compounds
and their effects on the growth of cells. They are a powerful tool in biomedical
research, particularly in the drug discovery process, and provide valuable data on the
efficacy of pharmaceuticals. In contrast to biochemical assays, cell-based assays
offer a more complex model for study that can generate more biologically relevant
information to predict the response of an organism to a particular substance.
Most methods of assessing cell viability are primarily based on optical detection
methods that rely on labeling cells with a marker that is indicative of a specific cell
characteristic. For instance, Alamar Blue is a reagent that contains a non-fluorescent
compound called resazurin that is reduced by cell metabolic activity to resorufin, a
fluorescent compound. Cells are first incubated with the reagent and then any
resulting fluorescence intensity is quantified using a spectrophotometer. Fluores-
cence intensity is then correlated with cell viability. Another method of assessing cell
viability is to monitor the health of cell membranes. Cells with intact membranes will
exclude dyes such as trypan blue, while dead cells will be stained. The relative
numbers of stained and unstained cells can then be counted using a microscope to
obtain a measurement of cell viability. Both of these methods rely on optical
techniques to quantify cell viability and thus require specialized microscopes, optical
filters, and reagents. They also generally require subsampling of the cell culture,
which may be difficult for small culture volumes. Furthermore, these techniques are
generally end-point assays in which quantification is performed only at the end of an
experiment. This means that multiple parallel experiments must be performed in
order to discriminate any temporal changes in the measurements. This can be
performed in a high-throughput manner using specialized equipment, but accuracy
is still limited by the variability between independent experiments. Real-time cell
viability monitoring can provide more valuable information on the dynamics of cell
growth and death. Particularly in drug discovery experiments, high-temporal reso-
lution could provide insight into the kinetics of cell death which can enable the
development of targeted dosage regimens. Thus, performing automated real-time
cell measurements can significantly advance methods of profiling cell growth and
death and assessing drug cytotoxicity. This can then facilitate faster development of
more effective drugs and improve public health outcomes.
Complementary metal-oxide semiconductor (CMOS) technology is ideally suited to
meet this goal since it can bring together sensing, transduction, and actuation into close
contact with biology. This level of integration enables miniaturization, high-throughput,
and high-quality measurements. Lab-on-CMOS (LoCMOS) devices are systems that
incorporate CMOS integrated circuit (IC) chips into lab-on-chip systems in order to
26 CMOS Capacitance Biosensors to Monitor Cell Viability 555

c)

|ΔC| (aF)

Time

Fig. 1 An overview of cell capacitance sensors. (a) Photograph of a capacitance sensor encapsu-
lated in a biocompatible package for cell experiments. (Adapted from Lu et al. (2018) with
permission from IEEE). (b) Block diagram of the system. (c) Experimental data showing sensed
capacitance during a cell culture experiment with images of cells cultured on top of a sensor.
(Adapted from Senevirathna et al. (2019b) with permission from IEEE)

perform measurements, control actuators, or process signals. They have been developed
for multiple applications, including measuring electrogenic activity, optical fluores-
cence, and making physical measurements (force, mass, temperature). Several
LoCMOS systems have been developed for cell viability and growth monitoring.
These sensors operate by monitoring the capacitive loading of adherent cells that are
grown directly on top of the IC chip interface (Hong et al. 2011; Prakash and Abshire
2005) and have shown promise in providing platforms for making high-resolution
measurements in an unsupervised and automated manner. An overview of the sensing
platform is shown in Fig. 1. The CMOS IC contains an array of capacitance sensors
along with ancillary amplification and readout circuitry (Fig. 1b). The chip is encapsu-
lated in a biocompatible package (Fig. 1a) to allow for direct culture of cells on top of
the sensing array. As cells grow and proliferate on the chip, the measured changes in
capacitance are indicative of greater coverage of the electrodes by cells, coupling
strength between the cells and the electrodes, and cell health (Fig. 1c).

Cell Adhesion and Viability Sensing

Many commonly studied cells are anchorage-dependent; they require a physical


substrate to grow on and are unable to survive in suspension. Healthy cells bind
more tightly to their substrates in comparison to dead or unhealthy cells. Therefore, the
556 B. Senevirathna and P. Abshire

study of cell adhesion can be used as a surrogate indication of cell health and viability.
Numerous physical methods for quantifying cell adhesion have been explored (Khalili
and Ahmad 2015). Traction force microscopy is a technique wherein cells are cultured
onto a polyacrylamide gel that has been embedded with fluorescent beads. Adhering
cells then generate traction forces that can be quantified by monitoring the movement
of the fluorescent beads (Dembo and Wang 1999). Another technique involves seeding
cells within a microfluidic channel and exposing them to shear fluid flow (Gupta et al.
2010). Adhesion strength is then characterized by varying the fluid flow force and
observing the number of remaining cells within the channel. Another mechanical
technique of quantifying adhesion is to use a micropipette to apply a suction force to
the top of a cell. The minimum amount of force required to pull the cell off the
substrate is a measure of its adhesion strength (Christ et al. 2010).
An alternative method of characterizing cell adhesion is by measuring the capac-
itance changes at the cell-substrate interface that occur as the cells grow over time.
This is due to differing dielectric properties of a cell’s cytoplasm and membrane, in
comparison to that of the cell culture media. Figure 2 shows a diagram of different
stages of the cell adhesion process. Cells placed in suspension will first drift
downwards towards the substrate and make initial attachment (top panel). They
then begin adhering to the substrate and flatten out over a larger surface area (middle
panel). As cells proliferate, they will spread out even further and begin multiplying,
eventually spreading out to cover much of the available substrate (bottom panel)
(Khalili and Ahmad 2015). Compromised cells, on the other hand, lose adhesion
strength, contract, and may eventually lift off from the surface entirely. These
changes in cell morphology modulate the dielectric properties of the cell-substrate
interface, which can be measured as changes in surface coupling capacitance
(Prakash and Abshire 2008). Therefore, by integrating sensitive capacitance sensors

a) b)

cells settling cell media

substrate

cells adhering

cells proliferating

50 μm

Fig. 2 Cell dielectric layer formation on a solid substrate. (a) Cells in suspension first drift
downwards to settle on the surface. They begin adhering to the surface through various mechanisms
involving cell adhesion molecules (Lodish et al. 2000). The cells then anchor and spread outward as
they proliferate. (b) Microscope images of cells cultured on the biosensor surface, during the
settling, adhering, and proliferating phases (top to bottom). (Adapted from Senevirathna et al.
(2019a) with permission from Elsevier)
26 CMOS Capacitance Biosensors to Monitor Cell Viability 557

directly underneath the cells, one can obtain an accurate measure of cell health and
viability with high-temporal resolution.

Capacitance Sensing on CMOS Chips

Cell Capacitance Model

As introduced in section “Introduction,” CMOS technology has become an impor-


tant enabling technology for lab-on-chip systems since it facilitates the incorporation
of sensing and actuation in intimate contact with readout and electronics. For the
application of measuring cell viability, CMOS capacitance sensors can be
implemented directly underneath the substrate that cells grow on. Capacitance
changes can then be sensed through a pair of input electrodes manufactured in the
top metal layer of a standard CMOS fabrication process. A schematic of the cell-
substrate interface and sensor input electrodes is shown in Fig. 3.
While the cell media and cell itself are conductive, the effect of parasitic conduc-
tance/resistance is neglected because no current flow is expected. The passivation
layer is assumed to be a high quality insulating layer and so only capacitive effects
are considered. The CMOS IC consists of the silicon substrate, layers of dielectric
(typically silicon dioxide) that separate the intermediary metal layers, and finally a
passivation layer. This final passivation layer protects the underlying circuitry and
serves as the cell growth substrate. The input electrodes are shown and labeled as
nodes V1 and V2. These electrodes sense a net capacitance through the series
combination of the passivation layer capacitance (CPSV) and input capacitance (CIN).

A A

Fig. 3 A model of the capacitances at the cell-substrate interface


558 B. Senevirathna and P. Abshire

The passivation is the unmodified dielectric layer that comes from the CMOS
foundry and generally consists of a stack of silicon dioxide and silicon nitride. Here
we model this layer as silicon nitride only, with a dielectric constant of 7.5. With a
typical passivation thickness of 1.5 μm, the capacitance area density of the layer is
approximated at 50 aF/μm2. The input capacitance, CIN, is the sensed capacitance
that couples through the fringing electric fields between the two input electrodes. As
a first-order approximation, this input capacitance is modeled as a parallel plate
capacitance. Given dielectric constants of 50 and 80 for cell cytoplasm and cell
media, respectively (Asami et al. 1989; Pauly and Schwan 1966; Zhou et al. 2016),
the capacitance area density of CIN is expected to be roughly 100 and 150 aF/μm2,
respectively. Since CPSV and CIN are on the same order of magnitude, they both
contribute to the sensed capacitance that is detected through the CMOS sensor.
Indeed, since they are in series with one another, one method to improve sensitivity
to CIN would be to decrease the passivation thickness or employ a high-κ dielectric
through postfabrication processing.
An additional double-layer capacitance (CDL) exists at the interface between the
solid substrate and aqueous ionic cell media. However, the size of this capacitance is
inversely proportional to the Debye length, which is on the order of several nano-
meters for cell media. This means the double-layer capacitance would be orders of
magnitudes larger than CPSV and CIN, and so can be ignored (Couniot et al. 2015). Further
parasitics exist at the electrodes that give rise to a baseline offset capacitance. These
include the electrode-substrate area (CP-pp) and fringe (CP-fr) capacitances, and the metal-
metal coupling capacitance between the two electrodes through the inter-layer dielectric
(CMM). Based on typical process parameters, these parasitic capacitances are expected to
be roughly 10 aF/μm2, 30 aF/μm, and 80 aF/μm, for CP-pp, CP-fr, and CMM, respectively.
The net sensed capacitance between the two electrodes can be approximated by:

CPfr 3CPSV CIN


CV1V2 ffi CPpp þ þ 3CMM þ ð1Þ
2 CPSV þ 2CIN
A plot of the effective capacitance across the electrodes as a function of the
permittivity of the environment is shown in Fig. 4a. A linear fit of the data points

a) b)
11.8 25.1

11.6 24.9
Capacitance (fF)

Capacitance (fF)

11.4 24.7

11.2 24.5

11.0 24.3

10.8 24.1
45 55 65 75 85 45 55 65 75 85
Relative Permittivity Relative Permittivity

Fig. 4 Sensed capacitance as a function of the relative permittivity of the environment over the
input electrodes, for (a) the analytical model and (b) COMSOL simulations
26 CMOS Capacitance Biosensors to Monitor Cell Viability 559

results in a slope of 12 aF/εr. As a comparison, the interdigitated electrode config-


uration was modeled in a multiphysics solver (COMSOL). The capacitance as a
function of permittivity is shown in Fig. 4b and a linear fit of the data provides a
sensitivity 19 aF/εr.
The overall change in capacitance due to a cell binding event, where εr decreases
from 80 to 50 are ΔC ffi 570 aF and 370 aF for the FEM and analytical models,
respectively. Thus, CMOS sensors must be able to resolve capacitance changes well
below this threshold in order to reliably detect cell-substrate interactions.

Capacitance Sensing Approaches

Several CMOS capacitance-sensing approaches have been developed and used over
the years for biological cell sensing. These approaches can be broadly grouped into
charge-based capacitance measurement (CBCM), charge sharing (CS), and capaci-
tance-to-frequency (CTF) categories.
The CS approach measures the re-distribution of charge between two capacitive
nodes, one of which includes the input capacitance being measured. The concept
was first used to create an array of fingerprint sensors. The sensing principle was then
developed further for cell adhesion characterization (Prakash et al. 2005). The left
panel of Fig. 5 illustrates the technique. The sensing operation works in two phases:
precharge and evaluation. In the pre-charge phase, transistors M1 and M3 are
switched on while M2 is kept off. This causes nodes N1 and N2 to charge to known
voltages VDD and VSS, respectively. In the evaluation phase, the switch states are
reversed. This causes the charges on the two nodes to re-distribute, giving a final
output voltage on N1/N2 of:

CN1 V DD þ CN2 V SS þ CIN V DD


V O ¼ V N1 ¼ V N2 ¼ ð2Þ
CN1 þ CN2 þ CS

Fig. 5 Capacitance monitoring through charge sharing (left) and CBCM (right) transduction
methods
560 B. Senevirathna and P. Abshire

where capacitors CN1 and CN2 represent the parasitic capacitances that exist at their
respective nodes. The output voltage is thus related to the sensed input capacitance,
and it drops off rapidly with decreasing cell-substrate coupling. This implementation
included a metal shield implemented directly underneath the sensing electrode to
reduce parasitic metal to substrate capacitances.
The CBCM is a similar approach for making highly sensitive capacitance mea-
surements. The basic CBCM structure consists of two NMOS and PMOS transistors,
each controlled by nonoverlapping signals V1 and V2, respectively. The input
capacitance being sensed is attached on one side of the transistor pair, while the
other is connected to a reference electrode. Control signals V1 and V2 alternately
charge and discharge the center nodes at a given frequency fin. The net capacitance
difference between the two nodes can then be calculated by measuring the difference
between the currents I1 and I2:

I O ¼ I 2 ¼ I 1 ¼ CIN  Cref V DD fin ð3Þ

The capacitance to frequency (CTF) sensing technique uses capacitive loading to


modulate the frequency of an oscillating circuit. The oscillating element in these
circuits generally consists of a capacitor along with a resistor or inductor. For
integrated CMOS sensors, the capacitor is replaced directly with the input sensing
electrodes, while the resistor (for an RC element) may be simply implemented using
parasitic elements. Charging and discharging of the element is generally performed
using current, resulting in an output frequency that is proportional to 1/RC or
1/(LC)0.5. As an example, Lu et al. used a CTF technique to detect the presence of
the neurotransmitter dopamine, the binding of which changed the charge profile at
the sensor interface, inducing changes in capacitance (Lu et al. 2010). The right
panel of Fig. 6 shows this CTF-based readout circuit. A tunable bank of current
sources is then used to charge the input capacitance, CIN, producing a ramping

Fig. 6 Capacitance to frequency conversion using a ring-oscillator architecture (left), and ramped
delay pulses (right)
26 CMOS Capacitance Biosensors to Monitor Cell Viability 561

signal. When this signal reaches a certain switching voltage (Vref), the comparator
output goes high and after a delay stage, causes the capacitor charge to reset through
transistor MR. This feedback loop sustains a continual generation of output pulses at
a frequency:
 1
CIN V ref
f ¼ þ τD ð4Þ
IB

where IB is the total charging current, and τD is time delay of the output stages.
Another sensor type using CTF transduction is an oscillator-based design. The
left panel of Fig. 6 shows a voltage-controlled oscillator (VCO) consisting of three
signal inverting stages. A VCO is a circuit that will oscillate in a self-sustaining
manner at a frequency governed by internal parasitic resistances and capacitances
and bias voltage VB. When the sensing electrodes are connected across one of the
stages, they introduce an extra capacitive load that modulates the oscillating fre-
quency. An active-low enable signal (EN) can be used to deactivate the oscillation
while the sensor is not in use in order to save power.

Discussion/Tradeoffs

CS and CBCM designs have been shown to be highly sensitive to changes in


capacitance, with measured resolutions down to tens of attofarads. However, this
makes them susceptible to mismatch and parasitics that can severely limit the
dynamic range of the sensor. Improvements have been made to compensate for
this, such as using a floating gate trimming architecture to remove offsets prior to
making cell measurements (Prakash and Abshire 2009). CTF-based designs are
more robust in this regard since any unknown parasitics would cause a baseline
shift in the oscillation frequency, which could be removed by post processing.
However, they are vulnerable to temperature and power supply variations that may
occur during experiments. This can be mitigated using a reference sensor that can
track global changes in temperature and pH.
An important practical factor that plays into system design is the unique test
environment for cell capacitance sensors; the system must be designed to be used
in intimate contact with cell culture. At a minimum this means the sensor surface must
be exposed to cells for long periods of time, and any electrical signals should be
shielded. The chip itself would also be placed inside a closed cell culture environment
(e.g., an incubator). This introduces challenges into system design, specifically
encapsulating the chip into a biocompatible package, and making reliable connec-
tions between the chip and readout hardware that do not disturb the cell culture
environment. Minimizing the number of electrical connections on the chip is helpful
in meeting both of these requirements. The number of input/output (I/O) pads
required depends on how many external bias voltages are required, the number
signals needed for chip control, and how data from an array of sensors is read.
On-chip bias circuitry can be used to limit the need for external pins. The control
and readout pins generally depend on how many sensors are implemented on chip and
562 B. Senevirathna and P. Abshire

what transduction method is used. In order to reduce the number of I/O pads required,
parallel or sequential readout strategies can be used. CS and CBCM-based systems
have been developed with a parallel readout format (Nabovati et al. 2017; Prakash
and Abshire 2009), where sensors in an array were read out in a column-wise fashion
and each column had a dedicated output pad. A sequential readout system can cycle
through each sensor in the array and thus a single pad, or set of output pads, can be
used for the entire chip. This comes at the cost of a slower readout speed.
Another important consideration is signal digitization. CS and CBCM techniques
provide an analog output signal which must either be converted on-chip or sent
off-chip to an external analog-to-digital converter (ADC). The simplest method
would be to buffer all signals to an external ADC; however, this provides a path
for additional noise coupling into the signals. Alternatively, some capacitance
sensors have been designed with on-chip ADCs (Nabovati et al. 2017). Depending
on the architecture, this may require additional hardware, for instance, to decode a
sigma-delta ADC bitstream. CTF-based sensors are inherently suited for digitization
because the output signal from each sensor is already digital, with capacitance data
encoded in its oscillation frequency. Thus, a simple counter can be used to convert
the signal into a digital word (Senevirathna et al. 2018).

Biocompatible Packaging

Exposing a CMOS sensor chip to cell culture environments is a challenging task


since the cell culture solution may be conductive and sometimes corrosive to many
currently available packaging materials. CMOS chips require effective passivation to
protect exposed conductive surfaces (e.g., bond pads and the silicon substrate) from
the cell culture media. Additionally the small size of chips makes it difficult to
perform any direct photolithographic processes or patterning due to edge bead
formation (Datta-Chaudhuri et al. 2016).
Several methods of packaging CMOS chips for lab-on-CMOS applications have
been developed. One of the most common methods is to wire bond a chip to a
standard chip carrier or printed circuit board (PCB) and then protect the bond wires
and vertical sides of the chip using an insulating material (Fig. 7a). This method,
while simple and direct, may not be reliable due to the manual dexterity required in
fully encapsulating the bond wires without flooding the sensing area. Additionally,
postprocessing microfluidics on top of the packaged chip may be difficult due to the
uneven surface caused by wire bonds that generally rise hundreds of micrometers
above the chip surface. Another method of packaging involves encapsulating the
chip in an epoxy carrier, making electrical connections using sputter deposition, and
then passivating metal traces using parylene (Fig. 7b) (Datta-Chaudhuri et al. 2014).
A similar method uses an epoxy carrier with screen-printed electrical interconnects
(Li et al. 2018). This process uses two layers of parylene for passivation, one to
isolate the silicon substrate and another to passivate the interconnects. Another
method involves mounting the chip to a low-temperature co-fired ceramic (LTCC)
carrier by using Au-Au thermocompression bonding (Fig. 7c). The package is then
26 CMOS Capacitance Biosensors to Monitor Cell Viability 563

underfilled with epoxy to create a seal between the sensor chip and the LTCC carrier
(Halonen et al. 2016; Kilpijärvi et al. 2018).

Case Study: Tracking Cell Proliferation Using a CMOS Capacitance


Sensor

In this section an example of a CMOS capacitance sensor chip is examined in detail.


Figure 8a shows a photomicrograph of the chip, which implements a 4  4 array of
sensing pixels (Fig. 8b) (Senevirathna et al. 2018). Each pixel is connected to a pair
of 30  30 μm2 interdigitated electrodes (Fig. 8c). The chip is designed to use the
CTF transduction principle, with each sensor consisting of a three-stage voltage-
controlled oscillator, as shown in the left panel of Fig. 6. The sensing electrodes are
connected across the middle stage of the sensor, introducing an input capacitance

Fig. 7 Photographs of a capacitance sensor chip packaged using three different methods. (a)
Ceramic carrier. (b) Epoxy carrier. (Adapted from Datta-Chaudhuri et al. (2014) with permission
from The Royal Society of Chemistry). (c) LTCC carrier

Fig. 8 Photomicrograph of (a) capacitance sensor chip (3  3 mm2), (b) sensing array, and (c) a
pair of interdigitated electrodes. The two outlined areas of the chip are the sensing array and control
logic, respectively from top to bottom
564 B. Senevirathna and P. Abshire

load which modulates the output frequency of the oscillator. Each pixel is individ-
ually addressed, and its output is sent to a shared counter that integrates the
oscillating signal for a fixed amount of time. The count value is then stored and
sent off-chip through an on-chip I2C serial communication bus in order to minimize
the number of I/O pads required and improve packaging reliability. On-chip logic
automatically cycles through every sensor on the chip using row and column
decoders, allowing the entire system to run automatically with no user supervision
required. Two reference sensors are also included on chip away from the sensing area
in order to track global changes such as temperature and pH common to all sensors.

Cell Viability and Proliferation

Figure 9 presents sample results of measurements taking during in vitro experiments,


where human ovarian cancer cells were cultured on top of the capacitance sensor

A 1 2 3 B C

4 5 6

D E F

A B C D E F
Ch. 1
Ch. 2
Ch. 3
|ΔC| (aF)

Ch. 4
Ch. 5
Ch. 6

Fig. 9 Correlation of capacitance measurements and cell coverage of electrodes. Top: Images
taken of six sensors at different time points. Bottom: Corresponding sensor responses showing the
measured capacitance changes over time. The letter markers indicate the time points corresponding
to each frame
26 CMOS Capacitance Biosensors to Monitor Cell Viability 565

chip. The top panel shows images of the sensor surface, focused over four sensors,
taken at different times during the experiment. These images were acquired using a
custom-built time-lapse imaging platform (Senevirathna et al. 2019c). The bottom
panel shows the corresponding measurements taken from the sensor. The dashed
vertical lines mark the time at which images were sampled. The data show typical
temporal profiles of measured capacitance over 40 h. As cells are first seeded into
the culture well, they will float in solution and settle into random locations on top
of the chip. The initial cell-substrate coupling is weak and so only small changes
in capacitance can be seen. For instance, in image A sensors 3 and 6 sit roughly
100 aF higher compared to sensors 1 and 3. This is due to a few cells that landed
on top of sensors 3/6, while the rest remained empty. Over the next several hours,
the cells will adhere to the sensor surface causing the measured capacitance to
grow over time. Since the sensing area of the electrodes is limited to a few
micrometers beyond the physical location of the metal electrodes, the sensor has
the resolution to detect single cell events. For instance, the brief decreases in
capacitance in sensors 3 and 5 at 19 and 22 h, respectively, are caused by a cell
undergoing cell division. When undergoing cell division, the mother cell’s mem-
brane begins to contract, causing the cell to round and decrease its footprint on the
substrate, leading to decreased capacitive coupling. A furrow then forms over its
center, which eventually pinches off the cell, resulting in two daughter cells. These
cells then adhere and spread out over the substrate, causing the measured capac-
itance to rebound. As the cell population grows, all sensors eventually show
increases in the measured capacitance. Several experiments were performed, and
results show strong correlation between capacitance measurements and single-cell
behavior, demonstrating reproducibility in using a CMOS biochip to monitor cell
proliferation.

Drug Screening

As discussed in the introduction, a practical application of real-time cell viability


monitoring is in drug screening and material toxicity studies. Figure 10 shows
experimental results where ovarian cancer cells were exposed to cisplatin, a widely
used platinum-based chemotherapeutic agent. The cells were allowed to grow and
proliferate for at least 48 h before the cisplatin was administered directly into the cell
growth well. The top panel of Fig. 10 shows images of cells on the sensor surface
over focused over two sensors. The left-most image was taken at t ¼ 26.8 h and
shows cells growing normally. At t ¼ 53.4 h, a 100 μM dose of cisplatin was
administered, indicated by the red line and arrow. The center image shows the
surface 6 h later. Cells still appeared to be healthy although a downtrend in the
measured binding capacitance can be observed in the sensor data traces. Roughly 4 h
later, a more noticeable change in cell morphology can be seen, both in the images
and data. Capacitance decreases of 230 aF and 440 aF were measured in channels
1 and 2, respectively. Images of the chip surface show that the cells appeared
noticeably shrunken and rounded, which is indicative of cell death (Susan 2007).
566 B. Senevirathna and P. Abshire

Fig. 10 Cisplatin-induced cell death can be measured using the capacitive biosensor. Top: Micro-
scope images of the two sensors, 1 and 2, during a drug administration experiment. Bottom:
Corresponding sensor-measured capacitance changes over time. The cisplatin was added at
t ¼ 53.5 h, indicated by the red line and arrow. The labels on the images correspond to the time
points marked by the dashed vertical lines. (Reproduced from Senevirathna et al. (2019a) with
permission from Elsevier)

This experiment shows the device’s ability to track cell health in real time through a
drug-screening experiment.
In order to further demonstrate the potential for drug screening, the capacitance
sensor was used in a titration experiment for a common chemotherapeutic drug
(cisplatin) using two lines of human ovarian cancer cells, A2780 and CP70. The
former is drug-sensitive, while the latter is drug-resistant. Figure 11a shows results of
experiments where the added drug concentration was increased from 1 μM to
100 μM, along with a control experiment where cells were allowed to grow unen-
cumbered (0 μM). Each line corresponds to the mean responses of all sensors across
the array with the exclusion of sites where no cells had settled. The vertical dashed
line indicates the time at which the drug was added to the culture well. At high
dosages, both cells were similarly affected, showing a downward trend in capaci-
tance after drug exposure. As the concentration was reduced, the two cell
populations appear to behave differently. At concentrations of 1 μM and 5 μM, the
A2780 population shows reduced capacitive coupling, indicating cell death, whereas
the CP70 population remains steady. Results were confirmed visually after the
experiment was completed.
These observations can be quantified by looking at the difference in sensed
capacitance from the time of drug exposure to 24 h after exposure. As can be seen
in Fig. 11b, higher concentrations of drug show a negative capacitance change for
both cell lines. At concentrations of 1 and 5 μM, there is a net positive change for
CP70 cells, whereas A270 cells show a decrease of over 200 aF.
26
CMOS Capacitance Biosensors to Monitor Cell Viability

Fig. 11 Cisplatin induced cell death is drug concentration dependent. (a) Mean capacitance sensor responses for various concentrations of applied drug (added
after 2 days of growth). Each chart shows the response for two cell types, A2780 (drug-sensitive), and CP70 (drug-resistant). The dashed black line marks when
the drug was applied to the cell culture. In the control experiment, both cell lines were viable throughout the experiment. Addition of 1 μM cisplatin showed an
immediate effect on the capacitive coupling of A2780 cells while CP70 cells remained stable. Higher concentrations began to induce a response in CP70 cells as
well. (b) Measured difference in average capacitance from immediately after the drug was administered to 24 h afterwards. (Reproduced from Senevirathna et al.
(2019a) with permission from Elsevier)
567
568 B. Senevirathna and P. Abshire

Conclusions and Future Outlook

CMOS capacitance biosensors have shown great promise in providing a tool to


monitor the viability of cultured cells in an automatic, label-free manner that pro-
vides high resolution data at a higher temporal-resolution than is possible with
traditional cell assays. This chapter provided a brief introduction into the cell
capacitance sensing paradigm and discussed several sensor topologies that have
been developed over the years.
An example of an oscillator-based capacitance sensor array was then examined in
greater detail. The sensor design and system architecture were discussed, and results
of in vitro experiments with human ovarian cancer cells were presented. The system
showed the ability to track cell proliferation over several days with high enough
resolution to be able to observe single-cell events, including cell division and
motility. Further experimental data was presented where cells were exposed to
varying concentrations of a pharmaceutical drug. Results showed the ability to
discriminate between drug-sensitive and drug-resistive cell lines through capacitance
measurements.
There are several pathways for further development of LoCMOS capacitance
sensors. Microfluidic integration is a natural extension to the platform that could
expand the capabilities of the sensor for use in scientifically relevant experiments.
For instance, microfluidic channels could be fabricated on top of the sensing array to
generate linear or logarithmic gradient of dilutions of chemotherapeutic agents (Kim
et al. 2008). The agents could also be applied in a time varying manner (e.g., pulsing)
to investigate effects on cells that would not be possible with traditional laboratory-
based cytotoxicity assays. In addition, multiple microfluidic channels could be
incorporated to expose cells to a multidrug dose regimen.
Another extension related to microfluidic integration would be to incorporate
feedback controls to perform automated drug dispensing. For instance, the capaci-
tance measurements could be monitored from the start of cell growth and once a
threshold level is reached, indicating a measure of good cell population health, a
cytotoxic agent could be dispensed into the culture area through a perfusion system.
Furthermore, drug dispensing could be regulated by incorporating cell cycle marker
detection in order to perform automated cell cycle-targeted drug screening therapies.

References
Asami K, Takahashi Y, Takashima S (1989) Dielectric properties of mouse lymphocytes and
erythrocytes. Biochim Biophys Acta, Mol Cell Res 1010:49–55. https://doi.org/10.1016/0167-
4889(89)90183-3
Christ KV, Williamson KB, Masters KS, Turner KT (2010) Measurement of single-cell adhesion
strength using a microfluidic assay. Biomed Microdevices 12:443–455. https://doi.org/10.1007/
s10544-010-9401-x
Couniot N, Afzalian A, Van Overstraeten-Schlögel N, Francis LA, Flandre D (2015) Capacitive
biosensing of bacterial cells: analytical model and numerical simulations. Sensors Actuators
B Chem 211:428–438. https://doi.org/10.1016/j.snb.2015.01.108
26 CMOS Capacitance Biosensors to Monitor Cell Viability 569

Datta-Chaudhuri T, Abshire P, Smela E (2014) Packaging commercial CMOS chips for lab on a
chip integration. Lab Chip 14:1753–1766. https://doi.org/10.1039/c4lc00135d
Datta-Chaudhuri T, Smela E, Abshire PA (2016) System-on-chip considerations for heterogeneous
integration of CMOS and fluidic bio-interfaces. IEEE Trans Biomed Circuits Syst 10:1129–
1142. https://doi.org/10.1109/TBCAS.2016.2522402
Dembo M, Wang Y-L (1999) Stresses at the cell-to-substrate interface during locomotion of
fibroblasts. Biophys J 76:2307–2316. https://doi.org/10.1016/S0006-3495(99)77386-8
Gupta VK, Sraj IA, Konstantopoulos K, Eggleton CD (2010) Multi-scale simulation of L-selectin–
PSGL-1-dependent homotypic leukocyte binding and rupture. Biomech Model Mechanobiol
9:613–627. https://doi.org/10.1007/s10237-010-0201-2
Halonen N, Kilpijärvi J, Sobocinski M, Datta-Chaudhuri T, Hassinen A, Prakash SB, Möller P,
Abshire P, Kellokumpu S, Spetz AL (2016) Low temperature co-fired ceramic packaging of
CMOS capacitive sensor chip towards cell viability monitoring. Beilstein J Nanotechnol
7:1871–1877. https://doi.org/10.3762/BJNANO.7.179
Hong J, Kandasamy K, Marimuthu M, Choi CS, Kim S (2011) Electrical cell-substrate impedance
sensing as a non-invasive tool for cancer cell study. Analyst 136:237–245. https://doi.org/
10.1039/c0an00560f
Khalili AA, Ahmad MR (2015) A review of cell adhesion studies for biomedical and biological
applications. Int J Mol Sci 16:18149–18184. https://doi.org/10.3390/ijms160818149
Kilpijärvi J, Halonen N, Sobocinski M, Hassinen A, Senevirathna B, Uvdal K, Abshire P, Smela E,
Kellokumpu S, Juuti J, Lloyd Spetz A (2018) LTCC packaged ring oscillator based sensor for
evaluation of cell proliferation. Sensors 18:3346. https://doi.org/10.3390/s18103346
Kim C, Lee K, Kim JH, Shin KS, Lee KJ, Kim TS, Kang JY (2008) A serial dilution microfluidic
device using a ladder network generating logarithmic or linear concentrations. Lab Chip 8:473–
479. https://doi.org/10.1039/b714536e
Li L, Yin H, Mason AJ (2018) Epoxy chip-in-carrier integration and screen-printed metalization for
multichannel microfluidic Lab-on-CMOS microsystems. IEEE Trans Biomed Circuits Syst
12:416–425. https://doi.org/10.1109/TBCAS.2018.2797063
Lodish H, Berk A, Zipursky SL, Matsudaira P, Baltimore D, Darnell JE (2000) Molecular cell
biology, 4th edn. W.H. Freeman, New York
Lu MSC, Chen YC, Huang PC (2010) 55 CMOS capacitive sensor array for detection of the
neurotransmitter dopamine. Biosens Bioelectron 26:1093–1097. https://doi.org/10.1016/j.
bios.2010.08.065
Lu S, Senevirathna B, Dandin M, Smela E, Abshire P (2018) System integration of IC chips for
Lab-on-CMOS applications. 2018 IEEE Int Symp Circuits Syst 2–6. https://doi.org/10.1109/
ISCAS.2018.8351395
Nabovati G, Ghafar-zadeh E, Letourneau A, Sawan M (2017) Towards high throughput cell growth
screening: a new CMOS 8  8 biosensor array for life science applications. IEEE Trans Biomed
Circuits Syst 11:380–391
Pauly H, Schwan HP (1966) Dielectric properties and ion mobility in erythrocytes. Biophys J
6:621–639. https://doi.org/10.1016/S0006-3495(66)86682-1
Prakash SB, Abshire P (2005) A CMOS capacitance sensor that monitors cell viability. IEEE
Sensors 2005:1177–1180. https://doi.org/10.1109/ICSENS.2005.1597915
Prakash SB, Abshire P (2008) Tracking cancer cell proliferation on a CMOS capacitance sensor
chip. Biosens Bioelectron 23:1449–1457. https://doi.org/10.1016/j.bios.2007.12.015
Prakash SB, Abshire P (2009) A fully differential rail-to-rail CMOS capacitance sensor with
floating-gate trimming for mismatch compensation. IEEE Trans Circuits Syst I 56:975–986.
https://doi.org/10.1109/TCSI.2009.2015202
Prakash SB, Abshire P, Urdaneta M, Smela E (2005) A CMOS capacitance sensor for cell adhesion
characterization. Proc IEEE Int Symp Circuits Syst 3495–3498. https://doi.org/10.1109/
ISCAS.2005.1465382
Senevirathna B, Lu S, Dandin MP, Basile J, Smela E, Abshire PA (2018) Real-time measurements
of cell proliferation using a Lab-on-CMOS capacitance sensor array. IEEE Trans Biomed
Circuits Syst 12:510–520. https://doi.org/10.1109/TBCAS.2018.2821060
570 B. Senevirathna and P. Abshire

Senevirathna B, Lu S, Dandin M, Basile J, Smela E, Abshire P (2019a) High resolution monitoring


of chemotherapeutic agent potency in cancer cells using a CMOS capacitance biosensor.
Biosens Bioelectron 142:111501. https://doi.org/10.1016/j.bios.2019.111501
Senevirathna B, Lu S, Dandin MP, Smela E, Abshire PA (2019b) Correlation of capacitance and
microscopy measurements using image processing for a Lab-on-CMOS microsystem. IEEE
Trans Biomed Circuits Syst. https://doi.org/10.1109/TBCAS.2019.2926836
Senevirathna B, Lu S, Smela E, Abshire P (2019c) An imaging platform for real-time in vitro
microscopic imaging for Lab-on-CMOS systems. 2019 IEEE Biomedical Circuits Systems
Conference, Nara
Susan E (2007) Apoptosis: a reveiw of programmed cell death. Toxicol Pathol 35:496–516. https://
doi.org/10.1080/01926230701320337
Zhou T, Ming Y, Perry SF, Tatic-Lucic S (2016) Estimation of the physical properties of neurons
and glial cells using dielectrophoresis crossover frequency. J Biol Phys 42:571–586. https://doi.
org/10.1007/s10867-016-9424-5
Continuous Glucose Monitoring Sensors
for Management of Diabetes 27
State-of-the-Art and Future Perspectives

Sara Ghoreishizadeh and Sanjiv Sharma

Contents
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 572
History of Glucose Sensors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 572
Different Generations of Glucose Biosensors and Enzymes Involved . . . . . . . . . . . . . . . . . . . . . . . . . 573
Self-Monitoring of Blood Glucose (SMBG) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 575
Continuous Glucose Monitoring Systems . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 575
Skin Interstitial Versus Blood Glucose Monitoring . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 576
History of Continuous Glucose Monitoring . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 577
Other Continuous Glucose Monitoring Systems Based on Skin Interstitial Fluid (ISF) . . . . . . 579
Calibration of CGM Sensors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 580
Instrumentation Electronics in CGM Devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 582
Energy Sources and Communication in CGM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 583
Trends in Research . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 583
Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 585
References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 586

Abstract
It is estimated that 1 in 11 adult population in the world is living with diabetes.
Till date, the best approach toward management of diabetes involves monitoring
of glucose levels and administration of insulin accordingly. While this can be
done through frequent self-monitoring of glucose at home, these point measure-
ments lack valuable information related to the glucose excursions. Continuous
glucose monitoring of glucose has proven to be beneficial in type 1 diabetes and
has helped in reduction of glycated hemoglobin and episodes of hypoglycemia.
Over the years, the technologies used for the development of continuous glucose

S. Ghoreishizadeh
Aspire CREATe, University College London, London, UK
e-mail: s.ghoreishizadeh@ucl.ac.uk
S. Sharma (*)
Faculty of Science and Engineering, Swansea University, Swansea, UK
e-mail: sanjiv.sharma@swansea.ac.uk

© Springer Science+Business Media, LLC, part of Springer Nature 2022 571


M. Sawan (ed.), Handbook of Biochips,
https://doi.org/10.1007/978-1-4614-3447-4_58
572 S. Ghoreishizadeh and S. Sharma

monitoring sensors have further improved yielding accurate and reliable sensors.
In this chapter, we present a brief introduction on glucose monitoring sensors and
how these sensors evolved toward continuous glucose monitoring sensors for
long-term monitoring in the skin interstitial fluid. We also give an overview of the
electronics system for glucose sensing and trends in research. For the sake of
simplicity, we limit our discussions to electrochemical glucose biosensors.

Introduction

Diabetes Mellitus (DM) has been known to mankind since over 2000 years. It crops
up in almost all of the ancient civilization’s handbooks on medicine (Stylianou and
Kelnar 2009). The common symptoms reported in these handbooks include: con-
stant thirst, excessive urination, and loss of weight. It was considered as an incurable
disease and reduced the life expectancy drastically, once it was diagnosed. Over
successive years, several findings helped improve the understanding of the patho-
physiology of DM. This included the discovery of the islets of Langerhans in
pancreas by Paul Langerhans in 1869 and the role of pancreas in diabetes by
Naunyn, Minkowski, and Mering. These findings confirmed the vital role of pan-
creas (rather than kidneys) toward the regulation of glucose in blood. The discovery
of insulin by Banting, Best, and Collip enabled a complete revolution in diabetes
therapy. Banting and Collip were awarded the Nobel Prize in Medicine in 1923.
Type 1 DM is a chronic immune-mediated disease characterized by a complete
loss of beta cell function. Type 2 commonly referred to as lifestyle-related diabetes
resulting from insulin resistance and is related to obesity. Both of these types are
characterized by insufficient insulin secretion and hyperglycemia. The uncontrolled
form of this disease leads to serious vascular complications leading to irreversible
cardiopathy, retinopathy, neuropathy, and nephropathy. Although there is no perma-
nent cure to this disease, the life expectancy can be significantly improved by
management of diabetes through monitoring of glucose levels and administration
of insulin dosage, depending on diet and activity.

History of Glucose Sensors

Early methods of monitoring of glucose levels involved the use of urine test strips
based on colorimetry. However, these strips were marred by poor accuracy and thus
did not help with the objectives of management of diabetes (Moodley et al. 2015).
The advent of biosensing technologies, more specifically the introduction of glucose
biosensors, provided the necessary impetus for the development of glucose moni-
toring strips. The first glucose strip based on the enzyme glucose oxidase was called
Dextrostix. This strip, introduced in 1965 by Ames, utilized the glucose-peroxidase
system and the results were monitored colorimetrically. However, these strips
suffered from major disadvantages such as color fading and significant visual
27 Continuous Glucose Monitoring Sensors for Management of Diabetes 573

variations in the matching of colors across the range of glucose concentrations


(Clarke and Foster 2012). To understand the working of glucose sensors we present
here some basic concepts on electrochemical biosensing and the most important
component, the enzyme, in the next sections.

Different Generations of Glucose Biosensors and Enzymes


Involved

A chemical sensor is a device that transforms chemical information such as concen-


tration of a specific sample component into an analytical useful signal. It contains
two basic components connected in series: chemical (molecular) recognition element
(receptor) and a physiochemical transducer. In a biosensor, the recognition system
utilizes a biochemical mechanism, for example, in the case of glucose sensors it is a
glucose oxidase-peroxidase system. Figure 1 depicts the different generation of
glucose biosensors.
The pioneering work of Clark and Lyons, in 1962, introduced the first enzyme
sensor employing the enzyme glucose oxidase (GOx) and an oxygen electrode for
glucose monitoring (Clark and Lyons 1962). Since then, extensive studies have been
carried out to develop improved enzyme-based systems for monitoring glucose

Fig. 1 Schematic representation of the principles of first-, second-, and third-generation glucose
sensors. Electrons from the glucose oxidation reaction are first taken up by the enzyme’s cofactor
(primary electron acceptor) and transferred to either oxygen (first generation), an electron mediator
(second generation), or directly to the electrode (third generation) (Ferri et al. 2011)
574 S. Ghoreishizadeh and S. Sharma

levels. In context to glucose monitoring in blood, the first-generation monitoring


systems employ oxygen as the electron acceptor, determining glucose concentration
by following either the consumption of oxygen or the liberation of hydrogen
peroxide (Fig. 1).
In the second-generation sensors, the biosensing mechanism involves transfer of
electrons from the enzyme to artificial electron acceptors (also referred to as electron
mediators or redox species) instead of oxygen (Degani and Heller 1987). This is
done to avoid interference from other endogenous or exogenous redox species such
as ascorbic acid, uric acid, or acetaminophen present in blood. The reacted (reduced)
artificial electron acceptors are monitored colorimetrically or electrochemically.
Third-generation sensors employ direct electron transfer to the electrode. Here,
the redox cofactor of the enzyme is covalently or electrochemically linked to the
working electrode, thus facilitating the re-reduction/re-oxidation of enzymes to be
carried out by direct electron transfer from/to the working electrode; the obtained
current values directly correlate to the glucose concentration. Direct electron transfer
to electrode eliminates the need for toxic artificial electron mediators (Vaddiraju
et al. 2010). Another advantage is that it avoids errors due to variations in the
concentration of oxygen in blood.
In addition to the three generations, blood glucose monitoring systems have also
been categorized based on the type of enzyme employed. The individual character-
istics of enzymes vary largely according to various criteria including reaction toward
substrates, availability of electron acceptors, the nonprotein cofactor component
(primary electron acceptor), protein structure (from primary to quaternary), presence
or absence of glycosylation, and the host microorganism for the natural or recom-
binant production of the enzyme.
Majority of the enzymes used in commercial glucose monitoring sensors fall in
the category of oxidoreductases. In this category, the first hydroxyl group that acts
toward reducing the glucose are classified into the Enzyme Commission group EC
1.1. Oxidoreductase enzymes are further divided into two groups, glucose oxidases
(GOx) and glucose dehydrogenases (GDHs), depending on their ability to react
with external electron acceptors. Glucose oxidases utilize oxygen as the external
electron acceptor, thereby liberating hydrogen peroxide, and are categorized in the
EC 1.1.3 group. Glucose dehydrogenases are unable to utilize oxygen as the
electron acceptor and therefore rely on transferring electrons to natural and
artificial electron acceptors. Ferri et al. (2011) have provided a bird’s eye view
on the glucose sensing enzymes and reviewed the properties and major features of
glucose oxidases and glucose dehydrogenases used in commercially available
blood diagnostic systems.
Glucose oxidase is the gold standard of glucose sensing. It was originally isolated
and produced from the fungus Aspergillus niger, which continues to remain as the
most common source of GOx utilized for glucose monitoring and many other
applications. They are commercially available as both reagent grade and diagnostic
reagent grade from various enzyme companies. The high degree of specificity of
GOx for glucose and the enzyme’s application for measuring glucose in the presence
of other sugars was reported by Keilin et al. (Keilin and Hartree 1948).
27 Continuous Glucose Monitoring Sensors for Management of Diabetes 575

Self-Monitoring of Blood Glucose (SMBG)

The concept of self-monitoring of blood glucose (SMBG) at home was contemplated


in the mid-1970s. The underlying technology to perform SMBG at home accurately
came from the pioneering work of Cass et al. (1984). This work introduced
ferrocene-based compounds as mediators that could accept electrons from GOx
enzyme and operate at lower potentials, thereby reducing interference from other
electro-oxidizable species present in the blood matrix. As an example, first-
generation electrochemical glucose biosensors produce hydrogen peroxide which
is electrochemically measured at 0.7 V, second-generation electrochemical glucose
sensors employing mediators operate at ~0.2 V.
The SMBG technology improved over the two decades from 1990 and by 2010, it
matured into a painless way of self-monitoring system for the management of
diabetes. A lancet is used to draw capillary blood and finger strips are used for the
enzymatic reaction and a glucometer is used to power up the reaction and display the
results. In the case of type 1 diabetes, where there are huge variations in blood
glucose, point measurements using SMBG were not very useful as the patients could
easily miss out on the low and high excursions. This led to the advent of continuous
glucose monitoring systems.

Continuous Glucose Monitoring Systems

In 1999, the US Food and Drug Administration approved the first continuous
glucose monitoring (CGM) device. The early CGM devices required calibration
with fingerstick blood glucose measurements. CGM devices over the last two
decades have enabled the identification of glucose trends and assisted clinicians in
optimizing treatment plans and facilitating appropriate clinical decisions. Theoretical
modeling has predicted an additional 5 years of life, 8 years of sight, 6 years free
from kidney disease, and 6 years free from amputations, which can be gained by a
diabetes patient who follows tight CGM glucose control versus the standard SMBG
(The Diabetes Control and Complications Trial Research Group 1996).
Continuous glucose monitoring (CGM) sensors provide real-time information on
glucose concentration, and its rate and direction of change. Their use is associated
with an improvement in glucose control in adults and children with type 1 diabetes
(T1D), thereby reducing the risk of hypoglycemic and hyperglycemic episodes
(Juvenile Diabetes Research Foundation Continuous Glucose Monitoring Study
Group 2008). In this book chapter we will focus mainly on the ambulatory CGM
devices and not blood-based continuous glucose systems being developed for use in
patients in accurate or intensive care.
Continuous monitoring of glucose cannot be implemented with blood as
accessing blood samples involves the use of invasive techniques such as use of
lancets to draw capillary blood or use of hypodermic needles and canula to draw
venous blood. Biofluids such as saliva, tears, and skin interstitial fluid (ISF) that
allow easy sampling in a minimally invasive manner offer routes for continuous
576 S. Ghoreishizadeh and S. Sharma

monitoring. Of these, skin ISF has been successfully used for continuous glucose
monitoring. This is mainly due to the fact that there is a good correlation between
glucose concentrations in blood and ISF.

Skin Interstitial Versus Blood Glucose Monitoring

Glucose concentrations measured using glucometers are capillary blood levels, which
can differ from venous glucose levels by up to 11% (with the venous blood levels
being higher). Abnormal hematocrit concentrations can result in falsely low (hemat-
ocrit >50%) or high (hematocrit <40%) glucose levels (Burrin and Alberti 1990).
The skin ISF constitutes approximately 45% of the volume fraction of human
skin, with blood vessels contributing to the 5% of the skin volume. It is a relatively
passive medium that has one-third of the total protein concentration as compared to
plasma with an average albumin/globulin ratio of 1.85. The total body volume of the
interstitial space is three times that of plasma; however, the ISF compartments
around the cells are microscopic. The ISF surrounds cells providing them with
essential nutrients, including glucose, acting as a corridor between the capillaries
and the cell. There is less ISF in the subcutaneous tissue than in the dermis. Adipose
tissue, just below the dermis, is richly vascularized with capillary walls that are
relatively thinner (0.03 vs. 0.1 μm) than the capillaries of the dermis.
Glucose diffuse from the capillary endothelium to the ISF across a concentration
gradient without the need of an active transporter. The amount of glucose is dictated
by the blood flow in the region. ISF glucose values are determined by the rate of
glucose diffusion from plasma to it and the rate of glucose uptake by subcutaneous
tissue cells. The ISF glucose levels are affected by factors such as metabolic rate of
the adjacent cells, local insulin concentration that affects glucose uptake by cells, the
glucose supply from the blood vessel, blood flow to the area, and the permeability of
the capillary. The time required for glucose to diffuse from the capillary to the tissue
is responsible for the lag time between changes in plasma and interstitial fluid (ISF)
glucose levels. However, the lag during rapid changes of blood glucose is likely due
to the magnitude of concentration differences in various tissues at a time of rapid
change (Van Der Valk et al. 2002).
Steil and Rebrin have described a two-compartment model (Fig. 2) that provides
an insight to the glucose dynamics between the plasma and interstitial fluid com-
partments (Steil et al. 2005).
The equation characterizing IF glucose is described as follows:

dV 2 G2
¼ K 21 V 1 G1  ðK 12 þ K 02 ÞV 2 G2 ð1Þ
dt
where G1 ¼ plasma glucose concentration, G2 ¼ IF glucose concentration, K12 ¼ for-
ward flux rate for glucose transport across the capillary, K21 ¼ reverse flux rate for
glucose transport across the capillary, K02 ¼ glucose uptake into the subcutaneous
tissue, V1 ¼ volume of the plasma, and V2 ¼ volume of the IF.
27 Continuous Glucose Monitoring Sensors for Management of Diabetes 577

Fig. 2 Skin layers with the magnified ISF space. (a) Vasculature in different skin layers with the
CGM inserted into the subcutaneous tissue. (b) Diffusion of glucose from plasma to ISF is in
proportion to the concentration in each compartment. ISF glucose is cleared by the surrounding cell
uptake. (Reproduced from Cengiz and Tamborlane (2009))

The skin ISF and plasma glucose variations are generally evaluated in steady-
state and non-steady-state conditions. Under steady-state conditions, ISF glucose
generally correlates with the blood glucose with an average lag of 8–10 min. An
increased blood flow to the interstitial glucose sampling site under controlled
pressure demonstrates a decrease in the lag time between the blood and ISF glucose
at times when the plasma glucose increases. When glucose concentration decreases,
the ISF glucose may drop in advance of plasma glucose and reach lower values than
corresponding venous glucose levels. ISF glucose levels have been shown to remain
below plasma glucose concentrations for prolonged periods of time after correction
of insulin-induced hypoglycemia. These findings are explained by the push–pull
phenomenon during which the glucose is pushed from the blood to the interstitial
space at times of increased blood glucose, and later on glucose being pulled from the
ISF to the surrounding cells during decreasing blood glucose levels.

History of Continuous Glucose Monitoring

The first “real-time” CGM was the Glucowatch Biographer (Cygnus, Redwood,
CA). This device was worn as a wristwatch using “reverse iontophoresis” to
stimulate the secretion of subcutaneous fluid, from which glucose was measured
electrochemically on an electrode. Unfortunately, it was not a commercial success,
due to irritation caused by the adhesive from the pad despite the fact that the sensor
was noninvasive.
Over the last two decades, the main players in this space of continuous glucose
monitoring sensors are Medtronic, Dexcom, and Abbott. All of these sensors fall in
578 S. Ghoreishizadeh and S. Sharma

the category of electrochemical enzymatic sensors which are either first-generation


or second-generation glucose biosensors.
Medtronic (Northridge, CA) introduced the Guardian REAL-Time CGM sys-
tem in 2004; it could notify its users of any potentially dangerous hyperglycemia
or hypoglycemia. In 2006, they released the first integrated pump and sensor as
part of the “closed loop” approach. Medtronic also made improvements in tech-
nologies, with the next-generation professional CGM, the iPro, released in 2008.
Its second-generation integrated pump-sensor device became available in 2009. In
2013, the loop came closer to being closed with the introduction of the MiniMed
530G Enlite sensor, the first pump with “threshold suspend” for hypoglycemia.
Their first hybrid closed-loop device was available in 2017, using the Guardian
Sensor 3.
In 2006, Dexcom (San Diego, CA) introduced its first real-time CGM, called the
STS (Short-Term Sensor). Dexcom introduced the G4 Platinum in 2012. G5 Mobile
was launched in 2015 and the G6 in 2018, both allow data to be transmitted to a
user’s cell phone.
In 2008, the FreeStyle Navigator by Abbott (Alameda, CA) was released in the
USA. All of the initial CGM devices required blood glucose confirmation for insulin
decisions to be made. Abbott introduced the FreeStyle Libre Pro in 2016. This
professional CGM based on a unique wired enzyme technology and proprietary
manufacturing process to ensure accurate, stable, and consistent glucose readings
is the first that requires no fingerstick testing during wear. The osmium mediator is
used for transferring electrons from the enzymes to the electrode. The sensor is
unique in that it can be worn for 14 days. As with earlier professional CGM systems,
data are blinded to the user until they are downloaded and reviewed with the health-
care provider. The FreeStyle Libre, for direct use by patients, became available in the
USA in late 2017 but earlier in other countries. In the USA, it has a 12-h warm-up
time and can be worn for 10 days. Like the Pro, it is factory calibrated; unlike
Dexcom or Medtronic CGM devices, it does not sound alarms for out-of-range
glucose levels. The system includes a reader that patients can swipe or “flash” to
obtain a glucose reading and trend data (or communicates with a phone in some
countries). The main advantages of factory calibration over the conventional user
calibration are: (a) more convenience for the user, since no more fingerstick are
required for calibration and (b) elimination of use errors related to the execution of
the calibration process, which can lead to sensor inaccuracies.
Current continuous glucose monitoring systems offer advantage of direct inser-
tion of electrochemical sensors into the interstitial fluid rather than extracting the
sampled ISF outside the body to detect glucose concentrations. Software programs
have been designed to accommodate the lag in ISF glucose values. Despite advances
in the fabricating sensors with new and improved designs and materials, sensor
insertion causes trauma to the insertion site. It can disrupt the tissue structure,
provoking an inflammatory reaction, termed as biofouling. This biofouling process
leads to the formation of a capsule around the traumatized tissue. The interaction of
the sensor with the traumatized microenvironment warrants the need for a waiting
period for the sensor signal to stabilize, and that period varies depending on the
27 Continuous Glucose Monitoring Sensors for Management of Diabetes 579

sensor type. Most of the commercially available CGM sensors described before have
a waiting period of 12–24 h.
Over time, the accuracy of all of these sensors improved, with mean absolute
relative deviation (MARD) values falling below 10%. In less than 20 years, CGM
has revolutionized the way diabetes is managed, especially for type 1 diabetes. The
main drawbacks of the commercially available sensors have been high costs of
manufacturing and the biofouling effect associated with interstitial subcutaneous
implantation over longer periods. With the improvement of membrane technology,
biofouling effects have been minimized leading to an improved accuracy of the
CGM sensors.

Other Continuous Glucose Monitoring Systems Based on Skin


Interstitial Fluid (ISF)

The skin is the largest organ in the body. The skin interstitial fluid (ISF) varies
between 9.0 and 13.5 L and is larger in volume than blood plasma (2–3 L). This ISF
is essentially an ultrafiltrate of plasma that shows compositional similarities with that
of blood. It is a medium of transport of nutrients and waste products between cells
and capillary blood and therefore provides a valuable biofluid that can be tapped to
monitor biomarkers of clinical significance. The various methods to draw ISFs and
monitor glucose include the following:

1. In iontophoresis a low electrical current is applied across the skin by two


electrodes that are located adjacent to one another. This causes charged species
to move across the dermis through the skin pores. Through this action, a minute
amount of ISF is withdrawn that contacts an externally located sensor to deter-
mine glucose levels. Typically, the glucose concentration in the collected fluid is
sensed using a GOx-coated platinum working electrode. As described before, the
first CGM device referred to as Glucowatch was based on iontophoresis (Rhee
et al. 2007).
2. In sonophoresis a low-frequency ultrasound is used to increase skin permeability
by causing expansion and contraction of gaseous inclusions within the stratum
corneum, this then facilitates the collection of the ISF. Similarly, the extracted ISF
is assayed externally using an electrochemical glucose sensor (Park et al. 2009).
3. Skin blister technique employs a small local vacuum on the skin to create a blister
at the dermal/epidermal layers of the skin, and ISF can be collected from this
blister and assayed externally. The human suction blister fluid composition has
been determined using high-resolution metabolomics and a wide range of metab-
olites detected which when compared to plasma were unique to suction blister
fluids. This signifies how SBF is a valuable source of metabolites and biomarkers
relevant to human health (Niedzwiecki et al. 2018).
4. In micropore technology, laser ablation is employed to create an array of micro-
scopic holes in the stratum corneum of the skin and collects ISF, using a small
vacuum, and assayed using an externally located glucose sensor.
580 S. Ghoreishizadeh and S. Sharma

5. Microneedle technology, originally employed for transdermal delivery of protein,


peptide, and antibody-based therapeutics and vaccine delivery (Kim et al. 2012),
has now become popular for continuous monitoring applications. Microneedle
arrays (~1000 microns tall) have been employed in hollow and solid format. The
hollow microneedles extract microneedles from the skin and measure glucose in
their lumen that has been functionalized to glucose sensors. The solid micro-
needles are modified to glucose biosensors and are designed to sit in the subder-
mal space tapping the ISF and continuously monitor glucose. Microneedle arrays
have been extended for monitoring of metabolites such as glucose (Sharma et al.
2018) and lactate (Bollella et al. 2019), opioids and nerve agents, ions such as
lithium, and therapeutic drug monitoring of antimicrobials and biomarkers of skin
cancer. Microneedle arrays offer the advantage of being minimally invasive and
they can be made using high-throughput fabrication technologies.
Microneedles are fabricated using several technologies. These include photo-
lithography, casting and moulding, injection moulding, and hot embossing.
Photolithography has been successfully employed for fabrication of silicon and
photopolymer-based (SU-8 epoxy) microneedles. Casting and moulding involve
the use of a predesigned master which is used to obtain a reverse mould and filling
up this mould to obtain the desired microneedle structures. Injection moulding is
a rapid prototyping method which can be used to create several 1000 microneedle
structures out of polymeric substrates such as polycarbonate in a few hours.

The microneedle arrays are then metallized with a very thin layer (typically 50–
200 nm) of either platinum, gold, or porous gold to obtain the working electrodes.
One set of microneedle arrays are masked and later metallized with silver (typically
100–200 nm). The silver arrays are modified to Ag/AgCl reference electrode using a
chlorinating agent such as a saturated solution of ferric chloride. Sharma et al. have
reported on human pilot studies of the solid microneedle array-based minimally
invasive, continuous glucose monitoring systems optimized through in vivo studies
in human.
The solid microneedle array for minimally invasive, intradermal continuous
glucose monitoring (CGM) sensor was evaluated over three phases involving
healthy volunteers and participants with type 1 diabetes (T1D) for tolerability and
the performance of the microneedle CGM devices (Fig. 3). The chronoamperometry
data obtained from the sensors were plotted against frequently drawn venous blood
samples (Fig. 4). Similar, human pilot studies have been performed for the interstitial
fluid therapeutic drug monitoring of antimicrobials.

Calibration of CGM Sensors

A major practical challenge in CGM sensors is their need for calibration with gold
standard through withdrawal of blood. This is typically required both at the imme-
diate hours after insertion/application, to calibrate the glucose measurements prior to
use, and frequently afterward to ensure the accuracy is maintained.
27 Continuous Glucose Monitoring Sensors for Management of Diabetes 581

Fig. 3 Scanning electron microscopy images showing microneedle arrays made using (a) photo-
lithography; (b) electric discharge milling of aluminium masters and (c) injection moulding of
polycarbonate pellets

Fig. 4 Showing a double axis plot representing chronoamperometric measurements from two
sensors (black: working electrode 1; red: working electrode 2) on the same device versus YSI
venous blood (represented as blue stars). (Reproduced from Sharma et al. (2018))

There are currently two classes of subcutaneous CGM devices:

1. Patient-calibrated CGM systems that require intermittent calibration with capil-


lary glucose, using finger pricking, including Medtronic Guardian™ 3 and
Enlite™ 2 and Eversense® systems. The process typically includes complex
calculations to estimate the sensitivity of the sensor – which may vary over
time, for example, as a result of biofouling – as well as the time delay for the
glucose levels to reach the ISF from blood – which may be different for different
582 S. Ghoreishizadeh and S. Sharma

individuals as well as in same person over time. Although finger-pricking cali-


bration can increase accuracy, the process is painful and time consuming. There-
fore, there have been strong motivations to reduce the frequency of finger
pricking, for example, through developing a new Bayesian estimation and intro-
ducing time-dependent models for the sensor sensitivity or by developing algo-
rithms for estimation of sensor sensitivity in real time based on the other sensor
parameters such as its electrochemical impedance (Ghoreishizadeh et al. 2018).
2. Factory-calibrated CGM sytems, which do not require calibration with capillary
® ®
glucose, such as the Abbott FreeStyle Libre and Dexcom G6 . Factory calibra-
tion is achieved through optimization of the sensor fabrication process though
improving the reproducibility of layer depositions and the uniformity of coatings
on the sensing electrode such that the sensor-to-sensor variations are small. The
sensitivity of the sensor can therefore be determined with a high accuracy and
coded into the sensors at the foundry before it reaches the consumer. Likewise,
Abbott FreeStyle Libre has minimized the variations in sensor sensitivity due to
biofouling when the sensor is worn through immobilizing the enzyme in a
crosslinked polymer matrix. The manufacturers assume that relationship of glu-
cose concentration between two compartments is not different within a person at
different body sites.

Instrumentation Electronics in CGM Devices

A CGM device (the part worn on the body) consists of three basic parts: (1) the
sensor, (2) the instrumentation electronics to actuate and readout the sensor, and
(3) the wireless communication which includes a receiver and a transmitter for a
two-way communication with the handheld monitor. These are powered through a
lithium ion battery on the CGM. In this section, we explain the important functions
within the instrumentation electronics.
The CGM sensors work based on amperometry, where the instrumentation elec-
tronics actuates the sensor (i.e., initiating the redox reaction) by applying a fixed
positive voltage between the working and the reference electrodes. This can be
achieved by electrically connecting one of the two electrodes to the ground
(or virtual ground) of the device and applying a fixed voltage to the other electrode.
The control of these voltages is the main function of the potentiostat circuit used in the
CGM devices. The fixed voltage itself is produced on the device using either a digital-
to-analog converter driven by a microcontroller or a reference voltage generator.
The redox current at the working electrode can be measured either at the working
or the counter electrodes. To accomplish this, the current flows through a low-noise
transimpedance amplifier to be amplified and converted into a voltage. A schematic
diagram of a potentiostat and transimpedance amplifier circuits is shown in Fig. 5.
The amplified signal is then filtered to remove high-frequency electrical noise
(e.g., above 10 Hz) and interference due to the mains (at 50 or 60 Hz) picked up from
the environment. The filtered analogue signal is digitized using an analog-to-digital
converter and will then go through further processing in the digital domain. A
popular digital processing is filtering out the electrical noise at lower frequencies,
27 Continuous Glucose Monitoring Sensors for Management of Diabetes 583

Fig. 5 Circuit schematic of a simple potentiostat and transimpedance amplifier (left). An image of
a custom-designed IC (1.5  3 mm) that includes the readout and potentiostat blocks (a.k.a. the
measurement circuit) with the addition of a low-pass filters, ADC (sigma-delta moduletaor), and
reconfigurable wavefors generators to actuate electrochemical sensors such as glucose sensors in
various measurement tecniques. (Reproduced from Ghoreishizadeh et al.)

as used by the Medtronic Gold CGMS (Shin et al. 2005). Here the raw current values
are sampled every 10 s. There are then two averaging stages that have windows of
1 and 5 min. In the 1-min window the maximum and minimum raw current values
are discarded and the remaining four values are averaged. For the 5-min window, the
maximum and minimum values from the 1-min averaged values are discarded, the
remainder three values are then averaged to obtain the final filtered current value. A
flowchart of this process is shown in Fig. 6. A 2-h subset of data from a 24-h trial is
shown in Fig. 7.

Energy Sources and Communication in CGM

The CGM devices utilize an onboard battery to energy source. An alternative way to
power on the device is through near-field communication (NFC) but if used alone, it
requires the external source to be kept close (within few cm distance) to the CGM. A
battery offers the ability to colocate a Bluetooth or equivalent far-field RF transmitter
for convenient real-time sensor readout and it allows continuous sensor readout and
recording of data even if the handheld reader is not close to the CGM.
The glucose readings are sent wireless from the CGM device to the handheld
device typically using NFC to enhance the battery life. The handheld part is
equipped with Bluetooth to communicate with other electronic equipment such a
smart phones or computers if and when there is a need to download the historical
glucose readings.

Trends in Research

A majority of the CGM devices use off-the-shelf electronic components for the
instrumentation electronics and fabricate the sensor on a substrate which is physi-
cally different from the instrumentation and then connect the two using wires. The
584 S. Ghoreishizadeh and S. Sharma

Fig. 6 Flowchart depicting the averaging technique (ISIG: the current signal). (Reprinted with
permission from (reproduced from El-Sharkawy (2015))

wires pick up noise from the environment limiting the minimum detectable sensor.
This in turn imposes a limit on how small the sensor can be, because the sensor
current scales with an effective means. The monolithic integration of the sensor and
the electronics, aka lab-on-CMOS (laboratory on complementary-metal-oxide-semi-
conductor), is an emerging and promising technique which offers several advan-
tages: miniaturization of the sensor, scalability, redundancy, and ultra-low-power
and low-noise measurement. These will lead to smaller biofluid sample size, cheaper
devices, more robust sensors, higher battery life, and lower detection limits, respec-
tively. To harness the power of this technology in continuous biomolecule sensing,
low-cost and high-yield fabrication procedures for lab-on-CMOS are currently being
developed.
Battery power currently dominates the CGM market. However, batteries can
occupy significant device volume, leading to devices that are not sufficiently unob-
trusive. To accommodate continuous data readout without using batteries, energy
harvesting can be employed. However, most sources of energy harvesting on the
27 Continuous Glucose Monitoring Sensors for Management of Diabetes 585

Fig. 7 Graph showing data before and after digital filtering is applied. (Reprinted with permission
from (Reproduced from El-Sharkawy (2015))

human body (e.g., thermal and solar) are highly stochastic and cannot be relied on as
the sole source of energy in the system.
Enzymatic biofuel cells (BFCs), which are devices that convert biochemical
energy into electrical energy via enzymatic electrochemical reactions, offer an
intriguing energy harvesting solution. Although the power generated by a BFC is
stochastic, if properly conditioned, the generated power is also proportional to the
underlying fuel concentration. Thus, a BFC energy harvester can also be simulta-
neously re-purposed as a self-powered sensor to measure the quantity of the biofuel
(e.g., glucose) – removing the need for a battery (Ghoreishizadeh et al. 2018). The
technique is currently confined to research facilities where effort is dedicated on
maximizing the ratio of the harvested power to electrode area and enhancing the
long-term bioactivity of enzymes.

Conclusion

Continuous glucose monitoring (CGM) sensors provide real-time information on


glucose concentration, and its rate and direction of change. CGM has revolutionized
the way diabetes is managed, especially for type 1 diabetes. The main drawbacks of
the commercially available sensors have been high costs of manufacturing and the
biofouling effect associated with interstitial subcutaneous implantation over longer
periods. Among the various methods to draw ISFs and monitor glucose, the micro-
needle arrays offer the advantage of being minimally invasive and they can be made
using high-throughput fabrication technologies.
To reduce the frequency of finger pricking for CGM calibration a number patient-
based and factory-based methods have been researched and/or used. These often
586 S. Ghoreishizadeh and S. Sharma

include calculations to estimate the sensitivity of the sensor in situ. A factory


calibration is instead achieved through optimization of the sensor fabrication process
though improving the reproducibility of fabrication and minimizing the sensor-to-
sensor variations.
A majority of the CGM devices use off-the-shelf electronic components for the
instrumentation electronics to achieve amplification, filtering, and digital processing
(more noise reduction) followed by wireless telemetry. Research trends in this area
include energy-autonomous glucose sensing and monolithic integration of sensor
and electronics on semiconductor technology for scalability, redundancy, and in situ
high signal-to-noise measurement/processing.

References
Bollella P, Sharma S, Cass AEG, Antiochia R (2019) Microneedle-based biosensor for minimally-
invasive lactate detection. Biosens Bioelectron 123:152–159
Burrin JM, Alberti KG (1990) What is blood glucose: can it be measured? Diabet Med 7:199–206
Cass AEG, Davis G, Francis GD, Hill HAO, Aston WJ, Higgins IJ, Plotkin EV, Scott LDL, Turner
APT (1984) Ferrocene-mediated enzyme electrode for amperometric determination of glucose.
Anal Chem 56(4):667–667
Cengiz E, Tamborlane WV (2009) A tale of two compartments: interstitial versus blood glucose
monitoring. Diabetes Technol Ther 11(Suppl 1):S11–S16. https://doi.org/10.1089/dia.
2009.0002
Clark LC Jr, Lyons C (1962) Electrode systems for continuous monitoring in cardiovascular
surgery. Ann N Y Acad Sci 102:29–45
Clarke SF, Foster JR (2012) A history of blood glucose meters and their role in self-monitoring of
diabetes mellitus. Br J Biomed Sci 69(2):83–93
Degani Y, Heller A (1987) Direct electrical communication between chemically modified enzymes
and metal electrodes. I. Electron transfer from glucose oxidase to metal electrodes via electron
relays, bound covalently to the enzyme. J Phys Chem 91(6):1285–1289
El-Sharkawy MF (2015) Bio-inspired systems for treatment of diabetes. Ph.D. thesis, Imperial
College. https://doi.org/10.25560/67962
Ferri S, Kojima K, Sode K (2011) Review of glucose oxidases and glucose dehydrogenases: a bird’s
eye view of glucose sensing enzymes. J Diabetes Sci Technol 5(5):1068–1076. https://doi.org/
10.1177/193229681100500507
Ghoreishizadeh SS, Zhang X, Sharma S, Georgiou P (2018) Study of electrochemical impedance of
a continuous glucose monitoring sensor and its correlation with sensor performance. IEEE
Sensors letters 2(1):11
Juvenile Diabetes Research Foundation Continuous Glucose Monitoring Study Group (2008)
Continuous glucose monitoring and intensive treatment of type 1 diabetes. N Engl J Med
359:1464–1476
Keilin D, Hartree EF (1948) The use of glucose oxidase for the determination of glucose in
biological material and for the study of glucose-producing systems by manometric methods.
Biochem J 42(2):230–238
Kim YC, Park JH, Prausnitz MR (2012) Microneedles for drug and vaccine delivery. Adv Drug
Deliv Rev 64(14):1547–1568
Moodley N, Ngxamngxa U, Turzyniecka MJ, Pillay TS (2015) Historical perspectives in clinical
pathology: a history of glucose measurement. J Clin Pathol 68(4):258–264
Niedzwiecki MM, Samant P, Walker DI, Tran V, Jones DP, Prausnitz MR, Miller GW (2018)
Human suction blister fluid composition determined using high-resolution metabolomics. Anal
Chem 90(6):3786–3792
27 Continuous Glucose Monitoring Sensors for Management of Diabetes 587

Park EJ, Werner J, Beebe J, Chan S, Smith NB (2009) Non-invasive ultrasonic glucose sensing with
large pigs (approximately 200 pounds) using a lightweight cymbal transducer array and bio-
sensors. J Diabetes Sci Technol 3(3):517–523
Rhee SY, Chon S, Koh G, Paeng JR, Oh S, Woo JT, Kim SW, Kim JW, Kim YS (2007) Clinical
experience of an iontophoresis-based glucose measuring system. J Korean Med Sci 22(1):70–73
Sharma S, El-Laboudi A, Reddy M, Jugnee N, Sivasubramaniyam S, El Sharkawy M, Georgiou P,
Johnston D, Oliver N, Cass AEG (2018) A pilot study in humans of microneedle sensor arrays
for continuous glucose monitoring. Anal Methods 10:2088–2095
Shin JJ, Holtzclaw KR, Dangui ND, Kanderian S Jr, Mastrototaro JJ, Hong PI (2005) Real time self-
adjusting calibration algorithm, US patent 6,895,263
Steil GM, Rebrin K, Hariri F, Jinagonda S, Tadros S, Darwin C, Saad MF (2005) Interstitial fluid
glucose dynamics during insulin-induced hypoglycaemia. Diabetologia 48:1833–1840
Stylianou S, Kelnar S (2009) The introduction of successful treatment of diabetes mellitus with
insulin. J R Soc Med 102(7):298–303
The Diabetes Control and Complications Trial Research Group (1996) Lifetime benefits and costs
of intensive therapy as practiced in the diabetes control and complications trial. JAMA 276(17):
1409–1415
Vaddiraju S, Tomazos I, Burgess DJ, Jain FC, Papadimitrakopoulos F (2010) Emerging synergy
between nanotechnology and implantable biosensors: a review. Biosens Bioelectron 25(7):
1553–1565
Van Der Valk PR, Van Der Schatte Olivier-Steding I, Wientjes KJ, Schoonen AJ, Hoogenberg K
(2002) Alternative-site blood glucose measurement at the abdomen. Diabetes Care 25:
2114–2115
DNA Optical Readout Methods
28
Takashi Tokuda and Jun Ohta

Contents
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 590
Optical DNA Detection Schemes in On-Chip Configuration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 590
Fluorescence-Based Detection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 591
Chemiluminescence . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 595
Opacity-Based Detection Using a Nanoparticle . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 597
Combination of Optical and Electric On-Chip DNA Detection . . . . . . . . . . . . . . . . . . . . . . . . . . . 597
Circuit Design and Sensor Technologies for On-Chip Optical DNA Detection . . . . . . . . . . . . . . 598
Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 598
References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 599

Abstract
On-chip optical DNA detection strategies are presented from the viewpoint of
biochip technology. Technical requirements and solutions of fluorescence-based
and other on-chip optical DNA detection schemes are discussed. Good separa-
tion of the target signal from the background (such as excitation light) is
essential for successful detection. Further, CMOS-based sensor technologies
are briefly discussed.

T. Tokuda (*)
Graduate School of Materials Science, Nara Institute of Science and Technology, Ikoma, Nara, Japan
e-mail: tokuda@ms.naist.jp
J. Ohta
Division of Materials Science, Graduate School of Materials Science, Nara Institute of Science and
Technology (NAIST), Ikoma, Nara, Japan
e-mail: ohta@ms.naist.jp

© Springer Science+Business Media, LLC, part of Springer Nature 2022 589


M. Sawan (ed.), Handbook of Biochips,
https://doi.org/10.1007/978-1-4614-3447-4_10
590 T. Tokuda and J. Ohta

Introduction

DNA detection is one of the most anticipated applications of biochip (intelligent


sensor devices used in on-chip measurement configuration) technology. Some DNA
microarray and DNA sequencing technologies such as CustomArray™ (Graham
et al. 2011) or Ion Torrent™ (Rothberg et al. 2011) are already in production.
In conventional DNA microarray and DNA sequencing technologies, fluorescence
detection is used as the primary measurement scheme. Cy3 (cyanine 3) and Cy5
(cyanine 5) are commonly used to detect hybridized DNA spots in the DNA micro-
array. However, in biochip technology, electric or electrochemical approaches are
more advanced than optical detection. The abovementioned commercialized DNA
detection technologies are realized with electric or electrochemical schemes.
On the other hand, various on-chip optical DNA detection technologies have
been proposed and demonstrated. Although on-chip optical DNA detection
schemes are still in the development phase, many interesting and promising
technologies have been reported. In this chapter, the basic concept and technologies
of on-chip optical DNA detection are presented. Issues, challenges, and perspec-
tives of the technology are also discussed.

Optical DNA Detection Schemes in On-Chip Configuration

In most cases, target DNA (including fragmented DNA) is handled in a solution


with low concentration and exhibits almost no optical features such as absorption or
fluorescence. It is generally accepted that labeling DNA molecules with a measur-
able molecule should be introduced for optical DNA readouts. In this section,
variations of on-chip optical DNA detection schemes, which strongly depend on
labeling strategy, are presented. The technologies presented in this part are
summarized in Fig. 1.

Tagged Labeling strategy:


target DNA
Fluorescence
Cy3, Cy5, etc. (conventional)
Qdot®etc. (functional fluorophore)

Probe DNA Chemiluminescence


spots DNA hybridization
Nanometallicparticles

Fluorescence / excitation separation


(wavelength-domain separation)

Filter Unmatched Matched Unmatched


Function-based solutions:
Pixels
Absorbing filter CCD or CMOS image sensors SPAD for time-resolved imaging
(time-domain fluorescence separation)
(limited cutoff, but almost angle (Biochips for on-chip DNA
independent) detection) Wavelength-selective pixels
Interference filter
(high performance, but angle dependent) Multifunctional pixels

Fig. 1 Technologies for on-chip optical DNA detection


28 DNA Optical Readout Methods 591

Fluorescence-Based Detection

Attachment of fluorescent molecules such as Cy3 or Cy5 to the target DNA is the
widely adopted method for a conventional DNA microarray. In this technology,
there are well-established protocols for obtaining information from fluorescence
intensities of DNA spots in the microarray that are hybridized with the Cy3- or
Cy5-labeled target DNA. It is quite reasonable to import the methodology to detect
the DNA molecules in on-chip configurations. Various groups have reported
on-chip DNA microarrays formed directly on, or placed on, image sensors.
Both commercially available CCD or CMOS image sensors and dedicated,
laboratory-designed image sensors were introduced to demonstrate this process.
The DNA spots placed over the imaging array are illuminated with excitation lights
(typically 550 nm for Cy3 and 650 nm for Cy5), and fluorescence from the dyes
(570 nm for Cy3 and 670 nm for Cy5) are observed with the sensor.
Similar to other fluorescence imaging technologies, separation of the target
fluorescence from the background excitation light is essential for on-chip fluores-
cence-based DNA detection. In conventional DNA microarray technology, obser-
vation of the fluorescence pattern is performed with a microscope-like imaging
system that includes dichroic mirrors or interference filters with good separation
performance. However, it is not reasonable to introduce such bulky optical com-
ponents into biochip technology. For on-chip optical DNA detection, various
fluorescence/excitation light separation strategies have been presented.

Absorption Filter or Interference Filter


Introduction of an on-chip filter between the sensor surface and the DNA is the most
straightforward solution. Figure 2 shows the typical implementation of the on-chip
filter. Vo-Dinh et al. had investigated this configuration (Vo-Dinh et al. 1999);
however, their approach was to obtain an image of the on-chip DNA microarray

Excitation light
Excitation light
Fluorescence

Hybridized
DNA spots
Filter
Pixels

CCD or CMOS image sensors Filter to eliminate


(Biochips for on-chip DNA detection) background
(excitation light)
is required

Fig. 2 Implementation of a filter for on-chip fluorescence-based DNA detection


592 T. Tokuda and J. Ohta

Fig. 3 APS array for on-chip


optical DNA detection 3 
3 (Parikh et al. 2007)
(Copyright 2007 IEEE)

3 × 3 Array of
Light
Sensors

Delta-Sigma
Modulator
Integrator 1
Integrator 2

with a focused excitation light and stage scanning, not with the imaging function of
the sensor itself.
Parikh et al. demonstrated that fluorescence from Cy3 can be detected with an
uncooled CMOS-based optical sensor with a narrowband optical filter integrated
between the sensor and Cy3 spots (Parikh et al. 2007). They designed a 3  3 active
pixel sensor (APS) array with 150  150 μm photodiodes (Fig. 3). Pseudo-
differential pixel readout combined with a delta-sigma modulator was implemented
to obtain high sensitivity and noise tolerance. In order to demonstrate the sensing
function, a calibration slide used in conventional DNA microarray technology was
used here as the observation target. They demonstrated that their uncooled CMOS
pixel array can detect Cy3 spots with 4,590 fluorophores/μm2. This result was lower
than a commercial microarray scanner by three orders of magnitude, but did
illustrate the possibility of the on-chip optical readout of a DNA microarray.
The first important restriction for the on-chip optical DNA readout is incompat-
ibility with the sensor cooling method. In general, cooling a solid-state image
sensor reduces the dark current of the photodiode and yields a better signal to
noise ratio. However, in the on-chip placement of target DNA, the target DNA itself
will be cooled when the sensor device is cooled. This causes unexpected changes in
the characteristics of fluorophores. Moreover, a dry atmosphere is also required to
prevent condensation from the air. Such complicated environmental conditions are
contradictory to the expected merits of biochip technology, that is, its simplicity
and point-of-care applicability.
From the viewpoint of high-sensitivity uncooled CMOS image sensor technol-
ogy, Eltoukhy, El Gamal, and colleagues have undertaken comprehensive research
(Eltoukhy et al. 2004, 2006). Based on conventional 3-transistor (3-Tr) APS, they
adopted a PMOS source follower, pseudo-differential pixel readout, and an
off-pixel individual analog to digital converter (ADC) for each pixel to realize a
high-sensitivity image sensor for uncooled applications. They simulated the
28 DNA Optical Readout Methods 593

minimum detectable illumination intensity at better than 10 6 lx with a long


accumulation time (30 s) (Eltoukhy et al. 2004, 2006).
Along with the sensitivity of the sensor, many other factors should also be
considered. In general, in terms of avoiding photo-bleaching of the fluorophore,
we can obtain a larger fluorescence by increasing the excitation intensity. That
means the most essential performance factors in realizing on-chip optical DNA
detection are not only the low-intensity detection limit but also the rejection
performance of the excitation light.
Martinelli et al. discussed the importance of the filter performance (for wave-
length domain separation) and other factors in on-chip fluorescence-based bio-
assays (Martinelli et al. 2007). They undertook some simple experiments using
commercial CCD and CMOS sensors combined with a polyimide-based film filter.
Typical rejection performance of the filter layer was over 106 for the excitation
light. They pointed out that the following factors define the detection limit of the
on-chip fluorescence-based bioassays:

1. Stokes shift of the fluorophore: A fluorophore with a large Stokes shift makes
obtaining the required filter performance easy.
2. Light coupling: A shorter distance and reduced reflection between the sensor
surface and observation target are significantly important.
3. Filter rejection performance: With a provided observation target and device
design, the detection limit is limited by filter rejection performance.

Jang et al. have presented an improved photosensor array that is capable of


monitoring DNA hybridization of Cy3-tagged DNA with on-chip single-strand
DNA spots in real time (Jang et al. 2009). Rather than adopt a conventional APS,
they chose a photodiode combined with an in-pixel capacitive transimpedance
amplifier. The pixel design is advantageous for signal linearity. They also
implemented a 14bit ramp ADC in pixel for improved accuracy and noise tolerance.
A high-performance interference filter was integrated on the sensor. The filter had a
rejection ratio of 98 dB for 532 nm (excitation light) and approximately 1 dB loss
for the optical pass band that covers the fluorescence wavelength of Cy3 (570 nm).
Further, they integrated a fiber-optical faceplate (FOF) between the sensor with the
interference filter and on-chip DNA spots. The FOF limits the incident angle of
light from the DNA spots almost perpendicular to the filter surface. It is consider-
ably important to control the incident angle of light because the cutoff wavelength
of the interference filter depends on this value. Off-angled incident light drastically
degrades the filter rejection performance. The estimated minimum detectable
density of the fluorophore is in the order of 10 fluorophores/μm2, and they success-
fully observed an increase of signal strength during the hybridization trials (Fig. 4).
Singh et al. presented an image-based Cy3 spot array observation with their 128 
128 APS array (Singh et al. 2010). Although the detectable limit in their demonstration
was on the order of 103 fluorophores/μm2, which is as small as Parikh’s work, they
succeeded in observing Cy3 spots in an on-chip configuration. They also demonstrated
some basic image processing implemented on the CMOS sensor.
594 T. Tokuda and J. Ohta

Fig. 4 Optically observed real-time DNA hybridization (Jang et al. 2009) (Copyright 2009 IEEE)

As reviewed above, the on-chip fluorescence observation with color filters is one
of the primary strategies. However, the technology is still under development and
we are looking for performance improvements. It should also be mentioned that
detection of the Cy5-tagged DNA is even behind the Cy3-based observation.
Filtering performance in the wavelength domain is the most important performance
attribute for this approach. Furthermore, since the required rejection performance is
significantly larger than conventional RGB imaging applications, a thicker filter
layer will be required. It makes the formation process of a pixel-level patterned
filter difficult. Wavelength-separating CMOS sensor technologies are expected to
assist in solving these challenges.

Wavelength-Selecting CMOS Sensor Technology


Due to wavelength dependence of the absorption coefficient of Si, the longer the
wavelength, the deeper the light penetrates into the Si image sensor devices in
the UV to NIR region. Foveon ® is a well-known commercial sensor based on this
concept. Several groups have reported the depth-based color separation for fluores-
cence detection. Maruyama et al. used potential profile modulation with a photogate
pixel (Maruyama et al. 2006). They showed separation capability for 520 nm light
from 470 nm light. The advantage of the sensor is its flexibility in wavelength
28 DNA Optical Readout Methods 595

separation characteristics. The potential profile modification can be controlled by


the photogate voltage during operation, and it is advantageous in pixel-level
wavelength filtering.
Takehara et al. reported on a stacked photodiodes approach that can be realized
within a 0.18 μm standard CMOS technology (Takehara et al. 2014). The pixel
consists of stacked dual photodiodes with common readout circuitry. This archi-
tecture is advantageous for differential observation used in fluorescence detection.
Two (shallower and deeper) photodiodes are read out using the common source
follower and compared in the digital domain (in a PC). They demonstrated the
separation of 525 nm light from 470 nm light.
The wavelength-based separation performances reported for these sensors are
not sufficient to use solely for on-chip optical DNA detection. However, these
technologies can be combined with an on-chip filter and can assist its filtering
function especially with the capability of in-operation, pixel-level controllability of
the filtering performance.

Time-Domain Separation Using a High-Speed Detector


Time-domain separation schemes have also been proposed for on-chip optical
DNA detection. Using a short pulse excitation light and a high-speed photodetector,
we can expect to observe time-resolved decay of fluorescence. Time resolution
on the order of nanoseconds is typically required for this approach with Cy3
or Cy5. Since conventional APS is based on a concept of photocarrier accumulation,
APS is basically incompatible with such high-speed, time-resolved measurements.
Schwartz et al. proposed and demonstrated a CMOS-based single-photon
avalanche diode (SPAD) image sensor technology for time-resolved fluorescence
detection (Schwartz et al. 2008a). Their SPAD imager showed a temporal resolu-
tion in the order of 100 ps. They demonstrated that their sensor is capable of
observing time-resolved fluorescence decay of quantum dot fluorophore such as
Qdot ® 655, whose decay time is in the order of 10 ns. To detect DNA hybridization,
they proposed applying this sensor to a time-resolved Föster resonance energy
transfer (TR-FRET) measurement scheme (Schwartz et al. 2008b). Differing from
a conventional DNA microarray, they tagged the target DNA with a quencher,
which shortened the decay time of Qdot fluorescence. They demonstrated the
possibility of detecting the hybridization of DNA spots from a reduced decay
time of the fluorescence. Not only was TR-FRET performed but also direct obser-
vation of Cy5 based on time-domain separation. Maruyama and Charbon have
succeeded in filter-less imaging of Cy5-tagged on-chip DNA spots with their SPAD
imager (Fig. 5) (Maruyama and Charbon 2011). Their results are also significant
because the fluorophore was Cy5 (not Cy3).

Chemiluminescence

Chemiluminescence is a kind of photoemission realized by a chemically driven


force. Because no excitation light is required, chemiluminescence can be an
596 T. Tokuda and J. Ohta

36µM
20000
counts

64
18µM 9µM

127
0 64 127

Fig. 5 On-chip, filter-less fluorescence image of Cy5-tagged DNA spots by SPAD imager
(Maruyama et al. 2011) (Copyright 2011 IEEE)

Fig. 6 On-chip DNA spot image obtained with chemiluminescence-based labeling (Mallard
et al. 2005) (Copyright 2005 Elsevier Science)

alternative method to label the target DNA. Mallard et al. performed an on-chip
DNA microarray detection using an enzyme-based chemiluminescence (Mallard
et al. 2005). The enzyme “horseradish peroxidase” (HRP) was tagged to the target
DNA, and chemiluminescence by luminol oxidization was used for detection.
A commercial CMOS image sensor was used for their experiments. They obtained
clear images of hybridized DNA spots and demonstrated quantitative analysis of
the hybridized target DNA (Fig. 6). Differing from fluorescence measurement, the
chemiluminescence method requires well-controlled operating conditions such as
28 DNA Optical Readout Methods 597

temperature and solution conditioning. However, the data show that chemilumi-
nescence is a promising candidate for on-chip optical DNA detection.

Opacity-Based Detection Using a Nanoparticle

Xu et al. proposed a simple and unique approach to use a “nanometallic particle” for
target labeling (Xu et al. 2005; Wang et al. 2007). They labeled the target DNA with a
gold nanoparticle. A typical size of gold nanoparticle is as small as 10 nm, which is
important not to disturb the hybridization process. After hybridization with the probe,
DNA spots formed on a CMOS APS image sensor, and they performed their “enhance-
ment process.” In the enhancement process, they dipped the DNA spot array into a silver
enhancer solution to grow an external silver layer around the gold nanoparticle attached
on the target DNA. It makes the diameter of the nanoparticle larger and increases
opacity of the hybridized DNA spots. They successfully read out how much of the target
DNA was hybridized with probe DNA based on the opacity of each DNA spots.

Combination of Optical and Electric On-Chip DNA Detection

We have proposed using a combination of on-chip optical and electric


detection schemes (Tokuda et al. 2006). Replacing the photodiode with a pixel-
level electrode, the APS circuitry can be modified to sense electric potential of
the sensor surface. The optical and electric potential imaging function can be
operated simultaneously. We can observe the on-chip DNA spots in two different
modalities, such as opacity or fluorescence intensity from the optical image,
and potential or charge from the electric image (Fig. 7) (Tokuda et al. 2007a). It is
also possible to implement an electrochemical measurement function onto the APS
array (Tokuda et al. 2007b). We believe these kinds of multifunctional sensors can
be viable alternatives to pure optical on-chip DNA detection technologies.

Fig. 7 On-chip DNA images captured with optical + potential dual image CMOS sensor (Tokuda
et al. 2007a). (a) External fluorescence microscope, (b) optical imaging function, and (c) potential
imaging function (Copyright 2007 The Japan Society of Applied Physics)
598 T. Tokuda and J. Ohta

Circuit Design and Sensor Technologies for On-Chip Optical DNA


Detection

From the viewpoint of CMOS-based light sensing technology, there are several
options for sensor architecture. In most cases, CCD or CMOS APS sensors have
been applied for the on-chip optical DNA detection. Some groups have used
commercially available CCD or CMOS image sensors for their work and have
succeeded in on-chip optical DNA detection.
Differing from conventional digital camera technology, in on-chip optical DNA
detection, there is a requirement for spatial resolution (thus, small pixel size) that is
not strong, but high sensitivity and high signal level resolution are expected. The
following are typically introduced technologies in laboratory-designed CMOS
APS-based sensors for on-chip optical DNA detection:

1. A larger photodiode
2. Pseudo-differential pixel readout
3. In-pixel (differential) amplifier
4. Linear integration using a capacitor
5. PMOS source follower
6. In-pixel ADC

All of these technologies are area consuming, but effective in improving the
aperture (by making it larger), linearity of the signal, and noise tolerance. These
technologies make the photodetection performance for on-chip DNA detection
more suitable than conventional CCD or CMOS image sensors. With these high-
performance on-chip photosensors, the separation of fluorescence from the excita-
tion light is the essential performance-limiting factor.
As mentioned previously in this chapter, some groups have proposed using more
drastic functional extensions. The active pixel sensor with wavelength-sensitivity
control (Maruyama et al. 2006; Takehara et al. 2014) will provide an additional
wavelength-division separating capability. Although the separation performance is
limited, these technologies are expected to provide a helpful solution that can be
combined with a conventional on-chip wavelength filter. Pixel-level control of the
wavelength filter characteristic is the largest advantage of these pixels.
Finally, the SPAD image sensor (Schwartz et al. 2008a; Maruyama et al. 2011) was
another promising sensor technology with which we can perform the time-resolved
fluorescence decay and, therefore, time-domain fluorescence/excitation separation.

Summary

In this chapter, we have provided an overview and comparison of on-chip optical


DNA detection technologies. In contrast to electric detection schemes, the optical
DNA detection in the on-chip configuration is still in its early research phases.
28 DNA Optical Readout Methods 599

However, some groups have already demonstrated the possibility and potential of
on-chip optical DNA detection. In time, further functional and performance improve-
ments are expected to lead toward additional applications and commercialization.

References
Eltoukhy H, Salama K, El Gamal A et al (2004) A 0.18um CMOS 10-6 lux bioluminescence
detection system-on-chip. In: 2004 I.E. international solid-state circuits conference (IEEE Cat.
No. 04CH37519). IEEE, pp 222–524. doi: 10.1109/ISSCC.2004.1332674
Eltoukhy H, Salama K, ElGamal A (2006) A 0.18 um CMOS bioluminescence detection lab-on-
chip. IEEE J Solid State Circ 41:651–662. doi:10.1109/JSSC.2006.869785
Graham AHD, Robbins J, Bowen CR, Taylor J (2011) Commercialisation of CMOS integrated
circuit technology in multi-electrode arrays for neuroscience and cell-based biosensors. MDPI.
com. Sensors 11:4943–4971. doi:10.3390/s110504943
Jang B, Cao P, Chevalier A et al (2009) A CMOS fluorescent-based biosensor microarray. In:
2009 I.E. international solid-state circuits conference – Dig. Tech. Pap. IEEE, pp 436–437,
437a. doi: 10.1109/ISSCC.2009.4977495
Mallard F, Marchand G, Ginot F, Campagnolo R (2005) Opto-electronic DNA chip: high perfor-
mance chip reading with an all-electric interface. Biosens Bioelectron 20:1813–1820.
doi:10.1016/j.bios.2004.07.031
Martinelli L, Choumane H, Ha K-N et al (2007) Sensor-integrated fluorescent microarray for
ultrahigh sensitivity direct-imaging bioassays: role of a high rejection of excitation light. Appl
Phys Lett 91:083901. doi:10.1063/1.2767209
Maruyama Y, Charbon E (2011) An all-digital, time-gated 128  128 spad array for on-chip, filter-
less fluorescence detection. In: 2011 16th international solid-state sensors, actuators
microsystems conference. IEEE, pp 1180–1183. doi: 10.1109/TRANSDUCERS.2011.5969324
Maruyama Y, Sawada K, Takao H, Ishida M (2006) A novel filterless fluorescence detection
sensor for DNA analysis. IEEE Trans Electron Devices 53:553–558. doi:10.1109/
TED.2005.864385
Parikh S, Gulak G, Chow P (2007) A CMOS image sensor for DNA microarrays. In: 2007 I.E.
custom integrated circuits conference, pp 821–824. doi: 10.1109/CICC.2007.4405854
Rothberg JM, Hinz W, Rearick TM et al (2011) An integrated semiconductor device enabling
non-optical genome sequencing. Nature 475:348–352. doi:10.1038/nature10242
Schwartz DE, Charbon E, Shepard KL (2008a) A single-photon avalanche diode array for
fluorescence lifetime imaging microscopy. IEEE J Solid State Circ 43:2546–2557.
doi:10.1109/JSSC.2008.2005818
Schwartz DE, Gong P, Shepard KL (2008b) Time-resolved Forster-resonance-energy-transfer
DNA assay on an active CMOS microarray. Biosens Bioelectron 24:383–390. doi:10.1016/j.
bios.2008.04.015
Singh RR, Ho D, Nilchi A et al (2010) A CMOS/thin-film fluorescence contact imaging
microsystem for DNA analysis. IEEE Trans Circ Syst I Regul Pap 57:1029–1038.
doi:10.1109/TCSI.2010.2043990
Takehara H, Miyazawa K, Noda T et al (2014, in press) A CMOS image sensor with stacked
photodiodes for lensless observation system of digital enzyme-linked immunosorbent assay
Tokuda T, Yamamoto A, Kagawa K et al (2006) A CMOS image sensor with optical and potential
dual imaging function for on-chip bioscientific applications. Sensors Actuators A Phys
125:273–280. doi:10.1016/j.sna.2005.08.023
Tokuda T, Kadowaki I, Kagawa K et al (2007a) A new scheme for imaging on-chip dry DNA
spots using optical/potential dual-image complementary metal oxide semiconductor sensor.
Jpn J Appl Phys 46:2806–2810. doi:10.1143/JJAP.46.2806
600 T. Tokuda and J. Ohta

Tokuda T, Tanaka K, Matsuo M et al (2007b) Optical and electrochemical dual-image CMOS


sensor for on-chip biomolecular sensing applications. Sensors Actuators A Phys 135:315–322.
doi:10.1016/j.sna.2006.08.027
Vo-Dinh T, Alarie JP, Isola N et al (1999) DNA biochip using a phototransistor integrated circuit.
Anal Chem 71:358–363. doi:10.1021/ac980043m
Wang Y, Xu C, Li J et al (2007) A CMOS image sensor utilizing opacity of nanometallic particles
for DNA detection. IEEE Trans Electron Dev 54:1549–1554
Xu C, Li J, Wang Y, Cheng L (2005) A CMOS-compatible DNA microarray using optical
detection together with a highly sensitive nanometallic particle protocol. Electron Device
Lett 26:240–242. doi:10.1109/LED.2005.844698
Fabrication of Brain-on-a-Chip Devices
29
Jessica K. Lu, Pramila Ghode, and Nitish V. Thakor

Contents
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 602
Neurobiology . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 604
Brain Parenchyma . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 605
Blood-Brain Barrier (BBB) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 605
Extracellular Matrix (ECM) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 606
GBM: One of the Most Aggressive Types of Gliomas . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 607
Pathological BBB . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 607
Tumor Microenvironment (TME) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 608
The Emergence of Biofabrication and Biochips . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 609
Microfabrication Methods . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 609
Photolithography . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 609
Soft Lithography . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 611
Microfluidics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 613
3D Printing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 615
3D Bioprinting . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 619
Applications of Brain Biochips . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 621

J. K. Lu
The N.1 Institute for Health, National University of Singapore, Singapore, Singapore
Department of Biomedical Engineering, Singapore Institute for Neurotechnology, National
University of Singapore, Singapore, Singapore
e-mail: jessica_lu@u.nus.edu
P. Ghode (*)
The N.1 Institute for Health, National University of Singapore, Singapore, Singapore
e-mail: lsipbg@nus.edu.sg
N. V. Thakor (*)
The N.1 Institute for Health, National University of Singapore, Singapore, Singapore
Department of Biomedical Engineering, Singapore Institute for Neurotechnology, National
University of Singapore, Singapore, Singapore
Department of Biomedical Engineering, Johns Hopkins University, Baltimore, MD, USA
e-mail: eletnv@nus.edu.sg; nitish@jhu.edu

© Springer Science+Business Media, LLC, part of Springer Nature 2022 601


M. Sawan (ed.), Handbook of Biochips,
https://doi.org/10.1007/978-1-4614-3447-4_66
602 J. K. Lu et al.

High-Throughput Drug Screening on a Brain Cancer Chip . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 621


Bioprinting of Human Cancer-on-a-Chip . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 622
Blood-Brain Barrier Physiology and Structure on a Chip . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 626
Conclusion and Future Directions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 628
References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 629

Abstract
This chapter reviews the current common techniques for the biofabrication of
brain-on-a-chip devices. These devices have extensive applicability in both
research and clinical studies, including understanding the biology of brain
tissues and investigating the efficacy of novel therapeutics. A brief overview
of neurobiology – namely neurons, astrocytes, pericytes, endothelial cells, and
the blood-brain barrier (BBB) – and its functional components are first provided
followed by an introduction to the neuropathology of glioblastoma multiforme
(GBM). We next survey and discuss in detail microfabrication techniques, such
as photolithography, soft lithography, microfluidics, and three-dimensional
(3D) printing. Also, prevalent methods of 3D printing, such as fused deposition
modeling, stereolithography, and inkjet printing, as well as inkjet-based, laser-
assisted, and material extrusion 3D bioprinting are explored. Finally, to help
elaborate key principles and concepts discussed, detailed examples of a few
applications of brain biochips will be examined, such as drug screening on a
cancer chip, a bioprinted patient derived GBM chip, and a microfluidic in vitro
BBB model. These applications can help exemplify how microfabrication
engineering and neuroscience converge to create physiologically relevant
brain-on-a-chip devices.

Introduction

The brain is a fascinating organ that has been studied for centuries. From learning
and memory to bodily functions and neurological diseases, different parts of the
brain orchestrate and coordinate activities within the body. The brain, together with
the spine and nerves, comprise of the nervous system. While certain aspects of the
human brain have been characterized (e.g., anatomical structure, typical brain
functions, etc.), many more mysteries of the brain yet remain (e.g., brain develop-
ment, atypical brain functions, higher-level functioning, etc.). Regarding atypical
brain functions, brain injury, brain tumors and cancer, mental health disorders,
neurodegeneration, seizures, strokes, and many more have been the focus of studies
by researchers worldwide. Despite the extensive studies, the underlying mechanisms
and factors responsible for the onset and progression of many brain disorders remain
unknown. The average human brain typically contains 86 billion neurons, which is
the basic working unit for receiving and transmitting signals to other nerves,
muscles, and gland cells. There are just as many, and likely more, neuroglia in the
brain which provide supporting functions to neurons. These components are used in
different kinds of models to better understand the underlying mechanisms of the
normal functioning brain as well as its disorders.
29 Fabrication of Brain-on-a-Chip Devices 603

An ideal model should be able to incorporate various cell types and their three-
dimensional (3D) arrangements thereby mimicking the physiology of the in vivo
tissue of interest; further, it should allow manipulation of cellular microenvironment
to facilitate study of specific aspects of the physiological microenvironment (Fig. 1)
(Yi et al. 2015). Success in creating a representative physiological brain model has
been elusive in traditional two-dimensional (2D) culture models, given the com-
plexity of brain tissue. Animal models also have their drawbacks, however, includ-
ing genetic heterogeneity, poor clinical translation, and ethical issues. In recent
decades, interdisciplinary efforts between scientists, engineers, and physicians
resulted in an innovative concept and technique: biochips of the brain. These bio-
chips are engineered devices that can model and study brain tumors (in this case,

Fig. 1 Various microfluidic chips used in drug testing representing human (organs)-on-a-
chip. The ability to maneuver the design of these devices has enabled to model and reproduce the
physiological conditions of several organs on a tiny chip. The clinical translational value of these
in vitro models on a chip have increased in the past decade owing to advancement in the
microfabrication methods. (Reproduced with permission from Caplin et al. (2015); Copyright ©
2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim)
604 J. K. Lu et al.

brain chips), neurodegeneration, and neuroinflammation (Shi et al. 2013), as well as


provide a platform for personalized or high-throughput drug screening. These chips
also allow the study of the dynamics of physiological events, such as cell migration-
invasion, angiogenesis, metastasis, and synapse formation (Shi et al. 2013).
Innovation from microelectromechanical systems (MEMS) in the semiconductor
industry introduced the new field of microbiotechnology, leading to research in
biosensors (e.g., DNA microarrays), biomedical MEMS (bioMEMS), microfluidic
systems, and lab-on-a-chip devices. Inspired by the original fabrication method, the
“chip” was made using a modified form of photolithographic etching. Lithography is
a technique for patterning, which can be direct or via transfer from one substrate to
another. The photolithographic etching is a traditional process for manufacturing
computer microchips for allowing precise control of surface feature shapes and sizes
on the nanometer (nm) to micrometer (μm) scales. These sizes correspond to the
same scale of living cells as well as the components of their tissue microenvironment
to which they sense and respond. Adopting microfabrication techniques traditionally
used for fabricating integrated circuits (IC), biochips were initially made from
photolithography followed by elastomer molding. With technological advancements
and innovation, biochips can now be made using additional techniques, such as soft
lithography and 3D printing. Biochips were originally intended to be used as bio-
sensors and assays that would allow a full laboratory test to be conducted on a
microchip, such as DNA microarrays and protein microarrays (Gourley et al. 1999).
Current biochips additionally encompass a wide variety of applications such as
microfluidic chips and lab-on-a-chips for diagnostic devices.
In this chapter, we will first summarize key anatomical and biological features of
the brain followed by a survey of the characteristics of glioblastoma multiforme
(GBM) and its tumor microenvironment (TME). We then explore the techniques
used in biochip fabrication, such as lithography, microfluidics, and 3D printing, as
well as commonly employed methods in each technique to model chemical and
mechanical gradients, interfaces, and fluid flow in microdevices. We then conclude
by considering several applications of brain biochips to exemplify the integration of
neuroscience with biofabrication engineering to develop new platforms for mecha-
nistic discovery, drug screening, preclinical testing, and precision medicine. It
should be mentioned that 3D neural histotypic and organotypic models created
with other techniques, such as bioassembly and micro-scaffolding, and without
integrating microfluidics are not covered in the scope of this chapter, but can be
found in other previous works (Moroni et al. 2018b). Examples of neural circuits in
non-fluidic devices are also not covered in the scope of this chapter as neural circuits
have evolved as another independent subfield in neuroscience.

Neurobiology

The advancement of biomedical systems and devices rely on a clear understanding


of the biology and pathophysiology of the ailment. This section provides a brief
account of the brain parenchyma and the factors associated with the pathological
condition, glioblastoma multiforme.
29 Fabrication of Brain-on-a-Chip Devices 605

Brain Parenchyma

The central nervous system (CNS) is made up of the brain and spinal cord. It consists
of various cell types, such as neurons and the glial cells (astrocytes, pericytes,
oligodendrocytes, microglia, ependymal cells, etc.), which play a crucial role in
the functioning of the CNS and have implications in the onset of several disorders.
The neuron, consisting of a neuron cell body, axon, and synapse, is the basic
functional unit of the nervous system and aids in the transmission of information
to other nerve cells, muscle, or gland cells (Fig. 2a and b). This function allows and
controls our ability to breathe, move, see, think, etc. On the other hand, glial cells
provide metabolic and structural support to the neurons by forming highly organized
structures around the neuron cell body, the dendrites, axon, and axon terminals
(Bandtlow and Zimmermann 2000). There are around 5–10 glial cells per neuron,
and they do not form chemical synapses as they are less electrically excitable than
neurons and, hence, provide insulation. The precise communication and regulation
between these cells (neurons–neurons and neurons–glial cells) are of high impor-
tance for the proper functioning of the CNS. The dysregulation of glial cells is
implicated in neuroinflammation, neurodegeneration, and the initiation of cancerous
cells. In addition to the brain parenchyma, its associated cells and secreted factors,
such as stromal cells (e.g., fibroblasts) and growth factors, as well as extracellular
matrix (ECM) proteins (e.g., fibronectin and collagen) that form the supportive
framework (i.e., the tissue microenvironment) play crucial roles in the functioning
of the brain and dictate the progression of a given disorder (Sood et al. 2019).

Blood-Brain Barrier (BBB)

The BBB is one of the most essential structures that protects the CNS. It separates blood
from the extracellular fluid of the brain, thereby safeguarding the brain from any
circulating foreign substances that may cause neurotoxicity. This protective barrier
also supplies nutrients and oxygen throughout the brain very efficiently. Nutrients and
oxygen can enter via passive diffusion, carrier-mediated transport, or receptor-mediated
transport. Simultaneously, the same protective properties limit the delivery of most
therapeutic agents. Thus, a model properly incorporating the BBB will produce more
accurate results on the eventual efficacy of new therapies on patients.
The BBB is formed from specialized brain endothelial cells (ECs) that line the
blood vessels and continuously interact with astrocytes, pericytes, and perivascular
macrophages to exert barrier functions as an overall neurovascular unit (Fig. 2c).
ECs form tight junctions between each other, effectively sealing the brain paren-
chyma off from everything travelling through blood vessels (Schinkel et al. 1994).
Astrocytic foot processes dictate junctional integrity and guard against the entry of
unknown foreign substances as they are continuously in contact with ECs and
pericytes. The BBB has been shown to be leaky due to brain pathologies, such as
influences from brain tumors, resulting in loss of BBB integrity, which is how
several BBB-impenetrable agents have shown some clinical efficacy (Quail and
Joyce 2017).
606 J. K. Lu et al.

Fig. 2 Brain parenchyma and the components of its microenvironment. (a) Human brain.
Brain and spinal cord form the CNS. CNS is made up of neurons and glial cells, with neurons
accounting up to 86 billion. (b) Neuron. The structure of neuron consists of a neuron cell body,
axon, and synaptic terminals. It aids in the transmission of information to other nerve cells, muscle,
or gland cells through the synaptic terminals. (c) Neurovascular unit. The neurovascular unit
consists of brain cells interacting with blood vessel cells which forms the blood-brain barrier (BBB)
and provides protection to the CNS. The BBB is formed by a single layer of endothelial cells (green)
along the blood capillary wall together with pericytes (red) and the adjacent astrocyte endfeet
(yellow). Pericytes are embedded within the capillary basement membrane (light blue). Based on
the metabolic demands of a given brain region, signals are passed to the capillary by the neurons
(blue), interneurons (purple), and astrocyte (yellow). Microglia (indigo) respond to aversive stimuli
and provide protection to the brain. Reproduced with permission from Brown et al. (2019);
Copyright © 2019 Brown, Foster, Courtney, King, Howells and Sutherland. (d) Extracellular
matrix (ECM) in brain. The brain ECM is composed of three components: the basement
membrane surrounding the cerebral vasculature (red capillary), the perineuronal net surrounding
the brain parenchyma/cells, and the neural interstitial matrix that is distributed around the cells –
astrocytes (blue), microglia (purple), and neurons (yellow). The proteins from each of these
components that make up the ECM composition are shown on the right. (Reproduced with
permission from Kim et al. (2018); Copyright © 2018 Kim, Meade, Chen, Feng, Rayyan, Hess-
Dunning and Ereifej)

Extracellular Matrix (ECM)

The ECM in the CNS plays an important role in neurological development and
function by providing a structural base for physical adhesion between millions of
CNS cells to relay the intricate cellular signaling. ECM in the brain is composed of
the basement membrane, the perineuronal net and the neural interstitial matrix. Most
29 Fabrication of Brain-on-a-Chip Devices 607

of the constituents that form these three layers of ECM are secreted by neurons or
glial cells and are explored in recreating the physiologically relevant in vitro models.
The basement membrane surrounding the cerebral vasculature is made of collagen,
laminin, fibronectin, etc. The perineuronal net surrounding the brain parenchyma/
cells contains proteoglycans while the neural interstitial matrix that is distributed
around the cells comprises of proteoglycans, hyaluronan, collagen, fibronectin,
laminin, etc. (Fig. 2d) (Kim et al. 2018).
The ECM acts as a homeostasis regulator and is highly crucial for the shaping of
neuronal and glial functions during brain development. ECM is known to bind
growth factors, thereby enhancing their interaction with cells. In early development,
ECM guides cell migration while in adults, it regulates synaptic plasticity and
stability, cognitive flexibility, and axonal regeneration. It is involved in processes
such as mitogenesis, angiogenesis, neurite and glial outgrowths, and synapse
morphology. Hence, disruption of cellular interactions with the ECM leads to
loss of differentiated integrity of neuronal function, commonly resulting in
neurodegeneration (Bonneh-Barkay and Wiley 2009). Being able to replicate and
model neural ECM and the BBB in biofabricated microdevices will aid in better
understanding of their physiological roles in the brain and assist in screening and
developing novel therapeutics for brain-related disorders.

GBM: One of the Most Aggressive Types of Gliomas

GBM is one of the most prevalent and malignant forms of brain cancers in adults.
While it accounts for only 17% of approximately 19 in 100,000 individuals diag-
nosed with primary brain tumors or other tumors in the CNS, it is a lethal disease
where the median survival of GBM patients is only 12–15 months (Ganipineni et al.
2018). GBM originates from intrinsic glial cells of the brain that have become
cancerous and, subsequently, influences the surrounding cells and tissues, creating
unique tumor microenvironments (TME).

Pathological BBB

Under pathological conditions in the brain, the function and organization of the BBB
are altered. As sections of the BBB interact with tumor cells, these areas are
rearranged into a leaky blood-brain tumor barrier (BBTB), a mess of brain capillaries
that may show overexpression of receptors not normally seen to be overly expressed
in non-tumorous cells (Fig. 3) (van Tellingen et al. 2015). The aggressiveness of
GBM stems from the need of tumor cells to rapidly proliferate, thus requires high
supply of nutrient and oxygen and waste disposal. This aggressive desire to grow
results in unregulated angiogenesis, producing many blood vessels around the brain
tumor mass and extending outwards, forming a variable BBTB, analogous to the
inhomogeneity of tumor cells. The resulting vasculature, while abundant, is abnor-
mal and disorganized and, thus, investigated as a therapeutic target.
608 J. K. Lu et al.

Fig. 3 Glioblastomas consist of different regions characterized by various degrees of BBTB


integrity. Heterogeneous BBTB integrity can be found throughout a glioblastoma, varying from
completely compromised in bulky tumor areas (left panel) to slightly leaky in more invasive
peripheral regions (middle panel) or even completely intact in sparsely invaded regions distant
from the tumor bulk (right panel). Where the BBTB is compromised, macromolecules (e.g.,
antibodies) and compounds that are normally efficiently restricted from the brain by efflux trans-
porters can extravasate and engage glioma cells, while an intact BBTB protects isolated invaded
tumor cells against efficient delivery of therapeutics. This protection has strong implications for
antitumor treatment efficacy, especially considering the fact that these regions are unresectable and
often give rise to recurrent tumors). (Reproduced with permission from van Tellingen et al. (2015);
Copyright © 2015 Elsevier Ltd.)

Tumor Microenvironment (TME)

Another aspect of GBM being explored as a therapeutic target is the TME that plays
an important role in mediating tumor progression. The direct and indirect interac-
tions between the ECM (blood vessels, immune cells, and inflammatory cells) and
the cancer/noncancerous cells give rise to the TME (Lu et al. 2012). These interac-
tions lead to the exchange and remodeling of signaling molecules such as the
cytokines, chemokines, and growth factors which eventually regulate and dictate
the tumor progression and aggressiveness. For instance, the secretion of a cytokine,
transforming growth factor-β (TGF-β), by the cancer cells leads to epithelial–mes-
enchymal transition (EMT), which results in evasion of the immune system and,
hence, drug resistance. Additionally, the secretion of vascular endothelial growth
factor (VEGF) or fibroblast growth factor (FGF) leads to angiogenesis
(i.e., formation of new blood vessels), resulting in tumor cells metastasizing to
29 Fabrication of Brain-on-a-Chip Devices 609

other organs (Bae et al. 2020). Features of EMT and angiogenesis can be captured
with biomaterials and bioprinting techniques in developing synthetic models of the
GBM TME that mimic physiological and pathophysiological features of the brain,
including cellular and ECM composition, mechanical properties, and topography
(Wolf et al. 2019). The vasculature and TME of GBM can be better captured in 3D
cultures, such as spheroid (cells compacted into spheres) and microfluidic chip
models.
In summary, the ability to recapitulate the brain tumor pathophysiological char-
acteristics like BBB and TME into biochips will facilitate accurate and high-
throughput testing of drug candidates. Thus, these aspects should be taken into
consideration while designing the biochips.

The Emergence of Biofabrication and Biochips

Microfabrication techniques have been increasingly used in nanotechnology for


miniaturization science. Some of these techniques, which may not necessarily be
connected to manufacturing, were invented in as early as the fifteenth century, e.g.,
etching, or eighteenth century, e.g., lithography. New techniques for and applications
in microfabrication blossomed in the past 100 years (Fig. 4). Biomedical research
over the past decades has exploited these microfabrication techniques to manipulate
different biomaterials for studying cell–biomaterial interactions at the micro- and
nanoscale environments (Table 1). These approaches can be used to mimic cell
behaviors and unique characteristics of the TME, allowing relationships between
cells, surrounding biomolecules, and biochemical factors, as well as the progression
into disorder and disease forms to be explored and modeled in three dimensions
(3D).

Microfabrication Methods

Photolithography

There are different types of patterning techniques used to make brain biochips, as
well as many other biochips, and they may be grouped into two categories: pattern
transfer and replication or direct patterning. An example of the former involves
photolithography. Microfabrication conditions employing photolithography are very
stringent. The environment must have controlled humidity, laminar flow, lighting,
particle number per volume, and temperature and vibrations must be minimized or
isolated as these factors affect the quality of the microdevices. Thus, micro-
fabrication is conducted inside a cleanroom that precisely regulates filtered air
circulation and positive pressure conditions, and personnel can only enter when
cleanroom suits are worn.
A technique in modern semiconductor manufacturing, photolithography uses
optical radiation to transfer the patterned mask onto a wafer coated with photoresist
610 J. K. Lu et al.

(Fig. 5a and b). The substrate, a silicon or glass wafer, is first coated with photoresist,
a composite material consisting of polymer resin, radiation sensitizer, and carrier
solvent that changes molecular structure when exposed to ultraviolet (UV) light
(Weibel et al. 2007). SU-8 is an epoxy-based photoresist mainly used to fabricate
bioMEMS and microfluidic devices. It has an additional advantage of being bio-
compatible and, therefore, suited for life science applications. A photomask
(i.e., desired pattern) is placed on top of the resist, followed by UV irradiation
(Fig. 5c). As SU-8 is a negative photoresist, material in areas exposed to UV light
will be crosslinked whereas unexposed material can be washed away during devel-
opment. Following the removal of unexposed material, a patterned and crosslinked

Fig. 4 (continued)
29 Fabrication of Brain-on-a-Chip Devices 611

Fig. 4 (a) Landmark achievements in microfluidics from the first microfluidic device until the
present. Reproduced with permission from Catarino et al. (2019); Copyright © 2019 by the authors.
Additive manufacturing: (b) timeline depicting 3D printing invention and 3D prototyping from
1980 to 2000 and (c) timeline depicting 3D manufacturing, medical application, and desktop 3D
printers from 2000 to 2016. (Reproduced with permission from Provaggi and Kalaskar (2017);
Copyright © 2017 Elsevier Ltd.)

photoresist remains on top of the wafer substrate (Fig. 5d). In semiconductor


manufacturing, this patterned substrate made from photolithography can be used
in a printed circuit board (PCB) or in microprocessors. At the intersection with
biology, however, this patterned substrate is an intermediate product. It can further
be used for elastomer molding in soft lithography.

Soft Lithography

Soft lithography, or replica molding, uses elastomeric (i.e., rubber-like) materials,


hence “soft.” Most notably, polydimethylsiloxane (PDMS), also known as
dimethicone, is used. PDMS is an organosilicon polymer widely used in life science
applications as it has excellent optical properties (clear/transparent), inert, nonflam-
mable, and nontoxic, i.e., an extremely biocompatible biomaterial. A liquid solution
of PDMS is poured over the patterned wafer, then cured in the oven where the
elastomer will be crosslinked (Fig. 5e). When cooled, the PDMS replica of the mold
can be peeled off from the photoresist-patterned wafer and then trimmed. This
elastomer replica can now be used in a microfluidic device or used for novel
612 J. K. Lu et al.

Table 1 Microfabrication methods: Highlights of a various microfabrication techniques and


their advantages and disadvantages
Technique Description Advantages Disadvantages
Photolithography Uses optical radiation Versatile method Stringent
(Weibel et al. to transfer the producing a final or environmental
2007) patterned mask onto a an intermediate conditions required;
wafer coated with product. e.g., a cleanroom.
photoresist. An established
technique.
Soft lithography A type of replica Simple. Slow process.
(Weibel et al. molding where an High resolution. Distortion of patterns.
2007) elastomeric material is Thickness of the
poured over a substrate can be hard
patterned wafer to to control.
produce a stamp or a Poor registration/
microfluidic device. alignment
Microfluidics The science of Versatile technique While a versatile
(Chiu et al. 2017) controlling and that can be used for a technique, it can
manipulating fluids on variety of currently only be used
the orders of applications (assays, for certain applications
microliters (μL, 106) lab-on-a-chip, etc.). in biology with
to picolitres (pL, Highly tunable. successful or
1012) in networks of High precision. insightful results.
micrometer (μm)-
scaled channels.
Fused deposition The process of Efficient printing The heat could reduce
modeling (FDM) building 3D objects by speed. cell viability if used
(Moroni et al. successively adding Low cost. for cellular
2018a) one layer of material Simplicity. applications.
on top of the previous.
Stereolithography A type of patterning Very fine resolution. Expensive.
(SLA) that employs UV light Slow process.
(Moroni et al. (or electron beam) to Limited amount of
2018a) initiate chain reactions materials can be used.
to crosslink a layer of Risks of cytotoxicity
resin or monomer as UV light damages
solution. cells
Inkjet 3D printing A noncontact, droplet Efficient. Coarse resolution
(Ngo et al. 2018) deposition technique Fast. Lack of adhesion
where droplets of the Flexible for designing between layers
material are extruded and printing more Unwanted shear
from the nozzle to complex structures. stressed at the nozzle
form a continuous tip when creating the
pattern. droplet can damage
material properties.
Inkjet-based 3D Computer controlled Similar to those of Damage to the cell
bioprinting instructions guide the conventional inkjet membrane resulting
(Li et al. 2016) deposition of droplets 3D printing. from shear stress
comprised of living through the nozzle.
cells and biomaterials, Lower cell viability.
termed “bioink,” onto
(continued)
29 Fabrication of Brain-on-a-Chip Devices 613

Table 1 (continued)
Technique Description Advantages Disadvantages
a substrate to
reproduce tissues
and/or organs.
Material Pressure-assisted Direct incorporation Reduced cell viability.
extrusion-based cartridges are of cellular materials Lower resolutions.
3D bioprinting coordinated to extrude with a homogenous
(Li et al. 2016) a continuous filament distribution
through a microscale Room-temperature
nozzle or a processing.
microneedle onto an
immobile substrate.
Laser-assisted 3D Encompasses a set of High spatial control. Ink bubble dynamics.
bioprinting writing processes that High resolution. Laser pulse energy.
(Li et al. 2016) use a pulsed beam of Shear stress.
laser energy to excite Reduced cell viability.
cells specifically
according to a digital
pattern.

patterning applications, such as microcontact printing where the elastomer


(i.e., rubber) stamp is inked and subsequently printed on another surface (Fig. 5f).
In some instances, the PDMS can be patterned with channels directly, both shallow
and deep (Fig. 5d). The channels, acting as a “housing structure,” can then be seeded
with neural cells in spheroid shapes (Fig. 5e). The procedure for soft lithography is
simple and high resolution can be achieved (as high as 6 nm). Some of the
drawbacks, however, are that it is a slow process and the thickness of the substrate
can be difficult to control.

Microfluidics

In the field of microfluidics, the science of controlling and manipulating fluids on the
orders of microliters (μL, 106) to picoliters (pL, 1012) in networks of micrometer
(μm)-scaled channels is studied. In the early 1980s, the initial usage of microfluidics
emerged in DNA analysis, inkjet printheads, and lab-on-a-chip (LOC) technologies,
to name a few. They were tools to analyze transport phenomena of fluids in a
miniature laboratory all contained on a chip, which allowed enhanced efficiency
and mobility as well as reducing the volumes of sample and reagent required. The
behavior of fluids (i.e., gases and liquids) at the microscale are different from their
behaviors at the macroscale because different factors dominate the system: energy
dissipation, fluidic resistance, and surface tension. The type of flow in a micro-
channel is governed by the Reynolds number, a ratio of inertial forces to viscous
forces within fluids. The Reynolds number becomes higher as inertial forces are
greater than viscous forces, and turbulent flow dominates, helping to mix the fluids
(Casseau et al. 2015). When channel sizes are around 100 nanometers (nm) to
614 J. K. Lu et al.

Fig. 5 Fabrication of a patterned PDMS layer: (a–d) fabrication of a rigid master using
photolithography; (e–f) soft lithography replica molding. PDMS, (polydimethylsiloxane).
(Reproduced with permission from Weibel et al. (2007); Copyright © 1969, Nature Publishing
Group)

500 μm, turbulent flow is replaced with laminar flow due to a low Reynolds number.
Consequently, different fluids flowing together do not mix in the traditional sense as
fluid particles move smoothly past each other in adjacent layers instead. At the
microscale, the remaining process for mixing is diffusion rather than turbulent
forces. Capillarity and viscosity are also microfluidic behaviors that have been
exploited and manipulated to revolutionize molecular biology procedures.
Microfluidic biochips aim to integrate assay operations all onto one chip: sample
preparation, sample pretreatment, and detection. These operations are all required in
chemical synthesis, DNA analysis, enzymatic analysis, and proteomics; hence, the
development of lab-on-a-chip (LOC) devices. LOC technologies have greatly
impacted immediate point-of-care (POC) diagnosis of diseases in clinical pathology.
For example, paper-based microfluidic devices enable pregnancy tests to be cheap,
portable, and user-friendly. Based on the phenomenon of capillary penetration in
29 Fabrication of Brain-on-a-Chip Devices 615

porous materials, a lateral flow test (i.e., urine flowing through the sample pad/filter
paper material) is configured to detect the target analyte, a hormone named human
chorionic gonadotropin (hCG), by an immobilized antibody inside the diagnostic
tool, similar to a traditional immunoassay (Yetisen et al. 2013).
A variety of microfluidic devices that employ different techniques have been
developed to suit different application areas, from recording electrical measurements
to recreating the physiological TME. The complex mechanical microenvironment in
tissues can be recreated in vitro using electric fields for neuronal cell cultures where
incorporated microelectrode arrays can record measurements (Musick et al. 2009).
In microfluidic cell cultures, it is common to use elastomers, such as PDMS, as the
substrate and seal, or bond, it to a glass slide as shown in Step 4 in Fig. 6. The PDMS
is patterned and other materials, such as cells and other biomolecules, may be added
before the channels are filled with fluids through the inlet, a hole in the PDMS made
by a biopsy punch, for example (Step 6 in Fig. 6). Multiple holes can be made with
the biopsy punch to designate as inlets and outlets for the perfusion of fluids, denoted
as PDMS reservoirs in Step 2 in Fig. 6. Another example pertains to integrated
microfluidics, similar to an integrated circuit, which can incorporate multiple elec-
trical, fluidic, and mechanical components or chemical processes onto a single chip.
For example, microsensors can be integrated into a microfluidic chip with controlled
system parameters to measure physiological phenomena, such as cell migration,
fluid pressure, and tissue barrier integrity. Microfluidic devices are highly tunable
and can provide increased precision of spatiotemporal control over microenviron-
mental parameters, such as neurochemical cues, oxygen partial pressure, and the
rates of nutrient and waste exchange. A few disadvantages, however, include the
limited selection of materials that can be used depending on the end application (e.g.,
PDMS, glass, silicon, etc.) and the nonuniform transferable advantages of miniatur-
ization across different applications (Chiu et al. 2017).

3D Printing

Cleanroom equipment and environments are very expensive to construct and main-
tain. Additionally, silicon molds are costly, and the subsequent replica molding is
labor-intensive and time-consuming. Thus, to develop hard substrates to mold
PDMS and other elastomers, additive manufacturing techniques have been explored.
Additive manufacturing and rapid prototyping have been introduced since the 1980s
but have only been used for biomedical applications in recent decades. Additive
manufacturing is the process of building 3D objects by successively adding one layer
of material on top of the previous, hence “additive.” In addition to referring to a
variety of additive techniques (including 3D printing), additive manufacturing is
often synchronous with 3D printing. 3D printing methods usually build the 3D
object by converting data from a computer-aided design (CAD) model into Standard
Triangulate (STL) format, or an STL file, which is interpreted as two-dimensional
(2D) slices by the 3D printer as successional layers of material to print. The
combination of all the 2D slices of material (e.g., ceramics, metals, polymers, and
616 J. K. Lu et al.

Fig. 6 Fabrication of a
PDMS-based microfluidic
device with enhanced
control and complexity. For
the first time, cortical neurons
were cultured in 3D
multilayered scaffolds using
this design. These inlets can
be used to study role of
biological molecules on
neural development or to
evaluate effects of drugs and
toxins in pharmacological
studies (Kunze et al. 2011)

even living cells) results in the final 3D object. Traditional prototyping methods
usually entail laborious hours of making molds and casting them, but advances in 3D
printing have enabled products to be created within hours. With the ability to make
new prototypes quickly, 3D printing is also considered as a technique of rapid
prototyping.

Fused Deposition Modeling (FDM)


Different types of 3D printing processes exist and are better suited for different
purposes. In the fields of life sciences and medicine, common techniques used
include fused deposition modeling (FDM), stereolithography (SLA), and inkjet
printing. Resolutions of current 3D printers range from 10 μm to 500 nm. In
FDM, a thermoplastic polymer is extruded as a continuous filament from the
29 Fabrication of Brain-on-a-Chip Devices 617

printhead to build layers upon layers (Fig. 7a). This thermoplastic property allows
the material to be heated at the nozzle tip into a semi-liquid state that can bond or
fuse with previous layers of polymer, which will harden when cooled. The main
advantages of FDM include its efficient printing speed, low cost, and simplicity. It is,
thus, a beneficial alternative technique to make molds for creating PDMS replicas.
This technique can be harmful to cells, however, as the heat could reduce cell
viability in the printed construct.

Stereolithography
SLA is one of the earliest techniques of additive manufacturing to be developed. It
is a type of patterning that employs UV light (or an electron beam) to initiate chain
reactions that will crosslink a layer of resin or monomer solution. On the
computer-driven building stage where the vat (container) of liquid resin or mono-
mer solution is held, a computer-controlled laser traces the cross-sectional area or a
digital light projector illuminates a specific pattern on the vat, “curing,” or
polymerizing, selective areas of material on the stage (Fig. 7b). The final 3D
object is obtained after draining and washing off excess layers of resin or mono-
mer solution. While SLA can print parts at very fine resolutions (~10 μm), it is
expensive and relatively slow, not to mention the limited variety of materials that
can be used for printing. The basis of photopolymerization is also an issue when
the inclusion of cellular materials during printing is desired as UV light can be
cytotoxic and damaging to cells.

Inkjet Printing
Inkjet printing is a noncontact, droplet deposition technique. Similar to the concept
of 2D inkjet printers, droplets of the material are extruded from the nozzle to form a
continuous pattern where they will solidify with sufficient strength for laterally near
molecules to hold onto to each other. One of the differences from 2D inkjet printers
is that instead of one layer, subsequent layers of droplets are deposited longitudinally
and solidify into the final 3D object. These tiny droplets of “ink” (synthetic ink or
other artificial or natural materials) can be electromagnetically, piezoelectrically, or
thermally deposited onto a substrate (Fig. 7c). When an electromagnetic pin actuator
is used, ink ejection is induced when the pin is displaced. The pin makes no contact
with the fluid, preventing contamination. When a piezo-assisted cartridge is used, the
piezoelectric element contained inside deforms when electrically stimulated, thereby
inducing mechanical stress on the fluid and a droplet of fluid at the tip of the nozzle is
ejected. To thermally eject the ink, a thin film resistor induces high temperature in the
thermal-assisted cartridge and forms gas bubbles at the interface with the fluid,
causing the formation and ejection of a droplet at the tip of the nozzle. Inkjet printing
is efficient, fast, and flexible for designing and printing more complex structures.
Some disadvantages, however, include coarse resolution, lack of adhesion between
layers, and unwanted shear stressed at the nozzle tip when creating the droplet. More
comprehensive reviews on additional 3D printing techniques discussing various
materials, methods, applications, and challenges for this technology can be found
in previous works (Ngo et al. 2018).
618 J. K. Lu et al.

Fig. 7 (continued)
29 Fabrication of Brain-on-a-Chip Devices 619

Rather than only making molds, per se in rapid prototyping and traditional
lithography, bioprinting techniques can directly create tumor models, tissue scaf-
folds, and artificial organs with natural and synthetic materials. Brain research at the
cellular, tissue, and organotypic levels can be efficiently facilitated by incorporating
and integrating the bioprinting of predefined neural cells, biomolecules of the 3D
ECM, and neurochemicals.

3D Bioprinting

Traditional 3D printing methods use resin or synthetic inks and materials, which can
be cytotoxic and non-biocompatible. To make printed constructs more “cell-
friendly,” bioinks made of living cells and other biomolecules are progressively
being used in 3D printing, hence the advent of “3D bioprinting.” The STL file may
be created from CAD software but can also be obtained from computed tomography
(CT) and magnetic resonance imaging (MRI) scans. In these “live” constructs,
encapsulated cells, biomolecules, and other biological moieties can be spatially
patterned into the desired structure. Current bioprinting techniques can capture the
complexity of the physical 3D biological structure and, sometimes, at resolutions
higher than those at which traditional photolithography can provide. Inkjet-based,
laser-assisted, and material extrusion-based 3D printing techniques have been
refurbished and developed for 3D bioprinting.

Inkjet-Based Bioprinting
Inkjet-based bioprinting has been the most common technique employed thus far.
Akin to conventional inkjet 3D printing, computer-controlled (digital) instructions
guide the deposition of droplets comprised of living cells and biomaterials, termed
“bioink,” onto a substrate to reproduce tissues and/or organs. Similar to conventional
inkjet 3D printing, thermally assisted (Fig. 7d), piezoelectric (Fig. 7e), and pressure-
assisted cartridges can be used. Repurposing commercially available desktop ink-jet
printers, continuous inkjet (CIJ) and drop-on-demand (DOD) approaches have been
adopted in the majority of inkjet bioprinting activities. In CIJ, the bioink is forced
through the microscale opening of the nozzle under pressure that produces an

Fig. 7 Common techniques in 3D printing: (a) fused deposition modeling (FDM) extrudes a
continuous thermoplastic filament; (b) stereolithography (SLA) uses a laser to photopolymerize
resin; and (c) inkjet printing deposits droplets of material to build the 3D structure. Adapted from
Moroni et al. (2018a). Common 3D bioprinting techniques: (d) thermal inkjet-based bioprinting
utilizes an electric current pulse to generate bubbles, creating a pressure pulse that propels the ink
droplet onto the substrate; (e) piezoelectric inkjet-based bioprinting creates transient pressure in the
transducer, resulting in droplet ejection; (f) pressure-assisted material extrusion uses solutions,
pastes, or dispersions as biomaterials, which are pressure-extruded into a continuous filament
through a microscale nozzle orifice or a microneedle; and (g) laser-assisted bioprinting uses lasers
to irradiate the ribbon, causing the liquid biological materials to evaporate and reach the receiving
substrate in droplet form. (Reproduced with permission from Li et al. (2016))
620 J. K. Lu et al.

uninterrupted stream of droplets, which are deflected onto the substrate by an


electrostatic field. Unnecessary droplets in specific parts of the digital pattern are
steered into and recollected from a gutter compartment for reuse. In DOD, a pressure
pulse is created inside the bioink chamber only when required to eject droplets
through the nozzle tip. The concept of DOD is similar to the deposition techniques
used in conventional inkjet-based 3D printing. Disadvantages must be kept in mind,
however, when bioprinting cells: cell lysis and damage to the cell membrane
resulting from shear stress as droplets of cell-laden bioink are generated through
the narrow nozzle. This stress could negatively affect cell viability.

Material Extrusion-Based 3D Bioprinting


Material extrusion-based 3D bioprinting relies on pressure-assisted cartridges. The
force of screw- or plunger-based pressure or pneumatic pressure is coordinated to
extrude a continuous filament through a microscale nozzle or a microneedle onto an
immobile substrate (Fig. 7f). Similar to FDM in 3D printing, 3D patterns and
constructs of biological materials are created in a layer-by-layer manner. Direct
incorporation of cellular materials with a homogenous distribution together with
room-temperature processing are some of the advantages associated with material
extrusion-based bioprinting. The disadvantages, however, include reduced cell via-
bility, as cell-laden bioinks would be subject to shear stress similar to those in inkjet
printing, and lower resolutions generally greater than 100 μm.

Laser-Assisted Bioprinting
Laser-assisted bioprinting (LAB), also a noncontact technique, encompasses a set of
writing processes that use a pulsed beam of laser energy to excite cells specifically
according to a digital pattern. The cells are encapsulated or mixed with other
biomaterials in a liquid solution, which coats a ribbon-shaped metal film. When
the laser source irradiates particular sections of the ribbon, the liquid solution on the
underside will evaporate and reach the receiving substrate below as droplets
(Fig. 7g). A biopolymer or cell culture medium is used to maintain cellular adhesion
on the receiving substrate as well as support the growth of cells from the ribbon.
High spatial control allowing resolutions of pico- to microscale features to be
designed in the cellular environment stems from the usage of nanosecond lasers.
LAB lasers commonly employ UV or near-UV wavelengths for printing cells,
ceramic materials, hydrogels, proteins, etc. Ink bubble dynamics, laser pulse energy,
and shear stress are parameters that would influence the outcome of the bioprinted
construct and must be accounted for.
Techniques incorporating multiple printheads have been developed to deposit
different cell types (blood vessel, muscle, and organ-specific cells, etc.) in a single
printed construct, and some printed constructs have already been successfully
implanted and used for screening new potential therapeutic drugs. More compre-
hensive reviews on 3D bioprinting for medical applications, such as tissue models
for studying disease pathology, multicellular constructs for drug screening, and
tumor constructs to model cancer growth, can be found in previous works (Ventola
2014).
29 Fabrication of Brain-on-a-Chip Devices 621

The bioprinting of functional and adequately sized internal heterocellular tissues


and organs, however, still requires improvement, because while many studies have
printed proof-of-concept constructs, these prototypes are smaller than human-sized
and lack appropriate vasculature. Vascularization allows the perfusion of oxygen and
nutrients as well as the removal of carbon dioxide (CO2) and wastes from tissues and
organs, a requirement that is met in a healthy human body. When the engineered
tissue exceeds 150–200 μm in thickness, the limitation for oxygen diffusion between
host and transplanted tissue is exceeded. Thus, while bioprinted parts or subsections
of tissues and organs may be functional, a whole bioprinted organ that is appropriate
for implantation still requires further research and development. Sometimes, how-
ever, a lack of vascularization is desired, as can be seen in brain tumor biochip
models described in subsequent examples. The footprint of a biochip is small enough
that, depending on ensuing applications, may not pose a large problem to prolonged
viability due to limited vascularization.

Applications of Brain Biochips

The amalgamation of neuroscience and microfabrication engineering has created a


variety of biochips that aim to recapitulate different aspects and phenomena of the
brain. In biomedical applications, brain biochips have been designed for clinical
diagnostics/therapeutics and drug screening. For disease modeling, biochips of brain
injuries, brain tumors, tumor progression and angiogenesis, and neuroinflammation
have been fabricated. There are also some interesting applications of brain biochips
to model healthy brain components, such as the BBB and the neurovascular unit.
Some examples employing previously described fabrication techniques in neuropa-
thology applications are described in this section.

High-Throughput Drug Screening on a Brain Cancer Chip

For patients with brain cancer, drug administration is currently one of the most
effective treatments, yet it requires high-throughput drug screening methods to
determine the better option(s). Personalized medicine is on the rise, and its goal is
to narrow down the vast selection of available drugs to the optimal drug combination
for each patient, despite the high heterogeneity within and between brain tumors.
Cell arrays are commonly used for drug screening as they allow rapid
chemosensitive screening of drugs for a particular patient, reaching closer to the
goal of personalized medicine.

Photopolymerizable Hydrogel Brain Cancer Chip


One example of a brain cancer chip is composed of a photopolymerizable poly
(ethylene) glycol diacrylate (PEGDA), instead of PDMS, hydrogel integrated with
microwell arrays with microfluidic channels for drug screening (Fan et al. 2016).
PEGDA is a widely used biomaterial that is permeable to biomolecules, chemicals,
622 J. K. Lu et al.

and water, substances commonly found in the human body (Fig. 8a). After irradiat-
ing with ultraviolet (UV) light for only a few seconds, PEGDA can be photo-
polymerized into a hydrogel. Because the duration of UV irradiation is short
enough to minimize damage to cells, cells and/or multicellular spheroids, can be
encapsulated by the hydrogel to create a physiologically relevant 3D environment
that better represents the microenvironment of cells. PEGDA possesses mechanical
stiffness and water content similar to those of the natural extracellular matrix and is
also capable of entrapping and releasing drugs via diffusion mechanisms.

Fabrication via Soft Lithography


In this approach, a one-step UV and soft lithography method was employed to create
a PEGDA microfluidic device (Fan et al. 2016) (Fig. 8c). The photomask, instead of
SU-8, was a laser-printed plastic film with patterned microfluidic channels and
reservoirs (Fig. 8a). A patterned PEGDA hydrogel sandwiched between two cover
glasses was fabricated by photopolymerizing the PEGDA monomer solution
pipetted between the cover glasses, of which the top cover glass was overlaid with
the transparent plastic (Fig. 8b). Following polymerization and subsequent washing
to remove monomers that are not crosslinked, adhesive rings were attached to
openings on the hydrogel to serve as inlet and outlet reservoirs (Fig. 8d).
This microfluidic device contained arrays of PEGDA microwells, in which cells
would aggregate into microspheres (Fig. 8e). U87MG cells, a glioblastoma cell line,
were used. While there were three available inlets, only the outer two were filled
separately with two FDA-approved anticancer drugs: Irinotecan and Pitavastatin
(trade name Livalo). As the injected drugs flow through the microchannels, the drugs
will mix at predetermined concentrations based on the designed microfluidic pattern
and be deposited into the microwells containing the GBM spheroids. This mixing
enables combinatorial drug screening to determine the ideal drug concentrations and
ratio that would be the most effective at reducing tumor cell viability. In addition to
limited amounts of reagents required, this brain cancer chip would allow personal-
ized drug screening for individual patients to determine the most effective anticancer
treatment for them, as illustrated in the improved PEGDA brain cancer chip using
patient cells (Akay et al. 2018). As the device is non-cell specific, it can also be
concluded that different cell types, not only GBM cells, can be studied in this device
and perform relevant drug screening.

Bioprinting of Human Cancer-on-a-Chip

Accurate and representative models of neural components of interest can help


improve understanding of structure and function. Neural tissue models can assist
in studying how neurons behave, understanding disease progression, developing and
validating the efficacy of new treatments, as well as directing the design of replace-
ment tissues. 3D bioprinting allows the neural tissue microenvironment under study
to be precisely controlled by specifically formulating the bioink and spatially
patterning different cell types and scaffold components.
29 Fabrication of Brain-on-a-Chip Devices 623

Fig. 8 Brain cancer chip design and preparation. (a) Schematic of materials and fabrication
process for hydrogel device with microchannels and microwells. Three inlet and one outlet
reservoirs are added on top of the inlet and outlet orifices. (b) Illustration of assembled layers
during device fabrication. (c) Brain cancer chip preparation timeline. (d) Top view of the device. (e)
Christmas tree-shaped channel system (gradient generator) of the chip with channels of gradually
decreasing width from 300 μm to 100 μm, an array of 24 individual culture chambers. The
sub-channels link the microwells to the main channel and prevent cells from escaping the micro-
well. (Reproduced with permission from Fan et al. (2016))

Hypoxic Tumor Microenvironment


One example of a bioprinted neural tissue incorporates patient-derived tumor cells,
vascular endothelial cells, and decellularized ECM from porcine brain tissue
(Yi et al. 2019) as shown in Fig. 9. In this work, the capabilities of a 3D printer
allowed compartmentalization of a cancer-stroma concentric ring structure to be
624 J. K. Lu et al.

Fig. 9 Schematic illustration and distribution of the patient-specific bioprinted GBM-on-a-


chip. (a) An illustrative cross-sectional view of a native GBM tumor. (b) Schematic of the
bioprinting process for the GBM-on-a-chip with bioinks and silicone to construct a compartmen-
talized structure. (c) A mock-up GBM-on-a-chip including the BdECM bioink laden with HUVECs
(magenta) or GBM cells (blue) shown from top and bottom. Scale bar, 2 cm. (d) Time-lapse jet
colormap images of oxygen concentrations calculated by computer simulation, along the cross-
section A–A’. (e) Schematic representation of the core, intermediate, and peripheral regions within
the printed GBM. (Reproduced with permission from Yi et al. (2019); Copyright © 2019, Springer
Nature)

precisely controlled. As a result, the tumor chip sustained a radial oxygen gradient
that better represents the biophysical, biochemical, and structural properties of the
native tumor (Fig. 9d). Clinically, hypoxic cores have been observed in solid tumors
around which the cell proliferation rate is often higher than the rate of growth of
blood vessels (angiogenesis, if sprouting from existing vessels, or vasculogenesis, if
growing de novo). Thus, the tumor rapidly outgrows the available blood supply,
leaving regions of the tumor deprived of oxygen or with oxygen concentrations
which are significantly lower than that in healthy tissues (Fig. 9a). As the tumor
grows outwards, the proliferating cells at the edge consume a large portion of the
29 Fabrication of Brain-on-a-Chip Devices 625

oxygen, limiting the amount of available oxygen to diffuse inwards to the core of the
tumor tissue, a situation in which bioprinting is capable of capturing the biophysical
structure.

Fabrication via 3D Bioprinting


In this brain cancer chip, the two biophysical properties of the original GBM
microenvironment were modeled by surrounding cancerous tissue with microvessels
and spatially designing a pattern to induce central hypoxia (Yi et al. 2019) as shown
in Fig. 9b. A multi-printhead deposition system was employed to fabricate the brain
chip. Using a pressure-assisted controller, the outer chamber wall was first printed on
a non-permeable glass substrate by extruding an ink composed of gas-permeable
silicone. Silicone is a biocompatible organo-silicon material that belongs to the same
family of biomaterials as PDMS and is often used in reconstruction surgery. Cells are
more fragile than silicone, so plungers and syringes were used to extrude the cell-
laden bioinks. A ring structure inside the chamber wall was subsequently printed
using porcine brain-decellularized ECM (BdECM) bioink laden with human umbil-
ical cord endothelial cells (HUVECs) (Fig. 9c), which would represent the vascular
stroma. Finally, a BdECM bioink laden with patient-derived GBM cells was used to
fill the circular space in the center of the BdECM-HUVEC ring structure,
representing the solid cancerous tissue. The entire printed construct was then
solidified in a cell culture incubator and covered with a non-permeable glass
coverslip on top of the chamber. Due to the design of the physical construct, cells
could only obtain oxygen through the gas-permeable silicone, thereby inducing a
radial oxygen gradient (Fig. 9e).
Native ECM is complex: it contains a diverse number of macromolecules that are
specific to different tissues, providing tissue-specific biochemical and biomechanical
properties. ECM has often been represented by collagen hydrogels and alginate
hydrogels in tissue engineering due to their similar biophysical and biomechanical
properties and biocompatibility to human ECM (Tibbitt and Anseth 2009), but even
with the addition of other biomolecular factors, they are not representative of the
intricacy and heterogeneity of natural ECM in the parental tumor tissue. Using
decellularized ECM would, therefore, be more physiologically relevant to the native
ECM. In this example, the porcine brain was decellularized, meaning porcine brain
cells and other double-stranded DNA content were removed while retaining and
minimally damaging natural brain ECM components: glycosaminoglycans,
hyaluronic acid, etc. (Yi et al. 2019).
Due to the highly individualized design of this biochip in which patient-derived
cells were used, this device is also beneficial in personalized medicine where drug
combinations that might be effective for that particular patient could be screened and
tested. Concurrent chemotherapy with radiation (CCRT) is a standard course of
treatment for patients with GBM. Two common anticancer drugs administered in
CCRT, temozolomide (TMZ, an alkylating agent that disrupts DNA structure) and
cisplatin (CIS, induces cytotoxicity), were tested on such biochip. It was found that
the chip exhibited patient-specific sensitivity in reaction to different drug combina-
tions (Yi et al. 2019). These results indicate that by employing specifically
626 J. K. Lu et al.

formulated bioink and spatially patterning bioink components, a model better


reflecting patient characteristics could be created for personalized cancer treatments.

Blood-Brain Barrier Physiology and Structure on a Chip

Models of healthy tissues and organs can be used to observe the behavior of healthy
cells and the resultant microenvironmental characteristics. They are also often
studied to understand the initiation and progression of a disease. Additionally,
different parameters – e.g., cellular organization, cell-cell and cell-matrix interac-
tions, etc. – of a healthy model can be altered to elucidate how the failure of
individual components could affect consequent, observable pathological features.

Neurovascular Unit Modeling via Photolithography


One of the most important structures in the human brain that has remained difficult to
accurately model in vitro is the blood-brain barrier (BBB). To recreate the BBB
physiology and structure, Brown et al. fabricated a neurovascular microfluidic
bioreactor on a chip (Brown et al. 2015). Three PDMS layers were created using
standard soft lithography and replica molding to form the neurovascular unit (NVU),
which is comprised of primary human brain-derived cells required for forming the
BBB: neurons, astrocytes, pericytes, and endothelial cells (ECs). Silicon wafer
molds were created photolithographically using SU-8 photoresist. The three
PDMS layers (Fig. 10a) represent the vascular layer containing ECs, the brain
culture chamber containing an ECM-representative collagen gel embedded with
neurons, astrocytes, and pericytes, and the brain perfusion chamber for loading
and sampling the brain cultures. The vascular and perfusion layers were fabricated
from pouring PDMS into the Si molds. The brain culture layer is made from curing
PDMS in between two polycarbonate plates separated by spacers. ECs in the
vascular layer interface with the brain culture layer via a 0.2 μm pore polycarbonate
membrane, which acts as a scaffold for the cells to grow on, i.e., this membrane is
sandwiched between layers 1 and 2 (Fig. 10b). With inlets and outlet ports specific to
each chamber and layer, a self-contained microfluidic bioreactor is produced
(Fig. 10c and d).

Model Validation
To ensure that the characteristics of the engineered device accurately reflect in vivo
physiological conditions, the model must first be validated against the known
attributes of a system. With the above three-layered microfluidic device, different
characteristics of the BBB, described hereafter, can be validated and studied (Brown
et al. 2015). A BBB with high integrity is confirmed with zonula occludens protein
1 (ZO-1) fluorescent staining which can be observed under a microscope, as ZO-1
proteins form tight junctions between ECs. In a functional BBB, ECs are polarized in
the direction of fluid flow, a property that can be confirmed with phalloidin, which
stains actin filaments and, therefore, shows their orientation. The effectiveness of the
functional BBB can be determined by observing the diffusion of FITC-dextran, a
29 Fabrication of Brain-on-a-Chip Devices 627

Fig. 10 Design and layout of the neurovascular unit (NVU). (a) Schematic diagram of the NVU
displaying cell types and their spatial arrangement. A filter membrane separates endothelial cells
lining the vascular chamber from astrocytes and pericytes whereas, neurons are embedded in the
collagen gel. (b) Photolithographic masks for fabricating the three layers of the NVU. Cell and
extracellular matrix (ECM) are loaded at ports L in and L out; perfusion is achieved via ports P in
and P out. (c) The assembled NVU loaded with colored dyes to highlight the different compart-
ments: red ¼ vasculature; semitransparent white ¼ filter membrane; turquoise ¼ brain compart-
ment; blue ¼ brain perfusion. (d) Perfused NVU device on an incubated microscope stage.
(Reproduced with permission from Brown et al. (2015))

fluorescent conjugate protein, across the membrane. At 10 kDa and 70 kDa, FITC-
dextran is too large to diffuse across the BBB; thus, the fluorescent intensity should
be low. To evaluate the quality of the BBB response to chemical and environmental
perturbations, glutamate exposure and cold shock can be imposed on the micro-
fluidic system. The state of the BBB can then be determined using FITC-dextran
628 J. K. Lu et al.

Table 2 Validation techniques to study BBB characteristics in a microfluidic device


Validation technique BBB characteristic studied
ZO-1 fluorescent staining BBB integrity.
Phalloidin staining ECs polarization.
FITC-dextran diffusion BBB functionality.
Glutamate exposure and cold shock BBB response to chemical and
environmental perturbations.
Transport of ascorbic acid Active transport across the BBB.
Transepithelial/transendothelial electrical resistance Electrical resistance across a monolayer
(TEER) measurements of cells.

diffusion across the membrane because glutamate exposure and cold shock can
disrupt BBB function, allowing increased diffusion of FITC-dextran into the brain
chamber. The transport of ascorbic acid across the BBB could also be studied,
confirming whether active transport across the BBB is present. Transepithelial/
transendothelial electrical resistance (TEER) measurements are often taken to eval-
uate electrical resistance across a monolayer of cells. It is a sensitive and reliable
method for confirming the integrity and permeability of the monolayer, i.e., the tight
junction formation and cell viability of the BBB (Brown et al. 2015). Thus, a
physiologically representative model of the BBB would be beneficial for studies in
not only functional and pathological scenarios but also pharmaceutical applications;
for example, screening drugs and therapeutics targeting brain tumors that can
traverse the BBB (Table 2).

Conclusion and Future Directions

This chapter provides an overview of neurobiology and the neuropathology of GBM


as well as describes the techniques typically used in the microfabrication of brain
biochips. Soft lithography and traditional 3D printing have been incorporated and
evolved into microfluidic devices and novel 3D bioprinting methods, ascribing new
functionalities and competencies to systems for studying the pathophysiology of
neurological disorders. Through detailed examples, the intersection of micro-
fabrication engineering and neuroscience is illustrated in this chapter. The abilities
to replicate in vivo conditions for better representation of physiological phenomena
have been much developed from the origins of 2D cell culture. The development
ranges from high-throughput drug screening on a physiologically representative
brain tumor biochip and bioprinting a patient-specific brain tumor model-on-a-chip
to miniaturizing a crucial neurovascular unit onto a self-contained brain biochip.
Future studies could focus more on engineering these devices that will allow
personalized patient characteristics to be economically incorporated and on
extending the length of culture and investigation for longer-term studies. Addition-
ally, different directions can be taken for innovation in employing different bio-
materials for more accurate representation and enhanced biocompatibility. These
innovations can also focus on integrating a variety of actuators and sensors in the
29 Fabrication of Brain-on-a-Chip Devices 629

biochips to elicit and examine a wide range of physiological dynamics related to the
nervous system. Advances in microfabrication and its integration with neurobiology
will allow a better understanding of the disorders that affect the brain, leading to and
improving the development of more effective therapeutics.

Acknowledgments The work was supported by Singapore National Research Foundation (Award
no. NRF-CRP15-2015-04).

References
Akay M, Hite J, Avci NG, Fan Y, Akay Y, Lu G, Zhu JJ (2018) Drug screening of human GBM
spheroids in brain cancer chip. Sci Rep 8(1):15423. https://doi.org/10.1038/s41598-018-
33641-2
Bae J, Han S, Park S (2020) Recent advances in 3D bioprinted tumor microenvironment. Biochip J
14(2):137–147. https://doi.org/10.1007/s13206-020-4201-8
Bandtlow CE, Zimmermann DR (2000) Proteoglycans in the developing brain: new conceptual
insights for old proteins. Physiol Rev 80(4):1267–1290. https://doi.org/10.1152/physrev.2000.
80.4.1267
Bonneh-Barkay D, Wiley CA (2009) Brain extracellular matrix in neurodegeneration. Brain Pathol
19(4):573–585. https://doi.org/10.1111/j.1750-3639.2008.00195.x
Brown JA, Pensabene V, Markov DA, Allwardt V, Neely MD, Shi M, Britt CM, Hoilett OS,
Yang Q, Brewer BM, Samson PC, McCawley LJ, May JM, Webb DJ, Li D, Bowman AB,
Reiserer RS, Wikswo JP (2015) Recreating blood-brain barrier physiology and structure on
chip: a novel neurovascular microfluidic bioreactor. Biomicrofluidics 9(5):054124. https://doi.
org/10.1063/1.4934713
Brown LS, Foster CG, Courtney JM, King NE, Howells DW, Sutherland BA (2019) Pericytes and
neurovascular function in the healthy and diseased brain. Front Cell Neurosci 13:282. https://
doi.org/10.3389/fncel.2019.00282
Caplin JD, Granados NG, James MR, Montazami R, Hashemi N (2015) Microfluidic organ-on-a-
chip technology for advancement of drug development and toxicology. Adv Healthc Mater
4(10):1426–1450. https://doi.org/10.1002/adhm.201500040
Casseau V, De Croon G, Izzo D, Pandolfi C (2015) Morphologic and aerodynamic considerations
regarding the plumed seeds of tragopogon pratensis and their implications for seed dispersal.
PLoS One 10(5):e0125040. https://doi.org/10.1371/journal.pone.0125040
Catarino SO, Rodrigues RO, Pinho D, Miranda JM, Minas G, Lima R (2019) Blood cells separation
and sorting techniques of passive microfluidic devices: from fabrication to applications. Micro-
machines (Basel) 10(9). https://doi.org/10.3390/mi10090593
Chiu DT, deMello AJ, Di Carlo D, Doyle PS, Hansen C, Maceiczyk RM, Wootton RCR (2017)
Small but perfectly formed? Successes, challenges, and opportunities for microfluidics in the
chemical and biological sciences. Chem 2(2):201–223. https://doi.org/10.1016/j.chempr.2017.
01.009
Fan Y, Nguyen DT, Akay Y, Xu F, Akay M (2016) Engineering a brain cancer chip for high-
throughput drug screening. Sci Rep 6:25062. https://doi.org/10.1038/srep25062
Ganipineni LP, Danhier F, Preat V (2018) Drug delivery challenges and future of chemotherapeutic
nanomedicine for glioblastoma treatment. J Control Release 281:42–57. https://doi.org/10.
1016/j.jconrel.2018.05.008
Gourley PL, McDonald AE, Hendricks JK, Copeland GC, Hunter J, Akhil O, Dunne JL, Skirboll
SL, Nihlen L, Capps H (1999) Nanolaser/microfluidic biochip for realtime tumor pathology.
Biomed Microdevices 2(2):111–122. https://doi.org/10.1023/A:1009945603841
Kim Y, Meade SM, Chen K, Feng H, Rayyan J, Hess-Dunning A, Ereifej ES (2018) Nano-
architectural approaches for improved intracortical interface technologies. Front Neurosci 12:
456. https://doi.org/10.3389/fnins.2018.00456
630 J. K. Lu et al.

Kunze A, Giugliano M, Valero A, Renaud P (2011) Micropatterning neural cell cultures in 3D with
a multi-layered scaffold. Biomaterials 32(8):2088–2098. https://doi.org/10.1016/j.biomaterials.
2010.11.047
Li J, Chen M, Fan X, Zhou H (2016) Recent advances in bioprinting techniques: approaches,
applications and future prospects. J Transl Med 14:271. https://doi.org/10.1186/s12967-016-1028-0
Lu P, Weaver VM, Werb Z (2012) The extracellular matrix: a dynamic niche in cancer progression.
J Cell Biol 196(4):395–406. https://doi.org/10.1083/jcb.201102147
Moroni L, Boland T, Burdick JA, De Maria C, Derby B, Forgacs G, Groll J, Li Q, Malda J, Mironov
VA, Mota C, Nakamura M, Shu W, Takeuchi S, Woodfield TBF, Xu T, Yoo JJ, Vozzi G (2018a)
Biofabrication: a guide to technology and terminology. Trends Biotechnol 36(4):384–402.
https://doi.org/10.1016/j.tibtech.2017.10.015
Moroni L, Burdick JA, Highley C, Lee SJ, Morimoto Y, Takeuchi S, Yoo JJ (2018b) Biofabrication
strategies for 3D in vitro models and regenerative medicine. Nat Rev Mater 3(5):21–37. https://
doi.org/10.1038/s41578-018-0006-y
Musick K, Khatami D, Wheeler BC (2009) Three-dimensional micro-electrode array for recording
dissociated neuronal cultures. Lab Chip 9(14):2036–2042. https://doi.org/10.1039/b820596e
Ngo TD, Kashani A, Imbalzano G, Nguyen KTQ, Hui D (2018) Additive manufacturing
(3D printing): a review of materials, methods, applications and challenges. Compos Part
B Eng 143:172–196. https://doi.org/10.1016/j.compositesb.2018.02.012
Provaggi E, Kalaskar DM (2017) 2 – 3D printing families: laser, powder, nozzle based techniques.
In: Kalaskar DM (ed) 3D printing in medicine. Woodhead Publishing, pp 21–42. https://doi.org/
10.1016/B978-0-08-100717-4.00003-X
Quail DF, Joyce JA (2017) The microenvironmental landscape of brain tumors. Cancer Cell 31(3):
326–341. https://doi.org/10.1016/j.ccell.2017.02.009
Schinkel AH, Smit JJ, van Tellingen O, Beijnen JH, Wagenaar E, van Deemter L, Mol CA, van der
Valk MA, Robanus-Maandag EC, te Riele HP et al (1994) Disruption of the mouse mdr1a
P-glycoprotein gene leads to a deficiency in the blood-brain barrier and to increased sensitivity
to drugs. Cell 77(4):491–502. https://doi.org/10.1016/0092-8674(94)90212-7
Shi M, Majumdar D, Gao Y, Brewer BM, Goodwin CR, McLean JA, Li D, Webb DJ (2013) Glia
co-culture with neurons in microfluidic platforms promotes the formation and stabilization of
synaptic contacts. Lab Chip 13(15):3008–3021. https://doi.org/10.1039/c3lc50249j
Sood D, Tang-Schomer M, Pouli D, Mizzoni C, Raia N, Tai A, Arkun K, Wu J, Black LD 3rd,
Scheffler B, Georgakoudi I, Steindler DA, Kaplan DL (2019) 3D extracellular matrix microen-
vironment in bioengineered tissue models of primary pediatric and adult brain tumors. Nat
Commun 10(1):4529. https://doi.org/10.1038/s41467-019-12420-1
Tibbitt MW, Anseth KS (2009) Hydrogels as extracellular matrix mimics for 3D cell culture.
Biotechnol Bioeng 103(4):655–663. https://doi.org/10.1002/bit.22361
van Tellingen O, Yetkin-Arik B, de Gooijer MC, Wesseling P, Wurdinger T, de Vries HE (2015)
Overcoming the blood-brain tumor barrier for effective glioblastoma treatment. Drug Resist
Updat 19:1–12. https://doi.org/10.1016/j.drup.2015.02.002
Ventola CL (2014) Medical applications for 3D printing: current and projected uses. P T 39(10):
704–711
Weibel DB, Diluzio WR, Whitesides GM (2007) Microfabrication meets microbiology. Nat Rev
Microbiol 5(3):209–218. https://doi.org/10.1038/nrmicro1616
Wolf KJ, Chen J, Coombes J, Aghi MK, Kumar S (2019) Dissecting and rebuilding the glioblas-
toma microenvironment with engineered materials. Nat Rev Mater 4(10):651–668. https://doi.
org/10.1038/s41578-019-0135-y
Yetisen AK, Akram MS, Lowe CR (2013) Paper-based microfluidic point-of-care diagnostic
devices. Lab Chip 13(12):2210–2251. https://doi.org/10.1039/c3lc50169h
Yi Y, Park J, Lim J, Lee CJ, Lee SH (2015) Central nervous system and its disease models on a chip.
Trends Biotechnol 33(12):762–776. https://doi.org/10.1016/j.tibtech.2015.09.007
Yi HG, Jeong YH, Kim Y, Choi YJ, Moon HE, Park SH, Kang KS, Bae M, Jang J, Youn H, Paek
SH, Cho DW (2019) A bioprinted human-glioblastoma-on-a-chip for the identification of
patient-specific responses to chemoradiotherapy. Nat Biomed Eng 3(7):509–519. https://doi.
org/10.1038/s41551-019-0363-x
Molecular Analysis: BioFET Detection
Sensors 30
Pedro Estrela

Contents
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 632
Field-Effect Transistors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 632
Ion-Sensitive Field-Effect Transistor (ISFET) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 637
BioFETs for DNA and Protein Sensing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 639
Nano-BioFETs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 642
BioFET Arrays . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 644
References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 649

Abstract
Biotechnology is in great need of low-cost intelligent biochips capable of
massive parallel detection to be used in portable instrumentation. One way
this may be achieved is to exploit mature semiconductor technologies for the
development of biosensor arrays. Potentiometric chemical and biological
sensors detect electric potential variations which arise at the surface of a
solid material when placed in contact with an electrolyte. BioFETs are
semiconductor structures functionalized with suitable bioreceptors, which
detect biomolecular interactions in a label-free potentiometric fashion and
with great sensitivity. They can be easily integrated into low-cost arrays of
sensors with portable instrumentation for the parallel screening of large
panels of analytes.

P. Estrela (*)
Department of Electronic and Electrical Engineering, University of Bath, Bath, UK
e-mail: p.estrela@bath.ac.uk

© Springer Science+Business Media, LLC, part of Springer Nature 2022 631


M. Sawan (ed.), Handbook of Biochips,
https://doi.org/10.1007/978-1-4614-3447-4_26
632 P. Estrela

Introduction

The ability to detect biomolecular interactions is crucial in medical, pharmaceuti-


cal, and biotechnological applications. The parallel detection of biomolecular
interactions in large microarrays is of great scientific and economic importance.
Depending on the analyte, which can be DNA, proteins, peptides, etc., applications
of microarrays include gene expression monitoring; pharmacogenomic research
and drug discovery; clinical diagnostics, including infectious and genetic diseases;
cancer diagnostics; viral and bacterial identification; and environmental monitor-
ing. It is also important for the detection of biowarfare and bioterrorism agents and
for forensic and genetic identification. To fully exploit these opportunities, bio-
sensors should provide a combination of high sensitivity and selectivity, speed, low
cost, and portability.
Although a large level of success has been achieved with fluorescent-labeled
DNA microarrays, these methods are difficult to implement in portable instrumen-
tation, so that their use is limited to specialized laboratories. Electrical detection of
biomolecular interactions is highly desirable due to its suitability to low-cost
portable sensors that can be used in the field by nonspecialized personnel. The
use of label-free techniques has the added advantages of reducing costs and
avoiding the need for sample pretreatment.
Over the past few decades, effort has been devoted to exploit semiconductor
field-effect transistors (FETs) in chemical and biological sensors due to the poten-
tial of these devices to meet some of the requirements discussed above. Most of this
work concerned the development of the ion-sensitive field-effect transistor (ISFET)
for the detection of specific ions and analytes using appropriate ion-selective or
enzymatic membranes. One of the advantages of the ISFET is that it operates in
equilibrium conditions. Due to the presence of the insulating layer on top of the
semiconductor, no current flows across the biological layer.
More recently, field-effect devices have been investigated for the detection of
DNA hybridization and protein interactions. It is expected that a full understanding
of the mechanisms involved will result in optimal device designs and create a
generic platform for the detection of any biomolecular interactions that produce a
change in the charge distribution at the surface of a transistor gate.

Field-Effect Transistors

Potentiometric chemical sensors detect the electric potential which arises at the
surface of a solid material when placed in contact with an electrolyte. Field-effect
semiconductor devices can be used as potentiometric chemical and biological
sensors. The basic structures are the metal–insulator–semiconductor (MIS) capac-
itor and the metal–insulator–semiconductor field-effect transistor (MISFET). The
terms MOS and MOSFET are frequently used when the insulator material is an
oxide.
30 Molecular Analysis: BioFET Detection Sensors 633

a b
cox
1.0

VG electrode

c/cox
dielectric 0.5
cox d
c’min
csi
NA

VS vr
semiconductor 0
–5 0 +5
VG(V)

Fig. 1 (a) Schematic structure of a MOS capacitor and (b) typical capacitance versus gate voltage
characteristics at high frequencies

The simplest of the field-effect devices is the metal–insulator–semiconductor


capacitor (see Fig. 1a). The MIS capacitor has been extensively studied as it forms
the basis of all integrated circuits (Sze and Ng 2007). In a MIS structure, the total
capacitance of the system C is a series combination of the insulator capacitance Ci
(or Cox for a MOS capacitor) and the semiconductor depletion-layer capacitance
CSi. For a given insulator with a fixed thickness, the value of Ci is constant and
corresponds to the maximum capacitance of the system, while the capacitance CSi
depends on the applied gate voltage. The dependence of the total capacitance on the
applied bias voltage is shown in Fig. 1b, where the capacitance is measured by
superposition of a high-frequency ac signal on the dc voltage bias. The curve shown
is for a device employing a p-type semiconductor. At negative voltages, holes
accumulate at the semiconductor–insulator interface resulting in a high differential
capacitance CSi. As a result the total capacitance is close to the insulator capaci-
tance. For positive voltages a depletion region is formed at the interface. Since this
region acts as a capacitance in series with that of the insulator, the total capacitance
decreases. For more positive biases, electrons become the dominant charge carriers
at the interface. This charge screens the rest of the semiconductor so that the
depletion region length remains constant and the total capacitance reaches a
minimum independent of the applied voltage. This condition is referred to as
inversion since the surface of the p-type semiconductor is now an n-type.
The operation of a MISFET is based on the same principle. For example, an
n-channel enhancement-mode MISFET (as shown in Fig. 2a) consists of a p-type
semiconductor layer with two n+ injecting contacts (named source and drain), a gate
dielectric, and a gate electrode. When the voltage VG applied to the metal gate is
lower than the threshold voltage, VT, no current flows between source and drain. For
VG > VT, inversion occurs, an n-type channel is created at the insulator–semi-
conductor interface, and current can flow between source and drain. Due to the
presence of the insulating layer, no current flows from the gate into the
semiconductor.
634 P. Estrela

Fig. 2 Schematic structures a Io


of (a) a single-crystalline Si
VDS
MOSFET, (b) amorphous
silicon TFT, and (c)

single-crystal MOSFET
VGS
polycrystalline silicon TFT drain
gate

source n+

oxide
n+ P
silicon

b
nitride etch -stop n+ a-Si
a-Si
a-Si TFT

gate nitride

glass

gate
c
gate
poly-Si TFT

source poly-Si drain


oxide channel

quartz

By its working principle, the MOSFET amplifies the input signal VG with an
intrinsic gain given by the transconductance gm. In the linear region where VG is
small and in the saturation region where VG is sufficiently large, gm is given by the
following equations, respectively:


@I D  W
gm ¼  ¼ μ C VD (1)
@V G V D ¼const L

@I D  W
gm ¼  ¼ μ C ðV G  V T Þ (2)
@V G V D ¼const L

where ID is the drain current, μ the carrier mobility of the substrate material, C the
gate capacitance per unit area, and W and L the width and length of the conducting
30 Molecular Analysis: BioFET Detection Sensors 635

channel, respectively. Hence, the amplification power of a MOSFET device is


closely related to the mobility of the semiconductor material and can be tuned by
the design of the transistor. The sensitivity of the drain current to the charge on the
gate electrode can hence be explored for sensor applications.
Traditional FETs are fabricated on a single-crystalline silicon wafer of a few
hundred micrometer thickness. The silicon crystalline framework is homogenous
and continuous with very low levels of defects. Complementary metal–oxide–
semiconductor(CMOS) is the standard single-crystalline silicon-based semiconduc-
tor fabrication technology, which distinguishes itself from other types of fabrication
technologies by providing both n-type and p-type MOSFETs on the same substrate.
CMOS has been used predominantly in microprocessors, memories, and other
digital logic circuits due to its low power consumption and unmatched production
yield. CMOS technology is also used for a wide variety of analog circuits such as
image sensors, data converters, and transceivers.
Driven by the microelectronics industry, the CMOS fabrication process has been
continuously refined to make smaller MOSFETs, which are both faster and more
cost-efficient. The state-of-the-art CMOS transistor today has gate dimensions as
small as 45 nm and working frequencies up to a few GHz. On the other hand, with
the high-purity substrate material and advanced fabrication process, the yield of the
CMOS process is extremely high, making it possible to include hundreds of
millions of transistors in a single device. Although the silicon MOSFET does not
have the best noise and speed performance as other semiconductor devices in the
field of electronics, the well-established CMOS technology certainly makes it an
obvious choice for biosensor applications.
Despite the high performance of CMOS, its manufacturing process requires very
high-cost equipment, clean room facilities, and expensive high-purity single-crys-
talline silicon wafers. Those limitations have set up the barrier to further reduce the
fabrication costs and hindered the use of CMOS technology in large-area electron-
ics such as displays.
Besides using a CMOS process, which employs single-crystalline silicon as a
substrate, FETs can also be fabricated on thin films of semiconductors such as
amorphous (α-Si) or polycrystalline silicon. A direct benefit of these technologies is
to replace expensive single-crystalline silicon wafers with cheaper insulators
supporting a thin layer of deposited semiconductor as substrate, which substantially
reduces the manufacturing costs. A thin-film transistor (TFT) is a metal–insula-
tor–semiconductor field-effect transistor fabricated on an insulating substrate by
employing entirely thin-film constituents. The total thickness of the transistor is
normally less than 1 μm. There are variations in TFT design, but the basic device
structures for both amorphous silicon and polycrystalline silicon technologies are
depicted in Fig. 2b, 2c, respectively.
Normally, TFTs are operated like enhancement-mode MOSFETs. A typical
drain current ID versus gate voltage characteristic is shown in Fig. 3. When the
gate voltage VGS (with respect to the source) is low, very little current flows
between the source and drain because of the high resistance of the active layer.
When the gate voltage is high, charge is induced near the oxide–semiconductor
636 P. Estrela

Fig. 3 Typical drain current Ion


versus gate voltage
–6
characteristics for a 10
MOSFET. The circuit
elements are indicated in the

LOG ID (A)
inset. The curve is for fixed ID
VDS 10–8 G
D
VDS
S
Ioff VGS

10–10

–10 0 10 20 30
VGS (V)

interface, and a conductive path (channel) is established between the source and
drain. Hence, the TFT can operate as a switch, controlled by the gate voltage.
Liquid-crystal displays (LCDs) normally employ a matrix of amorphous silicon
(α-Si) TFTs to control the voltage applied to the individual pixels. In order to drive
an active-matrix addressed flat-panel LCD, it is necessary to make contact to each
of the row and column connections, which typically amounts to over 2,000 external
connections. However, the logic circuits driving the TFT matrix have to be made by
conventional single-crystalline silicon microchips, since α-Si TFTs cannot provide
logic drivers with the necessary speed, due to the low electron mobility
(<1 cm2V1 s1).
To be able to monolithically integrate the logic drivers on the active-matrix array
plate has the great advantage of reducing the number of electrical connections
between the array and the rest of the system, which is of particular relevance when
compact construction is a premium to overcome space limitations. Polycrystalline
silicon (poly-Si) TFTs have a much higher mobility (>100 cm2V1 s1) than α-Si
TFTs and can therefore be used to provide the drive logic as well as the pixel
transistors. Complete integration reduces the total number of external connections
to 20 for power, clock, and input data signal lines. It was the ability to fabricate
integrated drive circuits that stimulated the initial interest in poly-Si for active-
matrix displays. The technology, now well developed, has been for a long time
applied in LCDs for projectors and is now being used for mobile phones. Poly-Si
TFTs have also been employed to make static random-access memories (SRAMs)
and operational amplifiers. Poly-Si TFT circuits can be made on plastic substrates
by using a transfer process.
The above properties make poly-Si TFTs a very interesting technology for the
development of low-cost disposable biosensors, with a large number of parallel
channels. A microarray of 100,000 channels, with integrated logic drivers, would
require only a few tens of electrical connections to the rest of the system. These
could be provided by edge connectors thereby enabling easy insertion and removal
of the sensor array from the system and, therefore, single use of a complex
microarray.
30 Molecular Analysis: BioFET Detection Sensors 637

In recent years, organic or polymer semiconductor materials have been inten-


sively researched to make TFTs. These organic TFTs can be manufactured
with very low cost using much simpler processes which do not require clean
room facilities, making it suitable candidates for disposable biosensor applica-
tions. However, the development of those devices is still in its infancy, and
the manufacturing processes of stable and uniform devices are still being
established.

Ion-Sensitive Field-Effect Transistor (ISFET)

If the metal gate of a MOSFET is removed from the field-effect transistor and the
gate dielectric placed in contact with a liquid solution, as shown in Fig. 4, ions can
adsorb on the surface of the gate dielectric, which generates an electric field similar
to applying a voltage at the metal gate. When an external gate voltage is applied
through a reference electrode in the solution, the electric field introduced by the
adsorbed ions leads to a shift on the device characteristic. As the shift is quantita-
tively linked to the type and density of the adsorbed ions, this new device is hence
named an ion-sensitive field-effect transistor (ISFET). Selectivity of ISFETs can be
induced by the appropriate incorporation of certain pH-sensitive insulators or
ion-selective membranes.
Successful application of ISFETs in pH meters has generated great interest
regarding the possibility of using the well-understood FET technology to produce
amplifying devices that would respond to larger and more complex molecules in
solution or gas phase, such as DNA, enzymes, antibodies or antigens, or even whole
tissue layers (Madou and Morrison 1989; Schöning and Poghossian 2002; Estrela
and Migliorato 2007; Matsumoto and Miyahara 2013). Numerous biosensors have
been developed based on similar principles, with a large variety of targets, gate
materials, and device structures.

VGate
a VGate b
Reference
eletrode

SiO2 SiO2
n+ n+ n+ n+
p-Si p-Si
Source Drain Source Drain

MOSFET ISFET

Fig. 4 Structure of a metal–oxide field-effect transistor (MOSFET) and an ion-sensitive field-


effect transistor (ISFET): (a) cross section of an n-type MOSFET; (b) an ISFET is created by
replacing the metal gate of the MOSFET by an electrolyte and a reference electrode
638 P. Estrela

By coating the gate insulator with enzymes as the selecting agent, highly
selective sensors can be developed. Such enzyme-modified ISFETs (EnFETs) can
in principle be constructed with any enzyme that upon reaction with the analyte
induces a local change of pH. When the enzymatic reaction takes place, the local
change of pH is measured by the underlying ISFET. This pH change can then be
correlated with the concentration of analyte in the solution. EnFETs can give very
sensitive measurements of different analytes. A wide range of enzymes have been
used in conjunction with ISFETs.
The main disadvantage of EnFETs is that, since the enzyme on the gate is not
regenerated, the sensor can only measure the concentration of the analyte up to the
limit when all of the enzyme is consumed. This limits the concentration range
detectable. However, different approaches can be used to incorporate on-chip
mechanisms to recover the consumed enzyme either by the use of molecular
mediators or electric fields. For analytes where normal concentration ranges are
relatively low, EnFETs are extremely useful.
By using single-stranded DNA probes on the gate of the ISFET, DNA sensors
can be constructed. Field-effect DNA biosensors have been fabricated with very
different approaches to immobilization strategies, hybridization, rinsing, and
measurement conditions. These have had varying levels of success, achieving
different immobilization densities, hybridization efficiencies, amount of non-
specific binding, and stability. For a high sensitivity, a large voltage shift upon
hybridization is needed. This requires a large increase in surface charge density
upon hybridization, requiring a large surface density of probes that still allows
high hybridization efficiency. To achieve a stable, high-density probe layer
resulting in high hybridization efficiency, end-tethered covalent attachment is
necessary.
The potential of very large-scale integration (VLSI) technology to form an
array of ISFETs to monitor biocatalytic transformation was explored by Ion
Torrent for DNA sequencing on a chip, which allows sequencing at a whole
genome level (Rothberg et al. 2011). This technique is based on the creation of
a nanoarray of ISFETs, which allows the detection of release of protons from
phosphodiester bond formation on thousands of copy DNA molecules at once,
leading to the parallel sequencing of several thousands of DNA molecules. A
similar approach could be applied to protein phosphorylation studies aiming at
either identifying the subset of proteins phosphorylated by a single kinase (one
kinase/several potential target proteins) (Lindsay 2012) or investigating a large
number of potential inhibitors/modulators on the activity of a single kinase (one
kinase/one target protein). The latter would make possible to identify novel
protein kinase inhibitors, and its application in the development of miniaturized
drug discovery platforms can be envisaged. For example, FETs were used to
measure the charge of phosphorylated proteins from kinase activity (Freeman
et al. 2007), where the degree of charging of the gate surface on FET structures
due to kinase activity was studied. An alternative and arguably simpler approach
is to directly monitor the pH changes that occur upon phosphorylation (Bhalla
et al. 2014).
30 Molecular Analysis: BioFET Detection Sensors 639

BioFETs for DNA and Protein Sensing

FETs with a metal gate functionalized with a biological recognition layer have also
been developed (Estrela and Migliorato 2007). Similarly to the working principle of
ISFETs, the sensitivity of FET devices to the charge on its gate electrode can be
utilized to develop sensors for the detection of charged biological species. In general,
biologically sensitive FETs (BioFETs) can be constructed from MOSFET structures
by functionalizing the gate electrode with different biological recognition elements. A
change in the charge density of a biolayer immobilized on an electrode induces a
change in the electrode surface charge density, σ0, which in turn alters the surface
potential, φ0, i.e., the open circuit potential (OCP). A change in the surface potential
may be generated by a catalytic reaction product, surface polarization effects, or the
change in dipole moments occurring with bio-affinity reactions. It can also be due to
potential changes arising from biochemical processes in living systems, such as the
action potential of nerve cells. The FET acts as a potentiometric transducer.
When an electrolyte is in contact with an electrode, an electrochemical double
layer forms. In the Gouy–Chapman–Stern model of the electrochemical double
layer, it is assumed that the solvent provides a continuous dielectric medium with
dielectric permittivity equal to its bulk value, that charges of discrete ions are
smeared out into a continuous distribution of net charge density, and that ion–ion
interactions can be neglected so that all ions in solution are free to contribute to the
charge density. Due to their finite size, ions may not approach the electrode closer
than the outer Helmholtz plane (OHP). Since there is no charge between the
electrode and OHP, the electric field E is constant in this region, and the electro-
static potential φ varies linearly. Outside the OHP, the potential may be determined
by considering the solution to be divided into laminae parallel to the electrode. The
laminae are in thermal equilibrium, but at differing energies due to the potential φ,
so the concentration ni of species i with valence zi is related to its bulk concentration
ni0 by the Boltzmann factor ni = ni0 exp(zieφ/kT).
The net charge density ρ(x) is related to the potential by the Poisson equation

d2 φ
ρðxÞ ¼ εε0 (3)
dx2
where ε is the relative dielectric permittivity, ε0 is the permittivity of free space,
and x is the distance from the electrode. Use of boundary conditions leads
to the nonlinear Poisson–Boltzmann equation. For φ << kT/e, the linearized
Poisson–Boltzmann equation results. Alternatively, the nonlinear Poisson–Boltzmann
equation may be solved for a symmetrical electrolyte that contains only one cationic
and one anionic species, both with charge magnitude z, giving the Grahame equation
for the charge per unit area on the electrode σ1:


dφ pffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi jzjeφOHP
σ1 ¼ εε0  ¼ 8kTεε0 n0 sinh (4)
dx OHP 2kT
640 P. Estrela

The impedance of a FET with the gate immersed in solution and potential applied to
a reference electrode in solution may be represented by the equivalent circuit shown
in Fig. 5. The circuit consists of the silicon resistance RSi, space-charge capacitance
CSC and oxide capacitance Cox of the FET, and the Randles equivalent circuit for
the double layer, where ZW has been omitted since there are no redox molecules in
solution. In the absence of redox molecules, Rct is large and Zimag can be considered
to result from the series combination of the three capacitances.
When the biomolecular interaction happens at the solid–solution interface, it
changes the value of Cdl. At fixed applied potential, this would introduce charge
redistribution between Cdl and Cox, where the change of potential across Cox
depends on the ratio of the two capacitors, Cdl/Cox. The value of this ratio is fixed
when the biomolecular probe is immobilized directly on the gate dielectric or on the
gate electrode directly on top of the dielectric. In an extended gate structure, a
sensing pad is electrically connected to the gate electrode. The area of the sensing
pad can be much larger than that of the transistor. In this configuration, the ratio Cdl/
Cox can be largely improved by increasing the double-layer area, offering a larger
voltage shift for the measurement.
In the case of DNA, the increase in negative charge in a layer of immobilized
DNA probes upon hybridization with target oligonucleotides causes a significant
change in φ0 (Fig. 6). If immobilization is on the gate of a FET, hybridization
causes a shift in the flat-band potential, Vfb, of the semiconductor. This causes a
shift in the current–voltage (I–V ) characteristic of the FET as shown in Fig. 7.

Fig. 5 Equivalent circuit for a field-effect device with gate immersed in solution

Fig. 6 DNA immobilization and hybridization on the gate metal of a FET


30 Molecular Analysis: BioFET Detection Sensors 641

Fig. 7 Typical shifts in the


10–3
BioFET characteristics
observed upon a biomolecular before interaction
interaction on the gate 10–4 after interaction
electrode with analyte
10–5

10–6

ID (A)
10–7

10–8

10–9

10–10

10–11
0.2 0.4 0.6 0.8 1.0
VGS (V)

Since the pH selectivity of the gate oxide is not required (as with an ISFET),
functionalization of a gate metal is an option that allows immobilization using thiol
chemistry. This enables easy and reproducible fabrication of high-density and
highly stable mixed self-assembled monolayers of thiolated oligonucleotides,
using only a single biochemical step. It also eliminates various problems that may
occur using semiconductor or insulator surfaces, which are prone to uncontrolled
modifications, contaminations, or hydration. These may lead to a change in the
intrinsic properties of the insulator, such as its dielectric constant, which are critical
for the stable operation of FETs.
A better understanding of the physical mechanisms involved in the field-effect
detection of DNA is fundamental in the development of reliable DNA microarrays
based on FETs. Several aspects play a role in the detection mechanism. Counterion
condensation theory can be used to evaluate the effective charge density of the
DNA layer in contact with an electrolyte, which partly screens its charge, its
dependence on the ionic strength of the electrolyte, and the reduction of the charge
fraction observed upon hybridization.
The immobilization of the nucleic acid probe is crucial in determining the
performance of the biosensor. To achieve high sensitivity and selectivity, the
hybridization efficiency must be maximized and the nonspecific adsorption mini-
mized. Immobilization should produce a stable layer of well-defined probe orien-
tation, readily accessible to the target. There are a wide variety of immobilization
methods, depending on the transducer surface and application. For devices with a
gold metal gate, mixed self-assembled monolayers of thiols are usually chosen
since they give rise to highly organized, stable, and reproducible films in which the
surface density of the oligonucleotides can be controlled in order to eliminate
642 P. Estrela

steric hindrance effects and increase the hybridization efficiency. To achieve


fast hybridization kinetics and a high hybridization efficiency, a probe density of
3  1012 cm2 is required (Estrela and Migliorato 2007). To obtain the greatest
shift in gate potential (VG) in a field-effect sensor, there will be a trade-off between
greater hybridization efficiency and greater counterion screening of the DNA
charge as the probe density is reduced. In addition, if the DNA layer is considered
as a plane charge, the voltage shift depends nonlinearly upon the charge density
through the Grahame equation, so that an increase in the density of probes may lead
to a large increase in the charge density upon hybridization but only a small
increase in the voltage shift.
Hybridization kinetics can be promoted with a high ionic strength buffer, with
specificity achieved by washing with a low ionic strength buffer. A low ionic
strength measurement buffer is required for field-effect sensing to give little
screening of charge. However, the stability of the DNA duplex in these low ionic
strengths must be considered. To give greater hybridization efficiency and sequence
selectivity and to increase stability at low ionic strength, uncharged peptide nucleic
acid (PNA) probes can be utilized.
In the field of protein sensing, fluorescent microarrays only had partial success in
a few specific controlled cases. The labeling of a target protein can alter its
conformation and hence affect its function. Furthermore, unlike with DNA, there
is little control on the molecular sites where the label is attached to, which can
inhibit the interaction with the probe molecule (e.g., an antibody). The relatively
large size of proteins also makes it possible that the label becomes hidden by the
protein on the surface and hence hindering its detection. Therefore, label-free
techniques for protein arrays are highly desirable, in particular since they provide
much more information than DNA arrays.
BioFETs with suitable probe molecules (e.g., antibodies, peptides, aptamers)
immobilized on the gate are extremely promising toward a new generation of
protein microarrays. The effect of the protein on the FET signal is difficult to
predict since different effects take place on the surface of the gate that can give rise
to changes in the electrical interfacial potential: the charge that the protein brings,
although given the protein size this charge is partly screened by the electrolyte; the
screening of some charges of the probe by the presence and interaction with the
protein analyte; and the displacement of water molecules and ions from the plane
closest to the electrode. Nevertheless, significant effects are expected on the
interfacial potential. For example, detection limits on the sub-picomolar range
have been achieved on CMOS BioFETs (Estrela et al. 2010).

Nano-BioFETs

A new class of BioFETs has been developed with the use of nanostructured
materials such as silicon nanowires, carbon nanotubes, and graphene. Since the
discovery of carbon nanotubes (CNTs), a great deal of effort has been devoted to
the fundamental understanding of their electrical, mechanical, and chemical
30 Molecular Analysis: BioFET Detection Sensors 643

properties and of their use in a wide range of applications such as electronics and
sensors. CNTs are divided into single-walled carbon nanotubes (SWNTs) and
multiwalled carbon nanotubes (MWNT). A SWNT can be formed by rolling a
graphene sheet (hexagonal structure) into a cylinder, and a MWNT is composed of
concentric graphene cylinders with an interlayer spacing of 0.34 nm. Most CNTs are
synthesized by arc discharge, laser ablation, or chemical vapor deposition methods.
Semiconducting SWNTs play a central role in the operation of SWNT-based
field-effect transistors (SWNT-FETs). SWNT-FET devices are composed of indi-
vidual SWNTs or random networks of SWNTs placed between a source (S) and a
drain (D) electrode on a SiO2/Si substrate (Fig. 8). The Si layer can act as back gate,
which is separated by the SiO2 insulating layer. Since the work function of SWNTs
is higher than that of most metals, the contact barrier between SWNTs and metals is
usually a Schottky barrier (SB). The height of the SB in the SWNT-FET contact is
determined by the work function of the electrode metal. The conductance of
SWNTs in devices can be modulated by applying a potential to the gate electrodes
with a constant bias voltage VDS.
SWNT-FET sensors have been shown to be sensitive to gases and some volatile
organic compounds. Depending on the fabrication process, the conductance of the
SWNT-FET can be made to vary dramatically under various gate voltages when the
device was exposed to certain gases. The electrical conductance of a semiconduct-
ing SWNT was found to be sensitive to its environment and was shown to vary
significantly with surface adsorption of various chemicals and biomolecules. This
makes SWNT-FETs very promising candidates for label-free biosensing. The
SWNT-FETs for biosensor applications are composed of SWNT networks or
individual semiconducting SWNTs. SWNT-FET-based biosensors have been
reported to detect various biological species such as DNA, proteins, and cells
(Hu et al. 2010). An exciting development was the detection of cancer in breath
through the sensing of volatile organic compounds using random networks of CNTs
(Peng et al. 2009).
The discovery of graphene led to a significant amount of work on trying to
achieve the ultimate biosensing limit of detection: the single molecule. The
graphene-based FET has a non-functionalized single-layer graphene as the channel
(between the source and the drain). The electrochemical double layer at the
graphene/electrolyte interface is very sensitive to pH, and the conductance of the
device responds accordingly. Significant amount of research is currently being

Fig. 8 Structure of a SWNT-


FET
644 P. Estrela

carried out on the grafting of graphene and graphene oxide with suitable biomo-
lecular probes for the high-sensitivity detection of DNA and proteins. Although
very promising, graphene BioFETs are still in its infancy.

BioFET Arrays

Application of biosensors in areas such as pathogen identification and gene expres-


sion requires a large number of sensor elements to work simultaneously in an array
format. High level of integration and performance is clearly required in those
devices. The development of optical biosensor arrays is limited by the optical
scanner’s high cost, the unreliability of the optical labeling process, and the
complex data processing procedures. Considerable efforts have been devoted to
the development of alternative biosensor array platforms suitable for low-cost
production and higher level of integration.
Fully integrated label-free electronic biosensor arrays based on well-established
microfabrication methods are believed to be able to adequately address the disad-
vantages of optical arrays. Label-free electrochemical characterization techniques
can be implemented directly using integrated electronics, achieving significant cost
reduction and better system integration. These electronic biosensor arrays can be
easily connected to simple handheld readers for point-of-care applications. More-
over, studies have shown that electrical stimulation can significantly affect the
kinetics of biomolecular interaction at solid–liquid interface, which is easily
achieved with electronic biosensor arrays.
Besides the biomolecular probes and the packaging components, the electronic
components of a fully integrated biosensor array can be divided into three categories:
transducer, array addressing circuit, and measurement unit. As the most widely used
microelectronic devices, FETs play an important role in all these three categories.
As previously described, the FET itself can be used as a potentiometric biosensor
transducer to translate biomolecular interactions into variations of flat-band voltage
or source–drain current. The ability of miniaturization makes FETs ideal candidates
for applications on biosensing arrays, as the signal-to-noise ratio is independent of
the geometry size. This feature allows FET-based biosensors to be integrated on
extremely high-density arrays, with the limit of detection determined by the
immobilization of biomolecular probes and practical operations. The performance
of a FET-based potentiometric transducer depends on the internal gain of the FET,
which is measured by the transconductance and, on the fabrication geometry, which
determines the ratio of the double-layer capacitance to the gate dielectric
capacitance.
Independently of the electrochemical technique employed for DNA sensing,
FETs can have an important role in the development of electronically addressed
biosensor arrays. Acting as switches for individual cell elements, there are two
basic requirements for the addressing circuits. First, the electronic switch attached
to each sensor must have a high on/off current ratio. This is to make sure that when
the designated sensor element is measured, interference from other sensor elements
30 Molecular Analysis: BioFET Detection Sensors 645

does not affect the characterization. The second requirement is that the logic circuit,
which translates the input signal into the address information and selects the sensor
element, must work at a high enough frequency. As the biomolecular reaction is
often a dynamic process when the measurement is carried out, all the sensor
elements need to be characterized in a relatively short time window – typically a
few seconds. The driver logic circuit needs to switch on all the sensor elements
sequentially within this time window to allow the measurements.
A possible architecture for FET-addressed biosensor arrays is illustrated in
Fig. 9. For potentiometric sensing, the biosensing pad is connected to the gate of
the FET, which acts as the transducer. A dummy transistor, where no biomolecular
interaction occurs, can be used for differential measurements (Estrela et al. 2009).
An implementation using CMOS devices with on-chip differential BioFET mea-
surements is shown in Fig. 10.
As the biomolecular interaction delivers a very weak electronic signal, inte-
grated amplification and noise canceling are often needed, which requires built-in
reference and measurement circuits on the same chip. FETs are ideal to build

Fig. 9 TFT switching matrix for potentiometric detection. Each cell is composed of a sensing
transistor (S), a reference dummy transistor (D), and switch transistors for the sensor (ST-S) and
the dummy (ST-D)
646 P. Estrela

Fig. 10 CMOS BioFET array with 48 electrodes of 30 μm diameter and on-chip differential
measurement (Taylor et al. unpublished)

various analog circuits including differential input, voltage reference, operational


amplifiers, and potentiostat circuit. Requirements on the performance of those
circuits largely depend on the type of application and specification of sensor arrays.
Although the ultimate performance of any integrated biosensor is limited by the
properties of the affinity-based biomolecular interaction, the method of detection
and fabrication imposes certain requirements on the transducers, the electronic
circuits, and the manufacturing process. A systematic analysis of those require-
ments is necessary in the development of integrated electronic biosensor arrays and
to identify the suitable technology to use.
The first consideration for a miniaturized biosensor array is the size and
surface topology of the sensor element. The preparation of biomolecular probes
on the surface of each individual sensor element involves manipulating very
small volumes of sample, which is normally achieved by the use of microspotters.
Most advanced robotic-based liquid-dispensing spotters nowadays have resolu-
tions down to tens of micrometers, which sets the minimum size of the sensing
area of each individual sensor element and consequently the density of the sensor
array.
The transducing methods also limit the size of sensor elements. For potentio-
metric detection, although the signal-to-noise ratio is independent of the FET
dimension, having a larger extended gate structure can significantly improve the
sensitivity of the transducer (Estrela and Migliorato 2007). According to the
requirements on the sensitivity, it is preferred to have a ratio between the areas of
the extended gate and the FET gate at 10–100, which results in individual sensor
dimensions of tens to hundreds of micrometers based on modern microfabrication
technologies.
Electronic biosensor transducers also require atomically flat surfaces or at least
surfaces with controlled roughness. The underlying consideration is the density of
immobilized biomolecular probes and hence the target captured by the probes in the
biomolecular interaction. It has been shown that the immobilization density
depends on the microscopic area of the sensor surface, which is determined by
both the geometry area and the roughness factor. For measurement techniques
where the amount of charge is of concern, such as potentiometric detection or
30 Molecular Analysis: BioFET Detection Sensors 647

chronocoulometric detection, a uniform surface with regular roughness factor is


needed for the entire sensor array.
Another important consideration is the working frequency. To characterize the
sheer number of sensors in the same array in real time, both the switching circuit
and measurement units need to work at high enough frequency. The speed needed
eventually depends on the nature and kinetics of the biomolecular interaction to be
measured. For example, considering a typical array with 1,000 elements to be
measured in 1 s, the logic circuit to address the sensor array needs to work at
frequencies of 104 Hz.
Other factors that need to be taken into consideration include temperature
variations induced by the power consumption of the circuit, lifetime in solution
which is determined by the passivation material, and overall chip size and packag-
ing for practical handling. Those factors are less important in terms of the use of
FET and need to be reviewed for each application.
From the point of view of electronic biosensor array applications, both CMOS
and TFT technologies clearly have advantages and disadvantages. CMOS repre-
sents the state of the art for microfabrication and can provide devices at tens of
nanometers working at GHz frequency ranges. However, for biosensor arrays, this
high performance is far over-specification as previously discussed. On the other
hand, considering manufacturing and convenience of operation, both the sensor
element itself and the whole chip cannot be made too small. In the existing
examples, the size of the chip is 4 mm2 for 50 sensor spots using a 0.18 μm
process and 20 mm2 for 128 sensor spots using a 0.5 μm process. Even with this
small number of sensors in the array, using CMOS technology leads to substantial
cost on the manufacturing of the chip – typically a few dollars in these two cases
– excluding the costs of the biomolecular probes, post-processing, design, and
installation fee for the masks. This cost is mainly due to the expensive single-
crystalline silicon substrate and hence would scale up when a larger number of
sensor elements or a larger area for each sensor element is needed. As dispos-
ability is highly desired for biosensor arrays, the high cost of CMOS process
makes it impractical for large-scale applications such as diagnostics and disease
screening.
On the other hand, although TFTs cannot provide such high-performance elec-
tronic devices, it can be manufactured on much cheaper substrates such as glass and
even plastics, making the technology an ideal candidate for biosensor arrays in the
view of cost. The main limitation of TFTs is the low mobility of the semiconductor
material. This does not only affect the performance when it is used as a transducer,
but, in case of amorphous TFT, it also prevents its use for addressing logic and
measurement circuit.
To be used as the addressing matrix switches for individual sensor elements, the
on/off current ratio is the parameter to be considered. For a biosensor array with
thousands of sensor elements, the off-state resistance must be at least three orders
of magnitude larger than that of the on-state to secure precise measurement of
data. This can be easily achieved by the use of a single FET based on either CMOS,
poly-Si TFT, or amorphous-Si TFT.
648 P. Estrela

For the measurement circuit, the amorphous silicon TFT has been consid-
ered not suitable for analog circuit or high-frequency digital circuit, due to its
low mobility and transconductance, while the poly-Si TFT has been developed
into a large variety of analog circuits with moderate performance. The suit-
ability of FET technologies for biosensor array applications is summarized in
Table 1.
Overall, polysilicon TFTs can provide all the key components, so the applica-
tion to the proposed integrated biosensor arrays is within the capabilities of the
technology. Furthermore, it seems to provide the proper balance between
the performance and cost for future biosensor array applications, although its
current development is hindered by the lack of commercial foundries for research
purposes.
Nano-BioFETs hold much promise toward highly sensitive FET arrays. Stag-
gering progress has been made in recent years toward the development of reliable
arrays of CNT and Si nanowire FETs. Although such devices cannot be readily used
for the driving electronics of large arrays, recent developments on integration of
such devices with CMOS circuitry are likely to yield a new generation of electronic
BioFET arrays. Whichever fabrication technology is used or by using a combina-
tion of device technologies, BioFETs are some of the most promising electronic
devices for label-free molecular analysis.

Table 1 Advantages and disadvantages of CMOS, poly-Si TFT, amorphous TFT, and nano-FET
technologies for the development of the different components in biosensor arrays
Application in biosensor arrays
Addressing Driving Measurement
Transducer switches logic circuit
CMOS FET Pros High internal gain, High speed, High High electronic
smaller size high on/off speed performance,
current ratio compact in size
Cons Expensive to have None None None
larger extended
gate or electrodes
Poly-Si TFT Pros High internal gain High on/off Moderate Moderate
current ratio speed performance
Cons Device uniformity None None None
Amorphous Pros Low cost, low Moderate None None
TFT temperature on/off
manufacturing current ratio
Cons Very low gain, None Low Low electronic
device uniformity speed performance
Nano-FET Pros High surface area None None None
leads to high
sensitivity
Cons Device uniformity, Difficult to Difficult Difficult to
high cost implement to implement
implement
30 Molecular Analysis: BioFET Detection Sensors 649

References
Bhalla N, Di Lorenzo M, Pula G et al (2014) Protein phosphorylation analysis based on proton
release detection: potential tools for drug discovery. Biosens Bioelectron 54:109–114
Estrela P, Migliorato P (2007) Chemical and biological sensors using polycrystalline silicon TFTs.
J Mater Chem 17:219–224
Estrela P, Li P, Keighley SD et al (2009) Label-free electrical biosensor arrays: a new challenge for
TFT technology. J Korean Phys Soc 54:498–504
Estrela P, Paul D, Song Q et al (2010) Label-free sub-picomolar protein detection with field-effect
transistors. Anal Chem 82:3531–3536
Freeman R, Gill R, Willner I (2007) Following a protein kinase activity using a field-effect
transistor device. Chem Commun 3450–3452
Hu P, Zhang J, Li L et al (2010) Carbon nanostructure-based field-effect transistors for label-free
chemical/biological sensors. Sensors 10:5133–5159
Lindsay S (2012) Biochemistry and semiconductor electronics – the next big hit for silicon? J Phys
Condens Matter 24:164201
Madou MJ, Morrison SR (1989) Chemical sensing with solid state devices. Academic, San Diego
Matsumoto A, Miyahara Y (2013) Current and emerging challenges of field effect transistor based
bio-sensing. Nanoscale 5:10702–10718
Peng G, Tisch U, Haick H (2009) Detection of nonpolar molecules by means of carrier scattering
in random networks of carbon nanotubes: toward diagnosis of diseases via breath samples.
Nano Lett 9:1362–1368
Rothberg JM, Hinz W, Rearick TM et al (2011) An integrated semiconductor device enabling
non-optical genome sequencing. Nature 475:348–352
Schöning MJ, Poghossian A (2002) Recent advances in biologically sensitive field-effect transis-
tors (BioFETs). Analyst 127:1137–1151
Sze SM, Ng KK (2007) Physics of semiconductor devices, 3rd edn. Wiley, New Jersey
Next-Generation DNA Sequencing: Ion
Torrent Sequencers Versus Nanopore 31
Technology

Chaker Tlili, Khouloud Djebbi, Mohamed Amin Elaguech,


Mohamed Bahri, Daming Zhou, Biao Shi, and Deqiang Wang

Contents
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 652
Second-Generation Sequencing (SGS) by Ion Torrent Platform . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 653
Platform History . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 654
Ion Torrent Library Preparation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 656
Sequencing Mechanism of the Ion Torrent Platform . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 658
Third-Generation Sequencing (TGS) by Oxford Nanopore . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 660
Platform History . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 661
Working Principle . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 662
NSG Applications: Comparison Between Ion Torrent and Oxford Nanopores . . . . . . . . . . . . . . . . 663
Whole-Genome Sequencing (WGS) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 663
Whole-Exome Sequencing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 664
Chromatin Immunoprecipitation Sequencing (ChIP-Seq) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 664
Short RNA Sequencing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 664
Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 665
References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 665

Abstract
Next-sequencing technology is evolving rapidly, and during the last 10 years,
several new sequencing platforms were released. In particular, Ion Torrent and
Oxford Nanopore have revolutionized almost every corner of the biomedical

C. Tlili (*) · D. Zhou · B. Shi


Chongqing Key Laboratory of Multi-scale Manufacturing Technology, Institute of Green and
Intelligent Technology, Chinese Academy of Sciences, Chongqing, People’s Republic of China
e-mail: chakertlili@cigit.ac.cn; dmzhou@cigit.ac.cn; shibiao@cigit.ac.cn
K. Djebbi · M. A. Elaguech · M. Bahri · D. Wang (*)
Chongqing Key Laboratory of Multi-scale Manufacturing Technology, Institute of Green and
Intelligent Technology, Chinese Academy of Sciences, Chongqing, People’s Republic of China
University of Chinese Academy of Sciences (UCAS), Beijing, People’s Republic of China
e-mail: khouloud@cigit.ac.cn; mohamed@cigit.ac.cn; bahrimohamed@cigit.ac.cn;
dqwang@cigit.ac.cn

© Springer Science+Business Media, LLC, part of Springer Nature 2022 651


M. Sawan (ed.), Handbook of Biochips,
https://doi.org/10.1007/978-1-4614-3447-4_56
652 C. Tlili et al.

sciences. These two platforms are gaining popularity in the marketplace, mainly
due to the use of native oligonucleotides, low cost of sequencing runs, and the
possibility of their daily use without any technical requirements or maintenance.
Since they arrived in the marketplace, we have witnessed tremendous technical
improvement in these two technologies accompanied by active competition
between them. This chapter aims to summarize, compare, and analyze these
two technologies that have been developed recently towards short-/long-read
sequencing and comprehensively highlight recent advances in improving the
performance of these two technologies.

Introduction

Since discovering the double-helix structure of the deoxyribose nucleic acid (DNA)
by Watson and Crick in 1953 (Watson and Crick 1953), the research on DNA
sequencing has grown dramatically for a deeper understanding of the biological
and genetics process. Over the last 50 years, DNA sequencing has developed
substantially into a more cost-effective and accurate scientific advancement tech-
nique in medical diagnostics, forensics, systematics, and genomics. Since Frederick
Sanger (Sanger and Nicklen 1977) and Walter Gilbert (Maxam and Gilbert 1977),
the pioneers who invented Sanger sequencing technology, to the completion of the
Human Genome Project (HGP) in 2003 using Sanger and shotgun sequencing
methods. During this period, we have already witnessed tremendous transformation
and evolution in this field. More importantly, there is a continuous drop in the DNA
sequencing cost which is consistent with the semiconductor industry’s Moore’s law.
Finally, the development of faster, cheaper, portable, and easier-to-use devices has
the potential to create a decentralization of DNA sequencing.
Sanger sequencing, one of the oldest techniques available for DNA sequencing,
was developed by Frederik Sanger in 1977 (Sanger and Nicklen 1977). It was
considered the first sequencing generation and dominated both industry and research
for almost two decades and led to several monumental accomplishments. This old
technique works through the dideoxy chain termination approach, in which, during
DNA chain elongation, the occasional incorporation of a dye-labeled
dideoxynucleotide (ddNTP) in the place of a dNTP by polymerase at the 30 end of
the growing DNA strand causes the termination of further chain elongation. When
combined with capillary electrophoresis, this chain termination approach permitted
an automated fluorescent signal with a read length of up to 1000 bp, high accuracy of
raw reads greater than 99.99%, and the successful completion of the Human Genome
Sequencing Project. However, this method presents a trade-off of high cost and low
throughput (Shendure and Ji 2008). Despite monumental accomplishments, the
Sanger method’s limitations showed a need for a new and improved platform for
sequencing large numbers of human, eukaryotic, bacterial, and virus genomes.
Since the introduction of the pyrosequencing by Pal Nyren in 1996 (Ronaghi
et al. 1996), impressive progress has been made in the field of next-generation
sequencing (NGS) technology which has revolutionized genomic and genetic
31 Next-Generation DNA Sequencing: Ion Torrent Sequencers Versus Nanopore. . . 653

research. The drive to develop new DNA sequencing strategies has emerged from at
least three levels (Shendure and Ji 2008). First, since the Human Genome Project
(HGP), there are a few remaining paths of optimization through which significant
reductions in the cost of conventional DNA sequencing can be realized. Second, a
growing variety of molecular methods have been developed, whereby genome-wide
biological phenomena can be answered by high-throughput DNA sequencing (e.g.,
de novo whole-genome sequencing, re-sequencing of genomes for variations, pro-
filing mRNAs and other small and noncoding RNAs, assessing DNA binding pro-
teins and chromatin structures, and detecting methylation patterns). And third,
tremendous progress in technology across different fields, including advancement
in microfabrication, high-resolution imaging, surface chemistry, nucleotide bio-
chemistry, polymerase engineering, computational power, and data storage, have
made alternative increases in the development of new strategies for DNA sequenc-
ing. The newer NGS technologies are different from the conventional Sanger
method in terms of automation, massively parallel analysis, high-throughput nature,
and lower cost. Furthermore, they allow highly streamlined sample preparation steps
before DNA sequencing, which provides a significant time saving and a minimal
requirement for associated equipment. The arrival of NGS technologies in the
marketplace has changed the way we think about scientific approaches and opened
entirely new areas of biological inquiry, including the investigation of ancient
genomes, the characterization of ecological diversity, and the identification of
unknown etiologic agents (Mardis 2008).
Current NGS technology is sorted into three major groups. The first group
contains Roche/454, SOLiD, Polonator, and Ion Torrent systems, where emulsion
polymerase chain reaction (emPCR) has been employed for DNA fragments ampli-
fication, and bead-based micron scale have been used as a sequencing template. The
second group consists of Illumina/Solexa technology, which relies on bridge PCR
and the oligo-derivatized nanoscale surface of a flow cell. The third group, so-called
“third-generation sequencing” technologies involve Pacific Biosciences (PacBio),
Helicos, and nanopore sequencing (solid-state and biological nanopores), which
promise to eventually allow single-molecule sequencing in real time without the
need for an enrichment or PCR amplification before DNA sequencing shared by all
previous technologies. We introduce two commercially available NGS platforms
with electrical readout in the present chapter, namely Ion Torrent and nanopores
technologies. We will highlight how these two technologies work, their advantages
and limitations, and how they can be applied in our daily life through these well-
chosen technologies.

Second-Generation Sequencing (SGS) by Ion Torrent Platform

The first NSG semiconductor-based instrument was released in 2011 by Ion Torrent
(Rothberg et al. 2011), a later acquired company by Life Technology Corp. The Ion
Torrent has an upper surface that can be served as a microfluidic channel to deliver
the reagent required for the sequencing reactions and a lower surface interfaced
654 C. Tlili et al.

directly to a modified silicon chip (ISFET sensors) to detect the release of a hydrogen
ion from each well, a by-product of native nucleotide incorporation, into a quanti-
tative readout rather than relying on indirect optical measurements of such fluores-
cent tags. Like pyrosequencing technology, the Ion Torrent system uses a
sequencing-by-synthesis (SBS) approach. Each of the four native nucleotides
(dNTPs) is added iteratively on a massively parallel semiconductor-sensing device
to ensure only one dNTPs will be responsible for the electrical signal. Furthermore,
this method does not require the dNTPs’ chemical blocking at the 30 -OH group to
terminate the chain elongation (Merriman and Rothberg 2012).

Platform History

Since the initial release of the Ion Torrent platform, this technology has rapidly
evolved. This time scale has witnessed multiple technological revolutions and
tremendous changes, ranging from average read length to throughput. The average
read length obtained by this new platform has increased from 100 to 400 bp and the
throughput has also increased over time, moving from 10 Mb/average run to 15 Gb/
average run, with runtime between 2 and 7 h, making it faster than most other current
platforms. These achievements resulted from a combination of advancements in
microfabrication techniques and reaction volume miniaturization (microcell wells).
Currently, the Ion Torrent platform provides three types of benchtop machines to
tune sequencer performance to the researcher’s needs. These systems included the
Ion PGM (Personal Genome Machine), the Ion Proton, and more recently, the Ion S5
and the Ion S5 XL. The Ion PGM was the first semiconductor-based machine
released by Ion Torrent in 2011. The Ion PGM can be used with multiple output
mode (e.g., the PGM has three chips 314, 316, and 318 which were manufactured
using 350 nm CMOS technology), allowing 0.3–2 Gb of output, with 200 or 400 bp
reads and 3 h runtime (Merriman and Rothberg 2012). Moreover, the Ion PGM is the
lowest-price NSG instrument on the market, with a price of approximately $50k and
an individual run cost in the range of $225–$625. Therefore, it is the most accessible
equipment to scientists and small laboratories around the world; it was mainly
dedicated to amplicon sequencing (Table 1).
In September 2012, life technology officially launched the Ion Proton I chip,
which uses 110 nm CMOS technology with 165 million wells fabricated on a chip of
20  23.7 mm dimensions. It automatically prepared the template and integrated
hardware and software that enables the acquisition of 5 billion data points/s over a 2–
4 h runtime with on-instrument signal processing. The Ion Proton I system can
generate up to 200 bp read length and lead to an output of 10 Gb/run with 60–80
million reads (Table 1). This is enough to sequence two human exomes – the gene-
encoding portion of the genome. The Proton II chip released at the end of 2012 has
660 sensors, yielding up to 100 bp read length with an expected output of ~32 Gb/
run, enabling whole human genome sequencing within 4 h runtime (Table 1).
Life Technology recently launched in September 2015 an upgraded version of
PGM and Ion Proton instrument called Ion S5 and S5 XL. It can generate 2–130
31

Table 1 Characteristics and performance of Ion Torrent platforms


Read Output/run Runtime Cost
Machine Chip Number of sensors (106) length Number of reads (M) (Gb) (h) ($/Gb) Price ($)
Ion PGM™ Ion 314 1.2 Up to 400 0.4–0.55 Up to 0.1 2–4 25–3500 80k
Ion 316 6.3 400 2–3 Up to 1 3–5 700–1000 80k
Ion 318 11.3 400 4–5.5 Up to 2 4–7 450–700 80k
Ion proton Proton I 165 Up to 200 60–80 10 2–4 90 224k
Proton II 600 Up to 100 330 32 2–4 NA 224k
Ion S5™ 510 Chip 6 Up to 400 2–3 0.3–1 4 NA 65k
520 Chip 13 Up to 600 4–6 0.6–2 4 1200 65k
530 Chip 38 Up to 600 9–20 1.5–8 4 475 65k
540 Chip 148 Up to 200 60–80 20–30 2.5 300 65k
Ion S5™ XL 510 Chip 6 Up to 400 2–3 0.3–1 4 NA 150k
520 Chip 13 Up to 600 4–6 0.6–2 4 1200 150k
530 Chip 38 Up to 600 15–20 1.5–8 4 475 150k
540 Chip 148 Up to 200 60–80 20–30 2.5 300 150k
550 Chip 260 Up to 200 100–130 40–50 2.5 NA 150k
Next-Generation DNA Sequencing: Ion Torrent Sequencers Versus Nanopore. . .
655
656 C. Tlili et al.

million reads with reading lengths of 200–600 bp and a total output between 0.2 and
50 Gb with a 2.5–4 h sequencer runtime depending on the chip used (e.g., Ion S5 and
S5 XL have five chips 510, 520, 530, 540, and 550) (Table 1). The Ion S5 and S5 XL
System with the five series chips are designed with “plug-and-play” cartridge-based
reagents to set up and operate the sequencers easily and efficiently. With reduced
hands-on time and streamlined workflow, the Ion S5 and Ion S5 XL systems offer
superior flexibility, scalability, and accessibility to enable a broad range of high-
throughput sequencing applications ranging from whole genomes and targeted gene
panels to exomes and metagenomes.

Ion Torrent Library Preparation

The NGS analysis’s core step is constructing the DNA library that will serve as the
input for the next part of the NGS workflow. Thus, the efficient transformation of
genetic material into a sequenceable library is ultimately crucial. In general, this
process goes through several decisive steps, including (i) fragmenting the target
sequences to a craved length, (ii) conjugating the adapters’ sequences to the ends of
target fragments, and (iii) purifying and quantitating the resulting library product for
sequencing fragmentation and size selection.

Fragmentation and Size Selection


The size of the DNA in purpose is a crucial factor for NGS library construction. To
date, three different procedures for the shortening of nucleic acids exist, accounting
for physical, chemical, and enzymatic digestion of DNA approaches. Chemical shear
is more often than not retained for the disintegration of lengthy RNA shatters. This is
usually executed by the heat breakdown of RNA in the presence of a divalent metal
cation such as zinc or magnesium. The length of the obtained RNA (115–350 bp) can
be bent by controlling the incubation time. Three mechanical shearing methods are
frequently used: nebulization, sonication, and adaptive focused acoustics technology
(Covaris). The latter is currently cherry-picked as the gold standard for fragmenta-
tion at random nucleotide locations. It implicates the determined transmission of
high-frequency and succinct wavelength acoustic energy on the DNA sample. The
produced DNA size is delimited by both the intensity and the period of the applied
acoustic waves. In general, this technique engenders DNA fragments in the range of
100–5000 bp with heterogeneous ends of 59 or 39 overhangs. However, acoustic
shearing can cause oxidative damage to DNA that may lead to sequencing artifacts.
In addition to that, this method can be financially inaccessible for many laboratories.
Because of these reasons, researchers seek alternatives. Hydrodynamic shearing can
be used in case if larger DNA fragments are required. This technique is executed via
translocating the DNA through a small outlet of a syringe. The achieved size
depends on the translocation speed of the DNA through the orifice. Centrifugation
is likewise exploited as a hydrodynamic force. Here, the time and the force of this
method determine the notch of DNA breakup. DNA splits engendered with this
mechanical technique vary predictably from 1 to 75 kb. Despite that, it necessitates
31 Next-Generation DNA Sequencing: Ion Torrent Sequencers Versus Nanopore. . . 657

large DNA input amounts (> 1 μg) while the yield is remaining squat. Nebulization
is another method used to break oligonucleotides physically.
Enzymatic and physical digestions share the same efficiency. Therefore,
enzymatic-mediated DNA fragmentation can be an appealing substitution for previ-
ous costly pre-cited physical techniques. It is inexpensive, suitable to rapidly
processing multianalytes, besides diminishing sample loss. This procedure’s core
interest has been sequence bias, considering that various enzymes have their restric-
tion sites or sequence preferences. Different enzymes have been used to cleave DNA
into undersized breaks including DNAse I, a combination of a nonspecific nuclease
Vibrio vulnificus (Vvn), and maltose-binding protein (MBP)-T7 Endo I.
Tagmentation implicates transposases to shatter DNA by cutting and incorporat-
ing a short double-stranded adapter to the termini’s cleaved DNA fragments termini.
The appended oligonucleotide should include a specific sequence that the item
transposase is using. Despite this method’s benefits (not time consuming and has
low input requirements) it remains limited by the definite sequence preference
related to transposases and makes them unsuited for several applications.
Enzymatic-mediated fragmentation has proved to be steady. However, it is inaccu-
rate compared to physical trim procedures in the case of indels detection.
There is an ultimate need to use a blunt-end ligation for various adapter nucleo-
tide sequences in Ion Torrent workflow. As soon as the oligonucleotides are
shattered, the break ends are blunted at 50 phosphorylated through a combination
of three enzymes regrouping Klenow Large Fragment, T4 DNA polymerase, and T4
polynucleotide kinase. Afterward, the 30 ends are modified by inserting an A-tail via
either Klenow Fragment (exo-) or Taq polymerase. The latter is adequate for
A-tailing. However, on the other hand, as heating is not implored, Klenow (exo-)
can be employed (in the case of mate-pair libraries) as ligation of adapters.

Ligation of Adapters
Following end repair and A-addition, two linear adapters (P1 and A) are generally
used to ligation onto the DNA crumbles to integrate the indispensable functionalities
for sequencing. After ligation, statistically, around 50% of the modified oligonucle-
otide breaks incorporate A and P1 adapter grouping required for sequencing. The rest
of the sequence that carries the same adapters on both sides (P1–P1 or A–A) is
evaded. As just one strand of the modified breaks (A–P1) will be clonally amplified,
consequently only 25% of the total input DNA strands are sequenceable overall. To
improve these statistics, Y-shaped adapters may replace the traditional linear adapters
for Ion Torrent sequencing. Y adapters have several advantages that facilitate better
outputs through (i) sticky-end ligation and (ii) allowing DNA strands sequencing.
This adapter is neither commercialized; different researcher groups keep working on
developing and validating an efficient Y adapter for Ion Torrent sequencing.

Purification and Amplification


Nucleic acid purification is a mandatory step for genomic sequencing. Beads-based
cleanups are considered the most effective tool for removing adapter dimers excess
and eliminating the sequence that carries the same adapters on both ends. After
658 C. Tlili et al.

ligation, the fragmented DNA will be hydrolyzed into single-strand DNA and
attached to beads that carry the complementary sequence of one adapter (adapter
1)fixed via 50 end so that one fragment will bind into one bead through its 30 end. The
ssDNA conjugated to beads is emulsified in picoliter-volume water-in-oil drops with
PCR reagents (DNA polymerase, primers, buffers, and dNTPs). Within these drop-
lets, PCR is conducted.
With the aid of DNA polymerase and dNTPs, the strand is firstly elongated. Once
the double strand is formed, it is denatured, permitting the strand’s recycling to
hybridize with another site on the bead’s surface. At last, after several amplification
cycles, a million copies of the target are created for every single bead making the
water-in-oil droplet volume approximately around 1 μm. At that moment, the
emulsion is destabilized and destroyed using the detergent buffer and isopropanol.
The mixture is next vortexed, centrifuged, and followed by magnetical separation.
After releasing the PCR amplicons, the resultant suspension is a mixture of clonal
and nonclonal beads and underwent further enrichment step by pulling out the beads
with amplified DNA. At this point, researchers use biotinylated probes that hybridize
with the region of interest (adapter 2) and consequently bind to magnetic beads
decorated with streptavidin and finally collected with a magnet. Despite the effi-
ciency of emulsion PCR, the process is remaining complicated and time consuming.
For these reasons, different companies such as Life Technology (AmpliSeq kit) and
Agilent Technology (SureSelect kit) have developed Ion Torrent kits. The SureSelect
approach generates an optimal library quality, and it is 1.7 times more expensive and
1.5 times time consuming than the AmpliSeq protocol. Hence, the choice of an
adequate kit is related to the group’s objectives.

Sequencing Mechanism of the Ion Torrent Platform

Microfabrication of the pHFET Devices


This subsection summarizes the CMOS DNA sequencing device’s development
steps, the Ion Torrent semiconductor sequencing chip. Firstly, we are worth noting
that this technology is based on improvements in the classical Bergveld pH-ISFET in
1970 (Bergveld 1970), which has been undergoing rapid development in terms of
architecture and chip-scaling upgrading. Based on this combination of 50 years, the
classical sensors were substituted to submicron scales, with a submicron pitch in a
rectangular sensor array format, consisting of millions of such transistors to create a
massively parallel sequencing platform. Each sensor array site contains a larger
primary detection transistor aligned and forms most of the microwell bottom inter-
face (Fig. 1a). The adjusted transistor number in each pixel depends on the chip
design, which usually contains two (2T) or three transistors (3T). Such aligned
structure plays a key role in the sensor signal reset, capture, and readout.
Additionally, the chip implicates control logic that helps in the pixel selection and
data reading, which can be performed via logic functions using a standard CMOS
circuity module. Briefly, the Ion Torrent chip consists of solid-state pH sensors
microarrayed wells (lower surface) which form the sequencing instrument’s core
processor which adjusts the data processing and flow compartment to deliver the
31 Next-Generation DNA Sequencing: Ion Torrent Sequencers Versus Nanopore. . . 659

Fig. 1 Principal and element of the Ion Torrent semiconductor sequencing technology. (a) A cross-
sectional view of the sensor array shows the ISFET layer in black with microbeads arrayed in
microwells on the top surface. (b) Structure of single pH sensors used for DNA sequencing. (c) pH
sensing of nucleotide incorporation and signal processing

reagent required for the sequencing reactions (upper surface). Such mandates sensor
array is obtained following a series of optimal processing to make large-scale logic
circuits. The process involves the deposition of dielectric layers (SiO2, Si3N4, Ta2O5,
Al2O3, etc.) onto the CMOS substrate and using aligned photolithography for
patterning and RIE etching to create the microwells, and further expose the pHFET
plates at the bottom of each microwells. Finally, the obtained chips at the wafer level
are diced and packaged through a custom-molded plastic encapsulation containing
the flow cell for the DNA delivery and interfacing system (Toumazou et al. 2013).

Sequencing Mechanism
After emulsion PCR, the oil is removed, and the DNA is shipped to the sensor
microwell by carrier bead, which holds thousands of DNA fragments. The microwell
is big enough to retain in place one carrier bead bathed in a small amount of liquid
(Fig. 1b). After that, DNA polymerase and a sequencing primer are added to the
microarrayed wells. The temperature will be adjusted to anneal the sequencing
primer to the genomic DNA fragment’s adapter region. Sequencing begins when
individual dNTPs are flooded over the surface of the chip, and the Ion Torrent’s
sequence information is determined by the release of hydrogen ion (H+) with every
nucleotide incorporated by the polymerase (Fig. 1c). The formula for this reaction is
described by the following equations (Toumazou et al. 2013):

DNA þ Hi dNTP ! DNAþ1 þ Hj PPi þ Hk

where DNA represents the target genomic DNA fragment; HidNTP represents the
triphosphate nucleotide, which can be any of the four bases (dATP, dCTP, dTTP, and
660 C. Tlili et al.

dGTP). The hydrolysis and incorporation of nucleotide result in DNA extension by a


single base represented as DNA+1 and the release of pyrophosphate (HjPPi) and
hydrogen ion (Hk).
For the H+ ion sensing, the device is operated as follows: The ISFET gate (the
floating gate, since there is a lack of resistance path from it) is associated with the
microwells potential in such a way the change of microwell pH due to the release of
the hydrogen ion (H+) modulates the ISFET gate voltage. The ISFET becomes
biased via a row select signal, providing a rise in the column readout’s output
resistance. The ISFET carries as a source follower; thus, the source potential is
similar to the gate potential with an offset ascribed to the ISFET threshold. At this
configuration, the ISFET and the current source both possess a high output resistance
at their drain; hence, a constant current is maintained independently of the gate
potential. The ISFET body is held at a constant voltage. The gain is slightly
attenuated via the body effect, and the pH-ISFETs array is cycled successively by
a read curtain from the chip boundary to the center. The ISFET is located onto a
complementary metal-oxide-semiconductor (CMOS), converting the genetic infor-
mation to a digital signal (Merriman and Rothberg 2012).
Since there is no detectable difference for H+ released from an A, C, G, or T
bases, the four native nucleotides (dNTPs) should be flooded iteratively in multiple
cycles over the chip surface. For example, a single-nucleotide dNTP is introduced to
the well, if there is no change in the baseline voltage; it means that its complementary
nucleotide does not present in the leading template. If a change in the baseline
voltage is detected, that nucleotide has its complementary in the template, and it will
be incorporated into the sequencing primer by DNA polymerase. In case the
template sequence contains homopolymer repeats (a series of nucleotides after
each other), multiple dNTPs will be incorporated in a single cycle. This leads to
multiple times of the baseline voltage corresponding to one single nucleotide
incorporated. Therefore, the homopolymer length identification difficulty mainly
results from the inaccurate measurement of the voltage signal’s magnitude, which is
imperfectly proportional to the number of nucleotides added. Thus, this will reduce
the probability of predicting the correct repeat number in the homopolymer region
for several bases >8.

Third-Generation Sequencing (TGS) by Oxford Nanopore

Second-generation sequencing techniques deal with short DNA fragments after the
subdivision of the target long DNA. However, this route has been surpassed. The
possibility of the whole genome sequencing without breaking it has seen light after
the emergence of the MinION device in 2014 manufactured by Oxford Nanopore
Technology (ONT) (Patel et al. 2018). The idea of DNA sequencing using a
nanopore channel was first raised by David W. Deamer and published in 1996
(Division et al. 1996). The concept of nanopore sequencing is similar to the classical
colter counter; a nanopore chip is placed into a flow cell between two chambers filled
with an ionic buffer. The application of a transmembrane potential will drive the
electrons to migrate from one chamber to the other, hence creating a baseline current
31 Next-Generation DNA Sequencing: Ion Torrent Sequencers Versus Nanopore. . . 661

through the pore. Upon the addition of the target biomolecule, it will be electropho-
retically driven through the pore. The translocation of the biomolecules through the
pore will be translated by current drops appearance in the as-established current
baseline. Firstly, this concept was verified by integrating an α-hemolysin channel
into a lipid bilayer by David W. Deamer and his team (Division et al. 1996).
Moreover, in 2001, Jene A. Golovchenko and his team proposed another approach;
instead of using a bilipid layer and a-haemolysin channel, they have drilled a pore in
a free-standing silicon nitride layer using a focused ion beam. Nanopore sensors are
categorized into two main categories: biological nanopores and synthetic (solid-
state) nanopores.

Platform History

Oxford Nanopore is a start-up founded in 2005 by Dr. Gordon Sanghera, Dr. Spike
Willcocks, and Professor Hagan Bayley. The start-up aimed to bring to the market a
single-molecule sensor based on nanopore technology. MinION was the first product
brought to the market by the company. The beta version was introduced in April
2014 under an early access program called MAP (MinION Access Programme);
around 1000 pieces of MinION were distributed to different labs around the world.
One year later, the final product was officially commercialized and available for
ordering. The launch of the MinION was followed by the lunch of other optimized
and more sophisticated sequencers such as a higher-throughput device in 2017
named GridION. This device is containing one to five flow cells and a throughput
data generation of up to 150 Gb. PromethION48 was launched in 2016; it is the most
sophisticated device so far. This is an ultrahigh-throughput device with 8 Tb data
generation and real-time data analysis from 48 flow cells. And lately, Flongle was
launched in 2019; it is a miniaturized sequencer compatible with MinION and
GridION. It has a 2 Gb throughput capacity (Technology Oxford Nanopore 2020).
The details of all the products are summarized in Table 2.
MinION has gained so much interest, mainly because it has reduced the
sequencing time from days with the second-generation sequencing techniques to
only a few hours and because of its well-studied features. It is the most

Table 2 ONT devices features


Number of Throughput Read length Run Cost Price
Device flow cells data (Gb) (per flowcell) time ($/Gb) ($)
MinION 1 50 >2 Mb 1 min– 90–9.5 1000
72 h
GridION 1–5 250 >2 Mb 1 min– 90–9.5 49,955
72 h
PromethION
24 1–24 5000 >2 Mb 1 min– 2–16 195,455
48 1–48 10,000 72 h 285,455
Flongle 1 2 >2 Mb 1 min– 90–45 $1460
72 h
662 C. Tlili et al.

miniaturized and cost-effective sequencer on the market so far with a price of


1000$. MinION is a pocket-size device 10  3  2 cm and around 90 g of weight
with a single flow cell. The optimal requirements to run the MinION are a
computer equipped with a USB 3.0 port, 1 Tb internal storage, and 16 Gb of
RAM. The sequencing is performed in a flow cell inside the device. The flow cell
contains 512 sensors controlled using an ASIC circuit. Every sensor contains four
nanopores that make them 2048 nanopore in each device. The nanopores are
activated each one alone; they could not be activated together. According to the
ONT, the MinION could generate an ultra-long read up to 2 Mb base pair and
deliver a 30 Gb reading from one flow cell.

Working Principle

The MinION sequencer is based on Escherichia coli Curlin sigma S-dependent growth
(CsgG) pore (Rang et al. 2018). The single-strain DNA passes through the nanopore,
and each base generates a signal that could be attributed to one base (Fig. 2).
However, when the target DNA molecule is a double-stranded DNA, a protein
called motor protein near the pore will unzip the DNA strands, and one strand will
translocate through the pore each time. This type of reading is called 1D read.
The accuracy of this read is relatively higher than the 2D read. The 2D read enables
the read of the double strains together, the accuracy of the 2D read is 5% higher than the
1D. In 2017 Oxford Nanopore Technology renounced the 2D read and replaced it with
an improved technique called 1D2. This new technique allows, and without any
physical ligation, the sequencing of both the template and its complementary sequence.
This innovative approach has a 97% accuracy compared the 90% with the 1D read
(Rang et al. 2018). Before starting the sequencing, sample preparation steps must be
done on the DNA-containing sample. This step is called library preparation. To make
this procedure more comfortable, ONT has several kits devoted to the library prepara-
tion; kits are available for 1D, and 1D2 reads for DNA, complementary DNA, and RNA

Fig. 2 Working principle of the MinION


31 Next-Generation DNA Sequencing: Ion Torrent Sequencers Versus Nanopore. . . 663

analysis. However, these rapid library preparation kits reduce the time between
collecting data and analyzing them. Once plugged in, the MinION device is run with
software named MinKNOW. The software will collect and treat the data and save it as a
FAST5 file. Finally, further treatments are needed to convert the data into a nucleotide
sequence. This process is named “base calling.” Other than the MinKNOW, which is
provided by the Oxford Nanopore Technology, there is other third-party base-calling
software such as DeepNano, Nanocall, and Chiron.

NSG Applications: Comparison Between Ion Torrent and Oxford


Nanopores

Over the last decade, next-generation sequencing platforms, particularly Ion Torrent
and Oxford Nanopore, have revolutionized almost every corner of the biomedical
sciences. These two platforms are gaining popularity in the marketplace, mainly due
to the use of native oligonucleotides, low cost of sequencing runs, and the possibility
of their daily use without any technical requirements or maintenance. We have
recently seen these two platforms being applied in such applications to comprehend
the cellular functions regulated by genetic code, understand the gene expression, and
identify triggers of certain diseases. In section, we highlighted only a few applica-
tions of Ion Torrent and Oxford Nanopore platforms.

Whole-Genome Sequencing (WGS)

Ion Torrent and Oxford Nanopore platforms are idyllically oriented for whole-
genome sequencing of species of numerous complexities and sizes. Whole-
genome sequencing concerns the read of a total human, eukaryotic, or prokaryotic
genomic sequence. It has been applied for the detection of a wide range of
diseases. WGS opens new paths for de novo genome assembly sequencing, one
of the fundamental steps in genome analysis of novel sequences, and for the
re-sequencing of an old genome where there is a reference to uncover de novo
mutations. For example, the Ion Torrent platform was used as a benchtop to
sequence and identify the genome isolated from the recent outbreak of food-
borne illness caused by Shiga-toxin-producing E. coli O104:H4, centered in
Germany between May and July 2011.
In comparison with 454 GS Junior (Roche) and MiSeq (Illumina), the Ion Torrent
platform generated the highest throughput (80–100 Mb/h) and the shortest runtime
(3 h). However, it produced the shortest reads and had 1.5 indels/100 bases
(homopolymer-associated insertion/deletion errors) (Loman et al. 2012). More
recently, a novel study has been reported for sequencing unknown pathogen genome
by Sequel (Pacbio) and MinIon (Oxford Nanopore), along with PGM (Ion Torrent)
as a reference (Li et al. 2020). Under their working condition, they declared that
Oxford Nanopore MinIon obtained the fastest sequencing with the least cost but with
relatively low accuracy for pathogen identification.
664 C. Tlili et al.

Whole-Exome Sequencing

Exomes refer to the regions of the genome that code for proteins. Exomes include the
30 untranslated and regulatory regions, coding regions of a genome, and other
regions of interest such as miRNA genes. These regions represent only 2% of the
whole genome, and it is where 85% of related disease-causing mutations occur.
Exome sequencing has already proven to be a powerful method that allows the
detection of copy number variations (CNVs), single nucleotide variants (SNVs), and
deletions (indels) or small insertions. It is considered a cost-effective and rapid tool
compared to whole-genome sequencing that permits researchers to identify the genes
implicated in over 6800 rare diseases, including cancer, inherited diseases, and much
more. Ion Torrent sequencing system has been established to identify causative
variants and/or mutations in many genetic disorders. As a result, the Ion Proton
platform showed high accuracy in identifying a single nucleotide variant (SNV)
from the target region with a rapid turnaround time at a low cost (Borsani and
Giacopuzzi 2016). Despite its promising outcomes, systematic SNV sequencing
errors are caused by homopolymer insertion/deletion (Indel) errors. During the
past few years, the Oxford Nanopore sequencing technology opened new paths for
whole-exome sequencing. This method’s disadvantage is that it is time consuming
which becomes in the range 16–48 h compared to the second-generation sequencing
(SGS) techniques (Payne et al. 2020). At the same time, this platform reduced the
limitation related to the throughput. WES remains inefficient to detect disease-
causing mutations in approximately 35% of the cases (Tiwari et al. 2016).

Chromatin Immunoprecipitation Sequencing (ChIP-Seq)

ChIP-Seq represents a targeting sequencing for exploring DNA/protein interactions.


This approach allows rapid determination of the sequence of immunoprecipitated
fragments by chromatin immunoprecipitation (ChIP). This application affords
insight into the chromatin dynamics, the epigenetics alterations, and the transcrip-
tional network associated with diseases. It is based on the use of high-quality and
specific antibodies to capture proteins that interact within DNA-bound protein
complexes or bound to DNA directly followed by protein digestion and sequencing
using the Ion Proton™ platform that allows around four ChIP-Seq reactions per run.
Whereas commercial ChIP-Seq protocols advocate no less than 500 ng as a starting
sample, the ChIP displays relatively small DNA amounts (Cheng et al. 2013). To the
best of our knowledge, the Oxford Nanopore did not yet apply to identify Chip-seq.

Short RNA Sequencing

Small RNAs such as microRNA (miRNA), piwi-interacting RNA (piRNA), and


short interfering RNA (siRNA) play an essential role in gene expression regulation.
Thus, researchers have exploited the power of sequencing to disclose the complexity
31 Next-Generation DNA Sequencing: Ion Torrent Sequencers Versus Nanopore. . . 665

of this small RNA and its implication in developing diseases. Ion PGM, Ion
Proton™, and Ion Torrent™ S5 are chosen as suitable systems for small RNA
sequencing. However, this application is limited by the time set for library prepara-
tion. In a comparative study between SOLiD 5500XL and Ion Torrent PGM for
miRNA profile expression, and that they identified more miRNAs using Ion Torrent
compared to SOLiD however, the latter yields four times more sequences compared
to PGM. It is also revealed that Ion PGM is faster than SOLiD and presents an
accuracy of 89% though it suffers from indel errors (1.5 errors/100 bases) (Branco
et al. 2020). While the Oxford sequencing platform provides a highly specific
alternative for short RNA oligo and miRNA sequencing, yet further accuracy
improvements are needed (Sultan and Kanavarioti 2019).

Summary

Over the last decade, next-generation sequencing platforms, particularly Ion Torrent
and Oxford Nanopores have revolutionized almost every corner of the biomedical
sciences and the rapidly expanding genomic industry. Besides, these two platforms
have enabled fantastic progress in our understanding of genomes, whether human or
eukaryotic. Since they arrived in the marketplace, we have witnessed tremendous
technical improvement in these two technologies accompanied by active competi-
tion between them. To evaluate these two platforms’ advantages and limitations, four
factors can be used for this purpose, including relative turnaround times, per-base
sequencing costs, read lengths, and accuracy. In conclusion, Ion Torrent short-read
sequencing is cost-effective, accurate, and produced up to 600 bp with the least
turnaround time.
Furthermore, the Ion Torrent platform excels at sequencing small DNA and RNA
sequence and characterizing small variants in a population but has reduced ability to
identify larger structural variants, long repetitive elements, assemble genomes de
novo, and re-sequence old genome since short amplified fragments complicate the
task of reconstructing and counting the original genome. In contrast, the Oxford
Nanopore platform offers several advantages over Ion Torrent technology for long-
read sequencing (e.g., de novo assembly, target re-sequencing, etc.). Oxford Nano-
pore technology is a pocket-sized platform that provides long-read sequencing of a
native oligonucleotide, eliminating amplification step, free PCR, and making single-
molecule real-time (SMRT) sequencing possible.

References
Bergveld P (1970) Development of an ion-sensitive solid-state device for neurophysiological
measurements. IEEE Trans Biomed Eng 17(1):70–71
Borsani EDG, Giacopuzzi E (2016) Amplicon-based semiconductor sequencing of human exomes:
performance evaluation and optimization strategies. Hum Genet 135(5):499–511. https://doi.
org/10.1007/s00439-016-1656-8
666 C. Tlili et al.

Branco GP et al (2020) A comparison between SOLiD 5500XL- and Ion Torrent PGM-derived
miRNA expression profiles in two breast cell lines. Genomics Bioinforma 43(2):e20180351
Cheng CS et al (2013) Semiconductor-based DNA sequencing of histone modification states. Nat
Commun 4:1–7. https://doi.org/10.1038/ncomms3672
Division B, Biology C, Cruz S (1996) Characterization of individual polynucleotide molecules
using a membrane channel. Proc Natl Acad Sci U S A 93:13770–13773
Li Y, Ming H, Li B, Yao Y, Yi X, Xue Z (2020) Comparison of third-generation sequencing
approaches to identify viral pathogens under public health emergency conditions. Virus Genes
56(3):288–297. https://doi.org/10.1007/s11262-020-01746-4
Loman NJ et al (2012) Performance comparison of benchtop high-throughput sequencing plat-
forms. Nat Biotechnol 30(5):434–439. https://doi.org/10.1038/nbt.2198
Mardis ER (2008) Next-generation DNA sequencing methods. Annu Rev Genomics Hum Genet 9:
387–402. https://doi.org/10.1146/annurev.genom.9.081307.164359
Maxam AM, Gilbert W (1977) A new method for sequencing DNA. Proc Natl Acad Sci U S A
74(2):560–564
Merriman B, Rothberg JM (2012) Progress in ion torrent semiconductor chip based sequencing.
Electrophoresis 33:3397–3417. https://doi.org/10.1002/elps.201200424
Patel A et al (2018) MinION rapid sequencing: review of potential applications in neurosurgery.
Surg Neurol Int 9:157. https://doi.org/10.4103/sni.sni
Payne A, Holmes N, Clarke T, Munro R, Debebe BJ, Loose M (2020) Readfish enables targeted
nanopore sequencing of gigabase-sized genomes. Nat Biotechnol 39(4):442–450. https://doi.
org/10.1038/s41587-020-00746-x
Rang FJ, Kloosterman WP, De Ridder J (2018) From squiggle to basepair: computational
approaches for improving nanopore sequencing read accuracy. Genome Biol 19(1):90
Ronaghi M, Karamohamed S, Pettersson B, Uhle M (1996) Real-time DNA sequencing using
detection of pyrophosphate release. Anal Biochem 89:84–89
Rothberg JM et al (2011) An integrated semiconductor device enabling non-optical genome
sequencing. Nature 475:3–7. https://doi.org/10.1038/nature10242
Sanger F, Nicklen S (1977) DNA sequencing with chain-terminating. Proc Natl Acad Sci U S A
74(12):5463–5467
Shendure J, Ji H (2008) Next-generation DNA sequencing. Nat Biotechnol 26(10):1135–1145.
https://doi.org/10.1038/nbt1486
Sultan M, Kanavarioti A (2019) Nanopore device-based fingerprinting of RNA oligos and micro-
RNAs enhanced with an Osmium tag. Sci Rep 9:1–18. https://doi.org/10.1038/s41598-019-
50459-8
Technology Oxford Nanopore (2020) www.nanoporetech.com
Tiwari A, Lemke J, Altmueller J, Thiele H, Glaus E (2016) Identification of novel and recurrent
disease-causing mutations in retinal dystrophies using whole exome sequencing (WES): bene-
fits and limitations. PLoS One 8(11):1–17. https://doi.org/10.1371/journal.pone.0158692
Toumazou C et al (2013) Simultaneous DNA amplification and detection using a pH-sensing
semiconductor system. Nat Methods 11:1–8. https://doi.org/10.1038/nmeth.2520
Watson JD, Crick FH (1953) Molecular structure of nucleic acids: a structure for deoxyribose
nucleic acid. Nature 171:737–738
On-Chip Nuclear Magnetic Resonance
32
Jens Anders, Frederik Dreyer, and Daniel Krüger

Contents
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 668
NMR Fundamentals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 669
NMR Spectroscopy versus NMR Relaxometry . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 676
Conventional NMR Hardware . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 678
The NMR-on-a-Chip Approach . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 681
Review of the State-of-the-Art NMR-on-a-Chip Devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 690
Conclusion and Outlook . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 696
References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 697

Abstract
Nuclear magnetic resonance (NMR) is one of the prime modalities for probing
molecular structure in the biomedical context and analyzing bulk material prop-
erties for quality control, food product analysis, and nondestructive testing.
Conventional state-of-the-art NMR spectroscopy systems utilize bulky super-
conducting magnets, have a room-filling size, and cost millions of euros. Over
the past decade, advances in permanent magnet technology have led to the
availability of benchtop NMR spectrometers and even smaller NMR relaxometers
for analyzing bulk material properties and performing immunoassays. With the
availability of these smaller NMR magnets, NMR electronics have entered the
focus of attention as a key component for miniaturized, portable NMR devices.
Here, the on-chip NMR approach, in which all required electronics are realized on
a single integrated circuit, allows for a realization in an ultra-small form factor

J. Anders (*) · F. Dreyer


Institute of Smart Sensors, University of Stuttgart, Stuttgart, Germany
e-mail: jens.anders@iis.uni-stuttgart.de
D. Krüger
Institute of Smart Sensors, University of Stuttgart, Stuttgart, Germany
Harvard University, School of Engineering and Applied Sciences, Cambridge, MA, USA

© Springer Science+Business Media, LLC, part of Springer Nature 2022 667


M. Sawan (ed.), Handbook of Biochips,
https://doi.org/10.1007/978-1-4614-3447-4_23
668 J. Anders et al.

and offers great promise for reducing the overall system cost. In this chapter, a
comprehensive and self-contained overview of the on-chip NMR approach in the
biomedical context will be given. After an introduction into the topic, the physical
origin of the NMR signal will be discussed together with means of exciting and
detecting it. This is followed by a review of conventional NMR electronics,
including its key performance metrics. In the main part of this chapter, the
NMR-on-a-chip approach is introduced, and its advantages and disadvantages
are highlighted. Finally, the chapter is concluded with a summary and an outlook
on the possibility of enhancing the achievable performance of the NMR-on-a-
chip approach with on-chip dynamic nuclear polarization (DNP) capabilities.

Introduction

Methods based on the nuclear magnetic resonance (NMR) effect are arguably among
the most powerful analytical techniques available today. NMR finds widespread use
in a large number of disciplines, including analytical chemistry, biochemistry,
geology, materials science, nondestructive testing (NDT), and medicine. Here, to
name just a few examples, NMR spectroscopy is one of the prime modalities to
investigate the structure and dynamics of molecules (Levitt 2013), and it is becoming
increasingly popular for metabolomics studies (Emwas et al. 2015). Similarly, NMR
relaxometry is an important tool in NDT of polymers (Matzkanin 1989) and is
gaining interest in the field of point-of-care detection of biomolecules (Sun and
Ham 2013).
The immense analytical power of all magnetic resonance (MR) techniques orig-
inates from the close interaction of a nuclear spin with its environment inside a
molecule, which turns NMR into a spectroscopic technique of immense specificity.
However, in order to benefit from this high specificity, NMR systems require large,
highly homogeneous static magnetic fields. Here, state-of-the-art systems utilize
static fields of more than 23 T and a homogeneity better than 0.01 ppm. Such high
field strengths can only be generated using superconducting magnets, turning high-
end NMR systems into room-filling, very costly devices. This, in turn, greatly limits
the potential application range of NMR-based techniques. Since the size, weight, and
cost of classical NMR systems are largely dominated by the magnet, the trend
toward electronics miniaturization, which can, for example, be observed in commu-
nication engineering, did not significantly affect the field of NMR until about ten
years ago. The situation, however, is starting to change with the relatively recent
availability of permanent magnet systems that can produce NMR-compatible field
homogeneities below 1 ppm (Danieli et al. 2013). Since permanent magnets provide
a higher energy density than electromagnets and do not require dedicated cooling
systems, NMR systems based on permanent magnets can be constructed with much
smaller footprints and weights, leading to the availability of commercial benchtop
NMR spectrometers (Bruker AVANCE NEO) and even smaller academic prototypes
of NMR spectrometers and relaxometers (Ha et al. 2014). Additionally, it is
32 On-Chip Nuclear Magnetic Resonance 669

meanwhile a well-established fact in the NMR community (Handwerker and Anders


2016) that highly miniaturized NMR detectors can provide a path toward an
NMR-based study of highly mass-limited samples such as, for example, thin films
and single cells. This, in turn, can bring the advantages of NMR, including, for
example, complete noninvasiveness and a multitude of different intrinsic contrasts to
new application scenarios, including, for example, biofilm detection, prenatal diag-
nostics, and the characterization of microtissues (Grisi et al. 2020). Both the avail-
ability of smaller, lighter, and cheaper magnets and the new application scenarios for
miniaturized NMR detectors have led to the creation of the new research field of
on-chip NMR detection, which is the main topic of this chapter. In this on-chip NMR
detection approach, which is frequently also referred to as the “NMR-on-a-chip”
approach, the NMR detector and/or the NMR transceiver electronics are integrated
into an application-specific integrated circuit (ASIC) to boost the achievable perfor-
mance and/or improve the experimental NMR setup in terms of size, weight, cost,
and flexibility. Broadly speaking, the NMR-on-a-chip approach is used in high-field
NMR applications to improve the achievable performance in NMR experiments on
small, mass-limited samples. In contrast, it is used in low-field NMR to improve the
portability, flexibility, and cost of the setup. Here, low-field NMR refers to field
strengths below approximately 2 T that are accessible with permanent magnet
technology, and high-field NMR refers to all higher field strengths. In this chapter,
the technological details of the NMR-on-a-chip approach will be discussed together
with application scenarios of this new approach, highlighting its advantages and
disadvantages compared to conventional NMR detection systems.
The chapter is organized as follows. For a self-contained treatment of the topic,
the chapter starts with an introduction to the physics of NMR. This discussion is kept
at a level that should allow the reader to understand the origin of the NMR signal and
the resulting requirements and trade-offs and in the design of NMR systems but
refers to NMR-specific literature for more advanced aspects of the topic. This section
is followed by a discussion on conventional NMR hardware, which highlights the
key performance metrics of NMR electronics. After these introductory sections, a
detailed discussion of the NMR-on-a-chip approach is provided, highlighting both
the new possibilities and challenges associated with this new detection method. The
following short discussion of the state-of-the-art illustrates the main advantages of
the NMR-on-a-chip approach in practical realizations. Finally, the chapter is con-
cluded with a summary and an outlook on future research directions in the field of
on-chip NMR.

NMR Fundamentals

Although NMR uses the nuclear spin, an entirely quantum-mechanical entity, as a


sensor, much of NMR can be understood at a semiclassical level. To this end, one
b is always
merely has to accept the fact that the quantum mechanical spin operator, I,
associated with a magnetic moment operator b μ and an angular momentum operator Lb
according to:
670 J. Anders et al.

μ ¼ γ  ħ  Ib ¼ γ  L,
b b ð1Þ

where ħ is the reduced Planck constant and γ is the gyromagnetic ratio of the nucleus
under investigation. From classical physics, it is known that the interaction energy of
! ! ! !
a magnetic dipole μ with an external magnetic field B 0 is given by Emag ¼ μ  B 0.
Similarly, the interaction energy of the magnetic moment of an isolated spin with an
applied external field, the so-called spin Hamiltonian H bspin is given by:

!
bspin ¼ b
H μ  B0: ð2Þ
!
In NMR, w.l.o.g., the externally applied field B 0 is assumed to point in the
z-direction of a Cartesian coordinate system, such that Eq. (2) simplifies to:

Hbspin ¼ b
μ z  B0 , ð3Þ
!
where B0 is the magnitude of the vector B 0 and b
μz is the z-component of the magnetic
moment operator. According to the laws of quantum mechanics, only eigenvalues of
operators are observable. Therefore, the observable energy states of a spin inside an
applied magnetic field are the eigenvalues of this spin Hamiltonian H bspin, which can
be found by solving the time-independent Schrödinger equation. Here, for a spin-
half particle, the eigenfunctions and eigenvalues obey the following equation:

ħγB0
Hbspin ji ¼  ji, ð4Þ
2
where |i are the eigenfunctions corresponding to the eigenvalues ħγB0/2. Here,
the eigenfunction |þi is typically referred to as “spin up” and the eigenfunction |i
as “spin down.” For those who are not too familiar with quantum mechanics, one can
qualitatively summarize the behavior of a spin inside an applied magnetic field as
follows: Due to the interaction of the magnetic moment associated with the spin,
there is an energy difference between the two observable spin states, |i, which
linearly grows with the strength of the applied magnetic field according to:

ΔE ¼ ħγB0 : ð5Þ

This so-called Zeeman splitting is graphically illustrated in Fig. 1. Without an


applied magnetic field B0, the spin magnetic moments are randomly oriented due to
the thermal energy kT, resulting in no net magnetization in the sample, cf. Fig. 1.
When a nonzero magnetic field B0 is applied, the Zeeman splitting produces a
(small) energy splitting between the “spin up” and “spin down” states. This, in
! !
turn, produces a small net magnetization B tot parallel to B 0 . To give the reader a
feeling for the net amount of net magnetization, one can consider protons, which
have the largest gyromagnetic ratio of all nuclei (γ1H ≈ 2π  42 MHz), at a medium-
valued field strength of B0 ¼ 1T, resulting in an energy difference of ΔE,1H, 1T
32 On-Chip Nuclear Magnetic Resonance 671

energy energy
higher energy level with lower population:
B0 = 0 B0 ≠ 0 γ>0: spins parallel to B0
γ<0: spins antiparallel to B0

a single (degenerated)
B0
energy level causes a hν
random alignment of the magnetic field B0
spins due to their thermal photons drive spins to
is switched on
energy kT higher energy spin state
if their energy mathces
the condition
hν = ΔE = hγ/(2π)B0

interaction with the


magnetic field breaks the net magnetization
degeneration of the perpendicular to B0
lower energy level with larger population: is produced
energy level
γ>0: spins antiparallel to B0
γ<0: spins parallel to B0

magnetic field
strength B0

Fig. 1 Illustration of the behavior of an ensemble of spins inside an externally applied magnetic
field

¼ 1.8  104meV, which is tiny compared to the thermal energy at room temperature of
kT|@RT ¼ 26 meV. Since the occupation of the energy levels by the spins follows
Boltzmann statistics, the normalized population difference between the two energy
states is approximately given by:

N þ  N  N þ  N  ΔE γħB0
¼  ¼ , ð6Þ
Nþ þ N N 2kT 2kT
where N+ and N– are the populations of the lower and the higher energy states,
respectively, and N is the total number of spins, all per unit volume. Therefore, the
normalized population difference of the higher and lower energy states for protons
at room temperature is as small as 3.4  106, that is, only one in a million spins on
average contributes to the net NMR signal. This is the reason why, since its
discovery in 1947, NMR is fighting its intrinsically poor sensitivity. The applied
magnetic field can now be associated with a net magnetization according to
M0 ¼ χ NMR  H0 ¼ χ NMR/μ0  B0, where μ0 is the vacuum permeability and χ NMR
is the nuclear susceptibility of the sample, which, for a spin-half particle, such as
protons, can be calculated to be:

γ 2 ħ2
χ NMR ¼ μ0   N, ð7Þ
4kT
where μ0 is the vacuum permeability, γ is the gyromagnetic ratio, ħ is the reduced
Planck constant, k is the Boltzmann constant, T is the absolute temperature, and N is
the spin density in the sample.
Having established how a static externally applied magnetic field can produce a
net sample magnetization, it is instructive to see how this sample magnetization can
be manipulated using additional, radio frequency (RF) magnetic fields. To this end,
! !
one can recall that a magnetic field B exerts a torque τ on a magnetic dipole
! ! !
according to τ ¼ μ  B. Similarly, one can apply a torque on the magnetic moment
!
associated with a spin according to bτ ¼ bμ  B . Then, by recalling that torque is the
672 J. Anders et al.

time derivative of angular momentum, one can obtain an equation of motion for the
magnetic moment of an isolated spin, which is given by:
 !
μ
db
¼γ bμB : ð8Þ
dt
When considering only uncoupled spins, Eq. (8) translates into an equation of
!
motion for the net magnetization Mtot given by:

! ! !
dMtot
¼ γ  Mtot  B : ð9Þ
dt
!
When moved away from its equilibrium position, the net magnetization Mtot
relaxes back to its equilibrium value. This process can approximately be described
with two time constants T1 and T2. Here, T1 models longitudinal relaxation, that is,
the restauration of the z-component of the net magnetization, and T2 models trans-
versal relaxation, that is, the decrease of transversal magnetization in the xy-plane
back to zero. The two relaxation terms can be added to Eq. (9) according to:

! ! !
dMtot M ! My ! M  M0 !
¼ γ  Mtot  B  x  e x   ey  z  e z, ð10Þ
dt T2 T2 T1
!
where M0 is the equilibrium magnitude of the magnetization Mtot along the z-axis;
! !
Mx, My, Mz are the x-, y-, and z-components of the magnetization vector Mtot; and e x,
! !
e y , e z are unit vectors along the x-, y-, and z-directions. The solution of Eq. (10) is
graphically displayed for different applied B-fields. Here, Fig. 2a shows the so-called
free precession of the net magnetization around a static field B0 with a frequency of
! !
ωL ¼  γ  B0, when there is an angle θ between Mtot and B 0 . The precession
frequency ωL is called Larmor frequency. The Larmor frequency is nucleus-specific
due to its dependence on the gyromagnetic ratio γ. Figure 2b illustrates how such an
! !
angle θ can be produced by applying an RF magnetic field B 1 perpendicular to B 0 ,

B0 B0 B0

θ
θ

B1
(a) (b) (c)

Fig. 2 Precession of the net sample magnetization around a static magnetic field B0, (b) excitation
of the net magnetization by an applied RF magnetic field, B1, perpendicular to B0, and (c) relaxation
of the net magnetization after the pulsed excitation
32 On-Chip Nuclear Magnetic Resonance 673

! ! !
for example, along the x-axis according to B 1 ðtÞ ¼ 2B 1  cos ðωRF tÞ  e x, where the
frequency ωRF has to be chosen sufficiently close to the Larmor frequency ωL in
order to produce an efficient nutation of the net magnetization away from its
equilibrium position along the z-axis. Once tilted away from the z-axis,! thenet
!
magnetization starts precessing around the z-axis due to the term γ  Mtot  B in
Eq. (10). The precessing magnetization can be detected using a coil in the xy-plane
since the precessing magnetization produces a time-varying magnetic flux through
said coil, which, in turn, induces an electromotive force at the precession frequency.
The simplest conceivable NMR experiments, therefore, start with applying an
! !
oscillating magnetic field B 1 ðtÞ ¼ 2Bb1  cos ðωL tÞ  e x at the Larmor frequency of
the nuclei under consideration until a sufficient nutation angle θ with a
corresponding transversal magnetization component has been produced. Then, the
B1-field is switched off, and, successively, the electromotive force, which is induced
in a suitable pickup coil, is detected, cf. Fig. 3a. This technique is called pulsed
NMR. The angle θ in pulsed NMR after a certain duration of the excitation signal
!
(pulse duration) τp and applying a linearly polarized B1-field B 1 ðtÞ ¼ 2Bb1 
!
cos ðωL tÞ  e x is approximately given by:

θ  γ  Bb1  τp : ð11Þ

The corresponding time-waveform induced in the coil is called a free induction


decay (FID). An example FID for a sample containing nuclei with only a single joint
Larmor frequency is shown in Fig. 3b.
After this brief introduction to the physics underlying the NMR signal, we will
provide a short review of inductive NMR detection, including the achievable signal-
to-noise ratio (SNR). According to Fig. 3, the precessing transversal magnetization
after an excitation pulse can be picked up by a coil in the xy-plane. The precessing

B0 1

0.5
detection
Amplitude [a.u.]

coil
0

θ
-0.5

-1
0 2 4 6 8 10
Time [a.u.]
(a) (b)

Fig. 3 (a) Detection of the transversal magnetization after a pulsed excitation using a pickup coil in
the xy-plane, and (b) the corresponding free induction decay (FID)
674 J. Anders et al.

sample magnetization induces an electromotive force (emf) in said coil due to the
time-varying magnetic flux through it. The time trace of this emf, vemf(t), can best be
computed using the so-called reciprocity principle (Hoult 2011) and is given by:
ð    ! 
d ! ! !
vemf ðtÞ ¼  B u r s  M r s , t dV s , ð12Þ
V S dt

! ! 
where B u r s is the so-called unitary magnetic field of the detection coil, that is, the
! ! 
field produced by the coil normalized to the current running through it, M r s , t is
the sample magnetization, and Vs is the sample volume.
In practice, the emf of Eq. (12) is corrupted by additive noise n(t) such that the
total signal picked up by the coil, s(t), can be written as:

sðtÞ ¼ vemf ðtÞ þ nðtÞ: ð13Þ

Depending on the coil size, the additive noise is either dominated by sample noise
originating from the (complex) sample conductivity or the coil resistance. While in
magnetic resonance imaging (MRI), the former noise typically dominates, in NMR
spectroscopy, especially on small sample volumes, the coil noise is usually larger.
The power spectral density of the coil noise, Sn,n(ω), and the corresponding rms
value, nrms, are given by:
pffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi
Sn,n ðωÞ ¼ 4kT coil Rcoil , nrms ¼ 4kTRcoil Δf , ð14Þ

where k is the Boltzmann constant, Tcoil is the coil temperature, Rcoil is the coil
resistance, and Δf is the equivalent detection bandwidth.
According to Eqs. (10) and (12), and assuming a homogeneous equilibrium
sample magnetization, M0, and a Bu-field of the detection coil, Bu0 , which is
homogeneous over the entire sample volume Vs, the emf after a 90° excitation
pulse is approximately given by:

Tt
vemf ðtÞ  ωL M0 V s Bu  cos ðωL tÞ  e 2 , ð15Þ

where ωL ¼  γ  B0 is the Larmor frequency and T2 is the transversal relaxation


time of the sample. From Eqs. (14) and (15), assuming a detection bandwidth of
Δ f ¼ 1 Hz, the time domain SNR at the beginning of the FID can be computed
according to:

vbemf γB0 M0 V s Bu
SNRt ¼ pffiffiffiffiffiffiffiffiffiffiffiffiffiffi ¼ pffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi
ffi: ð16Þ
Sn,n ðωÞ 4kT coil Rcoil

For a given sample volume Vs, the SNR is maximized by maximizing the
detection coil’s unitary magnetic field. This can be accomplished by maximizing
the fill factor of the coil, that is, by choosing a coil that tightly fits around the sample,
32 On-Chip Nuclear Magnetic Resonance 675

while providing sufficient homogeneity in the produced B1-field. Moreover, it is


clear from Eq. (16) that a larger gyromagnetic ratio of the nucleus under investiga-
tion improves the resulting SNR. Finally, the coil noise can be minimized by
minimizing the coil’s series resistance via a geometry optimization and/or cooling.
Equation 16 can be used to define the limit of detection of an NMR coil, Nmin, as
the minimum number of spins needed to provide a certain target SNR α in 1 s of
measurement time according to:

N spins
N min ¼ α  , ð17Þ
SNRt
where Nspins is the absolute number of spins inside the sample that lead to the SNR
value of SNRt. Here, frequently, α is chosen equal to one. It is important to keep in
mind that smaller numbers of Nmin correspond to better detectors. In general, Nmin
improves with scaling down the dimensions of the detection coil due to the
corresponding increase in Bu. This renders miniaturized detectors the ideal choice
for so-called spin or mass limited samples, that is, sample that contain a limited
absolute number of spins such as single cells or substances that are hard or expensive
to synthesize. A second important measure to characterize an NMR coil is its
concentration sensitivity defined as the minimum sample concentration to achieve
a certain target SNR α according to:

Csample N spins
Cmin ¼ α  ¼ , ð18Þ
SNRt V sample  SNRt

where Csample is the sample concentration that leads to an SNR value of SNRt, and,
again, α was assumed to be one. The concentration sensitivity is important when
trying to detect concentration-limited samples such as, for example, metabolites or
active pharmaceutical ingredients (APIs). The concentration sensitivity can be
related to the spin sensitivity according to:

N min
Cmin ¼ : ð19Þ
V sample

Therefore, the concentration sensitivity can be optimized by maximizing the


detector volume. From the above discussion, it should become clear that, depending
on the target application, NMR coils can be improved for mass-limited samples by
coil miniaturization and for concentration-limited samples by maximally increasing
the detection volume for a given operating frequency and homogeneous region of
the B0-field inside the magnet at hand.
The main point of the discussion so far was to introduce the reader to the physics
underlying an NMR experiment, including the standard NMR terminology and
explain the most important building blocks of a simple NMR experiment. In the
following section, a brief overview of the two important NMR techniques of NMR
spectroscopy and NMR relaxometry will be given.
676 J. Anders et al.

NMR Spectroscopy versus NMR Relaxometry

Both NMR spectroscopy and relaxometry measure the spin-induced sample magne-
tization described in the previous section. The major high-level difference is that
NMR spectroscopy provides chemically specific information about different parts of
a molecule, while NMR relaxometry gives information about bulk properties of the
sample under investigation. The more localized information provided by NMR
spectroscopy comes at the expense of greatly higher requirements on the homoge-
neity of the B0-field. As mentioned in the introduction, state-of-the-art NMR spec-
troscopy magnets provide homogeneities in the range of 1 ppb to resolve even the
finest couplings of the spin inside a molecule. In contrast, NMR relaxometry systems
can easily tolerate homogeneities of a few hundred ppm.
In the following, the basic methods for exciting and extracting NMR spectros-
copy and relaxometry data from a sample under investigation will be described.
NMR draws its immense analytical power from the fact that even if only a single
type of nucleus, most commonly 1H nuclei, that is, protons, is investigated, the
Larmor frequency of the nucleus under investigation is affected by small differences
in its environment, turning the spin of the nucleus into a nanoscopic probe of its
molecular environment. Here, the most important shifts in the resonance frequency
are due to the so-called chemical shifts, that is, small changes in the effective
magnetic field seen by the nucleus due to different electronic configurations of
neighboring atoms in the molecule. This is illustrated in Fig. 4a, b, where an ethanol
molecule is shown together with its simplified 1H NMR spectrum, including only the
chemical shifts to the three different groups of protons, which see different effective
B0-fields due to their different environments in the molecule. The spectrum can be
obtained from the FID after a pulsed excitation according to the previous section by
means of a simple 1D-Fourier transform. Fig. 4c then shows how the resonance lines

1 H 2H 3 1 1
H
H C C O

H H
2 2
3 3

7 6 5 4 3 2 1 0 -1 7 6 5 4 3 2 1 0 -1
Chemical Shift (ppm) Chemical Shift (ppm)
(a) (b) (c)

Fig. 4 (a) Structural formula and ball-and-stick model of an ethanol molecule, (b) simplified 1H
NMR spectrum of ethanol showing the chemical shift peaks only, and (c) 1H NMR spectrum of
ethanol including the splitting of the chemically shifted peaks due to J coupling
32 On-Chip Nuclear Magnetic Resonance 677

due to the chemical shift are further split into sub-spectra due to the so-called J
coupling between the magnetic moments of different spins in the molecule. Overall,
the multitude of couplings of a spin inside a molecule of interest that are visible in its
NMR spectrum turn the NMR spectrum into a highly specific fingerprint of said
molecule and NMR spectroscopy into a spectroscopic technique of an unmatched,
ultra-high specificity. Given the scope of this chapter, for further details of the rich
physics behind NMR spectra, the interested reader is referred to the plethora of
literature on this topic (Keeler 2011; Levitt 2013; Blümich 2019).
As mentioned at the beginning of this section, NMR relaxometry or time domain
(TD) NMR systems impose much less stringent requirements on the B0-field homo-
geneity due to the way the bulk relaxation parameters of the material under inves-
tigation are extracted from the sample (Blümich and Singh 2018). Typically,
TD-NMR experiments use the Carr-Purcell-Meiboom-Gill (CPMG) pulse sequence,
which allows extracting the distribution of transversal relaxation times (T2 times)
from the sample by an inverse Laplace transform from the measured relaxation
signal even in the presence of a largely inhomogeneous B0-field (Blümich and Singh
2018), cf. Fig. 5. TD-NMR with tabletop instruments is widely used by the food
industry to measure, for example, the solid-fat content and droplet size distributions
in foods (Blümich and Singh 2018). Moreover, over the past 10 years, a number of
very compact relaxometry systems have been presented in the literature, which are
targeting applications in the field of biological/chemical lab-on-a-chip assays. These
systems present a viable alternative to the currently market-dominating optically
detected enzyme-linked immunosorbent assays (ELISA). However, as discussed and
demonstrated in Lei et al. (2016), the same portable relaxometry systems can also be
used for protein state analysis and measuring solvent-polymer dynamics, rendering
the relaxometry systems useful for healthcare, food, and colloidal applications.
For the application of molecule detection, the target molecules are labeled with
functionalized magnetic nanoparticles (MNPs) as NMR active probes, which sig-
nificantly change the spin-spin relaxation time T2 of the sample upon binding. In this
way, the NMR-relaxometry based assay presents a quasi-label-free detection scheme

1 1
multi-echo decay inverse Laplace
Amplitude [a.u.]

0.75 s(t)=∫S(1/T2) exp(-t/T2) d(1/T2) 0.75 transformation


Population

0.5 0.5

0.25 0.25

0 0
0 2 4 6 8 10 12 14 -4 -2 0 2 4
Time [a.u.] log(T2,norm)
(a) (b)

Fig. 5 (a) Relaxation decay of the sample magnetization when excited with a CPMG pulse train
and (b) the corresponding inverse Laplace transform revealing mostly two ensembles with different
transversal relaxation times contained in the sample
678 J. Anders et al.

that can cater to a broad range of unprocessed biological targets such as DNA
(Josephson et al. 2001) and proteins (Perez et al. 2002). The NMR relaxometry
systems presented in the literature clearly demonstrate the power of the NMR-on-a-
chip approach that allows for an ultra-compact and energy-efficient realization of the
entire relaxometer electronics. Moreover, the use of advanced IC technologies
allows for the co-integration of auxiliary functionalities such as on-chip magnetom-
eters, on-chip heaters, and temperature sensors.

Conventional NMR Hardware

As already mentioned several times throughout this chapter, NMR’s greatest strength
is its specificity, whereas its biggest weakness is its poor sensitivity. Therefore, it
should come as no surprise that the foremost goal in the design of an NMR detector
is to maximize the SNR for a given sample volume, and a good NMR receiver
should preserve this SNR as much as possible, that is, display the smallest achiev-
able noise figure. In contrast to, for example, receivers for mobile communication
applications, power consumption is typically a minor concern since conventional
NMR electronics are virtually always supplied from a power cord. Depending on the
application, receiver linearity can be an important aspect, and this topic will be
revisited after the following discussion on receiver noise.
Figure 6 shows the block diagram of a conventional NMR receiver chain
consisting of an NMR detection coil, a tuning and matching network followed by
a low noise amplifier (LNA), a (quadrature) downconversion mixer, an intermediate
frequency (IF) amplifier, and an analog-to-digital converter (ADC). Here, the
so-called NMR probe head containing the NMR coil and the tuning and matching
network resides inside the magnet that produces the large B0-field and are connected
to the electronics outside the magnet with an impedance matched, typically 50 Ω,
transmission line (TRL) in order to avoid reflections between the probe head and the
electronics.
From an SNR point of view, at point [1] in Fig. 6, one can observe the intrinsic
SNR of the NMR coil, cf. Eq. (16). According to the discussion above, the intrinsic
coil SNR can be maximized for a given sample by increasing the fill factor as much
as possible. Interestingly, for a fixed fill factor, the coil geometry has a minor
influence on the intrinsic SNR, that is, both a high-Q coil with few turns and a

Inside magnet bore Outside magnet bore


NMR probe head Mixer IF amplifier ADC
Cmatch
2
LNA
Ldet Rdet 3 TRL
Ctune
vnoise vNMR
1 3 Mixer IF amplifier ADC

2
Cmatch

Fig. 6 lock level diagram of a conventional NMR receiver chain


32 On-Chip Nuclear Magnetic Resonance 679

medium-Q coil with many turns display similar intrinsic SNR values (Handwerker
and Anders 2016). To achieve matching to a certain target impedance Rs, assuming a
coil with a Q factor much greater than one, that is, Q  1, the tuning and matching
capacitors Ctune and Cmatch in Fig. 6 should be chosen according to:
 rffiffiffiffiffiffiffiffi rffiffiffiffiffiffiffiffi
Rdet Rdet
Ctune  1  C0 , Cmatch  2   C0 , ð20Þ
Rs Rs

where Rdet is the effective resistance of the NMR coil, Rs is the desired source
resistance, that is, the characteristic impedance of the TRL, and C0 ¼ 1/(ω2Ldet) with
the desired operating frequency ω and the inductance of the NMR coil Ldet. From
Eq. (20) one can see that for a small ratio of Rdet/Rs, which is typically the case for
conventional NMR coils, which have a resistance Rdet < 1 Ω, and the usual choice of
Rs ¼ 50 Ω, the tuning capacitor essentially forms a parallel resonant LC tank.
Therefore, both the NMR signal and the noise level are amplified by the coil’s
quality factor, while, assuming a lossless capacitor, the SNR is preserved. We will
come back to this important observation in the following section on NMR-on-a-chip
receivers. In the classical NMR receiver chain of Fig. 6, the matching capacitor again
lowers both the noise and signal levels, producing an effective output impedance of
Rs with the corresponding (voltage) noise power spectral density of 4kTRs. In the
impedance matched environment, the TRL’s noise figure equals its loss, and the
subsequent LNA is a commercial 50 Ω LNA, which can achieve outstanding noise
figures below 0.5 dB. Assuming sufficient gain in the LNA, the following stages
(mixer, IF amplifier, and ADC) have a negligible contribution to the overall system
noise figure. Overall, the conventional receiver noise chain of Fig. 6 offers an
excellent noise performance as required by the demanding NMR application. How-
ever, as will be discussed in great detail in the following section, the remote location
of the receiver electronics outside the bore of the magnet and the resulting necessity
for an impedance matching somewhat limits the flexibility of the resulting receive
chain. To name just one example, the 50 Ω impedance matched probe head together
with the stringent noise requirements make the design of broadband receive chains
somewhat difficult. Therefore, NMR experiments on different nuclei with the same
detection coil typically require multi-tuned coils (Davoodi et al. 2019).
Linearity requirements in the receive chain occur, for example, due to the
concentration differences of different components of the sample. These concentra-
tion differences lead to largely different peak heights in the resulting spectrum that
need to be faithfully processed by the receiver electronics. An extreme case for
different concentrations is the so-called solvent peak for samples in aqueous solu-
tions. Here, the peak due to the water protons can be many orders of magnitude
larger than the small satellite peaks of the actual sample under investigation. This can
lead to a situation that is similar to a blocker scenario in receivers for communica-
tions, resulting in desensitization, or even complete blocking of the receive chain for
the desired signals. Methods to circumvent this problem in NMR include the use of
deuterated solvents, dedicated pulse sequences to suppress the undesired solvent
peak, and postprocessing method. Since the latter approach requires a faithful
680 J. Anders et al.

Fig. 7 Conventional NMR Cmatch Pin


transmitter with a remotely Z0 Pout
located PA that is connected to Icoil
the matched probe head via an Lcoil PA
impedance matched TRL Zout=Z0
Vex
Ctune Z0
Rcoil

Cmatch

representation of the entire NMR spectrum, conventional NMR receivers typically


provide an end-to-end dynamic range, including the ADC, of 16 bit.
The transmit path in an NMR system serves the purpose of generating the B1-field
that excites the NMR signal by tilting the net magnetization away from its equilibrium
orientation along the z-axis. Similar to the receive electronics, the power amplifier
(PA) that produces the large required current levels in the NMR coil is located outside
the bore of the magnet requiring an impedance-matched connection with a TRL,
cf. Fig. 7. The required B1-fields depend on the desired flip angle, the nucleus under
investigation and the permissible pulse duration, cf. Eq. (11). Recent NMR spectros-
copy applications require 90° pulse lengths below 1 μs even for low-γ nuclei such as
13
C. This translates into required B1-fields of more than 20 mT. The actual required
current in the NMR coil and, thus, the power that needs to be delivered by the PA
depends on the geometry of the NMR coil. Since conventional, high-resolution NMR
coils are frequently realized as single-turn saddle coils that produce fairly low B1-fields
for a given current, conventional NMR PAs for high-end spectrometer applications can
produce more than a kilowatt of output power. Moreover, since advanced NMR pulse
sequences require an optimum shaping of both the pulse amplitude and phase, these
large output power levels are produced with linear PA topologies.
Similar to the receive case, state-of-the-art conventional NMR transmitters dis-
play an outstanding performance. However, their remote location outside the bore of
the magnet requires an impedance matching that reduces the degrees of freedom for
the designer, typically limiting the achievable energy efficiency.
Having separately investigated the conventional NMR transmit and receive
chains, it remains to discuss how they are combined to form a complete NMR
transceiver system. Here, mainly two different solutions exist. In the first approach,
two separate coils are used for the transmit and receive paths. Apart from requiring
more space inside the bore of the magnet, in this approach, the geometrical
decoupling between the transmit and receive coil has to be optimized in order to
avoid saturation or even damage of the receive electronics during the transmit pulse.
Since it is hard to achieve a geometrical decoupling better than 30–40 dB, this
approach requires an additional electrical decoupling, for example, by detuning the
receive coil during the transmit pulse. The second approach uses the same coil for
both transmit and receive. While being conceptually much simpler, here, the LNA
needs to be sufficiently isolated from the PA during transmit to avoid damaging it. A
32 On-Chip Nuclear Magnetic Resonance 681

Fig. 8 Classical frontend for LNA


a TX/RX NMR coil that Z0
separates the LNA from the L =l vout
Z0 4
PA during transmit using a NMR probe
quarter-wave (λ/4) D1 D2 D3 D4
transformer Z0
Pin
PA

classical architecture that achieves this required isolation without lossy decoupling
switches in the receive path, which could spoil the noise performance, is shown in
Fig. 8. During transmit, diodes D1 and D2 conduct, passing the PA output power to
the NMR probe. The LNA input is protected by diodes D3 and D4, which, when
conducting, provide a short that is transformed by the λ/4 transformer into an open
circuit. During receive, all diodes D1 through D4 are non-conducting and therefore
introduce no extra noise into the system.
Overall, conventional state-of-the-art NMR transceivers are very powerful instru-
ments that allow for great flexibility in modern NMR experiments. However, their
large size, weight, power consumption, and cost are the bottlenecks that prevent
NMR from turning into a widely used method. Therefore, after this brief review of
conventional NMR instrumentation, in the next section, we will investigate how the
possibility of placing transceivers inside the magnet, directly next to the NMR coils
introduces additional degrees of freedom that can be used to improve the perfor-
mance and/or the size and cost of the system.

The NMR-on-a-Chip Approach

In this section, the NMR-on-a-chip approach, which has recently gained significant
attention in the NMR community, is discussed in detail. Before elaborating on the
major advantages and disadvantages of this approach, first, its main features will be
outlined and contrasted against conventional NMR detection. To this end, Fig. 9
shows the block diagram of a typical NMR-on-a-chip system. The basic architecture
is identical to the conventional NMR system of Figs. 6 and 7. However, in contrast to
the conventional arrangement of Figs. 6 and 7, most of the electronics are placed on
an ASIC that is small enough to reside on the NMR probe head inside the bore of the
NMR magnet. that is, exposed to an elevated magnetic field of a few Tesla.
Therefore, the electronics need to display a high immunity against magnetic effects
such as the Hall effect or magnetoresistive effect that can significantly change the
circuit behavior. Here, especially the Hall effect can play a major role in in-field
integrated circuits if not taken into account properly. This problem is exacerbated in
technologies that have a large carrier mobility such as III/V-semiconductors. How-
ever, the problem is greatly mitigated by the use of differential architectures, which
provide a circuit-level, first-order cancellation of common-mode effects, and
682 J. Anders et al.

appropriate layout measures such as common-centroid techniques, which improve


the immunity against common-mode effects in the physical chip realization. With
these measures, integrated circuits become sufficiently robust for operation up to the
highest available NMR field strengths (Handwerker et al. 2020). This, in turn, allows
for very close proximity between the transceiver electronics and the NMR coil,
removing the strict need for an impedance matched connection between the coil and
the RF frontend of the transceiver. The electrically short connection allows for
significantly higher flexibility in the design of both the receiver and the transmitter
RF frontend that can be used to improve system performance.
Overall, the additional design freedom associated with the miniaturized realiza-
tion and the specific features of the NMR-on-a-chip approach lead to a number of
advantages compared to conventional NMR transceivers, which will subsequently
be discussed one by one.
The high degree of miniaturization of a CMOS transceiver chip allows for the
placement of the complete transceiver inside the bore of the magnet in very close
proximity of the NMR coil. In the RX path, this close proximity can be used to omit
the conventional 50 Ω connection between coil and LNA, resulting in the configu-
ration of Fig. 10. According to the figure, the high-impedance (CMOS) LNA can
either be directly connected to the NMR coil or, alternatively, a tuning capacitor
Ctune can be used to improve noise performance according to the discussion below. If
this capacitor is made electronically tunable, the resulting NMR frontend presents a

Fig. 9 Block level diagram of a typical NMR-on-a-chip system

Fig. 10 Illustration of an Ztuned


in-field NMR receiver
frontend using a high- LNMR
impedance CMOS LNA CMOS
LNA
RNMR Ctune vin
vout

vNMR
ZLNA

Optional tuning capacitor


32 On-Chip Nuclear Magnetic Resonance 683

very low-noise, yet low-complexity solution for X-nuclei NMR experiments, that is,
NMR experiments on different nuclei with their different gyromagnetic ratios.
As mentioned above, the most important specification of an NMR receiver is its
noise figure, NFreceiver, which determines the SNR degradation of the SNR at the
receiver output, SNRout, compared to the intrinsic coil SNR, SNRcoil, according to:
 
SNRcoil
NFreceiver ¼ 10  log : ð21Þ
SNRout

Since, according to Friis equation, for a well-designed LNA with sufficient gain,
the noise figure is purely determined by the noise figure of the LNA, NFLNA, in the
following, the achievable noise figure of the frontend of Fig. 10 will be investigated
in detail.
In order to analyze the noise performance achievable with CMOS in-field NMR
receivers, one can use the noise model of a MOS transistor in common source
configuration, according to Fig. 11a. An appropriate small signal transistor noise
model, which is valid for the NMR frequency range up to approximately 1 GHz, is
shown in Fig. 11b, where CGS is the gate-source capacitance, Gm is the gate
transconductance, VG is the transistor gate voltage, and ΔInG and ΔInD are the
induced gate and drain noise of the transistor, cf. Enz and Vittoz (2006). Ignoring
short channel effects, the power spectral density (PSD) of the induced gate noise is
given by:

ðωCGS Þ2
SΔInG ¼ 4kT  βnG  , ð22Þ
Gm
where k is the Boltzmann constant, T is absolute temperature, and, for a device in
strong inversion and saturation, βnG is approximately given by βnG ≈ 0.2 (Enz and
Vittoz 2006). Similarly, the PSD of the drain noise current can be written as:

SΔInD ¼ 4kT  γ nD  Gm , ð23Þ

where γnD is the excess noise factor, which for a device in strong inversion and
saturation is approximately given by γnD ≈ 1. Importantly, the drain noise and induced
gate noise currents are correlated with a correlation coefficient given by

Vn
I1 I2 I1 I2 I1 I2
Gm·VG CMOS
ΔInG ΔInD In common
V1 V2 V1 VGS V2 V1 V2
CGS source
LNA

(a) (b) (c)

Fig. 11 (a) Two-port network representation of a MOS transistor in common-source configuration,


(b) corresponding small signal noise model, and (c) equivalent model consisting of a noise-free
two-port network and input referred noise sources
684 J. Anders et al.

ρGD ≈ j  cg ¼ j  0.4 (Enz and Vittoz 2006). From the noise model of Fig. 11b, one can
compute the equivalent input-referred noise sources of Fig. 11c. More specifically,
the
 power   densities, SV n and SIn , and the correlation admittance Y C ¼
 spectral
E I n  V n =E V 2n are related to the MOSFET parameters of Fig. 11b according to:

SV n ðωÞ ¼ 4kT  Rν ðωÞ, SIn ðωÞ ¼ 4kT  Gi ðωÞ, Y C ¼ GC þ jBC , ð24Þ

With

γ nD
Rν ¼ , ð25Þ
Gm
rffiffiffiffiffiffiffi
γ nD β βnG
Gi ¼ ðωCGS Þ2   1 þ nG  2  cg  , ð26Þ
Gm γ nG γ nD

GC ¼ 0, ð27Þ
rffiffiffiffiffiffiffi
βnG
BC ¼ ωCGS  1  cg  : ð28Þ
γ nD

The standard model of Fig. 11c is particularly useful because it allows for a
straightforward calculation of the minimally achievable noise factor, Fmin, and the
optimum source impedance for the LNA, Yopt ¼ Gopt + jBopt, as explained in many
RF design textbooks, cf. Gonzalez (1996). From the parameters Rν, Gi, and YC given
above, one obtains:
rffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi rffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi
ffi
Gi βnG 
Gopt ¼  B2C ¼ ωCGS   1  c2g , ð29Þ
Rν γ nD
rffiffiffiffiffiffiffi
βnG
Bopt ¼ BC ¼ ωCGS  1  cg  , ð30Þ
γ nD

Fmin ¼ 1 þ 2Rν  Gopt þ GC


rffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi
ffi
γ nD βnG  2
¼ 1 þ 2ωCGS    1  cg
Gm γ nD ð31Þ
rffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi
ffi
ω βnG 
ffi 1 þ 2γ nD    1  c2g ,
ωt γ nD

where the transit frequency of the transistor at hand, ωt, has been approximated
according to ωt ≈ Gm/CGS. For long-channel devices in strong inversion and
pffiffiffiffiffiffiffiffiffiffi one can approximate γnD ¼ 2n/3, βnG ¼ 4/15n, βnG/γnD ¼ 2/5n , cg ¼
2
saturation,
5=32 ffi 0:4 and n ¼ 1.3, n being the so-called slope factor (Enz and Vittoz 2006),
yielding:
32 On-Chip Nuclear Magnetic Resonance 685

rffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi
ω βnG  2 ω
Fmin ¼ 1 þ 2γ nD    1  cg ffi 1 þ 0:77  , ð32Þ
ωt γ nD ωt
rffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi
ffi
βnG  2
Gopt ¼ ωCGS   1  cg ffi 0:45ωCGS , ð33Þ
γ nD
rffiffiffiffiffiffiffi
βnG
Bopt ¼ ωCGS  1  cg  ffi 0:8ωCGS : ð34Þ
γ nD

According to Eq. (32), the minimum achievable noise figure is given by


Fmin ≈ 1 + 0.77  ω/ωt. Therefore, the optimum achievable noise performance is
solely determined by the speed of the utilized CMOS technology in terms of the
technology’s transit frequency ωt in relation to the required operating frequency ω. In
view of the outstanding transit frequencies of modern, nanometer-scaled CMOS
technologies that reach values beyond 200 GHz, extremely low noise figures
become possible. Moreover, these very high maximum transit frequencies of modern
CMOS processes allow for more energy-efficient operation of the LNA’s active
device in moderate or even weak inversion. Since also more mature CMOS tech-
nology nodes at 130 nm and even 180 nm can provide transit frequencies of a few
tens of Gigahertz, the larger supply voltages of these technologies can be used to
improve the dynamic range of the LNA and/or to save manufacturing costs. The
optimum source conductance of the LNA of Fig. 10 that results in the minimum
noise is given by Gopt ≈ 0.45ωCGS. Therefore, as long the combination of the
operating frequency ω and the LNA’s input capacitance CGS is sufficiently small,
the optimum source conductance is very close to zero. Similarly, the optimum source
susceptance Bopt vanishes as long as the product of ω and CGS is negligible.
Therefore, for frequencies, where ωCGS ≈ 0 holds true, the optimum source imped-
ance for driving the LNA of Fig. 10 is infinitely large. Interestingly, assuming an
infinite quality factor, the parallel resonant circuit formed by LNMR and Ctune in
Fig. 10 produces such an infinite source resistance, and a simple tuning of the NMR
coil with a tuning capacitor presents the optimum load for an ideal CMOS LNA with
CGS ¼ 0.
In reality, a CMOS LNA has a non-zero input capacitance, that is, CGS 6¼ 0 and a
mismatch between the actual source admittance Ys ¼ Gs + jBs and the optimum one
will exist. In this case, the actual noise factor becomes:

h i
Rν 2 2
F ¼ Fmin þ  Gs  Gopt þ Bs  Bopt , ð35Þ
Gs

where Rν is defined in Eq. (25).


Assuming Bs ¼ Bopt, the excess noise factor F  1 ¼ Na/Ns, where Na is the noise
power added by the amplifier and Ns is the noise power associated with the source,
Eq. (35) can be written in terms of the MOS small signal transistor parameters
according to:
686 J. Anders et al.

   2
Na G ω G
¼F1¼ γ nD  s þ βnG  1  c2g   m, ð36Þ
Ns Gm ωt Gs
|fflfflfflffl{zfflfflfflffl} |fflfflfflfflfflfflfflfflfflfflfflfflfflfflfflfflfflfflfflfflfflfflfflffl{zfflfflfflfflfflfflfflfflfflfflfflfflfflfflfflfflfflfflfflfflfflfflfflffl}
drain noise IGN contribution

contribution

where the first summand is the contribution of the drain noise to the excess noise
factor, and the second summand is produced by the induced gate noise (IGN).
Interestingly, the first term scales with Gs while the second term scales with 1/Gs,
clearly reflecting the existence of an optimum source conductance for which the total
noise figure is minimized. For larger source conductances, that is, smaller source
resistances, the drain noise dominates the noise factor. For smaller source conduc-
tances, corresponding to larger source resistances, the induced gate noise starts to
dominate.
To give the reader a better feeling for the actual noise performance that can be
achieved even with mature CMOS technology nodes, Fig. 12 shows graphs of the
excess noise factors, F – 1, simulated with realistic technology parameters of a
130 nm process as a function of the source resistance Rs. For these simulations, the
following parameters have been used: f ¼ 63 MHz, ft ¼ 20 GHz, Cin ¼ CGS ¼ 2pF,
BS ¼ 0. In Fig. 12a the power consumption of the LNA has been varied, to
produce different values of Gm, and, thereby, of the input-referred voltage noise
SV n ¼ 4kT  γ nD =Gm . According to the figure, initially, the excess noise factor
improves with increasing source resistance. According to the previous discussion
of Eq. (36), in this region, the thermal drain noise of the LNA dominates, and an
increasing source resistance produces improving noise factors. Then, there is an
optimum source resistance for which the LNA’s overall noise figure is minimized.
When the source resistance is further increased, the contribution of the induced gate
noise starts to dominate, and the noise factor degrades. The figure also contains a

V = 200 pV/ Hz = 2 42 MHz, C = 2 pF


n 101 in
101 V n= 400 pV/ Hz = 2 63 MHz, C = 2 pF
in
V n= 800 pV/ Hz = 2 126 MHz, C in= 2 pF
0.5 dB NF 0.5 dB NF
100 100
F-1

F-1

10-1
10-1

10-2
10-2
1 10 100 1k 10k 100k 1 10 100 1k 10k 100k
Rs [ ] Rs [ ]
(a) (b)

Fig. 12 Simulations of the excess noise factor of the circuit of Fig. 10 assuming process data of a
commercial 130 nm CMOS technology as a function of the source resistance Rs for (a) different
input referred voltage noise levels of the LNA and (b) different operating frequencies
32 On-Chip Nuclear Magnetic Resonance 687

horizontal line that indicates a noise figure of 0.5 dB. With decreasing input-referred
voltage noise SV n due to an increased transconductance, the minimally achievable
noise figure improves. In this somewhat simplifying model, the value of the opti-
mum source resistance does not change with the change in Gm because it is assumed
that the transconductance can be changed by increasing the current without adjusting
the size of the input transistor, that is, without changing CGS. Therefore, the value of
Gs, opt ¼ 0.4ωCGS stays constant in this graph. The graph in Fig. 12b shows
the excess noise factor as a function of source resistance for an input capacitance
Cin ¼ CGS for three different operating frequencies of f ¼ 42 MHz, f ¼ 63 MHz, and
f ¼ 126 MHz, corresponding to the proton Larmor frequencies at B0-field strength of
B0 ¼ 1T, B0 ¼ 1.5T and B0 ¼ 3T. Here, again, the noise factor initially decreases with
increasing Rs, reaches an optimum at Rs,opt, and then increases with a further increase
of Rs beyond Rs,opt due to the increasing contribution of the induced gate noise. In
contrast to Fig. 12a, the optimum source conductance is not constant since it varies
with operating frequency according to Gs ¼ 0.4ωCGS. Therefore, for larger operating
frequencies and assuming a constant Gm, the optimum source resistance becomes
smaller, and the corresponding minimum noise figure is higher. The latter effect
reflects the well-known fact that it becomes increasingly challenging to design high-
performance LNAs with an increasing operating frequency.
Overall, the simulation results of Fig. 12 illustrate that, depending on the oper-
ating frequency and power consumption of the LNA, for source resistances between
a few tens of Ohms and a few kiloohms, excellent noise figures can be achieved.
Such values of source resistance can either be produced by dedicated coil designs
with a large number of turns or by using conventional coils in combination with a
parallel tuning capacitor, according to Fig. 10. The latter configuration produces an
effective source impedance which is real and whose real value is approximately
given by Rs,eff  Q2coil  R2coil, where Qcoil is the coil quality factor and Rcoil is the coil
resistance. An important benefit of the tuned scheme compared to a conventional
50 Ω-LNA is that the same noise figure can be achieved with a significantly reduced
power budget. While power consumption is typically a minor concern for conven-
tional NMR systems, which run from the wall socket and are frequently single-
channel systems, a reduced power consumption becomes important in view of future
portable NMR systems and for large-scale arrays, where the aggregate power
consumption can introduce cooling issues.
A second potential benefit of the NMR-on-a-chip approach in the receive path is
the use of on-chip, highly miniaturized detection coils, which, according to the
previous discussion, display a significantly better mass or spin sensitivity. Modern
mixed-signal and RF CMOS technologies offer several thick top metal layers that
can be used to design coils with very small pitches between a few hundred nano-
meters and a few micrometers in addition to small via diameters in the same range.
This is in contrast to printed-circuit board (PCB) technologies, which provide lateral
pitches starting at around 60 μm and typically even larger via sizes. Therefore,
CMOS technologies are ideally suited for the realization of on-chip, multiturn NMR
coils with excellent spin sensitivities. These on-chip coils can be combined with
voltage-controlled capacitors to form frequency-agile X-nuclei NMR systems.
688 J. Anders et al.

Moreover, this fully integrated CMOS approach with on-chip coils can be easily
extended to arrays of coils without running into bottlenecks in the interconnects.
Since it can be shown that multiturn coils with large numbers of turns display very
similar intrinsic coil SNRs compared to conventional, single-turn, high-Q NMR
coils, this approach can also be used to form coils with intrinsic coil resistances of a
few tens of ohms that have a very competitive SNR and still display self-resonance
frequencies of a few hundred Megahertz and higher. According to the above noise
figure discussion, such coils can directly, that is, without the use of a tuning
capacitor, be connected to an on-chip LNA to form truly broadband NMR systems
with a very low system complexity that still can achieve outstanding performance.
This being said, it should be noted that the on-chip planar coils display an inferior
performance compared to volume solenoids and saddle coils both in terms of B1-
homogeneity and the achievable linewidth. The higher B1-inhomogeneity is partic-
ularly important for advanced pulse schemes that require precise flip angles over the
entire sample and in MR imaging, where it leads to different weightings of different
parts of the image. The achievable linewidth is limited by the silicon air interface that
restricts the native, that is, without dedicated shimming, spectral resolution of the
on-chip coils to a few tens of Hertz.
Apart from potential benefits in the receive path, the NMR-on-a-chip approach
can also provide advantages in the transmit path of an NMR system. Here, the most
important performance metric of the NMR transmit path is the achievable pulse
length in the sample. According to Eq. (11), this pulse length is determined by the
B1-field, which, in turn, depends on the coil geometry and the coil current. Similar to
the receive case, miniaturized, multiturn on-chip coils can significantly increase the
achievable B1-field per unit current, the so-called Bu-field. This, in turn, greatly
relaxes the requirements on the power amplifier (PA), which needs to produce a
significantly reduced coil current to achieve the same pulse length. Moreover, the
close proximity of the PA to the NMR coil can be used to realize the PA as a current
driver that, potentially, avoids any impedance matching network between PA output
and coil. The simplest possible realization of this approach is shown in Fig. 13a,
where a MOSFET in saturation is operated as a current source that directly drives the
NMR coil. In combination with a broadband readout scheme, this true broadband
PA/coil driver opens up entirely new possibilities for the design of X-nuclei TX/RX
NMR systems. A differential version of the circuit of Fig. 13a, which is more robust

LNMR Lchoke Lchoke v vinp


inn
icoil
icoil icoil
Ctune LNMR Ctune LNMR
vin vinp vinn vinp vinn
optional optional

(a) (b) (c)

Fig. 13 Different possibilities for realizing in-field CMOS PAs/coil drivers


32 On-Chip Nuclear Magnetic Resonance 689

against the large magnetic field of the NMR magnet is shown in Fig. 13b. In this
topology, two chokes are used to bias the two NMOS transistors for maximum
dynamic range. If needed, the coil current can be increased at the expense of losing
the broadband nature of the excitation scheme by using an optional series tuning of
the NMR coil, which tunes out the reactive part of the coil impedance. Since on-chip
PAs are typically operated using I/O devices with their elevated supply voltages and
the series tuning leads to large voltage drops across the NMR coil, care has to be
taken to avoid damaging the LNA during transmit. A differential scheme that avoids
the large chokes, which either have to be realized off-chip or consume a large chip
area, is shown in Fig. 13c. If no tuning capacitor is used, in this H-bridge configu-
ration, the maximum voltage across the NMR coil is limited to the PA’s supply
voltage. Therefore, simple series switches, which are compatible with the PA supply
voltage, can be used to protect the LNA. However, if a series tuning capacitor is
used, again, more complicated measures are needed to protect the LNA. The
H-bridge configuration provides a very energy and area efficient way of exciting
the NMR coil with an on-chip PA. However, without further measures, this nonlinear
PA cannot process the amplitude modulation of the B1-field that is required by many
modern NMR pulse sequences. Here, while a phase modulation presents no problem
for the H-bridge PA, dedicated measures such as polar amplifier schemes become
necessary for the amplitude modulation in the excitation waveform.
In general, the on-chip PAs realized in standard CMOS are well-suited for
driving miniaturized coils with their correspondingly small impedances that result
in relatively low required compliance levels. If the driving strength of standard
CMOS is not sufficient for the coil at hand, for example, when large volume
external coils have to be driven to improve the concentration sensitivity, high-
voltage CMOS technologies are an interesting option. This being said, given the
low Bu-field of conventional single-turn saddle-shaped NMR coils, even with the
elevated power supplies of high-voltage CMOS, driving such coils remains chal-
lenging with the NMR-on-a-chip approach. Therefore, NMR-on-a-chip trans-
ceivers are typically used with alternative coil-shapes such as multiturn solenoids
that provide sufficiently large Bu-fields. Depending on the application, the use of
such solenoids can introduce difficulties in sample loading and/or magnetic field
homogeneity.
Overall, similar to the RX case, the additional design freedom introduced by the
close proximity of the NMR coil and the PA offers possibilities of both forming truly
broadband TX systems and increasing the energy efficiency of the transmit path,
which can be used to form large-scale arrays of TX/RX NMR channels.
Since the NMR performance is mostly determined by the TX and RX frontends,
the remaining components of the NMR-on-a-chip transceiver of Fig. 9 are less
critical, and, frequently, standard designs suffice. This being said, a quadrature
conversion scheme is highly desirable because it improves the overall receiver
noise figure by 3 dB since the NMR signal is a single-sideband signal.
The ADC should display a sufficiently low thermal noise floor to allow for
significant averaging, which is frequently used in NMR experiments to boost the
weak SNR and a sufficiently large dynamic range to allow for resolving largely
690 J. Anders et al.

different spectral peaks in the spectrum that can, for example, occur in the presence
of strong solvent signals.
Before closing this section, we would like to discuss an entirely different appli-
cation scenario of NMR-on-a-chip transceivers, which is to provide auxiliary func-
tionalities within the main NMR system. Here, it is again the extreme miniaturization
capabilities of the NMR-on-a-chip approach that allows integrating additional fea-
tures such as Hall and temperature sensors into the NMR-on-a-chip ASIC. Alterna-
tively, the NMR-on-a-chip transceivers can be used as cheap, easy-to-use NMR
magnetometers that monitor the B0-field at various positions inside the magnet. In
both cases, the additional functionality provided by the NMR-on-a-chip approach
can be used to monitor and correct imperfections of the main NMR systems with
much lower complexity and costs than previously possible. This, in turn, can pave
the way for smaller, cheaper, and even better NMR spectrometers that find a much
wider application range than today’s instruments.

Review of the State-of-the-Art NMR-on-a-Chip Devices

In this section, the current state of the art in NMR-on-a-chip systems for biomedical
applications will be reviewed, highlighting how these implementations utilize
the general advantages of the NMR-on-a-chip approach that have been discussed
in the previous section. Here, although we will focus on the electronic aspects of the
systems, a short overview of the remaining performance-critical system components
will also be included. Given the scope of this chapter, the discussion is limited to
devices with target applications in the biomedical sector.
Over the last decade, a lot of academic and industrial research has been dedicated
to the miniaturization of NMR magnets, coils, and electronics. As an outcome, today,
several commercial benchtop NMR systems exist that provide NMR-spectroscopy
grade spectral resolution and find routine use in a number of applications, including
online reaction monitoring and quality control. However, their size, weight, and,
above all, cost have prevented widespread use of these devices in biomedical point-
of-care (PoC) or point-of-use scenarios. Here, the large size and price mostly origi-
nate from the utilized magnet systems, which require sophisticated temperature
stabilization and field shimming capabilities to provide the field homogeneity and
spectral resolution required for NMR spectroscopy. However, with decreasing mag-
net size, performance, and costs, the NMR electronics play an increasingly important
role in determining the overall system performance and price. The NMR-on-a-chip
approach is ideally suited to both provide auxiliary functions for magnetic field and
temperature stabilization and the main functionality of the transceiver electronics in an
ultimately small footprint and at significantly reduced costs.
An example of a miniaturized NMR spectrometer, which utilizes the extended
possibilities of the NMR-on-a-chip approach, was presented in Ha et al. (2014). This
system can be used for both 1D and 2D NMR spectroscopy. The central building
block of the system is a complete quadrature NMR-on-a-chip transceiver, including
baseband filters, a linear PA, and an arbitrary pulse sequencer, which allows both
32 On-Chip Nuclear Magnetic Resonance 691

Fig. 14 Overview of the NMR spectrometer presented in Ha et al. (2014): (a) Chip architecture
(adapted from Ha et al. (2014)) containing a quadrature receiver and a power amplifier with phase-
and amplitude modulation capabilities, (b) chip micrograph of the transceiver ASIC, (c) photograph
of the utilized custom-designed 0.51 T NMR magnet, and (d) an ethanol spectrum measured with
the presented NMR spectrometer

phase and amplitude modulation, cf. Fig. 14. To improve the concentration sensi-
tivity, the authors use an external NMR coil with a diameter of 1 mm and in
combination with the coil tuning scheme discussed above, that is, avoid a classical
50 Ω-matching to fully benefit from the noise-free preamplification by the parallel
tuning capacitor. Thanks to the delay-locked loop (DLL) based on-chip multi-phase
generator, the chip presented in Ha et al. (2014) allows choosing one out of
32 equidistantly phase-shifted excitation signals. The amplitude of the PA can be
switched with a 5-bit resolution. The high programmability of the presented chip
allows for versatile use of the NMR system, covering a broad range of applications,
including 1D and 2D NMR spectroscopy as well as NMR relaxometry. The utilized
magnet is a custom-designed, 0.51 T magnet with a six-direction electrical shim
system and a homogeneity of 0.13 ppm. Although this homogeneity is still insuffi-
cient for the analysis of large biomolecules such as proteins, it is well suited for
(PoC) detection of small and medium-sized bio-relevant molecules. This is demon-
strated by NMR spectra of several biomedically relevant molecules such as aspirin,
L-alanine, and glucose.
Although the system of Ha et al. (2014) presented a quantum leap in the
portability and versatility of NMR systems, the requirements imposed by NMR
spectroscopy as a target application resulted in a final magnet size, weight, and
design complexity that are still prohibitive for many PoC applications. To circum-
vent this problem, the authors in Lei et al. (2016) focused on NMR relaxometry,
which imposes significantly relaxed constraints on the homogeneity of the B0-field.
Operating from a battery supply, the presented system does not require any
external instrumentation apart from a computer for data analysis and display.
The B0-field is produced by a small, commercial 0.46 T permanent magnet
692 J. Anders et al.

(NMR permanent magnet PM-1055, Metrolab, Switzerland), resulting in an overall


system size of 14  6  11 cm3 and a weight of only 1.4 kg, cf. Fig. 15c. The
main component of the system is again an NMR-on-a-chip transceiver ASIC,
which integrates a quadrature receiver with baseband filters and amplifiers as
well as a PA with a 4-phase pulse sequence synthesizer. The latter feature enables
pulse sequences such as the CPMG sequence, which is today’s standard sequence
for NMR relaxometry. The NMR coil is an on-chip, untuned planar multiturn coil
with and an area of 2.0  2.0 mm2. As an interesting feature of the presented
system, the authors reuse the NMR coil in combination with an off-chip current
generator for sample heating in temperature profile measurements. In order to
further reduce the number of required external components and, thereby, simplify
the complexity of the overall experimental setup, the ASIC in Lei et al. (2016)
features an on-chip frequency synthesis, which requires only a single conventional
quartz connected to the chip to generate the required excitation and down-
conversion signals. Following the idea of using the NMR-on-a-chip approach to
integrate auxiliary functionalities in the smaller footprint of a CMOS ASIC, the
authors also included an on-chip vertical Hall sensor that continuously monitors
the B0-field. This allows for the detection of any temperature-induced variation of
the B0-field and a subsequent compensation by an external controller, which drives
a set of one-dimensional shim coils. In this way, the Larmor frequency of the spins
under investigation can be kept within the range of the quartz oscillator. The high-
performance and versatility of the system are demonstrated by NMR relaxometry
experiments on functionalized magnetic nanoparticles, protein state detection at
different heating temperatures, and monitoring of solvent-polymer dynamics. In
the former experiments, the system shows a concentration sensitivity better than
100 pM for the detection of Enterococcus faecalis derived DNA. Overall, the
system presented in Lei et al. (2016) achieves a great reduction in the required
sample amount (120x), hardware volume (1.75x), and system weight (96x) com-
pared to commercial Bruker NMA assay products (Bruker mq-20), clearly dem-
onstrating the immense potential of the NMR-on-a-chip approach for the design of
future portable PoC NMR biosensing platforms.
As explained above, small diameter coils are well suited for mass-limited sam-
ples, but their concentration sensitivity is severely degraded compared to conven-
tional 3 mm and 5 mm NMR coils. Therefore, depending on the application, the
NMR coil should either be realized as a microcoil or a conventional, macroscopic
coil should be used. Examples of mass-limited applications are single-cell NMR,
NMR on substances that are hard or costly to synthesize and MR microimaging. For
such applications, the required microcoils can be realized in many different ways.
Examples include solenoidal NMR coils, which are produced with commercial wire-
bonders (Badilita et al. 2012) or planar coils integrated on a CMOS chip
(Handwerker et al. 2020). With these miniaturized coils, single-cell spectroscopy
and MR microimaging have been successfully performed. Here, irrespective of the
actual manufacturing process, all these microcoils display a relatively small imped-
ance and can, therefore, be driven by CMOS PAs with small compliance levels
(Handwerker et al. 2016).
32
On-Chip Nuclear Magnetic Resonance

Fig. 15 (a) Architecture of the ASIC presented in Lei et al. (2016), (b) annotated chip micrograph of the ASIC of Lei et al. (2016), and (c) photograph of the
693

system assembly consisting of the NMR-on-a-chip ASIC mounted on a PCB and positioned inside a commercial NMR magnet
694 J. Anders et al.

A system that maximally benefits from the enhanced mass or spin sensitivity of
on-chip miniaturized NMR coils has been presented in Grisi et al. (2017). In this
design, a highly miniaturized NMR coil with a diameter of only 150 μm and using a
large number of turns has been used to perform NMR spectroscopy on single intact
ova at a field strength of 7 T inside a conventional superconducting NMR magnet.
The system in Grisi et al. (2017) uses the broadband approach explained above, in
which a multiturn, high-resistance on-chip coil is directly connected to the LNA.
Thanks to the high-coil resistance and the low LNA voltage noise, the resulting noise
figure and overall system SNR are still excellent. Very recently (Grisi et al. 2020),
this system has been extended by a significantly improved sample handling platform
that greatly facilitates experiments.
The ultra-small form factor achievable with NMR-on-a-chip systems has been
exploited in (Handwerker et al. 2020) to develop an implantable, needle-shaped
CMOS NMR sensor for in-vivo studies of brain physiology inside a 14 T small
animal magnet. The system presented in Handwerker et al. (2020) features a
complete quadrature transceiver, including a miniaturized, multiturn NMR coil.
With a diameter of 300 μm, the coil presents a compromise between concentration
sensitivity for NMR spectroscopy and the maximum tolerable needle width that
allows for noninvasive insertions into the rat brain. Thanks to the greatly improved
sensitivity of the NMR needle compared to conventional external NMR coils, the
system in Handwerker et al. (2020) allowed for a one hundredfold improvement in
temporal resolution in detecting the BOLD effect in response to paw stimuli.
Compared to passive implantable coils, the active coil provides the advantage of
very short RF connections to the PA, LNA, and mixers, which allow for a precise
definition of the sensitive volume. In addition to functional MRI data in Handwerker
et al. (2020), MR images with ten-micrometer isotropic resolutions acquired in only
a few minutes of imaging time have been shown.
When working with concentration-limited samples, NMR-on-a-chip based sys-
tems that utilize microcoils greatly suffer from the small sample volume of these
coils. Even when external coils are used as in Ha et al. (2014), the limited driving
strength and low-voltage compliance levels of standard CMOS greatly limit the
maximum size of the external coils. Since, for concentration-limited samples, the
detector volume should be maximized, an alternative approach is required that can
provide the high compliance levels required for driving large coils. To solve this
problem, in Bürkle et al. (2020), a high-voltage CMOS process with a maximum
compliance level of 20 V has been utilized to realize the NMR-on-a-chip transceiver
ASIC, cf. Fig. 16. Due to this higher supply voltage, the proposed chip can drive
conventional 5 mm NMR tubes, still achieving 90° pulse lengths well below 10 μs.
The system in Bürkle et al. (2020) can operate in a frequency range between 4 MHz
and 130 MHz, limited by the frequency range of the H-bridge PA. Thanks to the
greatly increased sample volume, the system presented in Bürkle et al. (2020)
achieves a normalized concentration sensitivity that is more than an order of
magnitude better than all previously presented NMR-on-a-chip detectors.
In addition to NMR spectroscopy and NMR relaxometry, recently, in-field
receivers for human MRI have started to gain interest in the research community.
An example of such an in-field transceiver has been presented in Sporrer et al.
32 On-Chip Nuclear Magnetic Resonance 695

Transmitter PLL Frequency Synthesizer


VCO Loop filter
Power Level fref
amplifier shifter CP PFD
VTX+
VTX-
M IQ N
fLO,I
TX
5 SELf,main
2 SELf,pre
Receiver fLO,Q IF
Low noise Low-IF fLO,I
mixer amplifier
amplifier VOut,I+
VRX+ fNMR
VOut,I-
VRX- fIF
VOut,Q+
VOut,Q-

RX

(a)

3100 µm
0.6 0.15

PLL Transmitter 0.4 0.00


Amplitude (V)

0.2 -0.15
5.00 5.02 5.04
2300 µm

0.0

-0.2

-0.4
I - Channel
Q - Channel
Receiver -0.6

0 10 20 30 40
(b) Time (ms)
(c)

NMR Magnet 40 mm
100 mm
Capillary
containing
NMR
sample
ASIC
PCB

(d)

Fig. 16 High-voltage NMR-on-a-chip system presented in (Bürkle et al. 2020). (a) Chip architec-
ture, (b) chip micrograph, (c) FID measured with the transceiver ASICs, and (d) overall NMR
system utilizing a commercial 1.5 T NMR magnet
696 J. Anders et al.

(2017). The system features a complete quadrature downconversion receiver, includ-


ing a ΣΔ-modulator, whose output is ideally suited to drive an optical link that
connects the in-field receiver to the control room without the risk of electromagnetic
interference (EMI). Thanks to the small form factor, reduced inter-channel coupling,
and improved EMI robustness of the CMOS in-field receiver approach, this method
can greatly simplify the design of future large-channel MRI receive systems.
We will close this section by pointing the reader toward the possibility of using
dedicated, miniaturized NMR-on-a-chip devices for auxiliary functions in conven-
tional NMR systems. As one example of this approach, in Handwerker et al. (2016),
an array of miniaturized NMR-on-a-chip transceiver ASICs has been used as
magnetometers, which provide online monitoring of the imperfections of an animal
MRI scanner to allow for a software-based correction of the corresponding image
artifacts.

Conclusion and Outlook

In this chapter, a detailed discussion of the emerging topic of on-chip NMR devices
has been provided. A self-contained treatment was given by including a section on
NMR fundamentals and the basics of NMR spectroscopy and relaxometry. In this
discussion, it was explained that NMR draws its immense analytical power from the
enormous specificity of nuclear spins used as sensors. Moreover, it was shown that
the major weakness of NMR, its relatively poor sensitivity, is a direct consequence of
the low polarization levels due to the small magnetic moment of nuclear spins. After
an overview of conventional NMR electronics, the main part of the chapter focused
on the NMR-on-a-chip approach, in which the entire NMR electronics are
implemented on a single ASIC that is directly placed next to the NMR coil inside
the NMR magnet. Here, the additional design freedom associated with the NMR-on-
a-chip approach both for the transmit and the receive path was discussed together with
potential disadvantages due to the reduced driving strengths of in-field PAs. This
section clearly highlighted the great potential associated with the NMR-on-a-chip
approach for designing future NMR systems with greatly reduced sizes, weights, and
costs. The included brief summary of the state of the art demonstrates the excellent
performance and high degree of miniaturization achieved by today’s NMR systems.
Overall, it is expected that the NMR-on-a-chip approach can turn NMR spectrometers
and relaxometers into portable, easy-to-use, and affordable systems that can find
widespread use in a large number of biomedical applications ranging from metabolite
screening over API detection to point-of-care diagnostics in the context of personal-
ized medicine. In order to provide the required sensitivity with the low B0-field
strengths that can be realized using permanent magnets, very recently, the combina-
tion of NMR-on-a-chip with chip-integrated electron paramagnetic resonance (EPR)
devices has been proposed (Solmaz et al. 2020). The resulting compact dynamic
nuclear polarization (DNP) on-a-chip platforms bear the potential for future small and
32 On-Chip Nuclear Magnetic Resonance 697

low-cost NMR systems that provide the performance of today’s high-end NMR
systems, which cost millions of euros, in a portable and easy-to-use format.

References
Badilita V, Meier RC, Spengler N, Wallrabe U, Utz M, Korvink JG (2012) Microscale nuclear
magnetic resonance: a tool for soft matter research. Soft Matter 8(41):10,583–10,597
Blümich B (2019) Essential NMR: For Scientists and Engineers, Second Edition. Springer
Blümich B, Singh K (2018) Desktop NMR and its applications from materials science to organic
chemistry. Angew Chem Int Ed 57(24):6996–7010
Bürkle H, Schmid K, Klotz T, Krapf R, Anders J (2020) A high voltage CMOS transceiver for
low-field NMR with a maximum output current of 1.4 app. In: 2020 IEEE International
Symposium on Circuits and Systems (ISCAS), IEEE
Danieli E, Perlo J, Blümich B, Casanova F (2013) Highly stable and finely tuned magnetic fields
generated by permanent magnet assemblies. Phys Rev Lett 110(18):180,801
Davoodi H, Jouda M, Korvink JG, MacKinnon N, Badilita V (2019) Broadband and multi-resonant
sensors for NMR. Prog Nucl Magn Reson Spectrosc 112–113:34–54. https://doi.org/10.1016/j.
pnmrs.2019.05.001. http://www.sciencedirect.com/science/article/pii/S0079656519300056
Emwas AH, Luchinat C, Turano P, Tenori L, Roy R, Salek RM, Ryan D, Merzaban JS, Kaddurah-
Daouk R, Zeri AC et al (2015) Standardizing the experimental conditions for using urine in
NMR-based metabolomic studies with a particular focus on diagnostic studies: a review.
Metabolomics 11(4):872–894
Enz CC, Vittoz EA (2006) Charge-based MOS transistor modeling: the EKV model for low-power
and RF IC design. Wiley, Hoboken
Gonzalez G (1996) Microwave transistor amplifiers analysis and design. Prentice-Hall, Inc, Upper
Saddle River
Grisi M, Vincent F, Volpe B, Guidetti R, Harris N, Beck A, Boero G (2017) NMR spectroscopy of
single sub-nl ova with inductive ultra-compact single-chip probes. Sci Rep 7:44,670
Grisi M, Conley GM, Rodriguez KJ, Riva E, Egli L, Moritz W, Lichtenberg J, Brugger J, Boero G
(2020) NMR microsystem for label-free characterization of 3d nanoliter microtissues. bioRxiv.
Nature Scientific Reports, Volume 10. https://www.nature.com/articles/s41598-020-75480-0
Ha D, Paulsen J, Sun N, Song YQ, Ham D (2014) Scalable NMR spectroscopy with semiconductor
chips. Proc Natl Acad Sci 111(33):11,955–11,960
Handwerker J, Anders J (2016) IC-based and IC-assisted μNMR detectors. Micro Nano Scale NMR
11(1):143–176
Handwerker J, Eder M, Tibiletti M, Rasche V, Scheffler K, Becker J, Ortmanns M, Anders J (2016)
An array of fully-integrated quadrature tx/rx NMR field probes for MRI trajectory mapping. In:
ESSCIRC Conference 2016: 42nd European Solid-State Circuits Conference, IEEE, pp 217–220
Handwerker J, Perez-Rodas M, Beyerlein M, Vincent F, Beck A, Freytag N, Yu X, Pohmann R,
Anders J, Scheffler K (2020) A CMOS NMR needle for probing brain physiology with high
spatial and temporal resolution. Nat Methods 17(1):64–67
Hoult D (2011) The principle of reciprocity. J Magn Reson 213(2):344–346
Josephson L, Perez JM, Weissleder R (2001) Magnetic nanosensors for the detection of oligonu-
cleotide sequences. Angew Chem 113(17):3304–3306
Keeler J (2011) Understanding NMR spectroscopy. Wiley, Chichester
Lei KM, Heidari H, Mak PI, Law MK, Maloberti F, Martins RP (2016) A handheld high-sensitivity
micro-NMR CMOS platform with b-field stabilization for multi-type biological/chemical
assays. IEEE J Solid-State Circuits 52(1):284–297
Levitt MH (2013) Spin dynamics: basics of nuclear magnetic resonance. Wiley, Hoboken
698 J. Anders et al.

Matzkanin GA (1989) A review of nondestructive characterization of composites using NMR. In:


Nondestructive characterization of materials. Springer, pp 655–669
Perez JM, Josephson L, O’Loughlin T, Högemann D, Weissleder R (2002) Magnetic relaxation
switches capable of sensing molecular interactions. Nat Biotechnol 20(8):816–820
Solmaz NS, Grisi M, Matheoud AV, Gualco G, Boero G (2020) Single chip dynamic nuclear
polarization microsystem. arXiv preprint arXiv:200303291
Sporrer B, Wu L, Bettini L, Vogt C, Reber J, Marjanovic J, Burger T, Brunner DO, Pruessmann KP,
Tröster G et al (2017) A fully integrated dual-channel on-coil CMOS receiver for array coils in
1.5–10.5 t MRI. IEEE Trans Biomed Circuits Syst 11(6):1245–1255
Sun N, Ham D (2013) Handheld NMR systems and their applications for biomolecular sensing. In:
Point-of-Care diagnostics on a chip. Springer, pp 177–196
Optical Detection Techniques
for Bioanalysis 33
Hamza Landari, Mounir Boukadoum, Younès Messaddeq, and
Amine Miled

Contents
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 700
UV/Vis Spectrometry . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 701
Fourier Transform Infrared Spectroscopy (FTIR) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 704
Other Spectrometry Techniques . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 707
Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 707
References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 708

Abstract
Chemical analysis of a biological sample refers to the study of its composition
and the chemical reactions of its components. This requires instruments that
provide reliable results, high selectivity and sensitivity. The literature offers a
broad range of chemical analysis techniques that, depending on application, can
identify and quantify substances in solution, characterize materials, or analyze
biological cells. These techniques all have their advantages and limitations, and
the suitability of one technique over another is usually found in areas of activity,
environmental conditions, and specific expertise. For instance, in vivo analysis
techniques are usually more suitable for clinical applications, but in most cases,
this comes with reduced performance (sensitivity, selectivity, detection limit,. . .),
H. Landari · A. Miled (*)
Research Centre for Advanced Materials (CERMA), LABioTRON Bio-engineering Research
Laboratory, Québec City, QC, Canada
Department of Electrical and Computer Engineering, Université Laval, Québec City, QC, Canada
e-mail: hamza.landari.1@ulaval.ca; amine.miled@gel.ulaval.ca
M. Boukadoum
Computer Science Department, Université du Québec À Montréal (UQÀM), Montréal, QC, Canada
e-mail: mounir.boukadoum@uqam.ca
Y. Messaddeq
Center for Optics, Photonics and Lasers (COPL), Laval University, Québec City, QC, Canada
e-mail: Younes.Messaddeq@copl.ulaval.ca

© Springer Science+Business Media, LLC, part of Springer Nature 2022 699


M. Sawan (ed.), Handbook of Biochips,
https://doi.org/10.1007/978-1-4614-3447-4_67
700 H. Landari et al.

increased complexity, and additional analysis and manufacturing costs. In this


chapter, we review various techniques for molecular detection for chemical
analysis. In particular, we are concerned with spectrometry-based techniques.

Introduction

Identifying and quantifying the molecules present in a liquid allows the extraction of
useful information such as the composition of chemical compounds and the behavior
of biological cells and food quality. We focus on two types of detection methods:
separative and non-separative. Separative detection techniques rely on separating the
components in different mixtures, then detecting these components (Yang et al.
2020). Chromatography and capillary electrophoresis are among the most known
separative detection techniques. These techniques have a high separation capacity
but are not a detection technique per se, hence requiring to use a detector along with
the separation system. Chromatography principle is based on driving a sample which
is dissolved in a mobile phase through a stationary phase. The mobile phase can be
liquid, gas, or supercritical fluid, while the stationary phase can be paper, gelatin,
silica, polymer, grafted silica, etc. (Yang et al. 2020). Stationary phase is placed
inside a channel (named column). The main role of this stationary phase is to slow
down molecular specie in the diluted sample when flowing through the column,
depending on the interaction forces produced between different molecular species
and the stationary phase. Furthermore, chromatography is complex and expensive,
with sample preparation for analysis performed through several steps (Landari et al.
2018). However, it cannot quantify unidentified molecules in the sample, since the
columns and detectors used are specific to the target molecules (Landari et al. 2018).
Capillary electrophoresis is usually used to separate ionic species of sample
according to their electrical charges. It is made of a capillary channel, two electrodes,
and a high-voltage current source. The capillary channel is filled with a buffer
solution and then sample is injected by capillary effect. By applying an electric
field between the inlet and the outlet of the capillary, all ions are drawn by the electro
osmotic flow. Species contained in the sample are separated when they cross
capillary channel because of their different electrophoretic mobilities, and they are
identified when ejected from the capillary. One of the main limitations of this
technique is the need for a high voltage in addition to its limited separation of
uncharged molecules (Landari et al. 2018).
Non-separative detection techniques can identify and quantify substances with
less selectivity (Landari et al. 2018). They are useful when identifying or quantifying
substances in solution (Landari et al. 2018). Among these techniques, voltammetry
is a molecular detection method based on the measurement of current which is
induced by the oxidation/reduction reaction of the species in a sample and depending
on the applied voltage (Sekretaryova et al. 2016). This chemical reaction is induced
by voltage difference between two electrodes named working and counter elec-
trodes, which are placed in the same sample. This technique allows to identify and
33 Optical Detection Techniques for Bioanalysis 701

quantify a large number of species but with a low selectivity despite low cost and
high sensitivity (Sekretaryova et al. 2016). Also, potentiometric technique is one of
the well-known non-separative detection techniques. Its detection approach consists
of sensing voltage difference fluctuations measured between two electrodes which
are immersed in the sample. Voltage difference is induced by an accumulation of
charged species at the cathodic interface. This charge accumulation varies depending
on the sample composition which can lead to molecular identification and quantifi-
cation of sample species. Also, this technique can be used to detect species that are
not electroactives, unlike voltammetric sensors (Smolinska-Kempisty et al. 2017).
Usually, voltammetry and potentiometry require a functionalization layer to improve
their molecular selectivity. In addition, these techniques are limited with their
electrode’s lifetime (Smolinska-Kempisty et al. 2017; Sekretaryova et al. 2016).
Several other non-separative detection techniques were reported in the literature
including markerless optical detection methods such as ultraviolet/visible (UV/Vis)
and infrared (IR) spectrometry, which identify and quantify molecules with very low
contamination risk. While UV light techniques may be harmful to biological tissue,
they are safe when biological fluid is sampled in a separate system (Landari et al.
2018). In addition, optical detection techniques can be used for continuous sensing
of flowing sample with a high detection sensitivity in order to monitor liquid
ingredients in a cell culture medium (Landari et al. 2018).
In the following, we present a critical review of spectrometry detection methods
and their principles, fields of application, architectures, advantages, and limitations.
The chapter is organized as follows: section “UV/Vis Spectrometry” presents the
UV/Vis spectrometry, then section “Fourier Transform Infrared Spectroscopy
(FTIR)” reports the FTIR spectrometry, and finally we present in section “Other
Spectrometry Techniques” some other spectrometry detection techniques.

UV/Vis Spectrometry

The absorption of light radiation in the spectral range from near ultraviolet to near
infrared (180–1100 nm) has been extensively studied from a fundamental point of
view. This spectral range is commonly referred by its abbreviated name UV/Vis (Vis
for visible). Generally speaking, this spectral range offers little information on
molecular structure but is useful for quantitative analyses. The UV/Vis spectrum is
comprised of wavelength bands, respectively: near UV (185–400 nm), visible (400–
700 nm), and near infrared (700–1100 nm) (Perkampus 2013). When molecules
absorb one or more photons, the corresponding energy is absorbed by one or more
covalent electrons, creating an electronic transition that shifts molecular energy from
a fundamental state to a temporarily excited state. This change in electron energy
links each peak wavelength in the sample’s spectrum to an electronic transition in a
given molecule. Consequently, analyzing the detected peaks can identify and quan-
tify varied molecules of interest (Perkampus 2013). For quantification, we use Beer-
Lambert’s law as presented in Eq. (1) (Perkampus 2013).
702 H. Landari et al.

I
Aλ ¼  log 10 ¼ eλ :‘:C: ð1Þ
I0
where Aλ , II0 , eλ , ‘ , and C are, respectively, the absorbance at wavelength λ, the
transmission of the solution, the molar extinction coefficient [L mol1 cm1], the
length of the optical path through the sample [cm], and the molar concentration of
the target molecule [mol L1].
The UV/Vis spectrometer is composed of three modules: the light source, the optical
system, and the detector. The light source includes two lamps, one for the UV part
(λ  350 nm), usually a deuterium arc lamp, and the other for the visible and near-IR
(350 nm) part of the spectrum, usually an incandescent lamp with a tungsten filament
and silica glass (quartz) envelope (Thomas and Burgess 2017; Perkampus 2013).
The second module is the instrument’s optical system (called dispersive system).
It has two forms, sequential and simultaneous (Perkampus 2013). In the first and
more common form, the light emitted by the source is first collimated by a concave
mirror to form parallel incident rays. Then, a monochromator excites the sample with
beams of predetermined wavelengths. The monochromator typically consists of a
plane mirror with parallel ridges (usually 1200 ridges/mm) which form a diffraction
network. The presence of the ridges provides diffraction angles that vary according
to incident wavelengths (Thomas and Burgess 2017; Perkampus 2013).
The second type of dispersive system which is less used and consists in exciting
the sample with wavelengths of interest and have the transmitted light refracted as it
passes through a prism. This refraction is based on the refraction index of each
wavelength (Thomas and Burgess 2017; Perkampus 2013). Figure 1a, b show the
configurations of the two types of optical modules that can be included in a UV/Vis
spectrometer.
The third module is the optical sensor, which converts the beam intensity
transmitted by the sample into an electrical signal. Generally, there are two cases,
depending on the optical system used. For the monochromator, semiconductors are
typically used (e.g., a silicon photodiode). For the dispersive system using prism, the

(a) (b)

Detector
Diode array
UV Source
UV Source detector
Sample (< 350 nm)
(< 350 nm)

Focalization
Vis and N-IR Vis and N- slot
Source IR Source
(> 350 nm) Sample
(> 350 nm)
Mirror Mirror
Monochromator
Prism
Monochromator
dispersive system Front view of Prism dispersive
monochromator system

Fig. 1 Main types of Uv/Vis spectrometry. (a) Using a monochromator as diffraction network. (b)
Using a prism as diffraction module
33 Optical Detection Techniques for Bioanalysis 703

received light intensities at all wavelengths are measured simultaneously. This


requires a large number of sensors of the first type, closely aligned to form a diode
strip (Thomas and Burgess 2017; Perkampus 2013).
Most existing UV/Vis spectrometers analyze spectrometry between 185 and
900 nm. The lower limits of the instruments depend on two factors: the type of
optical materials used in the instrument and environmental particles or molecules
which are intensively absorbent below 185 nm (such as dioxygen and water vapor
contained in ambient air) (Perkampus 2013).
The UV/Vis spectrometry technique is routinely used to identify and quantify
soluble molecules in chemical analysis of biological samples. For example, UV/Vis
spectrometry can be used to detect ingredients in commercial food products (Alves
et al. 2019). In this context, Alves et al. used UV/Vis spectrometry with a data post-
processing algorithm to evaluate the presence of different components such as
polyphenolic, tocopherol, carotenoids, and chlorophylls in different olive oil sam-
ples (Alves et al. 2019). Also, well-known applications of the UV/Vis spectrometry
are related to pharmaceutical analysis and water treatment (Thomas and Burgess
2017; Perkampus 2013). For example, UV/Vis spectrometry can be used for water
treatment to identify several species such as biological oxygen, chemical oxygen,
dissolved organic carbon, and total organic carbon (Thomas and Burgess 2017;
Perkampus 2013). Also, in pharmaceutical analysis, UV/Vis spectrometry is used
for the detection of drugs that have a specific optical signature in the UV/Vis
wavelength range (Thomas and Burgess 2017; Perkampus 2013).
The primary disadvantage of this technique is its low selectivity. In nature, many
molecules have similar electronic transition signatures in the studied wavelength
spectrum, making it difficult to identify them in a mixture (e.g., neurotransmitters)
(Niyonambaza et al. 2019). To address this low selectivity, several researchers added
functionalized nanoparticles to the sample for detecting specific molecules. Several
materials and techniques have been developed to synthesize and functionalize these
nanoparticles. One example is the dopamine molecule, for which nanoparticles have
been developed by various synthesis techniques such as gold and silver
(Niyonambaza et al. 2019). The detection principle of this approach centers on the
fact that, when nanoparticles are in the presence of the target molecule, the nano-
particle’s spectral signature is shifted, allowing the molecule of interest to be quan-
tified indirectly through the nanoparticles. By adding nanoparticles to the solution in
equal or greater proportions, several molecules have been identified and quantified
with very low concentrations (Niyonambaza et al. 2019). Another approach to
address the selectivity issue is the usage of UV/Vis spectrometry as sensors for
separative techniques such as chromatography (Yang et al. 2020). In this case, sample
species are detected separately (Yang et al. 2020). For example, Yang et al. used
chromatography with cationic metal-organic (Cd-MOF) as stationary phase to sepa-
rate dyes from waste water. Then, dye molecules, including anionic dyes (CR, MO,
and AF), cationic dyes (Rho B, Rho 6G, and MB), and neutral dyes (SY 2), were
detected using UV/Vis spectrometry at a concentration of 2  105 mol L1.
704 H. Landari et al.

Fourier Transform Infrared Spectroscopy (FTIR)

In nature, every molecule is formed by at least two linked atoms, which can be
pictured as a spring that vibrates at a frequency that depends on the atomic masses
and the bonding strength. A simple approximation of the vibration frequency μ of a
molecule composed of two atoms A and B, of respective masses mA and mB is
obtained from Eq. (2) (Sathyanarayana 2015).
rffiffiffi
1 k
v¼ ð2Þ
2πC μ

where C, k, and μ are, respectively, the speed of light 3  1010 [cm s1], the stiffness
constant of the spring which characterizes the strength of the chemical bond
[N cm1], and the reduced mass μ ¼ mmAAþm mB
B
of the oscillator [gramme]. Equation
(2) does not consider the bond’s quantum aspect (Sathyanarayana 2015), but it
associates a simple vibratory model to this link.
When molecules are exposed to IR radiation, their atoms vibrate, and the trans-
mitted (or reflected) radiation is attenuated by molecular energy absorption. Each
atomic bond has several unique vibration frequencies which identifies molecules by
analyzing their IR absorption (or transmittance) spectrum (Sathyanarayana 2015).
A common practice is to excite the sample by a broadband wavelength light
source and measure the transmitted (or reflected) radiation. The raw data generated
by the sensor is an interferogram, which is transformed into an absorption spectrum
by applying the Fourier transformation (Landari et al. 2018).
A Fourier transform infrared (FTIR) spectrometer has four modules: (1) radiation
source, (2) sensor, (3) interferometer, and (4) Sample Handling System (SHS)
(Landari et al. 2018). The radiation source is a black body (typically a silicon carbide
rod), heated to extremely high temperature (approx. 1500 K) to produce incandes-
cent radiation. Sensors can be thermal or quantum (Landari et al. 2018). Thermal
sensors are more widely used for high-precision absorption measurements. Quantum
sensors, which are usually nonlinear, can be used for samples transmitting a very
weak light beam (Landari et al. 2018).
The interferometer disperses the different wavelengths of IR radiation. Currently,
most FTIR-spectrometers use Michelson interferometer which consists of a fixed
mirror, a moving mirror that modifies the length of the optical path, and a beam
splitter, as illustrated in Fig. 2 (Landari et al. 2018). The IR radiation flows through
this optical network to generate individual monochromatic beams basing on inter-
ference phenomena.
The Sample Handling System should be chosen before molecular analysis of a
sample. Indeed, several forms of SHS are available, determined by factors such
as sample type (liquid, gas, solid, or powder), radiation attenuation intensity, and
sample quantities. Figure 3 illustrates potential architectures for the main types of
SHS.
33 Optical Detection Techniques for Bioanalysis 705

Mobile mirror

Fixed mirror

Source

Beam splitter

Sample handling system

Fig. 2 Architecture of a Michelson interferometer (Landari et al. 2018)

Transparent SHS is the simplest way to obtain the IR spectrum of the sample
(Alcaraz et al. 2015). It is used when samples do not absorb the whole incident
radiation. There are several applications for such system, such as protein analysis in
solution, online species’ analysis in complex aqueous mixtures, and diseases diag-
nostic for biomedical research (Alcaraz et al. 2015; Siegmann-Hegerfelda et al.
2013; Brandstetter et al. 2013). For example, several proteins can be detected in
synthetic solutions including sodium phosphate monobasic dihydrate, sodium phos-
phate dibasic dihydrate, sodium hydroxide β-Lactoglobulin, hemoglobin, lysozyme,
α-chymotrypsin and lyophilized (Alcaraz et al. 2015).
However, the technique consists of studying deposit samples on substrates that
are transparent to infrared radiation. Spectrum quality always depends on experi-
mental conditions, such as optical path, quality of the support, solution concentra-
tion, and absorption intensity of the solvent in the case of liquid sample (Baker et al.
2014). Reflective SHS is used for less absorbent samples (Landari et al. 2018). An
external reflective medium must be used (reflective, nonabsorbent support) to hold
the sample and reflect the light beams to the sensor (Landari et al. 2018). The FTIR-
microscope spectrometer is a well-known instrument for FTIR refrective analysis
(Landari et al. 2018). The FTIR microscope can be used for the analysis of surface
quality and molecular distribution in the sample, while identifying molecules,
making it particularly suitable for research laboratories. Several supports for holding,
706 H. Landari et al.

Interferometer
Detector
output
(a) Sample

Interferometer Detector
output

(b)

Sample

Sample

Interferometer
(c) Detector
output

Fig. 3 Different types of SHS for IR spectrometer. (a) Transmission SHS. (b) Reflection SHS. (c)
Attenuated total reflectance SHS

handling, and encapsulating the sample were developed for this SHS in recent
applications, expanding its application to areas such as handling live biological
cells in controlled environments. The current SHS is used in cancer diagnosis,
studying various biological cells, environmental studies, and biofilms (Baker et al.
2014; Landari et al. 2018).
Finally, there is the attenuated total reflectance (ATR) SHS. This involves placing
the sample in contact with a crystal of high refractive index ranging from 2.4 to 4.0
Huck (2015) (the crystal can be diamond, zinc, germanium or silicon (Huck 2015)).
The technique is generally applied to highly absorbent samples. When a light beam
travels through a crystal with n1 refraction index higher than the n2 index of the
sample, there is high reflection on the interface between the two media (Landari et al.
2018). However, the full reflection of the emitted waves cannot take place, and an
evanescent wave is refracted by passing the sample over a distance of a few
micrometers (from 0.5 to 2 μm), determined by refracted wavelength, angle of
incidence, and refractive indices of the crystal, and the sample being analyzed
(Huck 2015). The crystal acts as wave guide for the monochromatic radiation and
allows the refracted wave to interact, through its thickness, with molecules in the
sample which produces the sample’s absorption spectrum. This SHS is frequently
used for samples in liquid solution with high solvent absorbency. As the evanescent
wave, when refracted, penetrates the sample by a matter of micrometers, the
intrusion does not significantly attenuate the signal and it is sufficient to extract
33 Optical Detection Techniques for Bioanalysis 707

the optical spectrum with a high signal-to-noise ratio. This SHS is also used to
studies solid state material samples, such as vegetable fibers (Célino et al. 2014) and
human hair samples (Chan et al. 2005).

Other Spectrometry Techniques

Other types of spectrometry can be used in molecular identification and quantifica-


tion. Raman spectrometry is a complementary technique to IR spectrometry. Like IR
spectrometry, it relies on vibrational properties of atomic bonds, but Raman spec-
trometry does not characterize the sample’s energetic absorption, it characterizes its
capacity of inelastic scattering (Metrohm 2018). It is used in the same fields of
application, such as polymer identification, structural identification of sugars, detec-
tion of organic solvents, composite materials analysis, etc. (Metrohm 2018). The
main difference with FTIR spectrometry is the usage of a dispersion module of the
IR radiation. While FTIR uses a Michelson interferometer, Raman spectrometry uses
a grating module which is placed between the sample and the sensor. Usually,
grating in Raman spectrometry is a prism that splits the polychromatic beam into
several monochromatic IR radiation (Metrohm 2018).
We can also mention atomic absorption and flame emission spectrometry
(Kowalewska 2018), atomic emission spectrometry (Li et al. 2017), magnetic
resonance spectroscopy (Lussey-Lepoutre et al. 2016), and mass spectrometry
(González-Sálamo et al. 2018). These techniques can be used in several applications
including organic component detection, water treatment, and protein sensing
(Li et al. 2017; González-Sálamo et al. 2018; Lussey-Lepoutre et al. 2016).

Conclusion

In this study, we presented the most common spectrometry-based sensing tech-


niques, and we mainly focused on UV/Vis and IR spectrometry for biological
models monitoring. We also reviewed other chemical analysis detection methods
for molecular identification and quantification.
UV/Vis spectrometry is a valuable, highly sensitive molecular quantification
technique (Thomas and Burgess 2017). However, it is not very selective, since
many molecules behave similarly in optical response (Thomas and Burgess 2017).
Because it covers a narrower range of wavelengths than other spectrometry tech-
niques, that induces a high risk of overlapping optical signatures of several mole-
cules and complicating identification. For FTIR spectrometry, transmission
spectrometry entails less optical setup and chemical preparation of samples, and is
less complex to implement than other techniques (Baker et al. 2014). The primary
concern with this technique is the necessity for nonabsorbent encapsulation materials
in the wavelength region of interest. This technique also features a very low signal-
to-noise ratio (SNR) relative to other techniques (Baker et al. 2014). ATR-FTIR
spectrometry technique can analyze liquid samples with solvents of high absorption
708 H. Landari et al.

of energy emitted by IR beams as the wave reaches only a few μm in the sample.
This technique also does not involve any preparatory steps for the sample (Huck
2015; Baker et al. 2014). Microspectrometry (FTIR microscopy) is a modular
technique that provides microscopic imaging analysis for surface investigation and
spectrometric analysis for molecular identification and quantification. It uses exter-
nal instruments that act as reflective carriers of the emitted light. The materials are
inexpensive, reusable, and useful for multiple analyses (Landari et al. 2018; Baker
et al. 2014). There is a notable drawback which is the nonuniform molecular
distribution of a liquid sample during handling. This nonuniformity may result in
significant deviation in results and limited repeatability. If the solvent is intensely
absorbed, it becomes difficult to identify materials contained in the sample (Landari
et al. 2018).

Acknowledgments The authors acknowledge the financial support from the Canada Excellence
Research Chair in Photonic Innovations. The authors also acknowledge financial support from the
Natural Sciences and Engineering Research Council of Canada (NSERC).

References
Alcaraz MR, Schwaighofer A, Kristament C, Ramer G, Brandstetter M, Goicoechea H, Lendl B
(2015) External-cavity quantum cascade laser spectroscopy for mid-IR transmission measure-
ments of proteins in aqueous solution. Anal Chem 87(13):6980–6987
Alves FC, Coqueiro A, Março PH, Valderrama P (2019) Evaluation of olive oils from the
Mediterranean region by UV–Vis spectroscopy and independent component analysis. Food
Chem 273:124–129
Baker MJ, Trevisan J, Bassan P, Bhargava R, Butler HJ, Dorling KM, Fielden PR, Fogarty SW,
Fullwood NJ, Heys KA et al (2014) Using Fourier transform IR spectroscopy to analyze
biological materials. Nat Protoc 9(8):1771
Brandstetter M, Volgger L, Genner A, Jungbauer C, Lendl B (2013) Direct determination of
glucose, lactate and triglycerides in blood serum by a tunable quantum cascade laser-based
mid-IR sensor. Appl Phys B 110(2):233–239
Célino A, Gonçalves O, Jacquemin F, Fréour S (2014) Utilisation de la spectrométrie infrarouge
pour une quantification rapide du taux d’humidité dans des fibres vegétales. Rev Compos Mater
Av 24(1):81–95
Chan K, Kazarian S, Mavraki A, Williams D (2005) Fourier transform infrared imaging of human
hair with a high spatial resolution without the use of a synchrotron. Appl Spectrosc 59(2):
149–155
González-Sálamo J, González-Curbelo MÁ, Socas-Rodríguez B, Hernández-Borges J, Rodríguez-
Delgado MÁ (2018) Determination of phthalic acid esters in water samples by hollow fiber
liquid-phase microextraction prior to gas chromatography tandem mass spectrometry.
Chemosphere 201:254–261
Huck CW (2015) Advances of infrared spectroscopy in natural product research. Phytochem Lett
11:384–393
Kowalewska Z (2018) Feasibility of high-resolution continuum source flame molecular absorption
spectrometry for silicon determination in organic solutions via the SiO molecule. J Anal At
Spectrom 33(2):260–273
Landari H, Roudjane M, Messaddeq Y, Miled A (2018) Pseudo-continuous flow FTIR system for
glucose, fructose and sucrose identification in mid-IR range. Micromachines 9(10):517
33 Optical Detection Techniques for Bioanalysis 709

Li N, Wu Z, Wang Y, Zhang J, Zhang X, Zhang H, Wu W, Gao J, Jiang J (2017) Portable dielectric


barrier discharge-atomic emission spectrometer. Anal Chem 89(4):2205–2210
Lussey-Lepoutre C, Bellucci A, Morin A, Buffet A, Amar L, Janin M, Ottolenghi C,
Zinzindohoué F, Autret G, Burnichon N et al (2016) In vivo detection of succinate by magnetic
resonance spectroscopy as a hallmark of SDHx mutations in paraganglioma. Clin Cancer Res
22(5):1120–1129
Metrohm (2018) Spectroscopie raman: exemples tirés de l’industrie des matériaux chimiques,
polymères, produits alimentaires, et de la construction. https://www.metrohm.com/fr-fr/soci%
C3%A9t%C3%A9/news/news-applications-en-spectroscopie-raman/
Niyonambaza SD, Boisselier E, Boukadoum M, Miled A (2019) A compact visible light spectrom-
eter for molecular detection with spherical gold nanoparticles. In: 2019 41st annual international
conference of the IEEE Engineering in Medicine and Biology Society (EMBC), IEEE,
pp 1058–1061
Perkampus HH (2013) UV-Vis spectroscopy and its applications. Springer, Berlin
Sathyanarayana DN (2015) Vibrational spectroscopy: theory and applications. New Age Interna-
tional, New Delhi
Sekretaryova AN, Vagin MY, Turner AP, Eriksson M (2016) Electrocatalytic currents from single
enzyme molecules. J Am Chem Soc 138(8):2504–2507
Siegmann-Hegerfelda T, Gennerb A, Brandstetterb M, Miltnera M, Lendlb B, Haraseka M (2013)
Online monitoring of TOC contaminations in clean-in-place processes for optimized process
control, increased process efficiency and quality. Chem Eng 35:10–32
Smolinska-Kempisty K, Ahmad OS, Guerreiro A, Karim K, Piletska E, Piletsky S (2017) New
potentiometric sensor based on molecularly imprinted nanoparticles for cocaine detection.
Biosens Bioelectron 96:49–54
Thomas O, Burgess C (2017) UV-visible spectrophotometry of water and wastewater. Elsevier,
Durham
Yang L, Liu YL, Liu CG, Fu Y, Ye F (2020) A cationic metal-organic framework for dye adsorption
and separation based on column-chromatography. J Mol Liq 300:112,311
Porous Silicon-Based Biosensors
Technology, Devices, and Applications
34
Guoguang Rong, Sumin Bian, and Mohamad Sawan

Contents
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 712
Porous Silicon Devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 714
Bragg Reflector . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 715
Resonant Microcavity . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 715
Waveguide . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 716
LSPR and SERS Biosensor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 719
Porous Silicon Biofunctionalization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 720
Porous Silicon Biofunctionalization Through Amine Group . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 721
Porous Silicon Biofunctionalization Through Thiol Group . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 722
Biosensor Application of Porous Silicon . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 722
Glucose Detection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 724
Antigen/Antibody Detection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 724
DNA Detection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 725
Porous Silicon Pore Size-Dependent Sensitivity . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 725
Porous Silicon Biochip . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 727
Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 728
References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 729

Abstract
Porous silicon (PSi) is an excellent nanoscale material for construction of biochips-
based sensors. In this chapter, we first introduce basic concepts, as well as the
morphological and optical properties of porous silicon material. Then, different
structures of porous silicon photonic devices are described, including single-layer

G. Rong (*) · S. Bian


CenBRAIN Laboratory, School of Engineering, Westlake University, Hangzhou, China
e-mail: rongguoguang@westlake.edu.cn
M. Sawan
Cutting-edge Net of Biomedical Research And INnovation (CenBRAIN), School of Engineering,
Westlake University, Hangzhou, Zhejiang, China
Emeritus Professor, Polystim Neurotech Labs, Polytechnique Montreal, Montreal, Canada

© Springer Science+Business Media, LLC, part of Springer Nature 2022 711


M. Sawan (ed.), Handbook of Biochips,
https://doi.org/10.1007/978-1-4614-3447-4_65
712 G. Rong et al.

interferometer, Bragg reflector, multilayer resonant microcavity, double layer wave-


guide, localized surface plasmon resonance (LSPR), and surface enhanced Raman
spectroscopy (SERS) biosensors. These devices are designed to achieve high
sensitivity for biosensing applications. Next, two approaches for the
biofunctionalization of porous silicon to optimize specificity in biosensing are
discussed. These two approaches are designed for two different mechanisms of
biosensing. To demonstrate biosensor application of porous silicon-based photonic
devices, several examples are then given to showcase their principle-of-operation,
their application in the detection of a specific biotarget, and their limit of detection.
These biosensor examples include all the photonic device structures discussed in a
previous section. Following biosensor examples discussions include the effect of
biomolecular size on sensitivity of porous silicon biosensor. This is to showcase the
importance of size consideration in biosensor design. Afterward, we present the
concepts of wafer level fabrication of porous silicon and the formation of biochips
from porous silicon chips, as well as biochip signal interrogation in a high-
throughput way. The conclusion is drawn at last with projection about future
development of porous silicon biosensors and biochips.

Introduction

Porous silicon is a nanoscale material consisting of silicon skeleton and air pores.
According to International Union of Pure and Applied Chemistry (IUPAC), porous
silicon can be classified into three main categories based on their pore size: microporous
silicon with pore diameter <2 nm, mesoporous silicon with pore diameter 2–50 nm,
and macroporous silicon with pore diameter >50 nm (Canham 2006). Porous silicon
has several interesting properties that make it attractive for a number of applications. It
has very high surface area to volume ratio, with specific surface area up to 1000 m2/cm3
(Canham 2006). This makes it suitable for molecular binding detection in biosensing
(Maniya 2018), and load material for drug delivery (Kumeria et al. 2017). Porous
silicon is versatile in surface chemistry, which makes biosensing easier since many
bioreceptors can be immobilized on porous silicon surface to capture target analyte of
interest. Porous silicon is biocompatible and biodegradable, making it appropriate for
in vivo application, such as drug delivery and drug monitoring (Jin et al. 2018).
Porous silicon morphology, such as pore size and porosity, can be adjusted through
fabrication conditions. For example, in electrochemical anodization of silicon wafer to
fabricate porous silicon, its morphology can be controlled by adjusting silicon wafer
dopant type and concentration (or equivalently, wafer resistivity), crystal orientation,
etching current density, and electrolyte formula. After formation of porous silicon on
silicon wafer, it can be removed from silicon substrate to form freestanding membrane
(Sailor 2012). Furthermore, it can be made in the form of porous silicon nanoparticles
through sonication (Sailor 2012). These possibilities make it suitable for applications
where free-standing thin films or nanoparticles are needed.
There are many methods for porous silicon fabrication. In this section, we mainly
focus on electrochemical anodization of single crystalline silicon. To form porous
silicon on silicon substrate, hydrofluoric acid (HF) is employed as the electrolyte and
34 Porous Silicon-Based Biosensors 713

Fig. 1 Setup of electrochemical anodization of silicon wafer piece to fabricate porous silicon.
Excerpted from Rong (2008)

a platinum (Pt) wire which is resistant to HF and serves as the cathode. The silicon
substrate itself serves as the anode. As shown in Fig. 1, the silicon piece, Pt
electrode, and HF electrolyte are assembled in a Teflon cell to facilitate electrochem-
ical reaction. To initiate the reaction, an electric current is applied between the
cathode and anode through a programmable current source meter. The source
meter can control the current density going through the electrolyte, thus acting as
one factor controlling the morphology of the resulting porous silicon.
The electrochemical etching of porous silicon consumes F ions in the electrolyte and
free carriers in the silicon substrate. As reaction continues, free carriers in the pore walls
are depleted, and further etching can only happen at the pore tips (bottom of the pores).
Thus, the free carrier concentration, electrolyte concentration, and applied current density
jointly determine the morphology of porous silicon such as pore size and pore-to-pore
distance. If a thick porous silicon film needs to be fabricated, such as several tens to
hundreds of μm, fresh HF electrolyte must be replenished to avoid depletion of F ions.
Due to nonuniformity of free carrier distribution in silicon wafer, the electrochem-
ically fabricated porous silicon is nonuniform in morphology. There are some in-plane
variations of porous silicon morphology across the fabrication area. In order to mitigate
this situation, the applied current density must be as uniform as possible. Thus, the
design pattern of Pt electrode is important to guarantee uniform distribution of electric
field in the electrolyte and across the wafer. It is better to use electrode pattern that is
similar in size and shape to the wafer area that is being etched (Hossain et al. 2001).
714 G. Rong et al.

The most important optical properties of porous silicon include refractive index
and thickness. The refractive index of porous silicon depends on its porosity, which
can be tuned by etching conditions. The relationship between porosity and refractive
index can be modeled by Maxwell-Garnett (Liu and Jin 2020) and Bruggeman’s
(Apresyan et al. 2020) effective medium theories. For all effective medium theories,
the trend is that high porosity means lower refractive index. Theoretically speaking,
the range of available refractive index of porous silicon can be from 1 of air to 3.5 of
silicon in the visible wavelength range. Due to feasibility limitation, most of the
porous silicon thin film has refractive index in the range 1.5–2.5. The porosity of
porous silicon is a function of silicon dopant concentration, crystal orientation,
electrolyte composition, and applied current density. For a given silicon wafer and
electrolyte, porosity as a function of current density can be studied gravimetrically.
At a given current density to fabricate porous silicon, we measure the mass of the
silicon substrate before porous silicon formation m1, after porous silicon formation
m2 and after removing porous silicon thin film from silicon substrate m3 by
dissolving porous silicon in saturated aqueous NaOH solution. Then the porosity ρ
of the porous silicon formed can be calculated by the following equation:

m1  m2
ρ¼  100%: ð1Þ
m1  m3
This method is the simplest approach to characterize porosity, and in order for it
to be accurate, it is typically required that at least three measurements should be
made for each mass value and the error range for the three measurements is within
105 g.
The porous silicon thickness can be controlled by defining the etching time. In the
programmable current source meter, both the current density and the time of supplying
such current density can be specified. The thickness of porous silicon thin film is the
product of etching speed and etching time. The etching speed is also a function of
current density, dopant concentration, and electrolyte HF concentration. For a given
silicon wafer and electrolyte composition, the etching speed for a given etching current
density can be characterized by measuring the thickness of porous silicon thin film (e.g.,
through scanning electron microscopy) for different etching time duration. The trend of
film thickness as a function of time duration can be linearly fitted, and the slope of the
linear fitting is the etching speed for the given current density.

Porous Silicon Devices

The simplest structural form of an optical device is just a single layer of porous
silicon thin film. Such a device can be used as a reflection interferometer. Figure 2
shows the structure and reflection spectrum of porous silicon interferometer. The
reflected light from top interface and bottom interface of the porous silicon thin film
interfere to form peaks and valleys in reflection spectrum. The peaks mean that the
two reflected beams interfere in phase, and the valleys mean the two reflected beams
interfere out of phase. Here, n0 is typically refractive index of air or water, n1 is
34 Porous Silicon-Based Biosensors 715

Fig. 2 Single layer porous silicon interferometer (left) and its simulated reflection spectrum (right)

refractive index of porous silicon, and nSi is refractive index on silicon substrate.
Such an interference pattern can be measured by reflection spectroscopy, such as
tabletop instrument UV-Vis-NIR, or portable fiber spectrometer.

Bragg Reflector

A porous silicon Bragg reflector is a stack of multiple layers of porous silicon


periodically. The thickness and refractive index of one period have been designed
such that two different layers of refractive indices n1 and n2, and thicknesses t1 and
t2, satisfy the following equation:

2π 2π π
t1 n ¼ t 2 n2 ¼ ð2Þ
λ0 1 λ0 2
where λ0 is the vacuum wavelength of incidence light. This design can achieve a
band of nearly total reflection centered around λ0. The structure and simulated
reflection spectrum of a Bragg reflector is shown in Fig. 3. The maximum reflection
is dependent on the number of periods of alternating porous silicon materials and the
contrast in refractive index between the two different layers.

Resonant Microcavity

A porous silicon resonant microcavity is formed when we embed one layer of porous
silicon between two Bragg mirrors. This embedded layer can be considered as a
716 G. Rong et al.

Fig. 3 A multilayer porous silicon Bragg reflector (left) and its simulated reflection spectrum
(right). A total reflection band centered at 650 nm can be observed

“defect” layer since it breaks the periodicity of Bragg mirror. That is, without this
layer, the two Bragg mirrors could just merge into one Bragg mirror of more periods.
Figure 4 shows structure and simulated reflection spectrum of a porous silicon
resonant microcavity. For ease of fabrication, the defect layer is typically the same
porous silicon material as the second alternating layer of the top Bragg mirror. Its
thickness can be any number other than 4nλ 2 , so that Eq. (2) should not be satisfied.
This defect layer introduces a resonant mode in the total reflection band of the Bragg
mirror. Light is confined in the defect layer because the top and bottom Bragg
mirrors reflect light back into the defect layer. Such a configuration can be consid-
ered as a one-dimensional photonic crystal (Joannopoulos et al. 2008). Thicker
defect layer gives more resonant modes. The quality factor of the resonant mode is
dependent on how well light can be confined in the defect layer. Higher reflection for
the top and bottom Bragg reflectors gives better confinement and thus higher quality
factor. However, if the top Bragg mirror has a very high reflection, the coupling of
incident light from air into the defect layer would become difficult. Therefore, an
optimization process involving simulation and measurement is needed to tune the
structural design to achieve the best quality resonant mode.

Waveguide

A porous silicon waveguide consists of just two layers of porous silicon. As shown
in Fig. 5, the top porous silicon layer has refractive index n1 and the bottom porous
silicon layer has refractive index n2. Above the top porous silicon layer is medium n0
34 Porous Silicon-Based Biosensors 717

Fig. 4 A porous silicon resonant microcavity (left) and its simulated reflection spectrum (right)

which can be either air, water, or other homogeneous medium. Below the bottom
porous silicon layer is the silicon substrate with refractive index nSi. In order to form
a waveguide structure, it must be that n1 > n2 and n1 > n0. In addition, d1 has
minimum thickness requirement (Yeh 1988).
The waveguide is another kind of resonant structure since light can be confined
in top porous silicon layer by total internal reflection at the top and bottom
interfaces (see Fig. 5a). The condition for waveguide mode is given by the
following equation:
718 G. Rong et al.

Fig. 5 (a) Porous silicon waveguide structure; (b) end-fire coupling; (c) grating coupling; and (d)
prism coupling

2hd þ ϕ1 þ ϕ2 ¼ 2mπ: ð3Þ

where ϕ1 and ϕ2 are phase changes due to total reflection at the top and bottom
interfaces, d is the thickness of the guiding layer, and h is the vertical component of
the wavevector of the propagating waveguide mode. Basically, Eq. (3) means that a
waveguide mode must add in phase after being reflected by the two interfaces in
order to propagate within the guiding layer.
The top porous silicon layer where light is confined is called “guiding layer,” and
the bottom porous silicon layer is called “cladding layer.” The medium above the
guiding layer is also cladding. The quality factor of resonance for waveguide is again
dependent on how well light can be confined in the guiding layer. This depends on
the contrast in refractive index between guiding layer and cladding layers. It also
depends on the order number of waveguide mode (there can be more than one mode
if the guiding layer is thick enough). Higher contrast in refractive index and lower
order of mode gives better confinement and better-quality factor.
In order to measure a waveguide, a method to excite the waveguide mode must be
employed. As shown in Fig. 5b–d, there are three major kinds of waveguide mode
excitation techniques. The simplest method is through end-fire coupling technique
(Boyd and Anderson 1975). As shown in the Fig. 5b, light is incident on one end of
34 Porous Silicon-Based Biosensors 719

the waveguide with angle of incidence distributing in (0, π/2). There will be some
light with appropriate angle of incidence that can couple into guiding layer and be
propagating in it. This coupling method has very low coupling efficiency and, if
guiding layer is very thin, requires miniaturized light source and challenging micro-
or even nanoscale alignment of light source with respect to guiding layer of
waveguide.
The second technique is through the usage of periodic grating on guiding the
layer of waveguide (Taylor and Yariv 1974). As shown in Fig. 5c, the incident light
has horizontal wavevector boosted by the grating such that waveguide mode exci-
tation can happen in the guiding layer if:

2π 2π
sin θ þ ¼ kWG ð4Þ
λ Λ
where θ and λ are the incidence angle and vacuum wavelength of the incident light,
Λ is the grating period, and kWG is the horizontal component of the wavevector of the
propagating waveguide mode. The grating coupling technique avoids usage of bulky
equipment and improves integration, but microfabrication is needed to form the
periodic grating on top of the guiding layer since the size of grating is typically a
number of fractions of the wavelength of incident light.
The third technique is by using a prism which serves to boost the wavevector of
the incident light. As shown in Fig. 5c, light can tunnel through the air gap between
the prism and the guiding layer, and couple into the guiding layer through evanescent
wave coupling (Taylor and Yariv 1974). Typically, the air gap between the guiding
layer and prism is λ/8~λ/4. This method is simple, but bulky equipment such as
prism coupler is needed.

LSPR and SERS Biosensor

Surface plasmon resonance (SPR) is a resonant mode of collective oscillation of


electrons at the interface between metal and dielectric. It can be excited by visible
light, and its field strength is maximum at the interface while decaying exponentially
away from the interface in both metal and dielectric. Localized surface plasmon
resonance (LSPR) is excited at the interface between metal and dielectric when metal
has nanoscale features. For LSPR, the filed confinement is much local near the
interface, and the field strength decays rapidly away from the interface. LSPR occurs
around the surface of Au and Ag nanoparticles (Taylor and Zijlstra 2017), and self-
assembly of Au nanoparticle on substrate (Taylor and Zijlstra 2017). Due to the
porous structure of porous silicon, it can serve as a substrate for LSPR. Au thin film
can be coated on porous silicon conformably, and the porous gold thin film supports
LSPR phenomenon. In this way, LSPR can be combined with porous silicon to form
sensitive biosensors.
The porous silicon devices discussed in previous sections, such as single-layer
interferometer, Bragg mirror, and resonant microcavity can all be coated with metal
thin film to form LSPR biosensor. And biomolecules need not infiltrate into the
720 G. Rong et al.

Fig. 6 Porous silicon


biosensor based on surface
enhanced Raman
spectroscopy (SERS), where
Raman signal of the Raman
reporter is enhanced by field
of localized surface plasmon
resonance (LSPR) confined
near the metal thin film coated
on porous silicon

nanopores of porous silicon. Instead, biomolecules can just bind with probe mole-
cules near the metal surface and such binding events can be sensitively detected by
LSPR mode. The LSPR spectrum can be measured by reflection, transmission, or
absorption spectroscopy. For porous silicon LSPR biosensor on silicon substrate,
reflection spectroscopy is typically used for interrogation of LSPR, since silicon is
not transparent for visible light.
In addition to LSPR, porous silicon can also act as a substrate to support surface
enhanced Raman spectroscopy (SERS) (Yue et al. 2019). As discussed in the
previous paragraph, porous silicon, when coated with metal thin film such as gold,
can support LSPR. Probe molecules (or receptors) can be immobilized on metal thin
film to capture or bind with target molecules (or ligand). Then, when a secondary
receptor (e.g., secondary antibody) conjugated with Raman reporters (such as 4MBA
or R6G) binds with the target, the locally confined field of LSPR can enhance Raman
signal of Raman reporter molecules by several orders of magnitude (see Fig. 6) (Liu
et al. 2020). By measuring the Raman shift of the Raman probe, the target molecules
can be detected very sensitively, with limit of detection down to fM (Nguyen et al.
2015). Commercial equipment such as portable Raman spectrometer and tabletop
confocal Raman spectrometer are available to make spot measurement or area
mapping. SERS biosensing is typically qualitative or semiquantitative, as the
Raman signal measured by current equipment is still not strong enough for absolute
quantification.

Porous Silicon Biofunctionalization

The freshly prepared porous silicon has silicon hydrogen bond (Si-H) which is not
stable in ambient air. The Si-H bond makes porous silicon surface hydrophobic,
which complicates wetting of porous silicon surface by aqueous biomolecular
solutions. In order to stabilize porous silicon and make it hydrophilic, the freshly
prepared porous silicon can be thermally oxidized or carbonized. Here, we discuss
about thermal oxidation. Typically, porous silicon is oxidized at 800–900  C in
ambient air or in oxygen environment. The oxidation process converts almost all
Si-H bonds to Si-O bonds. As a result, the oxidized porous silicon is stable in
34 Porous Silicon-Based Biosensors 721

ambient air and is hydrophilic. The oxidation process, in the meanwhile, reduces the
refractive indices of porous silicon layers, since silicon dioxide has lower refractive
index than silicon. This means that the characteristic peaks and valleys of porous
silicon devices will shift to shorter wavelength, or blueshift, after thermal oxidation.

Porous Silicon Biofunctionalization Through Amine Group

After thermal oxidation, porous silicon can be biofunctionalized by immobilization


of probe biomolecules covalently. Here, an example is given on biofunctionalization
using amine groups (-NH2) for DNA detection. As shown in Fig. 7, oxidized porous
silicon with Si-O bond can react with 3-aminopropyltriethoxysilane (3-APTES) to
form covalent bond. The amine group on porous silicon is next reacted with
glutaraldehyde to form covalent bond. Glutaraldehyde is a homo-bifunctional
crosslinker having aldehyde groups on both ends of the molecule that can link
together two species with amine group. However, the product of the reaction,
which is Schiff Base containing C ¼ N bond, is not stable, and the reaction can be
reversed. In order to stabilize Schiff Base, C ¼ N bond needs to be converted into
C-N bond, which can be done by applying Sodium Cyanoborohydride (NaCNBH3).
After glutaraldehyde, amine-modified probe DNA can then be immobilized on the
porous silicon surface through the same reaction with Schiff Base stabilized by the

Fig. 7 Porous silicon biofunctionalization for DNA detection through the usage of Si-O bond and
amine (-NH2) group. Excerpted from (Rong 2008)
722 G. Rong et al.

same way. Now probe DNA has been covalently immobilized on porous silicon
surface, and the porous silicon biosensor is ready for detection of target DNA with
complementary sequence.

Porous Silicon Biofunctionalization Through Thiol Group

Porous silicon LSPR biosensor needs to be biofunctionalized based on gold thin


film, instead of Si-O bond. Therefore, a short description of the procedure is given
here. The oxidized porous silicon was first coated conformably with Au thin film
by physical vapor deposition (PVD). Then, as shown in Fig. 8, thiol-modified
aptamer, typically through a C6-carbon spacer at the 50 terminal, was immobilized
onto the gold thin film of the porous silicon by gold–sulfur affinity. Prior to
immobilization, aptamer is suggested be reduced to transfer any occurrence of
S-S bond into active S-H bond via either dithiothreitol (DTT) or Tris
(2-carboxyenthy) phosphine (TCEP). After that, 6-mercapto-1-hexanol (MCH)
was used as backfill agent to passivate the surfaces that were not covered by the
probe aptamer. Following the above steps, an aptamer prefunctionalized chip was
ready for target detection, such as for on-site detection of the existence of
coronavirus in the public.

Biosensor Application of Porous Silicon

Porous silicon is excellent for biosensing application, due to its high surface
area and versatile surface chemistry. There have been electrical, optical, and
electrochemical biosensors based on porous silicon. In this chapter, we focus on
optical biosensors and biochips constructed upon porous silicon. Optical
biosensing is sensitive and immune to electromagnetic interference, and com-
patible with many existing optical measurement techniques. The principle of
detection for porous silicon optical biosensors is generally based on: (1) The
binding of biomolecules on porous silicon surface adds materials into the pores
which replace air or water originally in the pore, and the effective refractive
index of porous silicon increases; (2) this increase in refractive index causes a
redshift (or shift to longer wavelength) of the interference spectrum or reso-
nance peak wavelength.
The redshift can also be considered as an interaction between electrical field
and biomolecules within porous silicon pores. Such interaction is a perturbation of
the original field distribution before biomolecular binding. The perturbation of the
field distribution results in redshift of interference pattern or resonant mode. The
amount of red shift is proportional to the number of biomolecules binding on
porous silicon surface. Thus, given a calibration curve of red shift versus concen-
tration, porous silicon optical biosensors can quantify target biomolecules in
unknown samples.
34
Porous Silicon-Based Biosensors

Fig. 8 Porous silicon biofunctionalization through Au and -SH


723
724 G. Rong et al.

Glucose Detection

Porous silicon can be used for detection of glucose concentration in biological


samples. For detection of glucose, there is no need for biofunctionalization of porous
silicon. Here, an example is given whereby the structure of porous silicon resonant
microcavity is used as a biosensor for real-time detection of glucose with a portable
fiber spectrometer. Figure 9a shows that as a result of resonance redshift, the
reflectivity at a fixed wavelength will change following the linear curve (circled
part) near the resonance peak of the microcavity. Figure 9b shows that the reflectivity
changes in real time as response to glucose concentration variation in aqueous
solution. As glucose solution is introduced to the sensor surface, sensor response
begins climbing up and then saturates. As water begins washing glucose away, the
sensor response declines and recovers to its original level. This demonstrates that
porous silicon resonant microcavity can monitor glucose concentration in real time
by using a portable fiber spectrometer.

Antigen/Antibody Detection

Porous silicon biosensors can also be used for the detection of antigen and anti-
bodies. Here, we give an example of porous silicon resonant microcavity biosensor
for the detection of tuberculosis (TB) antigen and antibody. For TB diagnosis, both
antigen and antibody detection in human serum can be implemented. Figure 10a
shows that 16 kDA antigen has been successfully immobilized on porous silicon
resonant microcavity through linking with GA (glutaraldehyde). The biosensor is
ready for tuberculosis antibody detection. Figure 10b shows that anti-16 kDA
antibody can be detected by the redshift of the resonant peak. Nonspecific species
show no redshift, demonstrating the specificity of the biosensor (data not shown).

Fig. 9 (a) Porous silicon resonant microcavity has a linear region of reflectivity vs. wavelength
near the resonance dip; (b) reflectivity of porous silicon resonant microcavity is changing in real
time as response to glucose concentration variation (5%, 10%, and 20%) in aqueous solutions.
Excerpted from Wu et al. (2012b)
34 Porous Silicon-Based Biosensors 725

Fig. 10 The reflectance spectrum of porous silicon resonant microcavity shifts significantly after
binding of 16 kDa antigen solution (314 μg/mL) (a) and anti-16 kDa antibody solution (730 μg/mL)
(b). The binding of 16 kDa antigen and anti-16 kDa antibody gave significant redshifts, 5 and
4.5 nm, respectively, whereas nonspecific species give no redshift. Excerpted from Wu et al.
(2012a)

DNA Detection

Porous silicon-based biosensors can also be used for the detection of DNA mole-
cules. Basically, a probe DNA molecule is immobilized on the porous silicon
surface. This probe molecule hybridizes specifically with target DNA molecule of
complementary sequence. The target DNA must be of known sequence so that its
probe can be designed and synthesized. This is different from sequencing where the
target sequence is not known in advance and is analyzed by sequencer.
Here, we give an example of porous silicon biosensor for DNA detection. The
biosensor is based on a porous silicon waveguide. It can detect specific sequence of
DNA molecules very sensitively. Figure 11 shows that specific sequence of DNA
causes waveguide to shift to higher resonance angle (light incidence angle at which
waveguide mode is excited by prism coupler), while nonspecific DNA or buffer
solution does not change the resonance angle of the waveguide biosensor. The limit
of detection (LOD) is in the nM range, and further optimization in biochemistry can
be implemented to further improve LOD.

Porous Silicon Pore Size-Dependent Sensitivity

Porous silicon biosensors, such as single-layer interferometer, Bragg mirror, reso-


nant microcavity, and waveguide, depend on infiltration of biomolecules into pores
in order to achieve high sensitivity. This is because the field is confined within a
particular layer of porous silicon, and biomolecules must interact with this confined
field in order to achieve high sensitivity. The relative size of porous silicon pores as
compared with size of biomolecules will therefore affect the sensitivity of detection.
DNA molecules can be used as a model molecule for characterizing detection of
726 G. Rong et al.

Fig. 11 Porous silicon waveguide biosensor for the detection of DNA molecules of specific
sequence: (a) shows the stepwise biofunctionalization of the porous silicon waveguide biosensor;
(b) shows the resonance angle of the waveguide shifts as a result of specific binding of target DNA
(antisense); and (c and d) show that both nonspecific DNA (mismatch) and buffer solution cannot
change the angle of resonance for the porous silicon waveguide. Excerpted from Rong et al. (2008)

different size targets, since the size (or length) of DNA molecules can be specified
through the number of nucleotides in their sequence during synthesis. It is expected
that if the molecular size is small, the biosensor would have lower sensitivity since
perturbation of porous silicon field distribution by biomolecules is small. Figure 12
shows the sensitivity of porous silicon waveguide biosensor for the detection of
DNA molecules as a function of a number of nucleotides in DNA sequence. It can be
observed that shorter sequence gives better sensitivity. This is because if the biomo-
lecular size is bigger than pore size, the biomolecules would have difficulty infil-
trating into the pores and the sensitivity would be negatively affected. For the design
of porous silicon biosensors, the size of target molecules relative to porous silicon
pore size needs to be considered in order to achieve best sensitivity.
For LSPR- and SERS-based porous silicon biosensors, since biomolecules need
not infiltrate into the pores, there is no need for optimization of pore size relative to
biomolecular size. Biomolecules bind on metal surface and interact with locally
confined field of LSPR mode. Such interaction is strongest near the metal surface
instead of inside pores, and thus, the sensitivity is optimal when most biomolecules
bind on metal surface. This can be considered as one advantage of LSPR and SERS-
based porous silicon biosensors. The porous silicon pore size can be analyzed
34 Porous Silicon-Based Biosensors 727

Fig. 12 Experiments showing the resonance shifts of porous silicon waveguide biosensor with
different concentrations (25–100 μM) and different lengths (8, 16, 20, and 24 nucleotides) of DNA
molecules. The slope of linear fitting curve is the sensitivity of detection. It can be observed that the
optimal sensitivity is for 8 and 16 bases, and longer bases give lower sensitivity. Excerpted from
Rong and Weiss (2009)

through SEM images, and the effect of pore size on LSPR field distribution can be
studied by theory, simulation, and experiments. The pore size may be tuned to
achieve optimal field distribution for detection of a particular biomolecular target
of interest (Rong and Weiss 2009).

Porous Silicon Biochip

A biochip can be formed on porous silicon wherein multiple sensors can be defined
on a porous silicon chip. Figure 13 shows that a biochip consisting of 3X3 array of
biosensors can be defined on a porous silicon resonant microcavity by poly-
dimethylsiloxane (PDMS) microwells. Each biosensor can be measured by reflection
spectroscopy and is capable of detecting an unknown sample. The porous silicon
chip can be manipulated by a robotic arm to align each microwell (or biosensor) with
fiber probe of fiber spectrometer to collect reflection spectrum. The alignment
process is fast, programmable, highly accurate, and reproducible (repositioning
error O20 μm).
Silicon wafer, which is the most widely used material in the semiconductor
industry, has been increasing in diameter to improve fabrication throughput and
lower cost per chip. Porous silicon fabrication is compatible with CMOS processes,
and porous silicon can be fabricated on silicon at the wafer level. Such fabrication
has high throughput, high yield, and low cost. The wafer can then be cut into a
728 G. Rong et al.

Fig. 13 (a) Porous silicon biosensors (right two samples) and 3X3 array of sensor spots defined by
PDMS on porous silicon chip (left sample); (b) alignment of each porous silicon chip wherein each
sensor spot is aligned with fiber probe of portable fiber spectrometer. The usage of a robotic arm
guarantees programmable and reproducible localization of porous silicon biochip

desirable biochip size using a dice saw. Multiple biosensor spots can be formed on a
single biochip. Each spot is detecting one biomolecular target. Multiple spots can be
used in multiplexed detection wherein simultaneous detection of multiple targets in
multiple clinical specimens from a number of patients can be carried out. This
multiplexing significantly enhances detection throughput, lowers cost, shortens
detection time, and reduces needed sample volume.

Conclusion

In conclusion, porous silicon is an excellent material for biosensing and biochips. It


has high surface area, which is desirable for immobilizing a large quantity of probe
molecules to capture target molecules with high probability. Its surface chemistry is
versatile, which makes porous silicon suitable for many approaches of
biofunctionalization techniques through which probe molecules or receptors are
immobilized on porous silicon surface. Its optical properties are tunable, making it
flexible in design and fabrication. As a result, a number of different forms of optical
devices can be designed and fabricated relatively easily. These different kinds of
devices have different structural complexities, field confinement factors, sensitivities
for biomolecular detection, and ease of optical measurement. In addition, porous
silicon pore size can be adjusted during fabrication in order to accommodate
biospecies of appropriate size to infiltrate into pores so that high sensitivity can be
realized. On the other hand, porous silicon is a kind of nanomaterial that is compat-
ible with LSPR and SERS biosensors. Such biosensors utilize porous silicon as a
substrate of nanoscale features, and no biospecies infiltration into the pores of porous
silicon is required in order to achieve high sensitivity. In such design, biosensors
typically interact with the locally confined electric field. The field confinement is
34 Porous Silicon-Based Biosensors 729

related to the quality factor of resonances. Higher quality factor means better field
confinement and higher sensitivity.
Porous silicon fabrication is compatible with CMOS processes, and it can be
fabricated on silicon at the wafer level. Porous silicon wafer can be cut into desired
chip size through dice saw, just in the same way as semiconductor chips are cut. This
high-throughput fabrication of biochips makes porous silicon especially desirable
for low-cost and high-volume applications such as biomedical diagnostics in regions
of limited resources. Porous silicon biochips will continue to develop, in terms of
novel biosensing mechanism, sensitivity enhancement, multitarget analysis, on chip
integration with other necessary components, and portable measurement system
development. Another emerging application of porous silicon biochip is in vivo
biosensing, for health condition surveillance and drug concentration monitoring.
These emerging applications will facilitate wider exploitation of the superior prop-
erties of porous silicon including biocompatibility, biodegradability, versatility, and
low cost. Porous silicon biochips are expected to satisfy needs in many areas, such as
biomedicine, food safety, environment monitor, and biosafety. As a result, break-
throughs in the development of porous silicon biochips will significantly benefit
human society and economy.

References
Apresyan LA, Vlasova TV, Krasovskii VI et al (2020) Effective medium approximations for the
description of multicomponent composites. Tech Phys 65(7):1130–1138
Boyd JT, Anderson DB (1975) Radiation pattern of an end-fire optical waveguide coupler. Opt
Commun 13(3):353–358
Canham L (2006) Properties of porous silicon. The Institution of Electrical Engineers, London
Hossain SM, Das J, Chakraborty S et al (2001) Electrode design and planer uniformity of anodically
etched large area porous silicon. Semicond Sci Technol 17(1):55–59
Jin Y, Kim D, Roh H et al (2018) Tracking the fate of porous silicon nanoparticles delivering a
peptide payload by intrinsic photoluminescence lifetime. Adv Mater 30(35):e1802878
Joannopoulos J, Johnson S, Winn J et al (2008) Photonic crystals: molding the flow of light, 2nd
edn. Princeton University Press, Princeton
Kumeria T, McInnes SJP, Maher S et al (2017) Porous silicon for drug delivery applications and
theranostics: recent advances, critical review and perspectives. Expert Opin Drug Deliv 14(12):
1407–1422
Liu N, Jin Y-Q (2020) A discussion on the effective permittivity of multi-component medium
derived by Maxwell–Garnett, strong fluctuation and quasicrystalline-CP modeling. Waves
Random Complex Media 2020:1–10
Liu J, Jalali M, Mahshid S et al (2020) Are plasmonic optical biosensors ready for use in point-of-
need applications? Analyst 145(2):364–384
Maniya NH (2018) Recent advances in porous silicon based optical biosensors. Rev Adv Mater Sci
53(1):49–73
Nguyen AH, Lee J, Il Choi H et al (2015) Fabrication of plasmon length-based surface enhanced
Raman scattering for multiplex detection on microfluidic device. Biosens Bioelectron 70:358–365
Rong G (2008) Label-free nanoscale biosensing using a porous silicon waveguide biosensor.
Disseration, Vanderbilt University
Rong G, Weiss SM (2009) Biomolecule size-dependent sensitivity of porous silicon sensors. Phys
Status Solidi A 206(6):1365–1368
730 G. Rong et al.

Rong G, Najmaie A, Sipe JE et al (2008) Nanoscale porous silicon waveguide for label-free DNA
sensing. Biosens Bioelectron 23(10):1572–1576
Sailor MJ (2012) Porous silicon in practice: preparation, characterization and applications. Wiley-
VCH, Weinheim
Taylor HF, Yariv A (1974) Guided wave optics. Proc IEEE 62(8):1044–1060
Taylor AB, Zijlstra P (2017) Single-molecule plasmon sensing: current status and future prospects.
ACS Sens 2(8):1103–1122
Wu B, Rong G, Zhao J et al (2012a) A nanoscale porous silicon microcavity biosensor for novel
label-free tuberculosis antigen–antibody detection. Nano 7(6):1250049
Wu C, Rong G, Xu J et al (2012b) Physical analysis of the response properties of porous silicon
microcavity biosensor. Phys E 44(7-8):1787–1791
Yeh P (1988) Optical waves in layered media. Wiley, New York
Yue X, Zheng X, Lv G et al (2019) Synthesis of a low-cost, stable, silicon-based SERS substrate for
rapid, nondestructive biosensing. Optik 192:162959
Sensing and Sampling Probes for
Bioapplications 35
Amine Miled, Hamza Landari, Mounir Boukadoum, and
Younés Messaddeq

Contents
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 732
Electric Probe . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 732
Optical Probe . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 734
Microfluidic Probe . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 735
Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 738
References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 741

Abstract
Liquid sampling is critical for several chemical analysis of biological sample
because sampling process may affect reliability of obtained results related to the
composition of the analyzed medium. This process requires instruments that
should be extremely small in size, biocompatible, and minimally invasive. With
recent technological advances, the sampling and analysis stages can be merged
by, for example, integrating ultraminiaturized sensing techniques into implant-
able probe architectures. One objective of this approach is to provide continuous
analysis, to reduce the required sample volume and to provide real-time charac-
terization of the sampled biological environment. We observed several multi-
modal probes that handle both, sampling, sensing, and analysis with embedded
A. Miled (*) · H. Landari
Research Centre for Advanced Materials (CERMA), LABioTRON Bio-engineering Research
Laboratory, Québec City, QC, Canada
Department of Electrical and Computer Engineering, Université Laval, Québec City, QC, Canada
e-mail: amine.miled@gel.ulaval.ca; hamza.landari.1@ulaval.ca
M. Boukadoum
Computer Science Department, Université du Québec À Montréal (UQÀM), Montréal, QC, Canada
e-mail: mounir.boukadoum@uqam.ca
Y. Messaddeq
Center for Optics, Photonics and Lasers (COPL), Laval University, Québec City, QC, Canada
e-mail: Younes.Messaddeq@copl.ulaval.ca

© Springer Science+Business Media, LLC, part of Springer Nature 2022 731


M. Sawan (ed.), Handbook of Biochips,
https://doi.org/10.1007/978-1-4614-3447-4_68
732 A. Miled et al.

data processing system. In this section, we review various techniques for


implementing molecular detection methods in miniaturized medical instruments
for chemical analysis.

Introduction

Extracellular and intracellular media provide a lot of relevant information that can be
used to diagnose diseases induced by cell dysfunction or to discover new phenomena
and to test new drugs and therapies. This information can be extracted through
chemical analysis of biological medium. But, prior to chemical analysis, sampling
process must be achieved which is a crucial step to obtain reliable data.
In addition to the liquid extraction from a biological medium, available instru-
mentations can provide a variety of other functions, such as localized drug delivery
and continuous recording of cell activity, among other (Buzsáki 2004). Indeed, the
development of detection techniques at the ultraminiaturized or integrated scale
requires the implementation of new approaches for sampling and handling fluids at
the micrometric or even nanometric scale; one such device is the microprobe
(Buzsáki 2004). In many cases, integration is coupled with multimodal aspects of
extracting information samples such as temperature, pH, conductivity, and molecular
composition of biological fluids (Buzsáki 2004). There are numerous stimulation
and/or detection probes available for different applications. All have some biocom-
patibility concerns, such as biological tissue immune response during implantation,
which can affect the expected results. This can be limited by miniaturizing the probes
and encapsulating them with neutral materials. A second issue is the selectivity of the
stimulation, which means that the vicinity of the target cells may also be stimulated.
In the following, we explore microfluidic, optical, and electrical sampling, stimula-
tion and detection techniques in vivo and in vitro, outlining their advantages and
disadvantages. In addition, a review of selected examples of multimodal probes is
presented in this chapter.
The chapter is organized as follows: section “Electric Probe” presents electrical
probe, their application, advantages, and limitation, while section “Optical Probe”
reports optical probe. Then, in section “Microfluidic Probe,” we review microfluidic
probe including microdialysis and multimodal approach for in vivo molecular
detection.

Electric Probe

Most developed electric probes are used to record electrophysiological activity of


cells. This type of experiments addresses cellular activity through the monitoring of
electrical charges, which may be measured by different electrodes (Buzsáki 2004).
These mobile charges are mostly ions in solutions that disperse within the cell
cytoplasm or the intercellular medium (LeChasseur 2011), while cellular membranes
35 Sensing and Sampling Probes for Bioapplications 733

have micropores, called channels, and ion pumps that displace ions (Na+, K+, Cl ) in
both directions through these channels (LeChasseur 2011). Electric probes capture
these mobile charges and measure the generated electric current or potential. This
principle is similar to electrochemical analysis, but in this technique, the molecules
are not ionized, but detected as ions that exist naturally as a result of intercellular
communication or reaction (LeChasseur 2011).
The most known application of this probe is to record electrophysiological
activity between neurons in the brain (Buzsáki 2004). The intercellular microelec-
trode, developed by Buzsáki (2004) is one of the more frequently cited instruments
in the literature. To better understand intercellular activity, it is crucial to increase the
number of neurons to be simultaneously monitored (Buzsáki 2004). This is achieved
by increasing the recording sites, and consequently, the number of electrodes
(Buzsáki 2004). The probe was developed to measure neuronal electrophysiological
activity across a dense matrix of electrodes (374 gold electrodes of 12  12 μm each)
mounted on a microprobe (10 mm in length) of rectangular cross-section
(70  20 μm). Experimental results with the developed probe showed that the latter
can record electrophysiological activities for over 60 days (Buzsáki 2004).
There is another type of electrical probes which are based on electrochemical
detection method. Indeed, electrochemical method consists of studying chemical
reaction based on electrical signals generated in the liquid solution. The standard
setup of this technique is based on two electrodes in a liquid sample which are used
to apply voltage or current on one of them and to measure also voltage or current on
the other. Then, molecules are ionized by the applied voltage and current variation
induced by the oxidation/reduction reaction of the target molecules is recorded.
Then, depending on the pattern of the recorded current, the molecular/chemical
composition of the sample can be deduced, because oxidation/reduction reactions
of electroactive molecules can provide a specific electrical signature for some
molecules. This signature can be a Gaussian peak in the sensed current pattern at
the oxidation/reduction phases or both of them. Several probes using this sensing
technique are reported in the literature to detect various neurotransmitters and other
molecules such as dopamine (Zhang et al. 2018). For example, Zhang et al. devel-
oped a probe based on electrochemistry detection method to measure the electro-
chemical activity of dopamine (Zhang et al. 2018). Developed probe was made from
silicon-on-insulator (SOI), and it is 25 mm long and 30  300 μm in cross-section,
containing a matrix of 18 electrodes activated by platinum nanoparticle deposit.
Finally, a protective layer of Nafion is applied over the electrodes to reduce erosion
created by oxidation/reduction reaction. Figure 1 shows an example of this probe
when measuring electrochemical activity of dopamine (Zhang et al. 2018).
Also, commercial probes based on the same detection technique are available,
such as the probes developed by Neuronexus (2021). For example, Neuronexus
designed a customized electrode array layout for multichannel recording which can
have linear, edge tetrode, polytrode, or multi-shank design (Neuronexus 2021). In
addition, they proposed several customized user interfaces for data recording and
post-processing. Two categories of user interfaces exist for these electrical probes
which are acute and chronic interfaces. Acutes interfaces can be used with standard
734 A. Miled et al.

25 mm

PtNPs
Nafion
Pt
DA

30 µm AA

2e- 2H+
300 µm

UA

Reference electrodes
Recording electrodes

Fig. 1 Example of developed electrical sensing probe. Electrochemical system proposed by Zhang
et al. (2018), featuring a network of microelectrodes made of silicon-on-insulator, in the form of a
needle to minimize damage during implantation

stereotactic frames, while chronic interfaces can be used with moving mice. In the
latter case, they are characterized by their small dimensions and light weight
(Neuronexus 2021). Indeed, the proposed probes can be used to be implanted in
cortex in order to record brain activity or stimulate brain tissue (Neuronexus 2021).

Optical Probe

Like electrical probes, one application of optical probes is the study of brain
behavior by stimulating specific neuronal network area and by recording neuronal
activity. Svoboda et al. introduced noninvasive analytical techniques of optical
imaging for in vivo calcium detection in 1997 (Svoboda et al. 1997). Other
researchers used this technique to study the brain tissue. Therefore, noninvasive
optical techniques are limited in several cases to studying superficial cortical layers
because of intense light dispersion and absorption by affected biological tissues
(Gradinaru et al. 2007). In more invasive techniques, deep brain imaging involves
probes implanted in the brain. However, these are bulky and can inflict significant
damage during implantation (at least 300 μm) (Bird and Gu 2003). To address this
situation, new fiber-optic probes were developed to optimize their dimensions
(<1 μm) and improve sensitivity.
Many optical probes have been used for optogenetic. The latter is a merge
between optical data acquisition, optical stimulation, and optical sensing in our
case. Optogenetics can be used to study bacteria, nematode worm, yeast, cell culture,
and brain neuronal network, for example, among other applications. Optical probes
are important tools in optogenetic which can be used in several applications such as
35 Sensing and Sampling Probes for Bioapplications 735

cell culture, biological tissue analysis, and liquid imaging in order to investigate cell
motility, cell signaling, cell contraction/extension, cell apoptosis, and differentiation.
Also in another classification, we can categorize optical probes in three different
categories which are (1) photosensitive ion channel analysis, (2) light-induced
dimerization for protein investigations, and (3) proteins photocaging applications.
For example, in brain research, optical probes can be used for stimulation of ion
channels by the activation/deactivation of cell channel gates following a light
stimulation. Thus, membrane potential is modified following unidirectional or
bidirectional ion flow between cell external and internal medium. In the case of
neuronal research, a specific neuron cell can be activated by illuminating the target
area with a specific light beam wavelength (Gradinaru et al. 2007). Most of the
wavelengths are usually in the visible range. Then, stimulated neuron cell and
neighbor cell network activities can be recorded. Neuron cell activity can be sensed
through different aspects such as: (1) optical sensing of light transmitted by neurons
and induced by fluorescent markers or (2) electrical sensing of an electrical potential
difference in cell medium or (3) chemical sensing of molecule concentration. These
aspects involve additional instruments or modules to record neuronal activity fol-
lowing stimulation, which adds another level of complexity to the system (Bird and
Gu 2003; Gradinaru et al. 2007).
Commercial optical probes are also available such as the one from Neuronexus
(2021). The latter offers a wide range of optical probes for optogenetic stimulation
and recording of neuron activity. For examples, they developed a multi-fiber probe
for different optogenetic applications which includes up to eight fibers fabricated by
using acid-etched optical fibers (65 μm). Figure 2 shows an example of developed
optical probe.
The same company have several other examples of optical probes in addition to
multi-fiber optoelectrode probes such as flat fiber tip profile with different implant-
able lengths (3, 5, or 10 mm) and different inner and outer diameters (ID/OD) like
50 μm/70 μm, 50 μm/62.5 μm, 105 μm/125 μm, and 200 μm/200 μm (Neuronexus
2021).

Microfluidic Probe

Microfluidic probes are used for sampling fluids or activating biological through a
local drug delivery. Indeed, in some applications, fluid sampling is needed when
in vivo analysis is not recommended. This is due to the fact that some detection
techniques such as ones using UV light can be extremely harmful to the biological
tissue and molecules. Thus, in vitro analyses require auxiliary systems for molecular
identification and quantification or to record the biological medium behavior fol-
lowing a drug delivery. Indeed, in addition to their small dimension and biocompat-
ibility, probes must be able to hold and handle small volume of biological liquids and
perform local drug delivery (Lee et al. 2016). In this section, we cover the well-
known and established microdialysis probes, as well as more recent capillary
microfluidic probes.
736 A. Miled et al.

Fig. 2 Gradinaru optical envelope probes (Gradinaru et al. 2007). (Copyright (2007) Society for
Neuroscience)

Bito et al. introduced the first microdialysis probe in 1966 (Bito et al. 1966).
These probes contain a rigid capillary within another capillary formed by a semi-
permeable membrane. Microdialysis sampling techniques involve use of a pump, a
microdialysis probe, and transfer solution (similar to the liquid from the biological
medium to be analyzed). The solution is injected into the probe through a rigid
capillary (located in the middle of the microdialysis membrane) and the molecules
move through the membrane from the biological medium to the transfer solution.
When the molecules have diffused, the second channel draws this solution back into
the probe and then it is analyzed to identify or quantify the diffused molecules.
Figure 3 illustrates the architecture of a microdialysis probe.
Microdialysis probes can extract neurotransmitters from the brain. Several neu-
rotransmitter molecules were extracted in vivo.
For example, Lee et al. developed and tested a microdialysis probe for in vivo
sensing of dopamine, glutamine, glutamate, and choline using an artificial cerebro-
spinal fluid (aCSF) as a buffer solution and high-performance liquid chromatography
(HPLC) coupled to mass spectrometry (MS) for sample analyses. They successfully
extracted several molecules from rat brain including dopamine, glutamine, gluta-
mate, and choline with a concentrations of 0.32, 18, 1.5, and 0.54 nM, respectively
(Lee et al. 2016). Also, Song et al. reported an acetylcholine molecule extraction
from mice brain using microdialysis probe and an aCSF as a buffer solution. In Song
work, acetylcholine was extracted with a small concentration of 5 nM and it was
35 Sensing and Sampling Probes for Bioapplications 737

Fig. 3 Drawing of an Sample out


example of microdialysis
probe

Buffer

Capillary

Epoxy

Implantable part

Membrane
Molecular diffusion

Epoxy

detected using mass spectrometry sensor (Song et al. 2012). Also, microdialysis
probe was previously used by Smith et al. to extract dopamine (DA), 3,4-dihydroxy-
phenylacetic acid (DOPAC), homovanillic acid (HVA), and 5-hydroxy-indoleacetic
acid (5-HIAA) molecules from rat brain. The extracted sample was analyzed with
HPLC coupled to amperometric sensor so that DA, DOPAC, HVA, and 5-HIAA
molecules were detected with a concentration of 10 nM, 20 μM, 17 μM, and 3.5 μM,
respectively (Smith et al. 1992). Microdialysis also applies in the food industry to
extract and analyze fruit samples to determinate included ingredients and to monitor
fruit quality (Vargas et al. 2016). For example, Vargas et al. used microdialysis probe
and an amperometric sensor to monitor glucose concentration in fruits.
The probe is also suitable for controlled drug release. Indeed, a solution with a
high concentration of the drug molecule is injected, and reverse diffusion from the
probe to the external environment occurs (Song et al. 2012). Thus, the probe is
repeatedly used for controlled in vivo release of drugs to stimulate vital organs. For
738 A. Miled et al.

example, Song et al. used the microdialysis probe to evaluate mice brain stimulation
following a drug delivery. In this case, they injected neostigmine into the brain to
induce fast acetylcholine production by neural cells (Song et al. 2012).
Microdialysis probes are found extensively in the literature. One of the leaders in
this market is the Microdialysis company, among others (Microdialysis 2021).
Figure 4 shows an example of developed microdialysis probe.
There are still a number of critical limitations of microdialysis technique. It is an
invasive method with potentially serious complications (Lee et al. 2016), due to the
number of components (injection tube, membrane, and buffer recovery tube), which
complicate implantation. To date, one of the smallest implantable microdialysis
development probe is 180 μm wide (Lee et al. 2016).
Capillary microfluidic probes are implantable devices composed of a thin struc-
ture containing one or more capillaries. Typically, when designing a capillary
microfluidic probe, one or more additional functions are added to render it multi-
modal. These can be optical or electrical (electrochemical or electrophysiological).
Integrating multiple features into a single probe, for simultaneous analysis and
stimulation (optical, electrical, and microfluidics), can provide versatility for various
applications (Minev et al. 2015; Jeong et al. 2015; John et al. 2011; Altuna et al.
2013; Kuo et al. 2013). Thus, it minimizes tissue damage and the immune response
of the body (Minev et al. 2015; Jeong et al. 2015; Neuronexus 2021). There are
several crucial constraints related to the design of these probes, such as selection of
materials and micro-manufacturing technique. Materials can be classified as solid,
such as silicon and metal, and flexible, such as polymers (PDMS, PI, and parylene)
(Pongrácz et al. 2013; Takeuchi et al. 2005; Jeong et al. 2015). Manufacturing
techniques, meanwhile, rely on the material properties and performance of the
probe (John et al. 2011; Kuo et al. 2013).
There has been considerable progress in micro-manufacturing techniques over the
last two decades, and a significant increase in the number of publications reporting
on these probes (Lee et al. 2016). As a result, different manufacturing methods are
available for different dimensions, materials, and applications. Table 1 provides
some examples of developed probes, their manufacturing and assembly techniques,
structural materials, and dimensions.

Conclusion

In this study, we presented the most common sampling tools for biological models.
We also introduced the principle integration and miniaturization methods of detec-
tion techniques in implantable systems to study biological models, such as the
nervous system. However, optical probes are efficient in terms of detection limit
thanks to the use of optical fibers. However, they still have drawbacks including the
limitation of wavelength range available for this type of probe (UV, Vis, and near
infrared). Electrical probes for electrochemistry or electrophysiology are only suit-
able for a limited number of molecules. The molecules concerned should have
electroactive properties or be in ion form. This type of analysis also requires the
functionalization of electrodes to render them selective to specific molecules. The
35 Sensing and Sampling Probes for Bioapplications 739

Fig. 4 Probe developed by Lee et al: A three-port probe with input, output, and one port reserved
for other uses. (i) Scanning electron microscopy (SEM) image of probe cross-section with semi-
circular shape and thin polysilicon top layer. (ii) SEM image of the anodic aluminum oxide
membrane. (iii) SEM image of microdialysis probe tip indicating its shape (Lee et al. 2016).
(Copyright (2016) American Chemical Society)

dimensional aspect is one of the key concerns with the microfluidic probe. Micro-
dialysis probes are particularly problematic. Indeed, during a drug release procedure,
the molecules will be diffused from the external environment to the probe and,
740

Table 1 Examples of microfluidic probes


Implantable Assembly Manufacturing Structure Capillary No. of Other
material method technique material dimensions Dimensions of the implant capillaries function References
Parylene Plasma Sacrificial Parylene 10 μm height 20 μm thickness 1 Electric Takeuchi
photosensitive resin and 50– et al.
200 μm width (2005)
PDMS Collage Molding PDMS 50 μm height 120 μm thickness, 3.2 mm 1 Electric Minev
and 150 μm width, and 30 mm length et al.
width (2015)
PDMS Collage Photolithography PDMS 10 μm height 80 μm thickness, 500 μm 4 Optical Jeong
and 10 μm width, and 6 mm length et al.
width (2015)
Résine Collage Photolithography SU-8 20 μm height 55 μm thickness and 1–2 Optical Altuna
photosensible and 40–50 μm 150 μm width et al.
(SU-8) width (2013)
Si Pressure Chemical etching by Si and 20 μm height 100 μm thickness, 100 μm 4 Electric John et al.
xeF2 parylene and 40 μm width et 2 mm length (2011)
width
Si Pressure Deep reactive ionic Si and 5–30 μm 200–300 μm thickness, 2 Electric Pongrácz
etching parylene diameter 200–300 μm width, and et al.
15–70 mm length (2013)
Parylene Plasma Thermoforming and Parylene 50–300 μm 300–450 μm diameter, 4 Electric Kuo et al.
and sacrificial and diameter 100 μm width, and 0.8 mm (2013)
thermal photosensitive resin platinum length
coating
A. Miled et al.
35 Sensing and Sampling Probes for Bioapplications 741

similarly, during extraction of the molecules. Liquid sampling remains a challenging


issue in microfluidics and probing. This is mainly the case when available liquid
volume is very limited. Consequently, developing new sampling strategies, meth-
odologies, and techniques is very critical in implantable devices, lab-on-chip among
other applications. However, the two main approaches to reduce sampling volume is
to design probes with extremely small dimensions so that they require very small
volume or to use a buffer solution to compensate the large needed volume. In the first
case, the small dimensions can lead to tissue accumulation in microchannels which
will block the flow. In the second case, buffer solution can affect concentrations and
lead to a misinterpretations. Thus, designers should consider both fabrication con-
straints and application environment in their liquid sampling methodology. Finally,
combining several detection techniques in a single probe has more advantages but
fails to address the biggest drawbacks, particularly in physical dimensions, instru-
mentality and selectivity.

Acknowledgments The authors acknowledge the financial support from the Canada Excellence
Research Chair in Photonic Innovations. The authors also acknowledge financial support from the
Natural Sciences and Engineering Research Council of Canada (NSERC).

References
Altuna A, Bellistri E, Cid E, Aivar P, Gal B, Berganzo J, Gabriel G, Guimerá A, Villa R, Fernández
LJ et al (2013) Su-8 based microprobes for simultaneous neural depth recording and drug
delivery in the brain. Lab Chip 13(7):1422–1430
Bird D, Gu M (2003) Two-photon fluorescence endoscopy with a micro-optic scanning head. Opt
Lett 28(17):1552–1554
Bito L, Davson H, Levin E, Murray M, Snider N (1966) The concentrations of free amino acids and
other electrolytes in cerebrospinal fluid, in vivo dialysate of brain, and blood plasma of the dog.
J Neurochem 13(11):1057–1067
Buzsáki G (2004) Large-scale recording of neuronal ensembles. Nat Neurosci 7(5):446
Gradinaru V, Thompson KR, Zhang F, Mogri M, Kay K, Schneider MB, Deisseroth K (2007)
Targeting and readout strategies for fast optical neural control in vitro and in vivo. J Neurosci
27(52):14231–14238
Jeong JW, McCall JG, Shin G, Zhang Y, Al-Hasani R, Kim M, Li S, Sim JY, Jang KI, Shi Y et al
(2015) Wireless optofluidic systems for programmable in vivo pharmacology and optogenetics.
Cell 162(3):662–674
John J, Li Y, Zhang J, Loeb JA, Xu Y (2011) Microfabrication of 3D neural probes with combined
electrical and chemical interfaces. J Micromech Microeng 21(10):105011
Kuo JT, Kim BJ, Hara SA, Lee CD, Gutierrez CA, Hoang TQ, Meng E (2013) Novel flexible
Parylene neural probe with 3D sheath structure for enhancing tissue integration. Lab Chip 13(4):
554–561
LeChasseur Y (2011) Microsonde optique et électrique pour l’enregistrement de neurones unitaires
in vivo. PhD thesis, Université Laval
Lee WH, Ngernsutivorakul T, Mabrouk OS, Wong JMT, Dugan CE, Pappas SS, Yoon HJ, Kennedy
RT (2016) Microfabrication and in vivo performance of a microdialysis probe with embedded
membrane. Anal Chem 88(2):1230–1237
Microdialysis (2021) Probes & guides. https://microdialysis.com/products/probes-guides.html
742 A. Miled et al.

Minev IR, Musienko P, Hirsch A, Barraud Q, Wenger N, Moraud EM, Gandar J, Capogrosso M,
Milekovic T, Asboth L et al (2015) Electronic dura mater for long-term multimodal neural
interfaces. Science 347(6218):159–163
Neuronexus (2021) Neural probes. http://neuronexus.com/products/neural-probes/
Pongrácz A, Fekete Z, Márton G, Bérces Z, Ulbert I, Fürjes P (2013) Deep-brain silicon multielec-
trodes for simultaneous in vivo neural recording and drug delivery. Sensors Actuators B Chem
189:97–105
Smith A, Olson R, Justice J Jr (1992) Quantitative microdialysis of dopamine in the striatum: effect
of circadian variation. J Neurosci Methods 44(1):33–41
Song P, Hershey ND, Mabrouk OS, Slaney TR, Kennedy RT (2012) Mass spectrometry “sensor”
for in vivo acetylcholine monitoring. Anal Chem 84(11):4659–4664
Svoboda K, Denk W, Kleinfeld D, Tank DW (1997) In vivo dendritic calcium dynamics in
neocortical pyramidal neurons. Nature 385(6612):161
Takeuchi S, Ziegler D, Yoshida Y, Mabuchi K, Suzuki T (2005) Parylene flexible neural probes
integrated with microfluidic channels. Lab Chip 5(5):519–523
Vargas E, Ruiz M, Campuzano S, Reviejo A, Pingarrón J (2016) Non-invasive determination of
glucose directly in raw fruits using a continuous flow system based on microdialysis sampling
and amperometric detection at an integrated enzymatic biosensor. Anal Chim Acta 914:53–56
Zhang S, Song Y, Wang M, Xiao G, Gao F, Li Z, Tao G, Zhuang P, Yue F, Chan P et al (2018) Real-
time simultaneous recording of electrophysiological activities and dopamine overflow in the
deep brain nuclei of a non-human primate with Parkinson’s disease using nano-based micro-
electrode arrays. Microsyst Nanoeng 4:17070
Part IV
Telemetry and Other Wireless Link–Related
Biochips
Backscatter Communication for Biomedical
Devices 36
Aida Aberra, Young-Han Kim, Minkyu Je, and Sohmyung Ha

Contents
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 746
Basic Principles of Backscatter Communication . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 748
Types of Backscatter Communication . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 750
Monostatic Backscatter Communication Systems . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 750
Bistatic Backscatter Communication Systems . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 751
Ambient Backscatter Communication Systems . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 751
Modes of Backscatter Communication . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 752
Communication Modes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 752
Frequency Standards . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 752
Modulation Techniques . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 753
Signal Coding and Detection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 754
Signal Coding and Decoding . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 754
Signal Detection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 755
Backscatter Communication for Biomedical Applications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 756
Safety and Frequency Range . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 756

A. Aberra
Department of Electrical and Computer Engineering, Tandon School of Engineering, New York
University, Brooklyn, NY, USA
e-mail: aa4116@nyu.edu
Y.-H. Kim
Smart Network Research Center, Korea Electronics Technology Institute, Seoul, South Korea
e-mail: ekmyph@keti.re.kr
M. Je
Korea Advanced Institute of Science and Technology, Daejeon, South Korea
e-mail: mkje@kaist.ac.kr
S. Ha (*)
Engineering, New York University Abu Dhabi, Abu Dhabi, UAE
e-mail: sohmyung@nyu.edu

© Springer Science+Business Media, LLC, part of Springer Nature 2022 745


M. Sawan (ed.), Handbook of Biochips,
https://doi.org/10.1007/978-1-4614-3447-4_39
746 A. Aberra et al.

Data Rate Enhancement Techniques . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 756


BackCom Technologies for Biomedical Applications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 758
Conclusions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 761
References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 761

Abstract
This chapter presents an overview of backscatter communication (BackCom)
technologies with a focus on their applications in biomedical devices. Basic
principles from inductive coupling, different architectures, communication
modes, frequency standards, and modulation schemes of BackCom, are reviewed
along with basic signal coding and detection methods. Several considerations of
BackCom technologies in biomedical applications including safety and data rates
are discussed. Examples are given of state-of-the-art biomedical devices for
implantable and wearable applications.

Introduction

Wireless technologies have been playing a major role in revolutionizing healthcare


delivery. Integrating wireless communication capability in medical devices pro-
vides several crucial benefits such as increasing the mobility of patients by
eliminating wires that keep patients to a medical bed, allowing remote program-
ming of medical devices, and enabling remote access of real-time data on patients’
health conditions regardless of their location. Examples for implanted and wear-
able medical devices equipped with wireless communication include wirelessly
powered pacemakers that are used to restore cardiac electrical rhythms, cochlear
implants that help to restore the auditory function for the deaf, deep brain stimu-
lators that use electrodes in the brain to regulate abnormal impulses and treat
people with neurological and movement disorders, and smart pills that detect
abnormalities in the gastrointestinal tract.
For those wireless medical devices, securing enough power is a great challenge.
Many of such devices have batteries as their major power sources so far (Fang et al.
2018). However, batteries are not an optimal choice for implantable medical devices
as their lifetime is limited and these require surgical procedures to replace them.
Moreover, the battery constitutes the bulk of the devices in many of such devices.
While advancements in microfabrication have dramatically minimized the size of the
electronic and mechanical components, energy storage systems have been much
slower to miniaturize. Thus, the medical industry has been investigating new
methods of powering for biomedical devices.
One of the promising alternatives is wireless power transmission using near-field
resonant inductive coupling as it is also FDA-approved. This wireless powering
scheme is based on the principle of electromagnetic induction. As shown in Fig. 1,
the coil on the left side (L1) produces a time-varying magnetic field, which is shared
with the second coil (L2) on the right side, inducing electromotive force (EMF) in the
36 Backscatter Communication for Biomedical Devices 747

Fig. 1 Typical setup for Primary Power Secondary


inductive power transmission Side Side
and backscatter Data
Load
communication k

Rectifier
C1
L1 L2 CL R L
C2

Skin
Data input

coil (L2). The power transmitter (TX) side is called the primary side, and the power
receiver (RX) side is called the secondary side. For biomedical implants, the TX is
placed outside the body, while the RX is placed inside the body. The magnetic
coupling factor k is a measure of the common magnetic flux linkage between the two
inductor antennas and is determined by the geometry and relative position of the two
coils. As the two inductors are placed closer to each other, k gets larger and their
magnetic coupling becomes stronger. k and the mutual inductance M have the
following relation:
pffiffiffiffiffiffiffiffiffiffi
M ¼ k L1 L2 : ð1Þ

To increase the power delivered to the load and the power transfer efficiency,
resonance of the LC tanks are used on both sides. In most cases, a series LC
resonance is used on the primary side to minimize the required voltage to drive the
LC tank. For the secondary side, a parallel LC resonance is adopted when the
incoming RF signal at L2 from the primary side is limited and the load current is
relatively low. Conversely, when the application needs a large load current, a series
LC resonance is employed.
In the setup shown in Fig. 1, data bits can be transferred wirelessly from the
secondary to primary sides using the same inductive power link. For this purpose, a
switch is placed across the RX antenna (L2) in the secondary circuit. Turning the
switch on and off varies the total load impedance of the secondary circuit. Then, the
impedance variation is reflected onto the primary side, and the load variation can be
detected by measuring the primary coil current or voltage.
Such method of data transmission is called backscatter communication (Back-
Com) because the data-initiated change is scattered backward through the link to the
power transmitter. When the power receiver on the secondary side transmits data bits
using this method, it does not need to actively drive an antenna, but only modulates
the amount of the power being received from the primary side. Required power for
this type of data transmission is very minimal on the secondary side. Thus, BackCom
has been considered as one of the emerging wireless communication technologies
that enable pervasive connectivity of low-powered wireless devices. It is very
suitable for implantable medical devices and wireless sensors, which have great
stringent power and area constraints.
748 A. Aberra et al.

In BackCom, there are two main components: wireless tags and enquiring reader
device. The corresponding reader device, which is placed on the primary side,
transmits power to and receives data from the tag. The tag, which is on the secondary
side, is capable of harvesting energy from the received signals transmitted from the
reader and modulates the incoming signals to transmit information bits back to the
reader. The signal reflection is typically created by modulation of the load impedance
in the tag. The reader uses its antenna to receive the reflected signals and demodulate
them to obtain the information transmitted from the tag. With the advancement of
wireless power transfer techniques, BackCom systems can be applied to use not only
far-field RF link but also near-field inductive link as a means of supplying power to
the tag and transferring the data back to the reader (Kuo et al. 2016).
In the past decades, BackCom had been widely used for radio-frequency identi-
fication (RFID), in which a passive RFID tag reports the ID to the reader through a
wireless link. In its early stage, the application of RFID devices was limited in
inventory management and logistics. Recently, RFID technologies have been devel-
oped to be applied for biomedical applications, especially in biomedical implants
(Jiang et al. 2018; Leung et al. 2019; Xiao et al. 2015). By transmitting power to the
implantable devices wirelessly, the implant can significantly reduce the battery size
or can be free of battery, substantially contributing to miniaturization of biomedical
devices. Tiny passive BackCom tags enable to communicate with minimal power
consumption on the tag side, minimizing heat generation significantly.
In this chapter, we will first explore the fundamentals of backscatter communi-
cations including architectures, types, modes, and signal coding and detection.
We will then review the application of backscatter communication in biomedical
devices.

Basic Principles of Backscatter Communication

The communication system with BackCom consists of two components: a mobile


node transmitting data with backscattering (also known as tag) and a reader. The
reader transmits an RF signal to the tag, which harvests energy from the incident
signal. The reader usually includes its own power source and RF components needed
for transmitting continuous sinusoidal RF waves and also data. On the contrary, the
tag is powered by energy harvesting from the incident RF signal and typically does
not include active RF components. There are three classes of tags depending on its
power source: passive, semi-passive, and active tags. The passive tag completely
relies on the harvested energy for powering itself. In some cases, tags are equipped
with an internal power source that ensures reduced access delays, longer readable
distance, and improved reliability. The tags that include an internal battery and are
capable of harvesting energy from the reader are categorized as semi-passive tag.
The tags that are fully run with battery without energy harvesting are active tags.
Readable range of semi-passive and active tags is significantly longer than that of
passive tags at the cost of total volume and cost.
36 Backscatter Communication for Biomedical Devices 749

Backscattered Signal
Antenna

Incident Signal
Power
Downlink Communication

Data
Transmitter
Tag
Energy Data
Reader Uplink Communication Harvester Decoder

Fig. 2 Overall architecture of backscattering tag

The wave reflection in BackCom is caused by data-driven modulation onto the


tag’s antenna and load impedance. As the load impedance of the tag is varied, the
change is reflected on the primary side. As shown in Fig. 2, the architecture of the tag
consists of an RF energy harvesting block, a data decoder for downlink communi-
cation, a data transmitter block, and a battery or power storage depending on the type
of the tag. The energy harvesting block converts the incident continuous RF signal to
a direct current (DC) signal to charge the battery or power storage, and the converted
energy is used to power other blocks and send out the enquired data back to the
reader. The incident RF signal is also connected to the data decoder, which decodes
the configuration and request that is modulated on the incoming signal. Depending
on the configuration and request sent from the reader, the data transmitter transmits
data by modulating the load impedance.
There are two directions of communication between the reader and the tag. The
forward information transfer, called downlink communication, transmits data from
the reader to the tag. The backward information transfer, called uplink communica-
tion, transmits data from the tag to the reader. For the forward communication, a RF
signal that is often modulated in its amplitude is transmitted to the tag by the reader.
Consequently, the data decoder in the tag performs energy-detection-based or
envelope-detection-based demodulation. For instance, the decoded bit will be “1,”
when higher energy or higher amplitude is detected in the RF signal, and will be “0”
when low energy or amplitude in the incident signal is detected. During the back-
ward communication, the data transmitter modulates the load impedance typically
by turning on and off the switch that is connected in parallel with the antenna.
When considering to increase energy harvesting efficiency, a trade-off emerges
between the harvested energy and the bit error rate (BER) in backward data
communication. Generally, a data modulation block with lower reflected energy
750 A. Aberra et al.

and higher harvested energy is preferred. Shifting the constellation points can be
done for those purposes but at the cost of increased BER. Burst transmission is
another characteristic that leads to a problem in backscatter communication. Most
sensors report data only when a request is received and the remaining time is spent
on other tasks such as computing and sensing without reporting. To solve this
problem, a tag can periodically switch between two modes, i.e., active and silent
modes. As reflection is not conducted during the silent mode, the energy of the
incoming RF signal will be fully harvested. The incident wave will be reflected to
transmit data only during the active mode, and the energy will be harvested partially.
The percentage for the time interval of the active mode is known as duty cycle. The
duty cycle is one of the key parameters for regulating energy-rate trade-off.
As passive tags rely on harvesting energy, maintaining reliable power harvesting
rate and information transfer is a challenging task. To address this challenge, several
efficient protocols were developed. A protocol used to maximize the average
throughput of wireless communication without dedicated sources is called harvest-
then-transmit (HTT) protocol (Ju and Zhang 2014). The HTT protocol uses the RF
tag to first harvest energy and then use the stored energy for the transmission of
information from tags to readers. Another protocol known as Flit protocol was also
designed to improve utilization of channels while decreasing energy loss
(Gummeson et al. 2012). This protocol improves the data rate by inspecting idle
listening and reducing collisions between multiple tags.

Types of Backscatter Communication

In backscatter communication, the incident RF signal travels from the reader to the
tag and gets reflected back to the reader after modulation. This procedure leads to a
round-trip path loss which limits the range of reliable communication. Several
configuration setups among readers, tags and sources were introduced to minimize
the path loss in BackCom. Depending on types of the RF signal source, BackCom
can be categorized into dedicated and ambient BackCom. In dedicated BackCom, a
single dedicated RF source and reader is used for a tag. On the contrary, ambient
BackCom uses an existing RF source signal, which is for other purposes such as
Wi-Fi or Bluetooth, for powering source of tags. BackCom also can be categorized
by arrangement of source and reader: monostatic and bistatic. In monostatic Back-
Com systems, the power transmitter includes data receiver. In bistatic ones, the
power transmitter is separated from the reader, and hence the power source can be
located near the tag. In this section, three major types of BackCom systems (mono-
static, bistatic, and ambient) are discussed.

Monostatic Backscatter Communication Systems

In monostatic backscatter communication systems, there are two components: a


reader and a tag as shown in Fig. 3a. The reader includes an RF source generating
36 Backscatter Communication for Biomedical Devices 751

RF Carrier Signal
Backscattered Signal
Carrier Ambient
Emitter RF Source

Reader Tag Reader Tag Reader Tag


(a) (b) (c)

Fig. 3 Three representative types of backscatter communication: (a) monostatic, (b) bistatic, and
(c) ambient backscatter communications

a RF carrier signal, which powers and activates the tag. Then, the tag modulates the
incident RF signal to transmit data to the reader. Since the RF signal from the reader
is reflected by the tag and comes back to the reader, the RF signal suffers from a
round-trip path loss (Kimionis et al. 2014). Due to this high loss, this system is
typically used for applications with a short read range within 10 cm.

Bistatic Backscatter Communication Systems

In this type of communication system, a separate carrier emitter is employed to


generate and transmit the incident RF signals as shown in Fig. 3b. Implementing
separate carrier emitter and reader requires simpler design and lower cost compared
to monostatic backscatter communication systems. By locating the carrier emitter
closer to the tag, the bistatic configuration can improve the communication range.
The signals generated from the carrier emitter also reduce the round-trip path loss as
the distance between the source and the tag is reduced. Thus, the reflected signal at
the receiver attains a higher signal-to-noise ratio (SNR) and reduced bit error rate
(BER) as compared to monostatic backscatter communication systems.

Ambient Backscatter Communication Systems

In this BackCom system, a separate RF carrier source is used to provide power to the
passive tag similar to bistatic backscatter communication systems. While a dedicated
RF source is used in the bistatic BackCom systems, this system utilizes ambient RF
sources that are being used for other purposes such as TV, Wi-Fi, and cellular
communication. By using ambient sources, the reader does not need to supply
power to the tag.
As shown in Fig. 3c, the passive tag receives RF signals from the surrounding
sources to harvest energy and modulate the information using necessary modulation
schemes. This form of BackCom differs from the monostatic one in two main ways.
First, as the incident continuous wave from the reader is replaced with a modulated
signal from a surrounding RF source, the reflected signal will be double modulated
752 A. Aberra et al.

with the intended data and superimposed unintended data. To avoid interference
between the two different modulated data, the tag needs to transmit their data by
reflecting their signals at a lower bit rate than the surrounding RF source data rate.
Hence, the receiver can differentiate between the two signals using their mean data
rate. The second difference lies in the power of the incident signals. Incident signals
from ambient sources are typically weaker as they are in long propagation distance
as compared to signals from dedicated sources. To provide the necessary power to
tags, bistatic BackCom systems can be employed.

Modes of Backscatter Communication

Communication Modes

As other wireless communication systems, backscatter communication has two


communication modes, i.e., full-duplex and half-duplex modes.

Full-Duplex BackCom
Full-duplex communication enables data to be transmitted in two directions on a
signal carrier simultaneously. Data communication in conventional RFID applica-
tions typically needs one direction from the tag to reader, but bidirectional informa-
tion exchange between multiple nodes will be more common and crucial for recent
Internet-of-Things (IoT) applications. Full-duplex communication in device-to-
device communication typically has shorter latency in communication. Since the
data being transmitted are known, the information about the transmitted data is used
to retrieve the received data.

Half-Duplex BackCom
In half-duplex communication mode, data are transmitted in one direction at a time.
This method requires less energy as compared to the full-duplex mode. However, the
data rate of this mode is lower than that of full-duplex mode because the two-way
communication needs to do time multi-division over the same frequency band.
Hence, it is typically utilized in applications with low data rate requirements.

Frequency Standards

The choice of carrier frequency crucially affects the maximum communication


distance, the interference with other RF systems, the characteristics of the antenna
(shape and dimensions), and the data rate. The frequency bands used for RFID
technologies are described as below:

• Low frequency (LF): covers the 120–145 kHz sub-band


• High frequency (HF): sub-band centered around 13.56 MHz
36 Backscatter Communication for Biomedical Devices 753

• Ultrahigh frequency (UHF): divided as low band (433–435 MHz), medium band
(865–870 MHz in Europe, 902–928 MHz in USA, 950–956 MHz in Asia), and
high band centered around 2.4 GHz
• Superhigh frequency (SHF): sub-band centered around 5.8 GHz

There are two main ways for powering passive RFID tags: near-field inductive
coupling and far-field RF coupling. At low frequency as in LF and HF, the reader and
the tag will be inductively coupled as in the case of transformers. The operating
distance will be in the order of the diameter of the reader’s antenna. The free space
communication range is typically less than 10 cm for LF and less than 1 m for
HF. Typical applications for LF RFID technology are smart cards, access cards, and
animal tagging, while HF RFID are used in supply chains and anti-theft systems.
For UHF and SHF devices, the far-field electromagnetic coupling is used for
powering and communication. The maximum communication distance can be up to
10 m for UHF and 100 m for SHF. RFID technologies in UHF and SHF are used in
identification and tolling of transportation vehicles and management of supply chains.

Modulation Techniques

Modulation is a process of altering waveform properties of the carrier signal, such as


amplitude, frequency, and phase, in accordance with the data that we want to
transmit. In BackCom communication, various modulation techniques in amplitude,
frequency, or phase (Fig. 4) have been demonstrated for efficient data transmission.
On the data receiver side, the changes made by modulation can be demodulated
reconstructing the transmitted data. Modulation techniques that are widely used for
BackCom are described below.

Amplitude Modulation
In amplitude modulation (AM), the magnitude (signal strength) of the carrier signal
is varied in proportion to the data. When digital data are transmitted by using
amplitude modulation, amplitude shift keying (ASK) is used. One of the most simple
ASK is on-off keying (OOK), in which the modulation depth is 100. Corresponding
to logic “1” and “0” of the data, the carrier signal is turned on and off completely
in OOK. The receiver for ASK demodulation can be simpler to implement
(Finkenzeller 2010) but relatively more sensitive to noise and interference.
Amplitdue Shift Keying Frequency Shift Keying Phase Shift Keying
0 1 0 1 0 1 0 1 0 1 0 1

Fig. 4 Three representative modulation schemes for BackCom


754 A. Aberra et al.

In many BackCom passive tags, the power receiver coil is modulated by shorting
it, opening it, and/or connecting to a specific load. In Kimionis et al. (2014), AM can
be employed in bistatic BackCom to increase the range of communication. To
enhance the data rate, multiple levels of amplitude can be used in modulation by
employing multiple load impedances and switches.

Frequency Modulation
Modulation of the carrier signal by varying its frequency according to data is known as
frequency modulation (FM). FM is typically more resilient to the noise and interference
than AM, and hence its communication range can be longer but at the cost of a wider
frequency spectrum. This method has been applied to BackCom for extended commu-
nication range (Fasarakis-Hilliard et al. 2015). Surrounding FM radio waves can also be
used as signal sources for passive tags. This method allows the backscattered signal to
be received by any FM receivers in smartphones or cars (Wang et al. 2017).
A technique called Gaussian frequency shift keying (GFSK) uses frequency shift
keying to modulate the frequency of data in BackCom (Ensworth et al. 2017). Here,
a Gaussian filter is used to make the data transitions smoother than the case
modulating the frequency of the data at the beginning of the period. GFSK typically
has a reduced side-band power and less interference with neighboring channels. This
process has been used for monostatic Bluetooth Low Energy (BLE) communication,
which is compatible for BackCom systems (Ensworth et al. 2017).

Phase Modulation
Phase modulation can be also applied to backscatter communication. It can achieve a
higher data rate, but the receiver circuit is typically more complicated. The passive
phase-shift keying (PPSK) modulation scheme utilizes a very similar circuit com-
ponents with typical load shift keying modulation but implements phase shifts by
shorting the antenna coil for a half cycle (or one and a half cycle) of the carrier
frequency (Jiang et al. 2017). Binary phase-shift keying was used for uplink
communication of microscale neural implants (Leung et al. 2019).

Quadrature Amplitude Modulation (QAM)


By utilizing quadrature-phase and multiple amplitude levels, quadrature amplitude
modulation (QAM) can be used in BackCom systems. Tags that utilize QAM can
send more than 1 data bit in a given symbol period, resulting in increased data rate.
However, QAM is more susceptible to noise and needs more power consumption on
the receiver side (Wang et al. 2012).

Signal Coding and Detection

Signal Coding and Decoding

As other communication systems, data in BackCom system is encoded for efficient


and reliable data transmission over channels. The coding techniques employed in the
other wireless systems require higher power consumption and complexity, so they
36 Backscatter Communication for Biomedical Devices 755

cannot be used for BackCom. For low-power RFID BackCom, non-return-to-zero


(NRZ) and Manchester encoding schemes, which are simple to implement, can be
used in BackCom. However, repetitive bits can easily happen in NRZ, and the
Manchester code needs to transmit more data bits than the number of original data
bits. Hence, the following two encoding schemes are usually adopted in current
BackCom systems:

• Miller code (delay coding): Data bit “1” is encoded as transitions in the middle of
the data symbol. For data bit “0,” the level of the data bit “1” continues (Durgin
and Degnan 2017).
• Bi-phase space (FM0) code: Data bit “0” represents transition in middle of clock,
and bit “1” represents no transition over the data symbol (Durgin and Degnan
2017).

Both schemes have a transmission rate of 1/2. To increase the data rate for
BackCom, 6/8-rate balanced block code was proposed (Durgin and Degnan 2017).

Signal Detection

Methods of signal detection can be divided into two: coherent and non-coherent
signal detection. The coherent detection synchronizes phase of the carrier signal
extracting the phase information. Thus, systems using PSK modulation usually use
coherent detection. In coherent detection systems, locally generated sinusoidal
signals (quadrature phases in many cases) are mixed with the received RF signal.
Compared to non-coherent detection, its maximum achievable data rate is higher
(Fasarakis-Hilliard et al. 2015).
In the non-coherent detection, the phase information is not related to the data, so it
does not detect phase-relevant information from the received signal. The architecture
shown in Fig. 5 is a typical architecture for non-coherent detection. Due to its low
power and simplicity, non-coherent detection is more widely adopted for data
detection in BackCom systems. However, its maximum achievable data rate is low
than that of coherent detection.
Signals received by the BackCom readers are typically very weak after degrading
by attenuation, noise, and interference. Thus, a threshold level that is set by taking
the average of the two voltage levels in the incident RF signal is needed to account
for the variations of the received signals. Figure 5 shows a block diagram of a typical

RF Signal
Comparator
Envelope
Detector
Low-Pass
Filter

Fig. 5 Block diagram of a typical signal detector in BackCom systems


756 A. Aberra et al.

non-coherent signal detector for BackCom. The first part is an envelope detector,
which extracts the envelope from the incident RF signal. The envelope and its
average signal are now compared with a following comparator to retrieve the digital
data bits that are modulated in the carrier signal.

Backscatter Communication for Biomedical Applications

Safety and Frequency Range

The physical dimensions of biomedical devices are constrained by the implant site.
Thus, a smaller dimension of the biomedical implant is much preferred typically. For
this reason, active RFID tags are not widely used due to their larger size. Hence,
passive tags with no need of batteries are normally used. In addition, exterior
materials of the implant must be biocompatible to avoid tissue rejection. Moreover,
the electromagnetic energy radiated from the reader to the tag must be within the
maximum allowable specific absorption rate (SAR), which can result in temperature
increase in the tissue.
The RF for the tag-reader communication is also related to the performance of the
BackCom. When using RFID implants, it is important to take into account the
attenuation of the field by the human tissue. In general, as the frequency is higher,
the tissue absorption increases and the signal is attenuated more. Thus, RF waves at
LF RFID communication (120–145 kHz) are not significantly attenuated by human
tissues. However, their communication range is short as centimeters, and their data
rate is very low. Moreover, the antenna size is larger than those of devices using high
frequencies. Implants with BackCom at HF (13.56 MHz) are also not strongly
attenuated by human tissues relatively. Their read range is similar to their free
space propagation. Moreover, they can allow to manage multiple RFID implants.
Due to these reasons, many current RFID implant devices work at 13.56 MHz using
inductive coupling for power harvesting and data transmission. UHF RFID devices
offer higher data rates, reliable security system, and very small tag dimension.
However, they are strongly attenuated by the human tissue resulting in a short read
range. Particularly, frequencies at 2.45 GHz and above may cause safety issues due
to the tissue absorption of the RF signal.

Data Rate Enhancement Techniques

Wireless data communication with concurrent wireless power transmission is crucial


for most biomedical implant applications. Downlink communication, which is from
the external base station to the implant, delivers information for stimulation of
biological tissues and also configuration for the implant. Uplink communication,
which is from the implant to the outside, sends recorded data of biological param-
eters. As the channel count, bandwidth, and number of parameters in biomedical
signal recording increase, high data rates in telemetry are necessary.
36 Backscatter Communication for Biomedical Devices 757

As inductive power transmission is widely used for biomedical devices, multiple


wireless links can be used for power and bidirectional data telemetry separately. For
example, three inductive links can be used in such a way that one link is dedicated
for power delivery while the other two links are used for forward and backward
communication. Using multiple links allows each link to be optimized separately for
highest quality (Q) factor, low power loss, and higher bandwidth individually.
Although interference between the links is one of its major setbacks, this interference
problem can be minimized by using coplanar or orthogonal geometric arrangements
of the coils (Simard et al. 2010). However, this configuration requires large and
complex antennas and typically high power consumption.
The power link can be re-utilized for uplink data communication by using
backscattering. This approach is simple in implementation and consumes a minimal
power but inherently has a trade-off on the quality factor of inductive coils. While
high quality factors of the inductor are required for high efficiency in power transfer,
it typically results in a narrow bandwidth leading to a low achievable data rate for
data communication. Achieving both high power transmission efficiency (PTE) and
high data rate simultaneously has been a challenging task.
This challenge has been addressed by using different modulation methods.
Approaches for downlink data transmission can be divided depending on the
creation of detectable variation in the secondary coil. Amplitude shift keying,
modulating in amplitude change, is simple and low power but is susceptible to
noise, coupling variations, and interference. On-off keying (OOK), which is a
special case of ASK with a 100% modulation depth, proves an improved robustness.
However, this method cannot be used for continuous power transmission as there is
no carrier wave when the bit is “0.” Alternatively, pulse width modulation (PWM) or
pulse position modulation (PPM) can be used along with ASK. In these cases, the
data are encoded in differing pulse widths or positions, resulting in a higher signal-
to-noise ratio, more power delivery, and higher data rate. However, the data rate is
still limited by the trade-off between the quality factor and bandwidth. Phase-shift
keying (PSK) modulation can offer a high data rate and signal-to-noise ratio while
transmitting power continuously. However, it requires complex implementation and
high power consumption.
To address these issues, the following modulation schemes were proposed.
Suspended-carrier modulation (SCM) synchronously starts the switching of the
antenna at the times when the current through the transmitter coil is zero. And it
finishes the switching after an integer cycle of the carrier frequency. In this modu-
lation scheme, the bandwidth does not depend on the quality factor of the primary
coil (Troyk and Edgington 2000). Here a high data rate can be achieved even with a
high quality factor of the primary coil. Hence, both high PTE and high data rate can
be achieved at the same time. Due to the limitation of the demodulator, the maximum
data rate is approximately 1/4 of the carrier frequency (Troyk and Cogan 2005). This
scheme also has limitations in power transmission as it is discontinuous during the
period for the bit “0.”
Another modulation scheme known as carrier-width modulation (CWM) was
developed to improve the data rate, sensitivity to disturbances, and discontinuity of
758 A. Aberra et al.

the power supply (Trigui et al. 2018). This CWM scheme, which is based on SCM,
starts to suspend the primary coil when the current through the primary coil crosses
zero. This method gives a data rate as high as 1/3 of the carrier frequency.
Alternatively, pulse-based modulation schemes were proposed for high-data-rate
downlink telemetry (Inanlou et al. 2011). The pulse harmonic modulation (PHM)
(Inanlou et al. 2011) uses two narrow pulses to transmit a bit “1.” The first pulse
initiates an oscillation at the harmonic frequency, and the second pulse with a lower
amplitude suppresses the oscillation initiated by the first pulse. By using this method,
a 20 Mb/s at a carrier frequency of 66.7 MHz was achieved. However, this method
requires accurate control in timing and amplitude of the pulses and involves com-
plexity and bulkiness in antenna and circuit design.
For uplink communication systems, the data are transferred by either creating the
implant’s own magnetic field (active data transmission) or using the existing external
magnetic field (passive data transmission). For the passive systems, a single induc-
tive link is used for power and uplink data transmission. One most popular passive
backscatter modulation for uplink telemetry is load shift keying (LSK), which
modulates the impedance on the secondary side. In this method, variations in the
secondary coil parameters such as inductance, capacitance, coupling coefficient, or
resistance result in changes in the equivalent impedance reflected onto the primary
side. It is simple to implement and consumes a minimal power on the secondary side.
However, LSK is also bounded by the trade-off between the quality factor and
bandwidth, which typically results in a low data rate.
To overcome this issue, new schemes known as passive phase-shift keying
(PPSK) (Jiang et al. 2017) and cyclic on-off keying (COOK) (Ha et al. 2016) were
proposed. The PPSK method starts the shorting of the secondary coil at the zero-
crossing of the secondary coil voltage. And then, the switch is off after a half cycle of
the carrier frequency. This synchronous shorting induces a passive phase shift on the
secondary side and a variation in amplitude on the primary side. Using PPSK, 1.35
Mb/s data rate was achieved at 13.56 MHz carrier frequency (Jiang et al. 2017).
COOK also starts the shorting at the same time as PPSK. But, in COOK, the
shorting maintains over a full carrier cycle instead of a half. In this method, a high
quality factor of secondary coil can have decreased resonance recovery time, and
hence the inverse relation between the coil’s quality factor and data rate does not
hold for this method. This allows the system to provide both high PTE and high data
rate simultaneously. By using PPM scheme in COOK, 6.78 Mb/s data rate was
achieved at 13.56 MHz carrier frequency (Ha et al. 2016). The block diagram and
integrated circuit implementation for COOK is shown in Fig. 6.

BackCom Technologies for Biomedical Applications

The advancement in integrated circuit technologies for miniaturization has allowed


biomedical application of BackCom technologies. In biomedical applications, Back-
Com technologies can be used for monitoring of vital health parameters and animal
and human identification as well as orthopedic prosthesis identification, information
36 Backscatter Communication for Biomedical Devices 759

Primary Side Secondary Side Charge Recycling


k
VL1 Power/Data Telemetry IC
VLL VDD
C1
PINL
DATA Full-wave
Rectifier PLL+TX
C2 PINR CL RL
Rectifier

0.77 mm
L2 VRR
L1

Selector
External VLL

Phase
Multiphase
Controller PLL
VRR
Clock Recovery
Comparator
Data
Out Data DATA Data DIN Data In
Receiver Transmitter 1.2 mm

Fig. 6 Block diagram and implemented chip micrograph of the COOK system (Ha et al. 2016)

registry of patients, service for handicap, and laboratory analysis. For implant
applications, passive BackCom technologies with no need of batteries on the sensor
side are normally adopted. A communication range of less than 10 cm is typically
enough for these applications. Some examples of BackCom for biomedical applica-
tions are described in this section.

Implantable RFID Tag for Intra-body Health Monitoring


Biomedical implants for intra-body health monitoring require a tiny size and low
power consumption, which leads to low heat radiation. BackCom technologies do
not require a separate antenna for transmission. Instead, they re-utilize the antenna
for wireless power transmission, leading to a smaller dimension. In addition, Back-
Com is not based on active transmission of data, resulting in low power consump-
tion. Due to these advantages, BackCom has been considered as a promising method
of data communication for biomedical implants.
One example is implantable electrochemical sensors for continuous monitoring of
glucose (Xiao et al. 2015) as shown in Fig. 7a. The sensor implanted under the skin
monitors the glucose concentrations continuously. The required power is delivered
from the base station, which is placed right above the sensor outside of the body,
through an inductive link wirelessly. At the same time, the recorded data are
transmitted from the sensor to the external base station by using BackCom. A similar
BackCom technology was used for an implant for in vivo alcohol monitoring (Jiang
et al. 2018). Here, instead of shorting the secondary coil fully, it modulates the
resonance frequency of the LC tank in the implant by connecting and disconnecting
a capacitor to the tank (Fig. 7c). BackCom can be used not only for inductive or RF
links but also for ultrasonic links. While the implant is powered through ultrasonic
link, the data is transmitted from the implant through the same ultrasonic link by
backscattering.

Smart Contact Lens for Health Monitoring


BackCom technologies can be also applied to smart contact lens for glucose mon-
itoring from tear (Liao et al. 2012). The smart lens includes glucose sensors,
integrated circuits, and antenna on the flexible contact lens substrate as shown in
Fig. 7b. The recorded data from the tear is transmitted by using BackCom to the
760 A. Aberra et al.

Fig. 7 BackCom technologies for biomedical applications: (a) implantable glucose sensor (Xiao
et al. 2015), (b) smart contact lens (Liao et al. 2012), (c) implantable alcohol sensor (Jiang et al.
2018), and (d) implantable neural sensors (Leung et al. 2019)

glass-based base station. In addition to glucose sensing, the smart lens platform can
be used to monitor the intraocular pressure (Kim et al. 2017).

Identification with an RFID Tag


Small-area RFID tags have been implanted subcutaneously in animals and used for
identification. Its human application, which is still controversial due to the security
issues, is limited to medical use, emergency management, etc., yet. The tiny
implanted ID in patients can be used to provide non-visible and instantaneous
identification of an individual for emergency cases, especially for patients affected
by neurodegenerative diseases such as Alzheimer’s disease. In addition to the
identification information, those devices can contain health information of the
wearer. It can be implanted under the skin typically, but also in a fixed dental
prosthesis inside the tooth (Li et al. 2013).

Neural Tags for Brain-Computer Interface Systems


Brain-computer interface (BCI) systems have been emerged as a promising thera-
peutic technology with a great potential of improving the quality of life for many
people that suffer from stroke, spinal cord injury, and other disabling neurological
conditions. The implantable BCI system consists of sensing and actuation compo-
nents, recording and modulating electrical activities of the brain. Because the size for
implant is constrained by the very limited space inside the brain, wireless powering
is widely adopted. In order to save energy, BackCom is also widely adopted as
uplink communication method for such BCI implants (Fig. 7d) (Moradi et al. 2014).
36 Backscatter Communication for Biomedical Devices 761

Conclusions

This chapter reviewed fundamental concepts of BackCom. Different types of Back-


Com system architectures and their operations and pros and cons were reviewed.
Communication modes, frequency standards, modulation techniques, and signal
coding and detection methods for BackCom were also reviewed, followed by a
discussion on safety and frequency range concerns in biomedical applications. State-
of-the-art techniques for high data rates in BackCom were presented with state-of-
the-art examples of biomedical devices with BackCom.

References
Durgin GD, Degnan BP (2017) Improved channel coding for next-generation RFID. IEEE J Radio
Freq Identif 1(1):68–74
Ensworth JF, Hoang AT, Phu TQ, Reynolds MS (2017) Full-duplex Bluetooth Low Energy (BLE)
compatible Backscatter communication system for mobile devices. In: Proceedings of the IEEE
topical conference on wireless sensors and sensor networks, pp 45–48
Fang Y, Hou W, Zhou W, Zhang H (2018) Advances in implantable medical device battery.
Zhongguo Yi Liao Qi Xie Za Zhi ¼ Chin J Med Instrum 42(4):272–275
Fasarakis-Hilliard N, Alevizos PN, Bletsas A (2015) Coherent detection and channel coding for
Bistatic scatter radio sensor networking. IEEE Trans Commun 63(5):1798–1810
Finkenzeller K (2010) RFID handbook: fundamentals and applications in contactless smart cards,
radio frequency identification and near-field communication, 3rd edn. Wiley, Chichester
Gummeson J, Zhang P, Ganesan D (2012) Flit: a bulk transmission protocol for RFID-scale sensors.
In: Proceedings of the 10th international conference on mobile systems, applications, and
services, MobiSys’12, pp 71–84
Ha S, Kim C, Park J, Joshi S, Cauwenberghs G (2016) Energy recycling telemetry IC with
simultaneous 11.5 mW power and 6.78 Mb/s backward data delivery over a single
13.56 MHz inductive link. IEEE J Solid-State Circuits 51(11):2664–2678
Inanlou F, Kiani M, Ghovanloo M (2011) A 10.2 Mbps pulse harmonic modulation based
transceiver for implantable medical devices. IEEE J Solid-State Circuits 46(6):1296–1306
Jiang D, Cirmirakis D, Schormans M, Perkins TA, Donaldson N, Demosthenous A (2017) An
integrated passive phase-shift keying modulator for biomedical implants with power telemetry
over a single inductive link. IEEE Trans Biomed Circuits Syst 11(1):64–77
Jiang H, Zhou X, Kulkarni S, Uranian M, Seenivasan R, Hall DA (2018) A sub-1 Îijw multi-
parameter injectable biomote for continuous alcohol monitoring. In: Proceedings of the IEEE
custom integrated circuits conference, pp 1–4
Ju H, Zhang R (2014) Throughput maximization in wireless powered communication networks.
IEEE Trans Wirel Commun 13(1):418–428
Kim J, Kim M, Lee M, Kim K, Ji S, Kim Y, Park J, Na K, Bae K, Kim H, Bien F, Lee C, Park JU
(2017) Wearable smart sensor systems integrated on soft contact lenses for wireless ocular
diagnostics. Nat Commun 8:2–7
Kimionis J, Bletsas A, Sahalos JN (2014) Increased range bistatic scatter radio. IEEE Trans
Commun 62(3):1091–1104
Kuo N-C, Zhao B, Niknejad AM (2016) Near-field power transfer and backscattering communica-
tion to miniature RFID tag in 65 nm CMOS technology. In: 2016 IEEE MTT-S international
microwave symposium (IMS), pp 1–4
Leung VW, Cui L, Alluri S, Lee J, Huang J, Mok E, Shellhammer S, Rao R, Asbeck P, Mercier PP,
Larson L, Nurmikko A, Laiwalla F (2019) Distributed microscale brain implants with wireless
762 A. Aberra et al.

power transfer and Mbps bi-directional networked communications. In: Proceedings of the
IEEE custom integrated circuits conference, pp 1–4
Li YJ, Chang CK, Lin GM, Lu CC (2013) Radio frequency identification (RFID) inserted fixed
prosthesis and its applications in clinical dentistry. Int J Autom Smart Technol 3(2):101–105
Liao Y, Yao H, Lingley A, Parviz B, Otis BP (2012) A 3-μWCMOS glucose sensor for wireless
contact-lens tear glucose monitoring. IEEE J Solid State Circuits 47(1):335–344
Moradi E, Amendola S, Björninen T, Sydänheimo L, Carmena JM, Rabaey JM, Ukkonen L (2014)
Backscattering neural tags for wireless brain-machine interface systems. IEEE Trans Antennas
Propag 63(2):719–726
Simard G, Sawan M, Massicotte D (2010) High-speed OQPSK and efficient power transfer through
inductive link for biomedical implants. IEEE Trans Biomed Circuits Syst 4(3):192–200
Trigui A, Ali M, Ammari AC, Savaria Y, Sawan M (2018) A 1.5-pJ/bit, 9.04-Mbit/s carrier-width
demodulator for data transmission over an inductive link supporting power and data transfer.
IEEE Trans Circuits Syst II Express Briefs 65(10):1420–1424
Troyk PR, Cogan SF (2005) Sensory neural prostheses. Springer, Boston, pp 1–48
Troyk PR, Edgington M (2000) Inductive links and drivers for remotely-powered telemetry
systems. In: Proceedings of the IEEE antennas and propagation society international sympo-
sium, vol 1, pp 60–62
Wang J, Hassanieh H, Katabi D, Indyk P (2012) Efficient and reliable low-power backscatter
networks. In: Proceedings of the ACM SIGCOMM 2012 conference on applications, technol-
ogies, architectures, and protocols for computer communication, pp 61–72. ACM, New York
Wang A, Iyer V, Talla V, Smith JR, Gollakota S (2017) FM backscatter: enabling connected cities
and smart fabrics. In: Proceedings of the USENIX conference on networked systems design and
implementation, NSDI’17, pp 243–258. USENIX Association, Berkeley
Xiao Z, Tan X, Chen X, Chen S, Zhang Z, Zhang H, Wang J, Huang Y, Zhang P, Zheng L, Min H
(2015) An implantable RFID sensor tag toward continuous glucose monitoring. IEEE J Biomed
Health Inform 19(3):910–919
Capacitive Links for Power and Data
Telemetry to Implantable Biomedical 37
Microsystems

Mohammad A. Sharif and Amir M. Sodagar

Contents
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 764
Modeling of Human Tissue in Exposure to Electric Field . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 766
Capacitive Links: The Basic Idea, Modeling, and Realization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 768
Modeling the Link . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 769
Data and Power Telemetry . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 775
Capacitive Links as Suitable Circuit-/Signal-Level Candidates . . . . . . . . . . . . . . . . . . . . . . . . . . . 775
Case Study 1: Power Telemetry . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 775
Case Study 2: Data Telemetry . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 777
Case Study 3: Simultaneous Power and Data Telemetry . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 778
Bio-concerns . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 779
Exclusive Advantages of Capacitive Telemetry Links . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 782
Confinement of Energy Transfer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 782
Feasibility of Multichannel Telemetry Links . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 783
Compatibility with Planar Processes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 783
High-Pass Frequency Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 783
Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 783
References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 783

Abstract
Wireless interfacing is undoubtedly among the foremost requirements of a
biomedical microsystem, which is a necessity for it to be full implantable.
Wireless interfacing usually includes both power and data telemetry to the
implanted system. Interfacing to biochips wirelessly was traditionally
implemented using inductive coupling, but recently capacitive links proved to
be advantageous to its inductive counterpart. In this chapter, basic concept of the
employment of capacitive links for wireless interfacing to biomedical implants

M. A. Sharif (*) · A. M. Sodagar


Faculty of Electrical Engineering (EE), K.N. Toosi University of Technology, Research
Laboratory for Integrated Circuits and Systems (ICAS), Tehran, Iran
e-mail: amsodagar@kntu.ac.ir

© Springer Science+Business Media, LLC, part of Springer Nature 2022 763


M. Sawan (ed.), Handbook of Biochips,
https://doi.org/10.1007/978-1-4614-3447-4_13
764 M. A. Sharif and A. M. Sodagar

is discussed firstly. Then, the chapter studies practicability of the implementa-


tion of capacitive links for transcutaneous interfacing to implantable devices
taking into account measured electrical properties of living tissues performing as
the capacitor dielectric. Proceedingly, electrical circuit models with different
levels of abstraction, which have been formed according to the physical behavior
of the different parts of a capacitive link, are reviewed. Moreover, these models
are also studied from a designer’s viewpoint in order to provide guidelines for
the design of capacitive links in real conditions. Furthermore, the concept of
wireless interfacing through capacitive coupling for both power telemetry and
data exchange is explained using various case studies and examples. A study on
wireless interfacing through living tissues is never complete without studying
biological concerns such as the extent of the energy to which the tissue is
exposed. Safety concerns associated with the exposure of living tissues to RF
electromagnetic fields and the resulting energy absorption and subsequently
heating are then studied. Finally, the chapter is concluded with some of the
exclusive advantages of capacitive links that introduce it as an interesting
method for wireless interfacing to implantable biomedical microsystems.

Introduction

The origin of microsystem design is perhaps when John Bardeen, Walter Brattain,
and William Shockley introduced the first semiconductor transistor in Bell Lab-
oratories in 1948 (Gorton 1998). It took the novel technology only 11 years to
realize the first integrated circuit (IC) (Kilby 1959) by fabricating a few compo-
nents on the same substrate. This is known as the start of the Microelectronics
Era, during which microelectronic industries have experienced a drastic growth
from the standpoint of their capability in integrating a huge amount of electronic
components in a single chip as well as form the market possession point of view.
Relying on the capabilities of microelectronic technology in fabricating electronic
devices and tiny nonelectronic structures, engineers are nowadays enabled to
realize small-size systems with rather complex functionalities, referred to as
microsystems.
Benefitting from advantages such as small size and lightweight, microsystems
are of great interest to be used in a wide variety of applications such as aerospace
engineering, optical equipment, sensing and instrumentation, and biomedical
engineering. Figure 1 shows a simplified block diagram of an implantable bio-
medical microsystem, which can be generally used for bidirectional interfacing to
the body.
Design of biomedical microsystems implies the employment of a collection of
concepts and techniques from different fields of science and engineering including
electrical, chemical, and mechanical engineering as well as material sciences.
Owing to the compactness achieved from being made using microfabrication
technology, such systems can be implanted inside the body. Implantable
microsystems are mainly designed for monitoring a variety of bio-quantities and
37 Capacitive Links for Power and Data Telemetry to Implantable. . . 765

Fig. 1 A block diagram of a simple biomedical implantable microsystem

bio-signals, drug delivery, pain relief, research in basic medical sciences, and
prosthetic and therapeutic applications.
Despite its small size, an implantable medical device is, in general, a rather
complex system comprising diverse building blocks such as sensors and actuators,
signal conditioning circuitry, data converters, control and processing units, and
wireless interfacing modules. The need for interfacing to the outside world through
wireless connection stems from the fact that hardwired connection to an implant-
able device causes problems such as hardware failure, risk of infection, and patient
discomfort (Takhti et al. 2011).
In general, an implantable medical device receives electric power and exchanges
bidirectional data through one or more wireless links. Traditionally, the wireless
interfacing concept has been realized using closely coupled inductive links. In its
simplest form, an inductive link consists of two mutually coupled inductors; thus,
the change in current in one induces a voltage across the other (Zverev 1967).
Recently, the idea of using capacitive coupling, which is indeed a rather old
counterpart of inductive links, has been proposed for wireless interfacing to bio-
medical implants (Sodagar and Amiri 2009). Capacitive coupling has been already
in use in order for coupling cascading amplifier stages and chip-to-chip communi-
cation (Fazzil et al. 2007; Culurciello and Andreou 2005). Compatibility with the
traditional microfabrication technology and its planar realization, immunity to
electromagnetic interference, and its capability to easily pass high-frequency sig-
nals are among the advantages of capacitive links over their inductive competitors.
Figure 2 illustrates the basic concepts of both inductive and capacitive coupling to
biomedical implants.
In this chapter, capacitive links are introduced and extensively studied from both
biological and engineering standpoints. Firstly, living tissues are studied in expo-
sure to electric and magnetic fields. The idea of forming a capacitor with the living
tissue as the dielectric is then used to realize capacitive links as suitable channels
for power and data telemetry. Electrical modeling of capacitive links, the opportu-
nity they provide for more efficient power delivery to and data exchange with
biomedical implants, and the corresponding concerns are subsequently discussed.
Finally, the chapter is concluded by naming a few exclusive advantages of utilizing
capacitive links.
766 M. A. Sharif and A. M. Sodagar

Fig. 2 The concept of (a) inductive vs. (b) capacitive coupling (Sodagar and Amiri 2009)

Modeling of Human Tissue in Exposure to Electric Field

As described in the previous section, wireless interfacing is among the most


suitable ways to interface to biomedical implants, enabling bidirectional data
exchange between the implant and the external world as well as the transfer of
electric energy toward the implant, if necessary. It is of crucial importance to note
that living tissues exposed to radio-frequency (RF) electromagnetic energy are
prone to harmful phenomena and even irreversible damages as a result of RF
energy absorption. Modeling and analysis of the behavior of living tissues in the
37 Capacitive Links for Power and Data Telemetry to Implantable. . . 767

presence of RF energy is, therefore, an inseparable part of the design of a wireless


link dedicated to biomedical implants.
To thoroughly analyze the effects of the transfer of electromagnetic energy
through living tissues, one needs to study the electric and magnetic phenomena
involved. An inclusive model for this purpose, therefore, needs to at least study
parameters such as electric conductivity (σ) as well as permittivity (e).
In its most complete form, permittivity of a material is expressed as a complex
number, referred to as complex permittivity:

« ¼ «_ þ j«€ (1)

in which ε_ is the permittivity and e€ is the loss factor (Gabriel et al. 1996). Complex
permittivity is sometimes expressed more intuitively in terms of conductivity of the
material (σ) and angular frequency (ω) of the field applied as

« ¼ «_  jδ =«0 ω (2)

where e0 is the permittivity of the vacuum.


The aforementioned electromagnetic properties for living tissues result from
frequency-dependent electrochemical reactions of living cells and the electromag-
netic energy encasing the tissue. Different types of tissues show different permit-
tivity and conductivity values at a specific frequency, but most of them behave in a
similar manner, that is, debasement of permittivity level and increase in conduc-
tivity when the frequency increases. This behavior is also observed in many other
dielectric materials known as dielectric dispersion.
As shown in Fig. 3, dielectric dispersion of human tissue is divided into four
different regions based on the operating frequency:

Region 1: The α-dispersion occurs at low frequencies mainly below the kiloHertz
region. Over the “α-dispersion” frequency range, ionic diffusion at the cell
membrane predominates and introduces a loss in the dielectric material related
to the nature of the ionic contents of the tissue.
Region 2: The ß-dispersion is mainly originated by the deformation of cell membrane
as a result of the application of electric field. This type of dispersion is observed in
the frequency range of hundreds of kilohertz to hundreds of megahertz.
Region 3: The γ-dispersion takes place in the gigahertz frequency range, where
water atoms polarize and reorient in response to the applied electric field
(Gabriel et al. 1996).

Other minor dielectric dispersions are observed beyond the gigahertz frequency
range, which are not of interest in biotelemetry applications.
Despite the fact that the dispersion phenomenon degrades both permittivity and
resistivity of the tissue at rather high frequencies, measurements show that various
types of human tissue exhibit significantly high permittivity at low frequencies.
This introduces living tissues as attractive dielectric materials.
768 M. A. Sharif and A. M. Sodagar

108
Fat (Not infiltrated) Permittivity
Conductivity/Permittivity (F/m or S/m)

Fat (Not infiltrated) Conductivity


106
Skin (Wet) Permittivity
Skin (Wet) Conductivity

104

102

100

10–2

10–4
101 102 103 104 105 106 107 108 109 1010 1011
Freuency (Hz)

Fig. 3 Dispersion phenomenon of tissue in exposure to electromagnetic field

Capacitive Links: The Basic Idea, Modeling, and Realization

It was explained that the traditional approach to establish short-range connection to


biomedical implants is the inductive coupling approach. The main aim of this
section is to study a fundamentally different approach for wireless interfacing,
referred to as capacitive coupling, which is indeed the physical dual of its inductive
counterpart. The primary idea to realize a capacitive link is to use two “transcuta-
neous” capacitors, each of which is realized using two metal plates (one on the
implant side and the other on the outer side) with living tissues in between (Sodagar
and Amiri 2009). This configuration is illustrated in Fig. 4, in which the external
capacitor plates are placed underneath an external printed circuit board (PCB) and
the capacitor plates on the internal side are located on the top side of the implanted
platform.
Although the idea of using capacitive links was among the well-known methods
to realize chip-to-chip communication, it was introduced for the first time in
Sodagar and Amiri (2009) as a possible method for power and data telemetry to
implantable biomedical microsystems (IBMs). An important point in employing
capacitive links for this purpose is the confinement of electric field within a limited
area defined by the capacitor plates (Asgarian and Sodagar 2011). This way, the
electric energy transferred via the link barely spread outside the interfacing capac-
itors, resulting in minimal electrical interference with the neighboring space, unless
the capacitors are placed not so far away from each other.
This section discusses the development of circuit models for capacitive links in
an evolutionary manner. Following a brief review on a few possible implementation
37 Capacitive Links for Power and Data Telemetry to Implantable. . . 769

Fig. 4 A proposed configuration for capacitive link realization (Sodagar and Amiri 2009)

configurations for capacitive links designed for IBM applications, examples of


signal-/circuit-level techniques that benefit from the capacitive character of the
link are introduced.

Modeling the Link

A basic model for the capacitive link was introduced when the link was suggested
for biomedical applications for the first time (Sodagar and Amiri 2009). In this
model, the link is realized using two “connections” from the external side to the
implant, each modeled by a simple capacitor, defined as

«A
C¼ (3)
d
where e and d are the permittivity and thickness of the tissue in between, respec-
tively, while A is the overlapping area of the interfacing capacitor plates.
Figure 5 illustrates the formation of a capacitive link using two capacitors (CExt)
transcutaneously coupling a biomedical implant to the external world.
The voltage delivered to the internal side of the link (across the internal
capacitor, CInt) is derived (in terms of the external voltage, VExt, and the link
capacitances, CExt) as

VExt
Vint ¼ (4)
CInt ðCExt þ CExt Þ

CExt CExt
This means that to increase efficiency of the capacitive voltage transfer in this
implementation, we should have CInt CExt/2 and even eliminate CInt. In other
words, realizing the link with rather small impedance for the link capacitors helps
couple the implant to the external module with high voltage transfer efficiency.
It is interesting to note that permittivity of the human skin (as well as the other
transdermal layers underneath) significantly increases as the frequency lowers, i.e.,
below 2 MHz in this application. Rather large capacitances can, therefore, be
770 M. A. Sharif and A. M. Sodagar

Fig. 5 The simple circuit modeling of a capacitive link (Sodagar and Amiri 2009)

achieved using reasonably small plates forming the capacitors. This makes up for
the decrease in the impedance of the interfacing capacitors at such low frequencies.
As a result, it can be shown that the capacitors forming the link exhibit nearly
constant impedance over a rather large frequency range extending down to even
sub-kHz.
The basic model of Fig. 5 assumes that the tissue taking part in the formation
of the link capacitors exhibits a purely insulating behavior represented by a
simple permittivity, e. A more detailed analysis of the capacitive link can be
performed by also taking into account the conductive behavior of the tissue
(Takhti et al. 2011), the results of which can be observed in Fig. 6. In a more
precise model, the tissue is therefore modeled using a parallel combination of a
capacitor, CT, and a resistor, RT, representing the capacitive and conductive
properties of the tissue, respectively.
Figure 7a shows a capacitor realized using two metallic plates with a layer of
living tissue in between. Assuming that the capacitor plates are coated with an
insulating layer to prevent conductive interface between them and the tissue, such a
structure can be electrically modeled as shown in Fig. 7b. In this model, CIL
corresponds to the capacitor formed between the metallic plate and the tissue facing
the plate, for which the insulating layer performs as the dielectric.
In the case of realizing the capacitor using PCBs (as already illustrated in Fig. 4),
the solder mask layer covering the metalized side of the board acts as the insulating
layer. In the case of a capacitive link designed with 5  5-mm plates and isolator
thickness of about 15 μm, CIL is about 80 pF (Takhti et al. 2011).
After the development of a circuit model, now it is time to calculate values of the
model parameters as functions of both electrical properties and geometrical
37 Capacitive Links for Power and Data Telemetry to Implantable. . . 771

dimensions of the materials used. For a capacitor realized using wh metallic
plates, shown in Fig. 9a, the capacitance associated with the insulation layer can
be calculated as

a Plate Size: 5mm*5mm & Distance Between the


Plats: 1mm
450

400

350
Capacitacne (pF)

300

250
Wet Skin
200
Dry Skin
150 Muscle
100

50

0
1 2 3 4 5 6 7 8 9 10
Frequency (MHz)

b Plate Size: 5mm*5mm & Distance Between the


Plats: 1mm
3500

3000
XCT of Wet Skin
2500 XCT of Dry Skin
Impedance (Ω)

RT of Wet Skin
2000
RT of Dry Skin
1500

1000

500

0
1 2 3 4 5 6 7 8 9 10
Frequency (MHz)

Fig. 6 (continued)
772 M. A. Sharif and A. M. Sodagar

c Plate Size: 5mm*5mm & Distance Between the


Plats: 1mm
500

450

400

350
Impedance (Ω)

300 XCT of Muscle


250 RT of Muscle
200

150

100

50
1 2 3 4 5 6 7 8 9 10
Frequency (MHz)

Fig. 6 Capacitance, impedance, and permittivity of specific types of living tissues utilized in
capacitive link realization vs. frequency (Takhti et al. 2011)

«ILwh
CIL ¼ (5)
dIL
in which εIL and dIL are permittivity and thickness of the isolation layer, respec-
tively. Noting the fact that either plate of the interfacing capacitor introduces the
same isolation capacitor, there are two CIL capacitors in the model of Fig. 8b.
It was already explained (please refer to Eq. 1) that the permittivity of the tissue
can be more precisely represented by a complex number, real and imaginary parts
of which are the rationale behind the inclusion of

w:h
CT ¼ «0 ðωÞ (6)
d
and

d
RT ¼ ; (7)
σ ðωÞw:h

where

σ ðωÞ ¼ ω:«00 ðωÞ (8)

In the above equations, w and h are again the plate dimensions and d is the distance
between the plates.
37 Capacitive Links for Power and Data Telemetry to Implantable. . . 773

b RT
CIL CIL
A B
CT
Isolation Isolation
Layer Tissue Layer

Fig. 7 (a) Formation of the interface capacitors as well as parasitic elements and (b) circuit model
for the Fig. 7a realization (Takhti et al. 2011)

As already illustrated in Fig. 5, a capacitive link, in its simplest form, consists of


a pair of interfacing capacitors, each modeled as shown in Fig. 7. However,
experiments with real tissue between the capacitor plates show that the tissue
extending from one capacitor to the other provides a conductive path between the
two interfacing capacitors (Takhti et al. 2011). To include this shunting conduction
mechanism in modeling a capacitive link, electrical models of the two interfacing
capacitors need to be somehow coupled by using a shunting resistor, RS, as
illustrated in Fig. 8a. The circuit presented in Fig. 8b is a possible model for a
two-plate capacitive link, in which resistive behavior of the total tissue present in
the link area is modeled using a distributed resistive network.
Assuming that the tissue exhibits the same conduction behavior for the shunting
path as for the telemetry channel, the shunting resistance can be calculated using the
same conductivity as that for RT (i.e., σ (ω)):
s þ 2ðw=2Þ
RS ¼ (9)
σ ðωÞdh
where s is the center-to-center spacing between the plates.
774 M. A. Sharif and A. M. Sodagar

b
RT/2 RT/2
CIL CIL
A B
2CT 2CT
+ +

Isolation RL
Layer Tissue Rs
RT/2 RT/2
CIL CIL
C D
2CT 2CT

− −

Fig. 8 (a) Physical realization of the capacitive link and (b) circuit model for the capacitive link
(Takhti et al. 2011)

Let us use the model presented in Fig. 8 to study the efficiency of the link when it
is used for voltage transfer. For this purpose, assume that a voltage on the external
side, VExt, is to be delivered to a resistive load, RL, on the internal side. Voltage
transfer ratio for the link can be written as
37 Capacitive Links for Power and Data Telemetry to Implantable. . . 775

Vint R
¼  L  ; (10)
Vext 2Z
ðRL þ 2ZÞ 1 þ þ 2Z
RS

where
 
1 RT 1
Z¼ þ (11)
2jCt ω 2 jCIL ω

It is essential to note that RS, RL, and Z all have significant influence on the voltage
transfer ratio of the link, each in its own certain way. Figure 9 shows the voltage
transfer ratio of the link as a function of each of the above parameters. Note that in
all of the results presented in Figs. 6 and 9, two 5  5-mm plates are assumed to be
placed about 1 mm apart. According to the plots shown in Fig. 9, higher voltage
transfer ratios are achieved for larger values of RS, lower magnitudes for Z(ω), and
obviously larger values for RL.

Data and Power Telemetry

Capacitive Links as Suitable Circuit-/Signal-Level Candidates

Due to their high-pass character, capacitive links are suitable candidates for the
wireless transfer of high-frequency signals as well as when the transfer of signals
with abrupt alterations is concerned. Suitability of capacitive links for wireless
telemetry in both signal and circuit levels is explained through the following three
case studies, dealing with power telemetry, data telemetry, and simultaneous power
and data telemetry to biomedical implants.

Case Study 1: Power Telemetry

As the first case, a capacitive link was designed and used for power telemetry
(Takhti 2012). The link was realized using two PCBs, photographs of which are
shown in Fig. 10. Each of the PCBs contains two 5  5-mm capacitor plates with
inter-plate spacing of 8.5 mm. To ensure desirable alignment between the trans-
mitting and receiving PCBs, and also to minimize undesired effects of motion
artifacts on the performance of the link, nuts and bolts are used on the four corners
of the PCBs as shown in Fig. 10. Of course, in real applications, the use of nuts and
bolts is never a practical solution. For in vivo experiments on living animals, small
yet strong magnets are properly mounted on the corners of each PCB. Figure 10
shows a PCB designed and prepared for in vivo measurements on a lab animal.
The link was first tested in vitro using a layer of chicken breast as the dielectric
tissue (shown in Fig. 10). In an experiment with a 3.5-mm thick tissue, voltage
transfer using a sine wave over the frequency range of 2–10 MHz was studied,
776 M. A. Sharif and A. M. Sodagar

a 1
Voltage Transfer Ratio VS. parallel tissue
resistance Rl=1K and Z=86-46j
Voltage Transfer Ratio (V/V)
0.8

0.6

0.4

0.2

0
1000 1500 2000 2500 3000 3500 4000 4500 5000
Parallel Tissue Resistance (Ohm)

b 1
Voltage Transfer Ratio (V/V)

0.8

0.6
Voltage Transfer Ratio VS. load
resistance Rs=5K and Z=86-46j
0.4

0.2

0
102 103 104
Load Resistance (Ohm)

c 1
Voltage Transfer Ratio VS. magnitude of
Z impedance Rl=1K and Rs=5K
Voltage Transfer Ratio (V/V)

0.8

0.6

0.4

0.2

0
20 40 60 80 100 120 140 160 180 200
Magnitude of Z impedance (Ohm)

Fig. 9 Voltage transfer ratio plotted vs. deminant parameters (a) vs. RS (b) vs. RL (c) vs. |Z|
37 Capacitive Links for Power and Data Telemetry to Implantable. . . 777

Fig. 10 A PCB realizing one side of a capacitive link with four magnets used for alignment
(Takhti 2012)

Fig. 11 Simulation and in vitro experimental results for voltage transfer via a capacitive link
(Takhti 2012)

results of which are presented in Fig. 11. The experiment was repeated for different
tissue thicknesses (1.1 mm, 2.3 mm, and 3.2 mm), this time with a half-wave
rectifier, a Zener regulator, and a 10-kΩ resistive load on the receiver side of the
link. Using a 7.5-V sine wave as the input on the transmitter side, DC component of
the regulated voltage on the load resistor over a power carrier frequency range of
1–10 MHz is presented in Fig. 12.

Case Study 2: Data Telemetry

Efficient techniques for data telemetry to biomedical implants have been of significant
interest to IBM designers in the recent years. The phase-shift keying (PSK) modulation
is among the most attractive schemes used for this purpose. One of the reasons for this
fact is the capability of this modulation technique to convey reasonably large amount
of data to biomedical implants. As examples, one can point to the binary PSK (BPSK)
demodulators reported in Asgarian and Sodagar (2009, 2010), exhibiting data-rate-to-
carrier-frequency (DRCF) ratio of as high as 100 %. As an alternative for their
778 M. A. Sharif and A. M. Sodagar

Plates Size : 5mm*5mm & Rload : 10kW


7
d = 1.1mm
6 d = 2.3mm
d = 3.2mm
5
VDC, Out(V)

0
1 2 3 4 5 6 7 8 9 10
Frequency (MHz)

Fig. 12 In vitro experimental results; DC component of the regulated voltage on the load resistor
as a function of power carrier frequency (Takhti 2012)

traditional inductive counterparts, capacitive links prove to be a more suitable choice


to convey PSK signals. As an observation, Fig. 13 shows the result of the transfer of a
10-MHz, 10 Mbps BPSK signal through a capacitive link with 7  7-mm plates. The
work presented in Asgarian and Sodagar (2010) introduces a BPSK demodulator,
which receives its input through a capacitive link.

Case Study 3: Simultaneous Power and Data Telemetry

Here, let us study an innovative idea for the transfer of high-rate data superposed on
sinusoidal power carrier signals, which is realized exclusively using a three-contact
capacitive link. Signaling of the modulation idea named amplitude-engraving
modulation (AEM) scheme (Erfani and Sodagar 2013) is shown in Fig. 14. First,
the pulse-polarity encoding (PPE) technique is used to embed a synchronous clock
signal, clock, in the binary data stream to be telemetered, data. The resulting signal,
VD (PPE Data), is a stream of bipolar short data pulses. This signal is then
superposed as a common-mode component on a pair of differential power carrier
sine waves, VC/2, resulting in the AEM signals, X1 and X2, shown in Fig. 14.
Unlike the common digital modulation techniques, in which the data rate is limited
by the carrier frequency, the AEM approach allows for data rates virtually inde-
pendent from the carrier frequency. For instance, the design case discussed in
Erfani and Sodagar (2013) presents a DRCF of 1,000 %.
To realize the AEM idea, the wireless link should be capable of passing high-rate
short pulses. Moreover, the EMI associated with the wireless transfer of two large
carrier signals of the same frequency will be a big concern if inductive links are
37 Capacitive Links for Power and Data Telemetry to Implantable. . . 779

a
A1
B1

vin
vout

A2
B2

Tissue
b
Trig’d

MAIN M 50ns T CH3 EDGE ∫ 5.03946MHz


CH1 ~ 1V CH2 ~ 1V CH3 2V CH4 5V

Fig. 13 Transfer of a 10-MHz BPSK signal through a capacitive link (Takhti 2012)

used for this purpose. This is where the three-contact capacitive link, illustrated in
Fig. 15, saves the AEM idea. On the receiver side of the link, power retrieval is
performed by using a simple subtraction operation on the two AEM signals
received on the receiver side of the link (X10 and X20 ). Also, data detection is made
possible by simply adding up the two signals.
Figure 16 shows an oscilloscope screen shot from an AEM experiment with a
carrier frequency of 200 kHz and bit rate of 2 Mbps, exhibiting a DRCF ratio of as
high as 1,000 % (Erfani and Sodagar 2013). The waveforms in this figure are the
original clock and data signals on the external side and the AEM signals received on
the implant side of the link, as well as the stream of PPE symbols detected using the
received AEM signals.

Bio-concerns

In the previous sections, design of capacitive links was described taking into
consideration electrical parameters such as voltage transfer efficiency, bit error
rate, and frequency-domain characteristics, as well as physical aspects including
780 M. A. Sharif and A. M. Sodagar

Fig. 14 Signaling of the AEM technique for the simultaneous transfer of power and high-rate data
to biomedical implants (Erfani and Sodagar 2013)

Fig. 15 Realization of the AEM idea using a three-contact capacitive link (Erfani and Sodagar
2013)

geometrical shape and physical dimensions. Design of a telemetry link for an IBM,
however, will not be complete without taking into account biological concerns
associated with the undesirable effects of energy transfer through living tissues.
Electromagnetic fields can possibly make damages to living tissues if they cause
extraordinary increase in core body temperature or the locally exposed tissue (IEEE
C95.1-2005). As a result, when transferring RF energy through living tissues, one
37 Capacitive Links for Power and Data Telemetry to Implantable. . . 781

Fig. 16 Key waveforms in an AEM experiment, exhibiting DRCF = 1,000 % at 2 Mbps (Erfani
and Sodagar 2013)

needs to be aware that there are upper bounds on the intensities of electric and
magnetic fields as well as the extent of the power telemetered toward the implant.
Specific absorption rate (SAR) is defined as a measure for the power absorbed by
the unit mass of tissue. According to Lazzi (2005), SAR at a certain point (x,y,z)
within the tissue that conveys the RF energy is calculated as

σ ðx, y, zÞEðx, y, zÞ2


SARðx, y, zÞ ¼ (12)
2ρðx, y, zÞ

where σ and ρ are the conductivity and density of the tissue, respectively, and E is
the electric field intensity at the point of study.
There are standards defined to both identify and prevent possible damages to
living tissues when exposed to RF electromagnetic energy. The IEEE C95.1-2005 is
among the well-known standards on this important issue, provided by the IEEE
recommending safety levels of human exposure to radio-frequency electromagnetic
fields (IEEE C95.1-2005). According to this standard, Table 1 illustrates the
restrictions for the whole body as well as localized exposure for people in both
controlled environments and general public when a safety program is unavailable.
The values are expressed in terms of peak spatial average SAR, which is the
maximum local SAR averaged over any 10 g of tissue in a cubic form.
782 M. A. Sharif and A. M. Sodagar

Table 1 Restrictions for frequencies between 100 KHz and 3 GHz (IEEE standard C95.1-2005)
SAR(W/kg)
General People in controlled
public environments
Whole-body exposure Whole-body 0.08 0.4
average (WBA)
Localized Localized Peak spatial 2 10
exposure Extremitiesa average 4 20
and pinnae
a
The extremities are the arms and legs distal form the elbow and knees, respectively

Table 2 MPE for general public (IEEE standard C95.1-2005)


Frequency range RMS electric field strength RMS magnetic field strength
(MHz) (V/m) (A/m)
0.1–1.34 614 16.3/fMa
1.34–3 823.8/fM 16.3/fM
3–30 823.8/fM 16.3/fM
30–100 27.5 158.3/fM 1.668
100–400 27.5 0.0729
a
fM is the frequency range in MHz

It is because of the technical difficulties in the calculation of SAR that another


touchstone is often used, called maximum permissible exposure (MPE) levels or
investigation levels, as shown in Table 2.
It is worth noting that complying with the restrictions provided in Table 2 does
not necessarily mean that permissible SAR values are achieved. For the design of a
safe telemetry link, one needs to refer to the more detailed information provided in
the standard.

Exclusive Advantages of Capacitive Telemetry Links

In addition to the basic function it provides similar to any other type of closely
coupled link (i.e., power and data telemetry), the capacitive approach to realize a
telemetry link between a biomedical implant and the external world comes with
several exclusive advantages that are briefly discussed in this section.

Confinement of Energy Transfer

According to the basics of the operation of a capacitor in terms of the electric field
established between the capacitor plates, the electric energy transferred through a
capacitive link is confined within the area defined by the plates of the interfacing
capacitors (this is, however, assuming that the fringing effects are negligible).
Capacitive coupling, therefore, provides a placid approach for wirelessly coupling
37 Capacitive Links for Power and Data Telemetry to Implantable. . . 783

to a biomedical implant as the electric field lines emitted from the transmitter do not
broadly spread around where the link is located. As an immediate benefit, a
capacitive link does not interfere with the sensitive neighboring circuitry (Asgarian
and Sodagar 2011).

Feasibility of Multichannel Telemetry Links

The fact that the signal transferred through a capacitive link does not cause
significant EMI allows to have multiple capacitive links (operating even at the
same frequency) wirelessly connecting an implant to the external world (Sodagar
and Amiri 2009).

Compatibility with Planar Processes

The other advantage of the capacitive link is the compatibility to planar processes
such as CMOS chip fabrication and PCBs. This unique feature alongside with EMI
reduction made this link capable of being utilized in chip-to-chip power and data
transfer, 3D IC fabrication without being concerned of interconnects, and biomedical
applications where the circuit is not reachable via wires (Sodagar and Amiri 2009).

High-Pass Frequency Characteristics

The high-pass character of a coupling capacitor enables transmission of high-


bandwidth data. Note that high-pass character does not mean any lack in power
transfer behavior as the power is proportional to root mean square (RMS) of the
power carrier (Sodagar and Amiri 2009).

Conclusion

Basic concept, analysis, and modeling of capacitive links for both power and data
telemetry to IBMs were studied. A few examples of employing this idea for
interfacing to biomedical implants were then reviewed. In addition to functional
and electrical aspects of the operation of this new type of telemetry links, some of
the associated biological considerations and concerns were then explained. Finally,
exclusive advantages that capacitive links provide were discussed.

References
Asgarian F, Sodagar AM (2009) A low-power noncoherent BPSK demodulator and clock recovery
circuit for high-data-rate biomedical applications. In: Engineering in medicine and biology
conference. EMBC, pp 407–410. https://doi.org/10.1109/IEMBS.2009.5332664
784 M. A. Sharif and A. M. Sodagar

Asgarian F, Sodagar AM (2010) A carrier-frequency-independent BPSK demodulator with 100%


data-rate-to-carrier-frequency ratio. In: Biomedical circuits and systems conference (BioCAS),
pp 29–32. https://doi.org/10.1109/BIOCAS.2010.5709563
Asgarian F, Sodagar AM (2011) Wireless telemetry for implantable biomedical microsystems. In:
Laskovski AN (ed) Biomedical engineering trends in electronics. Communications and soft-
ware. InTech, India, pp 21–44
Culurciello E, Andreou AG (2005) Capacitive coupling of data and power for 3D silicon-on-
insulator. In: IEEE international symposium on circuits and systems (ISCAS), vol 4,
pp 4142–4145. https://doi.org/10.1109/ISCAS.2005.1465543
Erfani R, Sodagar AM (2013) Amplitude-Engraving Modulation (AEM) scheme for simultaneous
power and high-rate data telemetry to biomedical implants. In: Biomedical circuits and systems
conference (BioCAS). IEEE, pp 290–293. https://doi.org/10.1109/BioCAS.2013.6679696
Fazzil A, Canegallol R et al (2007) 3D capacitive interconnections with mono and bi-directional
capabilities. In: Solid-state circuits conference, 2007 (ISSCC). https://doi.org/10.1109/
ISSCC.2007.373441
Gabriel C, Gabriel S, Corthout E (1996) The dielectric properties of biological tissues: I. Literature
survey. Phys Med Biol 41(11):2231–2249
Gorton WS (1998) The genesis of the transistor. Proceedings of the IEEE 86(1):50–52
IEEE Standard C95.1-2005. IEEE standards for safety levels with respect to human exposure to
radio frequency electromagnetic fields, 3 KHz to 300 GHz
Kilby JS (1959) Miniaturized electronic circuits. US Patent 3,138,743, filed 6 February 1959,
issued 23 June 1964. United States Patent Office
Lazzi G (2005) Thermal effects of implants. IEEE Eng Med Biol Mag 24(5):75–81
Sodagar AM, Amiri P (2009) Capacitive coupling for power and data telemetry to implantable
biomedical microsystems. In: IEEE neural engineering, 2009. NER ’09. 4th International
IEEE/EMBS. https://doi.org/10.1109/NER.2009.5109320
Takhti M, Asgarian F, Sodagar AM (2011) Modeling of a capacitive link for data telemetry to
biomedical implants. IEEE BioCAS. https://doi.org/10.1109/BioCAS.2011.6107757
Takhti M (2012) Wireless data and power telemetry to implantable biomedical microsystems.
Technical report. Research Laboratory for Integrated Circuits and Systems (ICAS), Faculty of
E.E., K.N. Toosi University of Technology, Tehran
Zverev AI (1967) Handbook of filter synthesis. Wiley, New York
Capsule-Based Measurements
of Gastrointestinal Impedance 38
Gang Wang, Dobromir Filip, Michael D. Poscente,
Christopher N. Andrews, and Martin P. Mintchev

Contents
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 786
Gastrointestinal Electrical Impedance Measurements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 786
Encapsulating Gastrointestinal Electrical Impedance Measurements . . . . . . . . . . . . . . . . . . . . . . 791
TIIM: A Closer Look . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 795
Overall Principle of TIIM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 795
Design of the Ingestible Electronic Capsule . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 796
Gastric Retention . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 796
Experimental Validation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 798
Discussion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 799
Conclusions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 801
References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 801

G. Wang · M. D. Poscente
Centre for Bioengineering and Research, University of Calgary, Engineering Complex, Calgary,
AB, Canada
e-mail: gawang@ucalgary.ca; mdposcen@ucalgary.ca
D. Filip
Department of Electrical and Computer Engineering, University of Calgary, Engineering Complex,
Calgary, AB, Canada
C. N. Andrews
Division of Gastroenterology, Faculty of Medicine, University of Calgary, Calgary, AB, Canada
e-mail: candrews@ucalgary.ca
M. P. Mintchev (*)
Centre for Bioengineering and Research, University of Calgary, Engineering Complex, Calgary,
AB, Canada
Department of Electrical and Computer Engineering, University of Calgary, Engineering Complex,
Calgary, AB, Canada
Department of Surgery, Faculty of Medicine, University of Alberta, Edmonton, AB, Canada
e-mail: mintchev@ucalgary.ca

© Springer Science+Business Media, LLC, part of Springer Nature 2022 785


M. Sawan (ed.), Handbook of Biochips,
https://doi.org/10.1007/978-1-4614-3447-4_17
786 G. Wang et al.

Abstract
Gastrointestinal (GI) impedance carries useful information about the physiology
of GI organs. This chapter presents the development and applications of imped-
ance measuring techniques for the diagnosis of diseases affecting different GI
organs. Following this, several new concepts of encapsulating catheter-based GI
monitoring systems are introduced, among them, a novel gastric-retentive imped-
ance measurement system known as transcutaneous intraluminal impedance
measurements (TIIM), are explained in the second section of this chapter. Finally,
the main advantages of capsule-based GI impedance measurements are discussed.

Introduction

Gastrointestinal Electrical Impedance Measurements

Electrical impedance of the human body refers to resistance to electricity, which may
vary due to different organ composition including water, muscle, fats, etc. The
electricity can come from an external source (exogenic current), or it can be
associated with the endogenic electrochemical processes in the tissues and their
potentials. Impedance properties of biomaterials are frequency dependent since an
electrolytic material can be converted to a dielectric when it becomes capacitive to
store energy before the current reverses its direction within a half cycle at high
frequencies. At the tissue level, body fluids act as resistive components, whereas
cells and their membranes behave as small capacitors. Therefore, models
representing impedance phenomena in the human body and their parameters should
be chosen with caution.
Bioimpedance and bioelectricity have been widely applied in diagnostic, thera-
peutic, and laboratory purposes. Most applications are organ specific. In GI organs,
electrical impedance measurements are mainly utilized for diagnosing a disease, i.e.,
to establish relations between a specific function or malfunction of a GI organ and its
corresponding electrical, mechanical, or content activity. The GI tract includes
several hollow organs that greatly vary in their physiology, each of which possesses
a different design challenge. Different impedance measuring techniques for diag-
nosing various GI diseases have been developed over the past few decades. In this
section, applications of electrical impedance measurements in the esophagus, stom-
ach, intestines, and rectum will be discussed.

Esophageal Impedance
Esophageal impedance measurements were first introduced in 1991 as a catheter-
based tool to detect liquid and gas flow through the esophagus. A transoral catheter is
mounted with two separate ring electrodes between which an alternating current is
generated and the impedance is subsequently measured. The impedance is high in
the empty esophagus, but decreases when the electrodes are surrounded by conduc-
tive liquid such as saline or gastric juice (Fig. 1). If a series of electrodes is mounted
38 Capsule-Based Measurements of Gastrointestinal Impedance 787

Fig. 1 A characteristic
impedance tracing of a liquid
bolus

along the catheter, it is also possible to evaluate the direction and velocity in which
the fluid is being transported through the esophagus.
Over the past two decades, various studies were carried out to investigate suitable
clinical applications for this technique. In monitoring esophageal motility, manom-
etry is considered the current “gold standard,” as it directly assesses the motor
function and measures the strength of the contractions. Multichannel impedance
measurements, however, outperform manometry in monitoring esophageal bolus
transit because manometry cannot differentiate between contractions and bolus
transit. Clinical studies have concluded that esophageal motility testing using com-
bined impedance/manometry is highly specific for the diagnosis of nonobstructive
dysphagia. In assessing patients with achalasia, impedance measurements showed
poor correlation with conventional fluoroscopy in a comparative study (Nguyen
et al. 2004). There are two main reasons that hamper the total replacement of
fluoroscopy with impedance measurements in esophageal motility testing, despite
the former subjecting patients to radiation. Firstly, impedance can provide only
functional information, whereas fluoroscopy can provide additional anatomical
information. Another reason is that it is technically impossible to study the swallows
of solid foods using impedance due to low baseline impedance levels and air
entrapment in the proximal esophagus.
Impedance measurements have also been widely used and show particular prom-
ise in the study of gastroesophageal reflux disease (GERD). The nature of the
refluxes can be liquid, gas, or a mixture of both and can also be classified as acidic
(pH < 4), weakly acidic (pH 4–7), or weakly alkaline (pH > 7). Conventional pH
monitoring is sensitive to acidic liquid reflux occurrences only, whereas multilevel
impedance measurements allow for the identification of the nature of the refluxes. A
retrograde moving decrease in impedance along the catheter indicates liquid reflux,
whereas a retrograde moving increase in impedance indicates pure gas reflux
(Fig. 2). Joint monitoring using impedance and pH measurements also allows the
detection of nonacidic occurrences. Miniaturized catheters have been developed to
reduce the discomfort to patients, thus enabling 24-h ambulatory impedance-pH
monitoring and adding a temporal dimension for scientists to better evaluate patients
with GERD (Shay et al. 2004).
788 G. Wang et al.

Fig. 2 Multiple impedance sensors mounted on one catheter (left) identify baseline, swallow, and
reflux episode in the esophagus according to the waveform changes in time (Reprinted with
permission from Tutuian (2008), Copyright © 2008 Springer)

Gastric Impedance
McClelland and Sutton first reported their method of extracting gastric movement by
two pairs of surface-mount electrodes (McClelland and Sutton 1985). Figure 3
shows the standard electrode placement in their research. On each side of the
abdomen (dorsal and anterior), one electrode inputs a 4 mA peak-to-peak square-
wave current at 100 kHz, while the other electrode records the dynamic voltage
changes.
Early gastric impedance research focused on its application in gastric emptying
measurements, aiming to develop a cheap and noninvasive system to replace
scintigraphy. The change of impedance between the electrodes was induced by
ingestion of water or orange juice and the subsequent baseline recovering was
thought to be related to gastric emptying. Sutton et al. compared impedance mea-
surements to scintigraphy in six volunteers and the correlation was satisfactory
(Sutton et al. 1985). However, the artifact-sensitive nature of this technique affects
its reliability and hampers its clinical applications. Electric impedance tomography
(EIT) was applied to construct cross-sectional images of the conductivity of gastric
contents from a circular array of electrodes attached to the skin. It was hypothesized
that test meal having different conductivity than the stomach wall would show
contrast in an EIT image, and thus gastric emptying could be indirectly quantified
from the change in the cross-sectional gastric area of the image. A high conductivity
38 Capsule-Based Measurements of Gastrointestinal Impedance 789

Fig. 3 Standard electrode placement for epigastric impedance measurement (top) and a character-
istic gastric emptying curve after drinking a liquid meal of low conductivity (bottom)

meal was used because the secretion of gastric juices to the meal could enhance the
contrast during the test and give a consistent gastric emptying profile. Statistical
studies have shown significant correlation between EIT and gamma scintigraphy, the
gold standard in gastric emptying measurements.
Gastric impedance monitoring has also been proposed to detect gastric motility. It
was observed that antral contractions can lead to phasic impedance changes. Their
relationship is more reliable than electrogastrography alone, which simply measures
the spontaneously existing gastric electric activity, and has not been considered
clinically reliable. Combining these two measurements was also proposed, in con-
junction with frequency analysis; however, the inability of this combined technique
to clearly demonstrate local gastric contractions, together with the large variability
among volunteers, hindered the clinical applications of impedance monitoring for
gastric motility assessment (Smout et al. 1994).
790 G. Wang et al.

Intestinal Impedance
The success of impedance techniques in food transit monitoring in the esophageal
lumen motivated its adaptation to monitor and characterize the chyme transport in
the small intestines. Among the various segments of the intestines, the duodenum is
in the vicinity of the stomach, and therefore it can be easily accessed by transoral
catheter devices. The performance of impedance techniques for the detection of flow
events in the duodenum has been evaluated in a comparative study of simultaneous
duodenal fluoroscopy, manometry, and impedance recordings (Imam et al. 2004). It
was suggested that impedance has a greater sensitivity for flow detection than
manometry and is also suitable for ambulatory evaluation of intestinal flow patterns
where fluoroscopy entails exposure to excessive radiation.
Presently, impedance measurements in the intestines are still limited to scientific
studies in research institutes. Combined jejunal impedance/manometry was applied
to record the bolus transport and motility during fasting and postprandial period in
healthy subjects. Another study measuring postprandial duodenal chyme transport
found abnormalities in patients with long-standing insulin-dependent diabetes
mellitus, and the effects of hyoscine on the duodenum motility were evaluated
using this technique (Nguyen et al. 1997).
Compared to the setup in the esophageal lumen, it is time-consuming to install the
device, and it is heavily reliant on operator’s experience. Furthermore, the imped-
ance of the bolus can be affected by the liquid secretion from the stomach, biliary
tract, and pancreas. Further studies are required to understand the implications of
impedance techniques in the intestines.

Impedance of the Rectum


As the last segment of GI tract, the rectum functions primarily as a reservoir of stool.
The rectum comfortably relaxes itself to accommodate fecal filling until the anal
sphincter is no longer able to counteract the forces that promote fecal expulsion.
Scientists have been interested in comparing the functional dynamics of the rectum
in healthy subjects and those with fecal incontinence or constipation. Traditionally,
the dynamics were assessed by examining the compliance, i.e., the change in
anorectal volume in relation to change in pressure during the distension of an
intraluminal bag. However, the usefulness of this technique has been questioned as
there is no consensus established on the normal range of compliance among studies
in various research centers.
Harris et al. (1971) first developed a method to calculate the cross-sectional area
(CSA) of the ureter based on impedance measurement using a linear array of
electrodes. Gregersen et al. adapted this system for its applications in the GI tract
and termed it “impedance planimetry” (Gregersen and Andersen 1991). Figure 4
shows the schematic diagram of an impedance planimetry system. A linear array of
four ring electrodes was mounted on the surface of a catheter. The electrodes were
enclosed in a liquid-filled nonconductive bag, which confined the current flow
within the bag and enabled the conductivity to be fixed by predetermining the
concentration of an injected saline solution. The two outer electrodes emitted
constant alternating current (I) within the conducting volume formed by the bag.
38 Capsule-Based Measurements of Gastrointestinal Impedance 791

Probe systems

Infusion channel

Four electrode probe Side hole for pressure


measurement

Site for cross-sectional area


measurement
Multi-set electrode probe

Fig. 4 Schematics of the impedance planimetry system. Four-electrode probe and multi-set
electrode probe designs are illustrated (Reprinted with permission from Gregersen (2005), Copy-
right © 2005 Springer)

The two inner electrodes detected the potential difference (V) between them.
According to the field-gradient principle, the CSA of the conducting volume is
inversely proportional to the impedance. In addition, the pressure within the bag
can be controlled by a pump through an infusion channel, and it can be monitored by
a pressure sensor installed near the center of the bag. Multiple detecting electrodes
can be added in series along the catheter, which allow more detailed description of
anorectal motility patterns.
Monitoring CSA and pressure changes during distension allows several assess-
ments of rectal wall stiffness and biomechanical properties of constructed stool
reservoirs in both healthy and diseased subjects. The application of this technique
in scientific research is broadening the knowledge that was previously obtained from
routine anorectal physiological assessment, but it is yet to become a routine
clinical tool.

Encapsulating Gastrointestinal Electrical Impedance Measurements

With the advancement of material science, microelectronics, and microfabrication,


various technologies for wireless monitoring of GI organs have emerged in order to
overcome the disadvantages of catheter-based monitoring systems. In this section,
several emerging capsule-based GI monitoring technologies are reviewed.

The SmartPill ® Concept


Originally aimed for personal identification, the SmartPill ® capsule (Given Imaging
Ltd., Yokneam, Israel) offers sensors to monitor the pH value, temperature, and
transit time in order to assess GI motility (Fig. 5). The capsule has a diameter of
13 mm and a length of 26 mm. Before oral administration the capsule is activated
and calibrated. It is propelled by natural peristalsis through the GI tract where it
792 G. Wang et al.

Fig. 5 CAD drawing of SmartPill ® with the location of the sensors and electronics labeled

measures the changes in local temperature and pH values which are wirelessly
transmitted to an external receiver worn by the patient. The physician collects the
receiver and downloads the data to software, which uses the temperature and pH
recordings as a reference to determine the transit times in various segments of the GI
tract. The nondigestible capsule is naturally excreted from the body within a day or
two after ingestion. This device is not optimized for 24-h ambulatory monitoring of a
specific GI organ because it does not incorporate any mechanism to affix itself on the
surface of a targeted GI organ and reside in it.

The Bravo™ Concept


The Bravo ® pH monitoring system (Given Imaging Ltd., Yokneam, Israel) includes
a capsule that can be affixed to the mucosal layer of the esophagus near the lower
esophageal sphincter (LES) in order to monitor the pH changes in the esophagus for
24 or 48 h for the diagnosis of gastroesophageal reflux disease (GERD). The
dimensions of the oblong capsule are 6  5.5  25 mm. The electronics inside
include an antimony pH electrode, a reference electrode, a magnetic switch, a
battery, and a transmitter. All these components are encapsulated in epoxy material.
On one side of the capsule, there is a 4  3.5 mm well connected to a vacuum unit for
affixing purposes. Before the implantation, the distance between the
squamocolumnar junction and the incisor teeth is measured endoscopically and
taken as a reference. After the retraction of the endoscope, the delivery system is
orally introduced and positioned so that the pH electrode is 6 cm above the
squamocolumnar junction. This affixing position is 5 cm proximal to the upper
margin of the LES, which approximated the conventional location of catheterized
pH sensor placement unless Barrett’s metaplasia is detected in that region. To affix
this device, an external vacuum pump is switched on to attract a portion of the
esophageal mucosa to fill in the well. Then, the affixing is secured by inserting a
38 Capsule-Based Measurements of Gastrointestinal Impedance 793

Fig. 6 Bravo ® capsule (left) affixed in the esophagus via a delivery system (right)

stainless steel pin through the well (Fig. 6). This mechanism allows the capsule to
detach itself from the esophagus through a combination of natural tissue regenera-
tion and sheer force from food bolus transport in esophagus. Upon its attachment, the
capsule is activated and starts to wirelessly transmit pH readings every 12 s to an
external receiver.
The device affixation procedure requires experiential competence, and unsuc-
cessful implementation can result in premature detachment of the capsule. Although
studies have demonstrated that the Bravo ® capsule results in high patient tolerability,
complications such as esophageal perforation and other minor incidents have been
reported. Endoscopic removal is necessary if the capsule does not detach itself from
the esophagus in a timely fashion. Risk analysis needs to be performed when
gastroenterologists are considering the appropriateness of Bravo ® monitoring in
GERD patients. The affixing problems and the potential complications have limited
the use of the Bravo ® pH monitoring system. A safe and reliable method to hold an
esophageal wireless monitoring capsule in position for 24 h has still not been
developed.

Magnetic Affixing
A friction-assisted magnetic affixing mechanism has been proposed as an alternative
to the needle affixation mechanism in Bravo ® pH monitoring system (Gonzalez et al.
2006, 2007). A combined impedance-pH monitoring capsule incorporating a 6.5 
26  1.5 mm neodymium magnet was implemented (Fig. 7). Its total weight was
reduced to 5.9 g. In vitro experiments concluded that a field of 200 G was required to
hold a mass of 6 g in position against gravity. Two 10  10  5 cm, 5000 G
permanent neodymium magnets spaced 27 cm apart, and weighing 3.78 kg each
were required to fit into a vest for human subjects and to achieve such magnetic field
794 G. Wang et al.

Fig. 7 The capsule specially


designed for friction-
enhancing magnetic affixing
mechanism incorporating pH
and impedance sensors

strength in a human esophagus at the same time. To counterbalance the additional


propelling peristaltic force by the esophageal muscle, the capsule incorporates an
array of friction-enhancing pins on its back. The simulation results have demon-
strated that both electromagnets and permanent magnets were capable of holding the
capsule through a magnetic holder at the base of the neck.
One of the drawbacks of electromagnetic vest design is that it is generally larger
in size compared to only permanent magnets. It also requires a power supply to
continuously deliver a 3A current for sufficient magnetic field strength. On the other
hand, permanent magnets can be of smaller size and free from power supply, but lack
the ability to control the magnetic field, and adequate magnetic shielding is required
for practical ambulatory 24-h testing at home or in a working environment. With the
success in modeling and in vitro experiments, in vivo studies are necessary to further
validate the affixing efficacy and practicability of this technique.

Transcutaneous Intraluminal Impedance Measurement (TIIM) and


Gastric Retention
A recent study (Poscente et al. 2013) has demonstrated a new method of minimally
invasive and long-term gastric motility monitoring utilizing the contraction-
modulated attenuation of an AC signal generated by an intragastric oscillator across
the gastric tissues. The study aimed to prove the hypothesis that changes in trans-
luminal gastric impedance are directly indicative of gastric contractions. The atten-
uated transluminal signal is captured by surface electrodes positioned on the
abdominal area. After analog amplification and conditioning, the signal is logged
into a computer. To prove the hypothesis, the TIIM signals were captured and
compared to the readings of force transducers sutured on gastric serosa of canine
models. Statistical analysis demonstrated statistically significant ( p < 0.05) corre-
lation between the TIIM signal and the force transducer signals.
38 Capsule-Based Measurements of Gastrointestinal Impedance 795

Two implementations were proposed. In the first implementation, the oscillation


signal was emitted from the distal tip of a transoral catheter. In order to mitigate
patient discomfort, a capsule version of the oscillator circuit was implemented into
an ingestible gastric-retentive pill (Mintchev et al. 2010). The pill contained a sac
containing superabsorbent polymer granules that, upon entering the stomach,
quickly swelled to form a pseudobezoar of a considerable size that resisted expulsion
into the duodenum for a set time. After the time expired, the pseudobezoar gradually
degraded into its constituent fibers which were easily expelled from the body
through natural peristalsis without causing any side effects. Other applications of
this pseudobezoar technique have been explored, including the attempt to stabilize
the tumbling of an imaging capsule in the colon (Filip et al. 2010, 2011).

TIIM: A Closer Look

Overall Principle of TIIM

According to the established theory (Webster 1998), in order to interrogate the


impedance changes within the lumen of an object, a voltage oscillator transmitting
an AC signal can be positioned inside the lumen of the object, and the attenuation of
the signal can be measured outside the object to determine impedance dynamics
(Fig. 8). In the case of the stomach, gastric contractions dynamically change the
shape of the organ and thus change the impedance between the oscillator within the
stomach and the surface electrodes on the skin positioned over the abdominal
projection of the stomach. The hypothesis of TIIM is that the changes in transluminal
gastric impedance measured by the surface electrodes are directly indicative of
gastric contractions (Poscente et al. 2013).

Fig. 8 A diagram depicting the principle of impedance interrogation outside an object


796 G. Wang et al.

Design of the Ingestible Electronic Capsule

The TIIM capsule body contained custom-designed electronics, a transmitter


consisting of a TS3001 oscillator (Touchstone Semiconductor, Milpitas, CA, USA)
and a 1.55 V battery (Renata Batteries, Itingen, Switzerland). The selected transmit-
ter operates at 50 KHz and draws 2 mA from the 1.55 V source. The design of the
oscillator itself was made using standard printed circuit board (PCB) software
(Eagle, CadSoft Computer, Pembroke Pines, FL, USA). Figure 9a, b depicts circuit
schematic and PCB designs, respectively. The PCB was manufactured with dimen-
sions 6  10 mm and populated using surface-mount technology. Figure 9c shows
the body of the capsule. The circuit was housed in a hollow cylinder made by
acrylonitrile butadiene styrene (ABS, a type of hard and electrical insulating poly-
mer). The output and ground of the oscillator circuit were connected to two external
copper electrodes which also sealed each side of the cylinder to make the circuit
waterproof. The final dimensions of the TIIM capsule body were restricted to 10 mm
(diameter)  19 mm (length), approximating the size of a multivitamin tablet.

Gastric Retention

This gastric retention technology was originally developed for weight loss by
creating controllable temporary pseudobezoars to take volume from within the
stomach in order to induce satiety and fullness (Deneva et al. 2012). The pseudo-
bezoar consists of superabsorbent polymer granules embedded in a porous polylactic

Fig. 9 (a) TIIM oscillator schematic: output and ground of the TS3001 oscillator (1) connected to
the two electrodes (2 in image (c)), (b) printed circuit board layout (dimensions in mm), (c) body of
the electronic capsule (dimensions in mm), and (3) cylindrical housing of the oscillator circuit made
of ABS
38 Capsule-Based Measurements of Gastrointestinal Impedance 797

Fig. 10 Steps to assemble a


gastric-retentive TIIM
capsule: The oscillator PCB
(1) is first sealed into the ABS
housing (2) to form the
capsule body (3); then
together with the
superabsorbent polymer
granules (4), it is inserted into
a PLA mesh (5); the mesh is
wrapped up and fitted into a
gelatine coating (6); (7) is the
final assembly of the TIIM
capsule; and (8) is the
expanded form of the capsule
in a petri dish (9)

acid (PLA) mesh. The mesh, once expanded in the stomach, has sufficient mechan-
ical strength to withstand gastric contractions for a few days. After that, the mesh
gradually degrades in parts and is expelled naturally by the body.
Figure 10 shows the steps to assemble a TIIM capsule adopting the gastric
retention technology. First, the TIIM capsule body, together with the polymer
granules, is inserted into the PLA mesh. The dimensions of the TIIM capsule are
determined so that it can fit inside a custom-sized gelatine capsule coating (DBcaps
AAA, Capsugel, Greenwood, SC, USA) and yet leaves adequate room for the
polymer granules and the PLA mesh.
Expansion of the granulated polymer material is initiated once the capsule is
exposed to gastric juices which start also to disintegrate the gelatine coating and
permeate the PLA mesh. The expansion process is continuous and results in
volumetric and mass increase of the granules. A fully expanded mesh has a volume
of 12 ml in a pillow shape. Its expanded dimensions of 1.5 cm in all directions
prevent the capsule body from being expelled through the pyloric sphincter.
The polymer granules and the PLA mesh are both conductive because of
their water permeability. The PLA mesh is bioabsorbable and biocompatible.
Thus, it can safely disintegrate within the GI tract after a desired time period,
leaving the expanded individual polymer granules to spill and to naturally exit the
body.
798 G. Wang et al.

Experimental Validation

Animal Preparation
The animal study was approved by the Life and Environmental Sciences Animal
Care Committee, University of Calgary, Calgary, Alberta, Canada. Experiments
were performed on two female mongrel dogs (25.1 and 26.4 kg). After 24-h fasting,
each dog was orally administered with the gastric-retentive TIIM capsule with
500 cc of water. Then, thiopental (Thiotal 15 mg/lg IV, Vetoquinol Canada,
Lavaltrie, QC, Canada) was intravenously injected for sedation of the dog. It was
subsequently maintained on inhalant isoflurane and oxygen (Halocarbon Laborato-
ries, River Edge, New Jersey, USA). The anesthesia was chosen not to influence
gastric contractions. The abdominal hair was shaved and the dog was immobilized in
dorsal recumbent position.

Placement of the Sensors


Laparotomy was performed along the linea alba (midline of the abdomen) for the
placement of two 90 W24 force transducers (FT) (RB Products, Stillwater, MN) on
the serosal side of the stomach. The first force transducer was surgically sutured
1–2 cm from the pylorus and the other proximally about 4 cm away from the first one
along the gastric axis (Fig. 11a). They measured the force exerted by gastric
contractions. Force transducer signals were amplified by a custom-made bridge
amplifier.
The position and the expansion status of the capsule were verified by palpation
over the gastric lumen and visually confirmed by endoscopy before the abdomen
was closed. Subsequently, the skin over the stomach was prepared for electrode
placement. To capture the signal transmitted from the oscillator, three electrocardio-
graphic (ECG) electrodes (CONMED, Utica, NY) were placed along the abdominal
projection of the gastric axis on the skin (Fig. 11b). The distal electrode (E1) was

Fig. 11 (a) Placement of force transducers on the serosal surface of the stomach and (b) electrode
placement on abdominal skin
38 Capsule-Based Measurements of Gastrointestinal Impedance 799

placed on the intersection of the right midclavicular line with the rib cage. The first
proximal electrode (E2) was placed 6 cm proximal to the distal electrode, and the
second proximal electrode (E3) was positioned 3 cm below the first proximal
electrode. An additional electrode (E4) connected to ground. Two bipolar TIIM
channels were established between E1/E2 and E1/E3. The TIIM signals were further
conditioned by a bioelectric amplifier (James Long Company, Caroga Lake, NY,
USA). A gain of 1  105 V/V was utilized in a frequency bandwidth of 0.03–0.1 Hz.
The input signals from the force transducers and the TIIM electrodes were
subsequently digitized by a data acquisition card (DAQCard-AI-16XE-50, National
Instruments, Austin, TX, USA), combined with a custom-designed signal processing
and visualization software (GAS 6.2, Low-Frequency Instrumentation Laboratory,
University of Calgary, Calgary, Alberta, Canada).

Experimental Procedure
After the experimental setup was completed, neostigmine (0.04 mg/kg, APP Phar-
maceuticals, Schaumburg, IL) was administered intravenously as a smooth muscle
stimulant to invoke gastric contractions. The gastric contractions from the force
transducers and the impedance changes from the surface electrodes were simulta-
neously recorded for 30 min for each dog. At the end of the experiments, the dogs
were euthanized by an intravenous injection (Euthanyl, 480 mg/4.5 kg, Bimeda-
MTC Animal Health Inc., Cambridge, ON, Canada).

Data Processing and Analysis


The TIIM and FT measurements were evaluated for one-minute intervals over
30 min after the administration of neostigmine. Gastric motility indices of each
type (FT and TIIM) were calculated for each minute by first integrating the data over
1 m, and then the results were normalized by assigning 1 to the highest motility
index and 0 to the lowest motility index during the entire 30 min. The results from
the TIIM measurements were statistically compared to their counterparts from the
FT measurements by calculating Pearson correlation coefficients.

Results
Figure 12 shows a sample 30-min analysis of TIIM compared to the force trans-
ducers. Table 1 summarizes the Pearson correlation coefficients of the proximal and
distal measurements. The correlation was statistically significant in both dogs.

Discussion

Of the numerous proposed gastrointestinal impedance monitoring techniques, only


esophageal intraluminal impedance has become an established and widely utilized
clinical tool. Various methods for affixing monitoring capsules in the esophagus
have shown some important limitations, while the clinical utility of a transiting
“smart” capsule is questionable. However, new retentive impedance monitoring
techniques are emerging, which deserve particular attention.
800 G. Wang et al.

Fig. 12 Thirty-minute comparative recordings from the two force transducers and the two TIIM
channels. All channels were normalized to fit an amplitude window of 0–1

Table 1 Pearson correlation coefficients between the proximal force transducer and the proximal
TIIM and the distal force transducer and the distal TIIM
Dog 1 Dog 2
Proximal correlation 0.796* 0.725*
Distal correlation 0.804* 0.743*
*Statistical significance ( p < 0.05)

The advantages of capsule-based retentive gastrointestinal impedance measure-


ments are fourfold. First of all, they lessen patients’ discomfort and inconvenience
during test procedures and, hence, increase patient compliance. Second, they allow
the clinician the diagnostic advantage of comprehensive data analysis over a longer
period of time. This technique also enables the capsule to access sections of the
targeted organ which lie too deep in the abdomen to be accessed by catheter-based
systems. Last but not least, the reduced device size introduces less interference to the
organ of interest, so the monitoring reflects its normal physiological activity.
The demonstrated transcutaneous intraluminal impedance measurement (TIIM)
system clearly exemplifies these advantages. The results from pilot animal tests have
shown that TIIM is able to quantify gastric motility in a minimally invasive and
long-term fashion while maintaining similar precision to implanted force transducers
attached directly to the stomach wall on the serosal side (Wang et al. 2014). In a
38 Capsule-Based Measurements of Gastrointestinal Impedance 801

clinical setting, TIIM could avoid the discomfort brought about by a manometry
catheter or barostat balloon and reduce the resulting interferences with gastric
physiology. If a portable data logger could be implemented in future, it would
allow 24-h ambulatory monitoring of gastric motility of a subject during his or her
routine daily activities. TIIM could be utilized to compare gastric motility indices in
different patients as well as in healthy volunteers and thus develop a new clinical
standard for diagnosing gastric motility disorders.

Conclusions

GI impedance has been extensively explored for a wide range of scientific research
applications and clinical diagnosis of various GI disorders. GI impedance measure-
ment systems are gaining popularity due to their elegant design and relatively cheap
manufacturing costs, while, if tuned well, being able to achieve accuracy in diagno-
sis comparable to other techniques. The area of capsulated gastrointestinal imped-
ance measurements is still in its infancy; however, they show promise as the
demands for contemporary GI impedance measurement systems are high accuracy,
minimal invasiveness or noninvasiveness, and longer retentive time in the GI tract
for ambulatory monitoring with minimal influence on natural physiology and
function.

References
Deneva MG, Yadid-Pecht O, Fattouche M, Mintchev MP (2012) Utilization of temporary control-
lable intragastric pseudobezoars for the treatment of obesity. Curr Obes Rep 1(2):68–74
Filip D, Yadid-Pecht O, Mintchev MP (2010) Progress in self-stabilizing capsules for imaging of the
large intestine. In: 17th IEEE International Conference of Electronics, Circuits, and Systems,
Athens, 2010
Filip D, Yadid-Pecht O, Andrews CN, Mintchev MP (2011) Self-stabilizing colonic capsule
endoscopy: pilot study of acute canine models. IEEE Trans Med Imaging 30(12):2115–2125
Gonzalez JL, Sadowski DC, Mintchev MP (2006) Friction-assisted magnetic holding of an ingest-
ible capsule for esophageal pH and impedance monitoring. In: Proceedings of the 28th IEEE
EMBS annual international conference, New York City, 2006
Gonzalez JL, Sadowski DC, Kaler KVIS, Mintchev MP (2007) Ingestible capsule for impedance
and pH monitoring in the esophagus. IEEE Trans Biomed Eng 54(12):2231–2236
Gregersen H (2005) Impedance planimetry: application for studies of rectal function. In: Complex
anorectal disorders. Springer, London, pp 72–104
Gregersen H, Andersen MB (1991) Impedance measuring system for quantification of cross-
sectional area. Med Biol Eng Comput 29(1):108–110
Harris JH, Therkelsen EE, Zinner NR (1971) Electrical measurement of ureteral flow. In:
Urodynamics. Academic, London, pp 465–472
Imam H, Sanmiguel C, Larive B, Bhat Y, Soffer E (2004) Study of intestinal flow by combined
videofluoroscopy, manometry, and multiple intraluminal impedance. Am J Physiol Gastrointest
Liver Physiol 286(2):263–270
McClelland GR, Sutton JA (1985) Epigastric impedance: a non-invasive method for the assessment
of gastric emptying and motility. Gut 26(6):607–614
802 G. Wang et al.

Mintchev MP, Deneva MG, Aminkov BI, Fattouche M, Yadid-Pecht O, Bray RC (2010) Pilot study
of temporary controllable gastric pseudobezoars for dynamic non-invasive gastric volume
reduction. Physiol Meas 31(2):131–144
Nguyen HN, Silny J, Wüller S, Marschall HU, Rau G, Matern S (1997) Abnormal postprandial
duodenal chyme transport in patients with long standing insulin dependent diabetes mellitus.
Gut 41(5):624–631
Nguyen HN, Domingues GR, Winograd R, Lammert F, Silny J, Matern S (2004) Impedance
characteristics of esophageal motor function in achalasia. Dis Esophagus 17(1):44–50
Poscente MD, Hussain A, Filip D, Andrews CN, Mintchev MP (2013) Transcutaneous intraluminal
impedance measurements (TIIM): a new minimally-invasive technique for long-term monitor-
ing of gastric motility. Gastroenterology 144(5):S737–S738
Shay S, Tutuian R, Sifrim D, Vela M, Wise J, Balaji N, Zhang X, Adhami T, Murray J, Peters J,
Castell D (2004) Twenty-four hour ambulatory simultaneous impedance and pH monitoring: a
multicenter report of normal values from 60 healthy volunteers. Am J Gastroenterol 99(6):
1037–1043
Smout AJPM, Jebbink HJA, Akkermans LMA, Bruijs PPM (1994) Role of electrogastrography and
gastric impedance measurements in evaluation of gastric emptying and motility. Dig Dis Sci
39(12):110S–113S
Sutton JA, Thompson S, Sobnack R (1985) Measurement of gastric emptying rates by radioactive
isotope scanning and epigastric impedance. Lancet 325(8434):898–900
Tutuian R (2008) Impedance technology for the management. Eur Surg 40(2):50–57
Wang G, Poscente MD, Filip D, Yadid-Pecht O, Andrews CN, Mintchev MP (2014) Mo1302
Gastric-Retentive Transcutaneous Intraluminal Impedance Measurement (TIIM): Sham Con-
trolled, Minimally-Invasive Assessment of Gastric Motility in Acute Canine Models, Gastro-
enterology, 146(5): p S613.
Webster JG (1998) Medical instrumentation: application and design. Wiley, New York
Chip-Based MEMS for Healthcare
Application 39
Jae Sun Lee, Rajamanickam Sivakumar, and Nae Yoon Lee

Contents
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 804
Air Environmental Monitoring Sensor Technologies for Particulate Matter . . . . . . . . . . . . . . . . . . . 805
Monitoring of PM10 and PM2.5 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 805
Sensor Technologies for Nanoparticle Monitoring . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 806
Sensor Technologies for Gaseous Pollutants . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 807
Nanostructured Metal Oxide . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 807
Surface Acoustic Wave Sensors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 808
Field-Effect Transistor Sensor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 809
Microcantilever Sensor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 810
Conclusions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 812
References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 812

Abstract
This paper reviews the recent development of micro-/nanosensors to detect air
pollutants. Various sensor technologies to measure particles and gaseous pollut-
ants are introduced. For particulate pollutants including particulate matter
(PM) 10 (PM10), PM2.5, and nanoparticles, the development and sensitivities of
resonate sensors are investigated. For gaseous pollutant detection, nanostructured
metal oxide, surface acoustic wave sensors, field-effect transistor sensors, and
microcantilever sensor system are briefly discussed. Sensors with a micro-
electromechanical systems (MEMS) technology showed high sensitivities,

J. S. Lee
Gachon BioNano Research Institute, Gachon University, Seongnam-si, Gyeonggi-do, South Korea
R. Sivakumar
Department of Industrial Environmental Engineering, Gachon University, Seongnam-si, Gyeonggi-
do, South Korea
N. Y. Lee (*)
Department of BioNano Technology, Gachon University, Seongnam-si, Gyeonggi-do, South Korea
e-mail: nylee@gachon.ac.kr

© Springer Science+Business Media, LLC, part of Springer Nature 2022 803


M. Sawan (ed.), Handbook of Biochips,
https://doi.org/10.1007/978-1-4614-3447-4_55
804 J. S. Lee et al.

accuracy, and selectivity for air pollutants. Air quality monitoring chip using
MEMS technology is based on the increasing demand for more compact, inte-
grated, and personalized device to monitor the in situ level of air pollutants with
simple operation and high precision in real time. Furthermore, the potential of the
chip-based MEMS for healthcare applications is investigated.

Introduction

Over the past two centuries, various environmental pollutions occurred due to the
inevitable side effects of industry and technology development. Among environ-
mental pollutions, air pollution, including fine dust, can extensively damage the
ecosystem and human body. Air pollution causes destruction of forests due to acid
rain and instability of food supply induced by climate change.
The main cause of air pollutants is the consumption of fossil fuels. The amount of
carbon released into the atmosphere in the form of carbon dioxide per year is about
5.5 billion tons (Tkemaladze and Makhashvili 2016), which is about 50 times higher
than in 1860. Nitrogen compounds (NOx) are also emitted in large quantities from
thermal power plants, automobiles, and factories. These compounds can react with
other harmful substances, when exposed to strong sunlight, and can generate ozone,
which is the main component of photochemical smog. Fine dust particles less than
10 μm in diameter penetrate into the alveoli of the human lungs, directly causing
various respiratory diseases. Numerous studies have shown that fine dusts have a
detrimental effect on humans, supported by increasing disease incidence and mor-
tality in vulnerable populations (Poirier et al. 2017). Aerosols, which have a broader
range than fine dusts, refer to particles or liquid droplets that float in the atmosphere,
which is not only harmful to health but also has a profound effect on climate change
by intercepting, scattering, and absorbing sunlight (Philipona et al. 2009). Since
pollutants in the air can directly affect the quality of human life, their monitoring and
management using appropriate measurement techniques are indispensable factors
for sustainable development and survival of the humankind.
Currently, the main technology for measuring air pollutants is a beta-ray absorp-
tiometry, which uses real-time continuous measurement to monitor fine dusts using
radiation. Aerosols can be extensively measured by hyperspectral imaging of satel-
lites and by using LiDAR (Newman et al. 2012). However, such a measurement
system requires time and an expensive equipment system. In the case of air pollution,
the movement of pollutant sources can be irregular and easily influenced by airflow,
climate, and geometry of urban area with buildings. Depending on the efficiency of
circulation system of buildings, the outdoor and indoor air quality can vary across
different urban areas. Therefore, the needs to get more personalized data are likely to
increase in the near future.
Sensitive, inexpensive, and sufficiently compact air quality monitoring sensors
can be achieved using nanotechnology. Recently, many studies have been carried out
39 Chip-Based MEMS for Healthcare Application 805

to integrate a MEMS technology into environmental pollution monitoring sensors.


Monitoring sensors or chips using nanomaterials or nanoscaled structures show a
high sensitivity to pollutants and do not require expensive and complicated equip-
ment. MEMS technology enables the construction of highly integrated sensors with
higher sensitivities, reduced power consumption, and smaller footprint. In this
chapter, recent achievement and development in monitoring sensor using nanotech-
nology are reviewed with the focus on the detection of particulate matter and gaseous
pollutants. Furthermore, its application in healthcare diagnostics is also investigated.

Air Environmental Monitoring Sensor Technologies


for Particulate Matter

Monitoring of PM10 and PM2.5

Particulate matter (PM) is a particle-type pollution that is a complex mixture of small


particles and liquid droplets existing in the air. Particles with 10 μm in diameter or
less are referred to PM10, and those with 2.5 μm or less are called PM2.5. Fine
particles such as PM2.5 are the main causes of reduced visibility in South Korea and
many other developed countries. PM is very harmful once inhaled, as it can penetrate
directly into the alveoli in the lungs and cause serious health problems.
The conventional method of PM monitoring is based on the gravimetric filter
method or beta-ray absorption method; however, the methods are expensive and not
suitable for personalized environmental monitoring (Park et al. 2015). In recent
years, air-microfluidic particulate matter sensor with a MEMS technology has drawn
more attention (Paprotny et al. 2013). In particular, the use of nanostructured
materials such as nanofibers has been actively investigated (Wasisto et al. 2013).
Nano- or microenvironmental air monitoring sensor needs to have capacitive and
sensitive detection of particulate matter directly in the air. Among nanosensor
technologies that can be used to detect particulate matter are resonate sensors
(Wasisto et al. 2013). Zhao et al. presented a miniature system for the detection of
airborne particulate matter. The system uses a quartz crystal microbalance (QCM)
resonate sensor that detects the mass of the separated particles from a virtual
impactor fabricated by three-dimensional (3D) printing process to classify the size
of PM. Theoretical and simulation results showed that the system can separate
silicon powders to their size in the range from 0.5 to 8 μm, and the resonance
frequency of the QCM showed a linear relationship with the PM mass loading (Zhao
et al. 2016). Paprotny et al. developed a MEMS PM sensor (Fig. 1), which is half the
size of commercially available direct mass PM sensors for the measurement of the
personal PM exposure level (Paprotny et al. 2013).
The author used tobacco smoke and diesel exhaust as the source of PM, and the
system with a micro-fabricated mass-sensitive film bulk acoustic resonator (FBAR)
was found to be able to detect 2 μg/m3 of PM with up to 10 min integration time. The
abovementioned systems using resonate sensor have a virtual impact, which is
806 J. S. Lee et al.

Fig. 1 Illustration of the MEMS air-microfluidic PM sensor (Paprotny et al. 2013)

advantageous in that it tells not only the concentration but also the particle size
distribution. To detect bigger-sized PM, capacitive detection can be also considered.
Real-time detection of sequential deposition of industrial talc particles with average
diameter of 8 μm was achieved with 10 ms temporal resolution (Carminati et al.
2014).

Sensor Technologies for Nanoparticle Monitoring

Nanotechnology will play an increasing role in the future with respect to PM,
especially for nanoparticles. Particle measurement instruments will be essential in
the development of nanotechnology producing nanomaterials. Moreover, the health
hazards of nanoscale particles are more serious than those of large particles, so the
development of diagnostic devices capable of detecting nanoparticles is a field that
needs to be continuously researched and developed. Detection of nanoscale particles
with high sensitivity is a challenging task. Nanomechanical resonators have been
used to detect single nanoparticles (Naik et al. 2009) (Fig. 2).
For instance, Wang et al. (2003) developed a fiber-optic technique capable of
detecting trace-level nano-aerosols. Using their optical whispering gallery mode
(WFM) in a cylindrical optical fiber resonator, approximately two nanoparticles
per μm2 deposited on the fiber resonator were detectable, which corresponds to
pg-level sensitivity in the total aerosol mass within the effective detection area.
Furthermore, Schmid et al. (2013) presented an inertial sampling technique and
gravimetric detection of nanoparticles with a nanomechanical resonant filter fiber.
By introducing the inertial sampling technique, the mechanism of collection changes
from diffusion to a more efficient inertial impaction, leading to a higher collection
efficiency of 65  31% for 28 nm silica nanoparticles. Since the collection efficiency
of an environmental air sample can be a limiting factor for chip operation due to its
small size, efforts to increase sample collection efficiency are very meaningful. The
higher is the collection efficiency of the sample, the better is the representation of the
actual environmental quality.
39 Chip-Based MEMS for Healthcare Application 807

Fig. 2 Nanomechanical resonators to detect nanoparticles (Naik et al. 2009; Schmid et al. 2013)

Sensor Technologies for Gaseous Pollutants

Nanostructured Metal Oxide

Most primary air pollutants in the form of gas are sulfur oxides (SOx), nitrogen
oxides (NOx), carbon monoxide (CO), carbon dioxide (CO2), ozone (O3), ammonia
(NH3), and some volatile organic compounds (VOCs). Most of the gaseous pollut-
ants are emitted from human activity. The primary pollutants can form secondary
pollutants when they react or interact with various contaminants in the air.
Gas sensors use the properties of surface state and morphology of the mate-
rials. For gas detection, metal oxide semiconductor has been considered as a
promising material. Gas sensors based on metal oxide nanostructures generally
consist of three parts: the sensing film, electrodes, and heater. Metal oxide
nanostructures react in the form of a film which will change in resistance upon
exposure to target gases. A pair of electrodes is used to measure the resistance of
the sensing film. Usually, the gas sensors are furnished with a heater, so that they
are externally heated to reach an optimum working temperature. Among the
metal oxide semiconductors, tin oxide (SnO) and zinc oxide (ZnO) received
much attention. SnO has a high reactivity to many gaseous substances; however,
it lacks selectivity. On the other hand, ZnO has a high chemical sensitivity to
different absorbed gases, chemical stability, amenability to doping, and low cost
(Gnanamani et al. 2012).
Previous studies demonstrated that porous nanostructures, such as nanosheets,
nanowires, and nanospheres, greatly improve gas-sensing properties. The porous
structure of nanomaterials has a more active surface area and exhibits a good
response and reversibility to gases. In previous study, some gases such as
C2H5OH, CO, and H2 with good sensitivity by porous SnO2 nanowires based on
glycolate precursors under mild conditions were detected (Wang et al. 2003).
Compared to porous nanowires, nanosheet construction is relatively easy. ZnO
nanosheets with high-density mesopores with the diameter of about 26.1 nm were
fabricated by Liu et al. (2009). The nanosheets showed a high sensitivity to detect
808 J. S. Lee et al.

Fig. 3 Nanosheets with high-density mesopores. (a) Precursor and (b) porous products (Liu et al.
2009)

formaldehyde and ammonia with a significant long-term stability. Metal oxide in


nanospherical form is also a good candidate for gas-sensing materials (Fig. 3).
Guo et al. (2008) prepared porous In2O3 nanospheres of uniform diameter of
around 200 nm by the hydrolysis of InCl3 using carbonaceous spheres as templates
in combination with calcination; this structure showed a good response and revers-
ibility to methanol, alcohol, acetone, and ethyl ether.

Surface Acoustic Wave Sensors

Another example of gas sensor constructed with MEMS technology is a surface


acoustic wave (SAW) sensor. SAW sensors detect the modulation of surface acoustic
waves to sense a physical phenomenon. A mechanical wave influenced by physical
phenomena is transduced into an electrical signal, and the changes in amplitude,
phase, frequency, or time delay between the input and output electrical signals can be
used to measure the presence of the desired phenomenon. Different sensing mate-
rials, including metal oxides, polymers, carbon nanotubes, graphene, nano-
composites, and so forth, have been used for SAW gas sensors (Arsat et al. 2009).
Arsat et al. (2009) produced thin graphene-like nanosheets via the reduction of
graphite oxide and deposited the sheets on SAW interdigitated transducers (IDTs).
The device presented good sensing performances toward hydrogen (H2) and carbon
monoxide (CO) in a synthetic air carrier gas (Fig. 4).
Conductive polymers are also considered as promising materials suitable for
applications in gas sensing which can efficiently work even at room temperature
and be easily integrated to the transducers of SAW device. When conductive poly-
mers are deposited to the SAW device and deployed for gas-sensing applications, the
frequency changes as a result of the change in the modulus of the polymer (Long
et al. 2015). Sadek et al. (2006) have developed a SAW transducer sensor deposited
with polyaniline/In2O3 nanofiber composite, and the device exhibited fast response
and recovery times with good repeatability.
39 Chip-Based MEMS for Healthcare Application 809

Fig. 4 AFM and SEM images of graphene-like nanosheets and layers (Arsat et al. 2009)

Furthermore, metal organic frameworks (MOFs) are a new class of porous


material which can be absorbed by guest molecules into their nanosize through
hydrogen bonding, molecular sieving effects, electrostatic interactions, and so forth.
Moreover, the high surface areas, tunable pore sizes, and high chemical and thermal
stability of MOFs make them promising materials for gas-sensing applications.
However, despite having high mass sensitivity, the use of MOF films on SAW for
gas sensing has been limited. However, the applicability of MOF on SAW sensors
was extended for sensing VOCs using various MOF thin films (Stavila et al. 2016).

Field-Effect Transistor Sensor

Chemical field-effect transistor (FET) of micro- to nanosize can be used as a gas


sensor with high sensitivity. The FET is a transistor that uses an electric field to
control the electrical behavior of the device. It has an insulated gate whose voltage
determines the conductivity of the device, and the changed conductivity can be used
to amplify or switch electronic signals. Nanostructured one-dimensional semicon-
ductor can be used for the fabrication of gas sensors, and individual nanowires or
network films of nanowires act as the detecting channels. In these types of sensors,
better sensing performance, including sensitivity, selectivity, and response time, is
acquired by tuning the carrier concentration of the nanowires by a third electrode
which serves as the gate (Feng et al. 2014). Dattoli et al. presented miniature
nanowire sensors with highly accurate VOC analyte discrimination using the dual
modulation approach (Dattoli et al. 2012). The author used an array of single-
crystalline tin oxide nanowire (NW) with combined temperature and gate voltage
modulation. The system optimized the pattern recognition system by identifying a
temperature- and gate-dependent analyte response variation as a “fingerprint,”
leading to 98% of VOC recognition rate. Two-dimensional nanomaterials, such as
graphene or a single-layer carbon material, have exhibited high electron conductivity
and mechanical strength. Li et al. (2012) presented single- and multilayer MoS2
810 J. S. Lee et al.

Fig. 5 The illustration and SEM images of gas dielectric FETs based on CuPc nanowire
(Shaymurat et al. 2013)

deposited onto Si/SiO2 used for the fabrication of FETs to detect nitrous oxide. The
multilayer MoS2 showed more stable and sensitive responses to NO than a single-
layer MoS2 device in the study. Organic single-crystalline nanowire has been used as
a component for FET gas sensor to detect SO2, providing an efficient way to improve
the performance of organic FETs. The sensor system has exposed conductive
channel by gas dielectric and absorbs the SO2 molecules, enhancing the field-effect
mobility, which lead to the high sensitivity to SO2 (Shaymurat et al. 2013) (Fig. 5).
In organic materials, active gas molecules affect the conductance of nanowires by
mainly tuning the carrier mobility. Controlled alignment and patterning of the
nanowires at a desired position on a large area, which is essential for nanowire
field-effect gas sensors, is a challenging task (Feng et al. 2014).
Recently, MOF-based materials were applied to FET sensors as the sensing channel
or combined with other gas-sensitive materials to improve the sensing capability. For
example, Campbell et al. (2015) reported that conductive MOF-based FET sensors are
capable of sensing sub-ppm levels of ammonia vapor. Furthermore, Tian et al. (2015)
established ZnO@ZIF-8 nanorods and revealed better sensing properties to formalde-
hyde compared with the ZnO nanorod sensor. Finally, Koo et al. (2017) demonstrated
Pd–ZnO/ZnCo2O4 hollow spheres that are highly sensitive to acetone.

Microcantilever Sensor

Micro-fabricated cantilever arrays functionalized with different receptor molecules


have an enormous potential to detect gas molecules. In the field of MEMS technol-
ogy, cantilevered beams are the most ubiquitous structures. Silicon (Si), silicon
nitride (Si3N4), or polymers are commonly used to fabricate MEMS cantilevers
(Takei et al. 2016). Adsorption of molecules on the surface of micromechanical
cantilevers functionalized with receptor molecules causes surface stress, leading to
the mechanical characteristics of the cantilever (Lang et al. 2005) (Fig. 6). Micro-
cantilever array is made by micromachining technology, including undercutting the
cantilever structure to release it, using an anisotropic wet or dry etching technique
39 Chip-Based MEMS for Healthcare Application 811

Valve & flow control


PC
Lasers (VCSEL)
Multiplexing (10-100 ms)
Bypass
Flow
controller Deflection
signal
(Å- µm)
PSD

Bypass
Cantilever array

Analyte
Analysis chamber (3 - 90 µl)
(gas, liquid)

Fig. 6 Illustration of gas measurement setups of the detection system using cantilever array
systems (Lang et al. 2005)

(Lang et al. 2005). A gas sensor-based microcantilever array which has sensing
layers of different materials on the different microcantilever surface was also pre-
sented by Subhashini and Vimala Juliet (2015). The author used a mixed gas
including ethanol (C2H5OH), natural gas, and butane (C4H10) and reported that the
system was able to recognize the component of the gas by neural network and pattern
recognition technologies. Furthermore, Raorane et al. (2006) developed a 2D multi-
plexed cantilever array platform with different alkane thiol functional end groups
coated for high-throughput sensing and analysis. Using thermal responses of each
cantilever, the chemical response was self-calibrated to overcome nonuniform
responses caused by fabrication and imaging issues. The author observed chemically
induced nanoscale motion of cantilevers for various humidity or vapor concentration
levels with toluene and water vapor as target chemicals (Raorane et al. 2006).
Furthermore, in contrast to inorganic porous materials such as zeolites, MOFs that
act as analyte recognition layers for microcantilevers and their crystal lattice param-
eters can change upon gas adsorption, ensuing in detectable mechanical strain. This
concept was applied in HKUST-1 as a MOF to detect volatile organic compounds
(Ellern et al. 2013). For instance, Yim et al. (2015a) reported zinc oxide nanorods
synthesized directly on a silicon microcantilever and then converted into a nano-
porous ZIF-8 film via a solvo-thermal reaction. In this way, the MOF was used to
sense alcohol vapors (Yim et al. 2015a). The metal organic framework (MOF)
MIL-53 (Al) was directly synthesized on the surface of the nanoporous anodic
aluminum oxide (AAO) by using the aluminum oxide as the metal ion source.
Various gases, such as CO2, N2, CO, and Ar, were exposed to the MIL-53
(Al) cantilever, and their deflections and resonance frequencies were measured.
The deflection measurement of CO2 showed the substantial changes in the MIL-53
(Al) cantilevers (Yim et al. 2015b).
812 J. S. Lee et al.

Conclusions

At present, there is a time difference in the information of the observation network


indicating fine dust and other gaseous pollutants, and there are limitations in the
measurement of the indoor air quality. These days, mobile telecommunication
companies are making smart home services by collaborating with construction
companies. The service plan is a smart home air care system that will be able to
analyze the air quality data collected by related devices such as air conditioners or air
cleaners and will add functions to automatically operate boilers, air conditioners, and
dehumidifiers according to the measured air quality. Likewise, the demand for the
indoor air quality measurement and the personalized device will constantly grow. In
order to realize the abovementioned technologies, more compact, accurate, and
sensitive measurement method should be developed and applied. Air quality mea-
surement devices using MEMS can be a solution to this problem. As demonstrated
by the present review, air quality measurement devices using MEMS are compact
and show high sensitivities and accuracy. In order for MEMS-based air quality
monitoring technology to be widely used, further research is needed to ensure
mass production of equipment and a long life of such devices.

References
Arsat R, Breedon M, Shafiei M, Spizziri PG, Gilje S, Kaner RB, Wlodarski W (2009) Graphene-like
nano-sheets for surface acoustic wave gas sensor applications. Chem Phys Lett 467:344–347
Campbell MG, Sheberla D, Liu SF, Swager TM, Dincă M (2015) Cu3 (hexaiminotriphenylene)
2: an electrically conductive 2D metal–organic framework for chemiresistive sensing. Angew
Chem 54:4349–4352
Carminati M, Pedalà L, Bianchi E, Nason F, Dubini G, Cortelezzi L, Sampietro M (2014)
Capacitive detection of micrometric airborne particulate matter for solid-state personal air
quality monitors. Sens Actuator A Phys 219:80–87
Dattoli EN, Davydov AV, Benkstein KD (2012) Tin oxide nanowire sensor with integrated
temperature and gate control for multi-gas recognition. Nanoscale 4:1760–1769
Ellern I, Venkatasubramanian A, Lee JH, Hesketh P, Stavila V, Robinson A, Allendorf M (2013)
HKUST-1 coated piezoresistive microcantilever array for volatile organic compound sensing.
Micro Nano Lett 8:766–769
Feng P, Shao F, Shi Y, Wan Q (2014) Gas sensors based on semiconducting nanowire field-effect
transistors. Sensors 14:17406–17429
Gnanamani S, Chidhambaram S, Prabaharan M (2012) Prospects of nanosensors in environmental
and biomedical fields. In: Songjun Li, Yi Ge and He Li (Eds), Smart nanomaterials for sensor
application. Bentham Science Publishers, pp 82–92
Guo Z, Liu J, Jia Y, Chen X, Meng F, Li M, Liu J (2008) Template synthesis, organic gas-sensing
and optical properties of hollow and porous In2O3 nanospheres. Nanotechnology 19:345704
Koo WT, Choi SJ, Jang JS, Kim ID (2017) Metal-organic framework templated synthesis of
ultrasmall catalyst loaded ZnO/ZnCo2O4 hollow spheres for enhanced gas sensing properties.
Sci Rep 7:45074
Lang HP, Hegner M, Gerber C et al (2005) Cantilever array sensors. Mater Today 8:30–36
Li H, Yin Z, He Q, Li H, Huang X, Lu G, Zhang H (2012) Fabrication of single-and multilayer
MoS2 film-based field-effect transistors for sensing NO at room temperature. Small 8:63–67
39 Chip-Based MEMS for Healthcare Application 813

Liu J, Guo Z, Meng F, Luo T, Li M, Liu J (2009) Novel porous single-crystalline ZnO nanosheets
fabricated by annealing ZnS (en) 0.5 (en¼ ethylenediamine) precursor. Application in a gas
sensor for indoor air contaminant detection. Nanotechnology 20:125501
Long Y, Wang Y, Du X, Cheng L, Wu P, Jiang Y (2015) The different sensitive behaviors of a
hydrogen-bond acidic polymer-coated SAW sensor for chemical warfare agents and their
simulants. Sensors 15:18302–18314
Naik AK, Hanay MS, Hiebert WK, Feng XL, Roukes ML (2009) Towards single-molecule
nanomechanical mass spectrometry. Nat Nanotechnol 4:445–450
Newman SM, Clarisse L, Hurtmans D, Marenco F, Johnson B, Turnbull K, Havemann S, Baran AJ,
Haywood J (2012) A case study of observations of volcanic ash from the Eyjafjallajökull
eruption: 2. Airborne and satellite radiative measurements. J Geophys Res 117:D00U13
Paprotny I, Doering F, Solomon PA, White RM, Gundel LA (2013) Microfabricated
air-microfluidic sensor for personal monitoring of airborne particulate matter: design, fabrica-
tion, and experimental results. Sens Actuator A Phys 201:506–516
Park I, Yang D, Kang K (2015) MEMS/Nano-technologies for smart air environmental monitoring
sensors. J Sens Sci Technol 24:281–286
Philipona R, Behrens K, Ruckstuhl C (2009) How declining aerosols and rising greenhouse gases
forced rapid warming in Europe since the 1980s. Geophys Res Lett 36:L02806
Poirier AE, Grundy A, Khandwala F, Friedenreich CM, Brenner DR (2017) Cancer incidence
attributable to air pollution in Alberta in 2012. Can Med Assoc J 5:E524–E527
Raorane D, Satyanarayana S, Majumdar A (2006) Nano-chemo-mechanical sensor array platform
for high-throughput chemical analysis. Sens Actuators B Chem 119:466–474
Sadek AZ, Wlodarski W, Shin K, Kaner RB, Kalantar-Zadeh K (2006) A layered surface acoustic
wave gas sensor based on a polyaniline/In2O3 nanofibre composite. Nanotechnology 17:4488
Schmid S, Kurek M, Adolphsen JQ, Boisen A (2013) Real-time single airborne nanoparticle
detection with nanomechanical resonant filter-fiber. Sci Rep 3:1288
Shaymurat T, Tang Q, Tong Y, Dong L, Liu Y (2013) Gas dielectric transistor of CuPc single
crystalline nanowire for SO2 detection down to sub-ppm levels at room temperature. Adv Mater
25:2269–2273
Stavila V, Schneider C, Mowry C, Zeitler TR, Greathouse JA, Robinson AL, Tu M (2016) Thin film
growth of nbo MOFs and their integration with electroacoustic devices. Adv Funct Mater
26:1699–1707
Subhashini S, Vimala Juliet A (2015) Micro cantilever CO2 gas sensor based on mass. Appl Mech
Mater 766:528–533
Takei R, Makimoto N, Okada H, Itoh T, Kobayashi T (2016) Design of piezoelectric MEMS
cantilever for low-frequency vibration energy harvester. Jpn J Appl Phys 55:06GP14
Tian H, Fan H, Li M, Ma L (2015) Zeolitic imidazolate framework coated ZnO nanorods as
molecular sieving to improve selectivity of formaldehyde gas sensor. ACS Sens 1:243–250
Tkemaladze GS, Makhashvili KA (2016) Climate changes and photosynthesis. Ann Agrar Sci
14:119–126
Wang Y, Jiang X, Xia Y (2003) A solution-phase, precursor route to polycrystalline SnO2 nano-
wires that can be used for gas sensing under ambient conditions. J Am Chem Soc
125:16176–16177
Wasisto HS, Merzsch S, Stranz A, Waag A, Uhde E, Salthammer T, Peiner E (2013) Silicon
resonant nanopillar sensors for airborne titanium dioxide engineered nanoparticle mass detec-
tion. Sens Actuators B Chem 189:146–156
Yim C, Lee M, Kim W, Lee S, Kim GH, Kim KT, Jeon S (2015a) Adsorption and desorption
characteristics of alcohol vapors on a nanoporous ZIF-8 film investigated using silicon micro-
cantilevers. ChemComm 51:6168–6171
Yim C, Lee M, Yun M, Kim GH, Kim KT, Jeon S (2015b) CO2-selective nanoporous metal-organic
framework microcantilevers. Sci Rep 5:10674
Zhao J, Liu M, Liang L, Wang W, Xie J (2016) Airborne particulate matter classification and
concentration detection based on 3D printed virtual impactor and quartz crystal microbalance
sensor. Sens Actuator A Phys 238:379–388
Design Considerations of Frequency
Modulated Ultralow Power Transmitter 40
Xing Chen and David D. Wentzloff

Contents
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 816
System Design Consideration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 817
Link Budget . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 817
Modulation Scheme . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 817
ULP TX Architecture . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 819
Frequency Synthesizers for ULP TX . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 820
PN, Jitter, and Frequency Accuracy in Frequency Modulated Radios . . . . . . . . . . . . . . . . . . . . . 820
Phase Noise’s Effect to BER and Effective SNR Loss . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 823
Phase Noise’s Effect on Frequency Modulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 826
Generally Used Frequency Synthesizers in ULP TX . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 827
PA in ULP TX . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 830
Efficiency . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 830
Linearity . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 831
Switched-Capacitor Digital Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 831
Optimization of Switched-Capacitor PA for Highest Efficiency in FSK Transmitters . . . . . 832
A RO-Based BLE Transmitter Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 834
Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 842
References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 843

Abstract
Frequency modulated radios are widely used in ultralow power wireless designs
due to their flexibility in power/performance trade-off. Their superior perfor-
mance in multiple access and interference resilience makes them adaptable in
both proprietary and standard compliant designs. This chapter explores the
theoretical limits and constraints of frequency-modulated radios from the system
X. Chen (*)
Qualcomm, San Diego, CA, USA
e-mail: chenxing@umich.edu
D. D. Wentzloff
EECS department, University of Michigan, Ann Arbor, MI, USA
e-mail: wentzlof@umich.edu

© Springer Science+Business Media, LLC, part of Springer Nature 2022 815


M. Sawan (ed.), Handbook of Biochips,
https://doi.org/10.1007/978-1-4614-3447-4_59
816 X. Chen and D. D. Wentzloff

level architecture to key subsystem blocks: the local oscillator and the power
amplifier. The local oscillator, usually implemented with frequency synthesizer
subsystem, is one of the most power hungry and complicated blocks that not only
constraints RF performance but also offers subtle scalability with power. The
power amplifier, on the other hand, directly affects communication link budget
and system efficiency. This chapter will cover basic design methodologies and
tradeoffs of frequency synthesizer and power amplifier in ultralow-power-fre-
quency-modulated transmitters. A ring oscillator-based Bluetooth low-energy
transmitter will be shown as a design example.

Introduction

In the past few decades, advances in integrated circuit design have enabled numerous
applications ranging from wearable health care monitoring systems to environmental
sensing platforms and foreseen trillions of inter-connected IoT devices in the near
future. Long lifetime, low cost, small form factor, and wireless capability are still the
key constraints for many envisioned wearable, implantable, and maintenance-free
monitoring systems to be practically deployed in a large scale. Due to its relatively
high-power consumption and indispensable external components, the radio often
dominates the budget of such devices, thus reducing the power and cost of the radio
subsystem can effectively increase operational lifetime, enable battery-less and
maintenance-free operation, and decrease the total size and cost of such devices.
However, reducing radio power can be very challenging as there are important
tradeoffs between power consumption and performance metrics such as output
power, sensitivity, and interference resilience.
Lots of research has been done to bring down the power consumption of the radio
in the wireless edge node while pushing all the computation and power in the base
station with proprietary asymmetrical communication protocols (Roy et al. 2015;
Yahya et al. 2017). But, these designs either trade off power to significantly lower
data rates, more severe interference, and multiple access issues, or suffer from an
extra bulky and power-hungry aggregator as the interface to widely used computa-
tional platforms. They also do not comply with any existing wireless standard,
limiting their widespread adoption. Thus, wireless standard compatibility of such
devices is desirable as personal devices such as cell phones, personal computers, and
tablets with rich energy sources are the ideal base stations of the inter-connected IoT
devices in short-range wireless sensor networks. It can provide excellent direct
connectivity, local computing, and data analysis efficiently without any extra inter-
face. However, the power and performance trade-off for such radio designs will
become more stringent across all the layers including protocol, modulation, archi-
tecture, and circuit design. Yet, the bottom line is the same: deliver an effective
amount of signal energy over the ubiquitous noise in all kinds of format. And the
purpose of this chapter is to discover the bottom line of the trade-offs, analyze the
theoretical limits according to application emphasis, and offer some design tech-
niques that are key to energy-efficient operation in ULP transmitter designs.
40 Design Considerations of Frequency Modulated Ultralow Power Transmitter 817

System Design Consideration

Link Budget

Link budget of wireless communication in a biomedical sensor network is one of the


most important and straightforward specifications in ultralow power designs as it
defines the minimum amount of power required to be delivered from a transmitter
(TX) to a receiver (RX). And the design of ULP transmitter is simply to optimize the
system efficiency while maintaining the necessary performance. The minimum
output power of a transmitter can be found using Friis equation:

λ
PTX ¼ PRX  GANT  20 log 10 ð1Þ
4πD
where D is the distance and λ is the wavelength. The path loss for 10 m of a 2.4GHz
signal is around 60 dB while a 1–2 m path loss is around 40–50 dB, theoretically.
Taking Bluetooth Low Energy (BLE) as an example, typical BLE RXs have a
sensitivity close to 90 dBm. Although environmental surroundings such as human
body will possess extra loss, a 20 dBm (~10 μW) output power from a transmitter
will be sufficient to communicate within 3 m assuming a 0-dB gain from the antenna.
In this scenario, the power consumption of the PA can be easily brought down to as
low as 100 μW, or even lower if designed to achieve the highest PA efficiency at this
output power level with no back off.

Modulation Scheme

Modulation scheme plays a very important role in reducing the power consump-
tion of the radio as it is directly related to the complexity of the overall architecture
and specifications of different subsystems. Figure 1 shows the ULP radios
published back in 2005. Radios adopting a noncoherent modulation scheme such
as on-off keying (OOK) or frequency-shift keying (FSK) show much more
scalability in power consumption across different sensitivity levels, while others
with coherent modulation schemes, such as quadrature amplitude modulation
(QAM) or phase-shift keying (PSK), are all relatively high power despite a
generally superior performance in sensitivity due to their better spectral efficiency
and error probability performance over the same effective signal to noise ratio
(SNR). Thus, coherent modulations are appealing in long range and high through-
put applications with limited frequency band resources. But, its stringent require-
ment in phase noise and power amplifier (PA) linearity makes it hard to reduce the
power any further, making it inappropriate in ULP designs where power consump-
tion is the primary concern.
Among the noncoherent modulation schemes, OOK and relative pulse-modulated
transceivers tend to have a generally lower power consumption due to their simpler
architecture and the duty cycle nature of such modulation (Wentzloff and
818 X. Chen and D. D. Wentzloff

ULP Radios Published 2005-Present


100000
Coherent
10000
Non-Coherent

1000

100
Power [uW]

10

0.1

0.01

0.001
-140 -120 -100 -80 -60 -40 -20
Sensivity [dBm]

Fig. 1 Power consumption vs sensitivity of coherent and noncoherent radios in recent publications

Chandrakasan 2007; Mercier et al. 2009; Lin et al. 2018). However, frequency
modulations such as FSK are superior in several aspects. Due to the consecutive
on-off switching of the OOK modulation, the bandwidth increases compared to FSK
modulation using the same data rate. Thus, FSK is more spectral efficient. The
increased bandwidth requires a larger filter bandwidth which will increase the noise
floor, and this would result in degradation in RX sensitivity in the wireless link. To
achieve a similar sensitivity, data rate has to be sacrificed. Recent advances in pulse
modulation-based radios, especially wakeup radios, have brought the power con-
sumption down to nanowatt level. The overall power saving from duty cycling in a
single edge node is achieved by trading off performances in data rate, sensitivity, and
interference resilience, making it less appealing in massive inter-connected sensor
networks for IoT applications. As it solely emphasizes the lowest power while
neglecting the tradeoffs from duty cycle, it has rarely been adopted in any widely
used commercial standards.
Frequency modulation, on the other hand, could be a better fit in such appli-
cations. It not only cherishes benefits of the simple architecture from pulse-
modulated radios but can be very versatile in design as well. When targeted for
the lowest power with least-required performance in a lot of proprietary protocols,
subsystem blocks such as the local oscillator can be designed as low-power as the
pulse-modulated counterpart using injection locked or even free running
low-power oscillators (Pandey and Otis 2011; Mercier et al. 2014). And when
40 Design Considerations of Frequency Modulated Ultralow Power Transmitter 819

performance is required, it can offer the decent spectral efficiency and network
capacity and be compatible with several mainstream communication standards that
are already widely adopted in existing personal mobile devices such as BLE (Kuo
et al. 2017; Chen et al. 2019; Shi et al. 2019). BLE utilizes Gaussian Frequency
Shift Keying (GFSK) at 2.4GHz ISM band with 40 2-MHz wide channels, and its
overall radio-frequency specification is also quite relaxed. BLE compliant trans-
mitters consuming only a few hundred μW have been reported recently, showing
great compatibility of FSK in standardized ULP radio designs and huge market
opportunities of standard compatible wireless IoT devices with BLE’s deep pen-
etration in mobile platforms.

ULP TX Architecture

In order to achieve low-power operation in the edge nodes, the ULP transmitter’s
architecture can be simplified. It generally uses a polar TX architecture and
consists of a baseband processer, a local oscillator block, and a TX signal path.
The baseband processor generates the data packet with certain format and is
usually much lower powered compared to the other two building blocks. The
most power-consuming circuit blocks in frequency modulated ULP transmitter can
be defined in two categories: TX signal path and the local oscillator, as shown in
Fig. 2. The signal path is directly related to the link budget, which is a straight-
forward trade-off between power consumption and effective communication range.
The power amplifier (PA) is the major component in the TX signal path which is
optimized to deliver required power with high efficiency and good linearity. The
local oscillator generates the carrier frequency and conducts modulation. The
power and performance trade-off of the local oscillator in frequency-modulated
ULP radios is complicated as the LO-introduced phase noise (PN) can either affect
the effective SNR and bit error rate (BER) performance or impact the frequency
modulation quality. Based on systematic requirement, the frequency synthesizer in
the LO could be an open loop oscillator with certain frequency calibration
controller, an injection locked oscillator to an accurate frequency reference, or
more generally, a phase-locked loop (PLL).

Fig. 2 Simplified block TX signal path


diagram of a generic
low-power transmitter
Baseband
processor
PA

Frequency
synthesizer

Local Oscillator
820 X. Chen and D. D. Wentzloff

Frequency Synthesizers for ULP TX

The design of frequency synthesizers in RF transceivers has been one of the most
challenging parts of wireless system designs. On the one hand, it has to meet the
phase noise and spur performance requirements as both affect the spectral purity of
the local oscillator. In direct modulated transmitters, phase noise will directly affect
the output spectrum. And in the receiver design, any excessive phase noise or spurs
falling into the adjacent channels will result in potential SNR degradation due to
reciprocal mixing. On the other hand, its power consumption has to be minimized
due to limited power budget in a wireless system. It is especially true for ULP
transmitters where the LO could take more than half of the total power. Moreover,
the synthesizer has to be fast enough in settling time in applications where frequency
hopping is needed to combat various channel fading and interferences, such as BLE.
Thus, it becomes quite tricky and rigorous to design the frequency synthesizer for
ULP radios that can offer just enough noise performance while consuming the
minimum amount of power according to an application emphasis. It is good to
design the frequency synthesizer according to the most stringent requirement in a
transceiver, such as the blocker and reciprocal mixing requirement in a receiver.
However, its power consumption would be as high as a few milliwatts and make
such design impossible to be adopted in a self-powered wireless edge node where the
total power budget is below a few hundred microwatts. Thus, it might be necessary
to revisit the network protocols and system level architectures to create a standard
compatible asymmetric communication, such as in BLE, where the edge nodes can
be characterized as nonconnectable transmit only devices in BLE advertising chan-
nels as long as the LO in the TX meets the FSK modulation specification in BLE. As
will be disclosed later, the phase noise requirement from the BLE FSK modulation
specification is much relaxed compared to general BLE transceiver designs, and it
enables ring oscillator-based BLE transmitters with the total power less than
500 μW.

PN, Jitter, and Frequency Accuracy in Frequency Modulated Radios

Phase noise (PN) has been evaluated and analyzed from various perspectives such as
numerical methods, mathematical and physical understandings, circuit design con-
siderations, and system level requirements, to name a few (Abidi 2006; Chen et al.
2017; Da Dalt and Sheikholeslami 2018). Designers from different areas always
have different angles toward the same question, resulting in various interpretations.
Wireless and RF circuit designers focus more on phase noise of the LO, while
wireline as well as the majority of digital and mixed signal circuit designers cares
more about jitter from the clock. On the other hand, system engineers making
communication standards and circuit engineers designing clocking references,
such as XO and relaxation oscillators, prefer to use frequency accuracy (such as
Allan Deviation) as their benchmarking specifications. This makes the already
difficult and somewhat obscure topic even harder to deal with as it requires a
40 Design Considerations of Frequency Modulated Ultralow Power Transmitter 821

thorough understanding of PN from math and physics description, to system impacts


and circuit implementation. In this session, we will review PN fundamentals and
develop a simple yet still practical link among PN, jitter, and frequency accuracy
using relatively simple mathematical descriptions.
Figure 3 shows the physical relationship among phase error, phase jitter tph(k),
period jitter tpr(k), and cycle-to-cycle jitter tc(k) corresponding to the kth cycle of the
clock compared to an ideal clock. As can be seen in Fig. 3, phase jitter is directly related

Ideal CLK

Phase
jitter
Period
jitter
Cycle-to-
cycle jitter

Phase
Phase jitter
error

Frequency
Period jitter
error

Cycle-to-cycle Frequency
jitter error rate

Time domain Frequency


Phase noise relationship
error domain error
Fig. 3 relations among different definition of jitters, phase noise, and related physical meanings
822 X. Chen and D. D. Wentzloff

to phase error (PE) over time, while period jitter is indirectly related to instantaneous
frequency variations (IFV) as it is the differential value between consecutive phase
jitters. Similarly, cycle-to-cycle jitter corresponds to error of the frequency error rate,
which can be quite useful in FMCW radars and spread spectrum clocks where the
frequency is sawtooth or triangularly modulated. From a mathematical point of view,
period jitter is the differentiation of phase jitter and cycle-to-cycle jitter is a second order
differentiation. According to Wiener-Khintchin theorem assuming only white noise,
the relationship between phase noise and the three kinds of jitters is:

L ð2Þ

L ð3Þ

L ð4Þ

where L is the PN PSD and σ τph , σ τpr , and σ τc are standard deviations of phase
jitter, period jitter, and cycle-to-cycle jitter, respectively. f0 denotes the carrier
frequency. Thus, the corresponding phase and frequency errors will be in a similar
format but multiplied by f 40, as already shown in Chen et al. (2019). To our interest,
the equation evolves IFV is listed below as the simple link between phase noise,
jitter, and short-term frequency accuracy:

L ð5Þ

The frequency accuracy of the carrier varies with different phase noise profiles
from the TX LO and potential RX filtering. Assuming a brick wall filter in the RX
with a bandwidth BWrx:

L ð6Þ

Since the RX bandwidth (BW) is much smaller than the carrier frequency, the
integral of the squared sinc function can be approximated as:

ð BW rx π  
f0
2 2BW rx π 2BW rx π
sinc ðxÞ dx  Si  ð7Þ
0 f0 f0
40 Design Considerations of Frequency Modulated Ultralow Power Transmitter 823

Thus, with the RX filter, the relation between PN and IFV can be modified as:

L ð8Þ

This offers a simple intuition for circuit designers that once the RX filter band-
width is known, the PN spec at certain offset, say 1 MHz, can be calculated directly
from the system level requirements for the frequency-modulated signal.
Next, we consider the case where a PLL affects the PN noise shaping. When the
PLL has a bandwidth BWpll, and with all the PLL noise sources taken into account,
the in-band PN can be approximated as a constant L . So (5) becomes:

L ð9Þ

Note that (9) shows that the larger the PLL bandwidth, the larger the jitter and
IFV. That is because in these equations, the in-band phase noise is set as a constant,
and larger BW means a higher oscillator PN. On the other hand, larger PLL BW
means lower L if the oscillator PN is preset. In PLL designs, the in-band PN is a
more valuable spec than the oscillator spot PN at a certain offset, since it also defines
specs for other circuit blocks, which are also major PLL noise sources such as the
reference, divider, TDC, and DAC, etc. For the BWrx < BWpll case, the PN, jitter, and
IFV relations are shown as follows:

L ð10Þ

Phase Noise’s Effect to BER and Effective SNR Loss

As discussed above, the IFV follows the same distribution of the period jitter while
scaling up with center frequency, and without significant spurious tones in the LO
output, they all follow a Gaussian distribution in general cases. In the high SNR regime,
phase noise’s effect to BER in the LO varies with different frequency deviations
(FD) and data rates (DR). In order to evaluate the phase noise influence on FD and
DR in FSK wireless links, a simple TX – PN – RX model was built (Chen et al. 2017).
The PN is the total phase noise in the wireless link and in ULP radio designs, and it
could mainly come from the TX as the RX is a high-performance base station.
The simulation results of PN vs BER using the TX-PN-RX model at different
FSK FD and DR are shown below. Figure 4a shows the phase noise added with
824

-30 100
• Total Phase Noise added
• Noise shaped by a Type I PLL F d = 125kHz
with 1M Hz BW
-50 • Phase noise level tuned for 10 -1
deferent kinds of VCO Fd x 2
• Noise floor set as -110dBm
-2
-70 10

BER
F d = 250kHz F d = 500kHz F d = 1MHz
-90 10 -3

Phase noise level 6dB 6dB

Phase Noise (dBc/Hz)


-110 @ 1M Hz sampled 10 -4
as the X-axis for
R @ 1MSym/s
PN vs BER plot 10 -5
-130
2 4 6 8 10
10 10 10 10 10 -90 -85 -80 -75 -70 -65
Frequency offset (Hz) Phase Noise @ 1MHz offset (dBc/Hz)

(a) (b)
10 0 100

10 -1 10 -1 DR @ 1MSym/s
Fd = 250kHz
R/2 for BLE 4.2
10 -2 4MSym/s 10 -2
Data rate DR @ 2MSym/s

BER
2MSym/s 1MSym/s Fd = 500kHz

BER
10 -3 Data rate Data rate 10 -3 for BLE 5.0
500kSym/s
3dB Data rate Overall 3 dB relaxation for total
10 -4 10 -4
phase noise from BLE4 to BLE5
Fd @ 1MHz
10 -5 10 -5
-84 -80 -76 -72 -68 -84 -80 -76 -72 -68
Phase Noise @ 1MHz offset (dBc/Hz) Phase Noise @ 1MHz offset (dBc/Hz)
(c) (d)

Fig. 4 Phase noise’s impact on BER (a) phase noise at different levels modeled for simulation (b) BER versus PN for different frequency deviations (c) BER
versus PN for different data rates (d) BER versus PN comparison when both FD and DR are considered for BLE 4 and BLE 5 cases
X. Chen and D. D. Wentzloff
40 Design Considerations of Frequency Modulated Ultralow Power Transmitter 825

different phase noise levels while the noise floor is kept the same at 110 dBm,
which is the same noise floor when capturing measured data with a MDO4000C
spectrum analyzer. The phase noise is shaped by a simple type I order I PLL with a
1 MHz BW to suppress flicker noise, thus the noise has a 10 dB/dec rolloff in band
and 20 dB/dec rolloff out of band. The phase noise levels @ 1 MHz offset are
sampled as the X-axis for the PN vs BER plot. Figure 4b shows how the BER
changes with the FSK FD when the PNs are kept the same for different traces. It
shows that whenever the FSK frequency deviation is doubled, the phase noise
requirement could be relaxed by 6 dB to achieve the same BER, which agrees
with previous analysis on phase noise over frequency variation. Meanwhile, if DR is
doubled, as shown in Fig. 4c, phase noise should be 3 dB better to achieve the same
BER. The reason is that when doubling the data rate, energy per bit will be halved,
and thus the total in band noise has to be reduced by 3 dB to maintain the same
Eb/N0. The result is by increasing both the FSK frequency deviation (signal band-
width) and the data rate by a factor of 2 while the modulation index remains the
same, the spectral efficiency remains constant (bits/Hz), the PN specification could
be relaxed by 3 dB.
Figure 4d shows such a comparison using Bluetooth Low Energy (BLE) as an
example. As in BLE 5.0, it supports a high data rate mode with 2Msym/s with a
1 MHz frequency deviation compared to previous BLE 4.2 where the DR is set to
1Msym/s with 500 kHz FD. So simply from the BER’s perspective, the LO’s phase
noise requirement could be significantly relaxed as opposed to general designs
considering the blockers in a typical BLE receiver.
All the above results are based on the high SNR assumption, and the contribution
from white noise is ignored. However, as the excessive phase noise in these cases is
high and can affect the effective SNR for receiver designs, especially when sensi-
tivity is one important concern, its impact has to be evaluated. The relationship
between sensitivity and required SNR is as follows:

Si ¼ NF þ N f þ SNRe ð11Þ

where Si is sensitivity, NF is the noise figure of the receiver, and the Nf is the noise
floor which is related to the receiver bandwidth:

N f ¼ 174 þ 10 log 10 ðBW rx Þ ð12Þ

And the effective SNR can be divided into:


 
P
SNRe ¼ SNRw  10 log 10 1 þ PN ð13Þ
PAW

where SNRw is white noise referred SNR, and PPN and PAW represent the noise power
of phase noise and white noise, respectively. Using the same model, the simulated
PN vs SNR and PN vs effective SNR loss assuming a free-running VCO with
FD ¼ 500 kHz and DR ¼ 100 ksym/s are shown in Fig. 5. It shows that when the
PN from the transmitter is too much, it will affect the receiver SNR on the other end.
826 X. Chen and D. D. Wentzloff

BER vs PN effective SNR loss due to phase noise


3.5
BER P
BER T
3

10-2 2.5

SNR loss in dB
2
BER

1.5
10-3
1

0.5
-4
10 0
-80 -78 -76 -74 -72 -70 -90 -88 -86 -84 -82 -80 -78 -76 -74 -72 -70
PN level at 1MHz offset (dB) PN level at 1MHz offset (dB)

Fig. 5 PN’s effect on effective SNR loss

Phase Noise’s Effect on Frequency Modulation

While BER can be a good indicator of whether an FSK transmitter communicates


with a corresponding FSK receiver at the minimum requirement, it is not an effective
way to evaluate the quality of the FSK communication. In most cases, there are
certain specifications in the frequency modulation requirement. For example, BLE
requires a > 370 kHz minimum frequency difference for a  250 kHz frequency
deviation and the zero-crossing error has to be better than 1/8 of a symbol period.
The IFV can offer an intuitive link between spot/in-band phase noise to a system
level spec in frequency modulated radios. This is because the 6σf of the IFV is
approximately the peak-to-peak frequency error, and spot/in-band PN is a direct
indicator of oscillator/PLL design.
As BLE requires >370 kHz minimum frequency difference for a  250 kHz
FD, a 3σf < 65 kHz can be used to define the PN spec (40 kHz for GFSK but in
the noise limited region, Gaussian shaping will not effectively improve the spec-
trum efficiency). Figure 6a shows the resulting IFV vs PN. Two cases are
compared using open loop oscillators and PLL regulated oscillators when the
PLL bandwidth is set to 100 kHz as a typical RF synthesizer design. The results
are comparable, and it leaves a big margin to the 65 kHz BLE requirement using
LCVCO, which indicates an overdesign in the LO noise-power penalty. The
receiver filter bandwidth is set to 2 MHz, same as the BLE channel bandwidth.
The receiver filter bandwidth also has a significant impact on the resulting IFV
with different LO phase noise shaping. Figure 6b shows the simulated IFV vs
receiver bandwidth using a 110dBc/Hz VCO with a 100 kHz bandwidth PLL.
Figure 6c further shows that with a wide band PLL to suppress the in-band PN, an
ULP RO can also achieve the target. An example of the first reported ring
oscillator-based BLE transmitter designed at this theoretical phase noise limit
will be shown later on.
40 Design Considerations of Frequency Modulated Ultralow Power Transmitter 827

90 80
3σf of the Frequency variati on (kHz)

3σf of the Fr equency var iati on (kHz)


Open loop OSC
With 100kHz BW PLL 80 70

70 60
65kHz
60
50
Design margin 50
40
40
Typical LCVCOs with 30
on chip inductor 30
20
20 With 100kHz BW PLL and
Assume a 2MHz RX filter
BW and a 100kHz PLL BW 10 -110dBc/Hz@1MHz offset VCO
10
for typical BLE designs
0 0
0 2 4 6 8 10 12 14 16 18 20
-130 -125 -120 -115 -110 -105 -100
Phase Noise sampled @ 1MHz offset (dBc/Hz) RX Bandwidth (MHz)

120
3σf of the Frequency variati on (kHz)

PN = -75dBc/Hz sampled
@ 1MHz offset 100

-80dBc/Hz
80

-85dBc/Hz 65kHz
60
Target design with -
-90dBc/Hz
80dBc/Hz RO & 5MHz
BW PLL
40
-95dBc/Hz

ULP ROs 20

0 2 4 6 8 10 12 14
PLL Bandwidth (MHz)

Fig. 6 PN’s effect on frequency modulation (a) Simulated IFV vs LO phase noise between open
loop oscillator and oscillator with 100 kHz BW PLL. (b) Simulated IFV vs RX filter bandwidth
assuming a 100 kHz PLL bandwidth (c) Simulated IFV vs PLL bandwidth for RO designs with
varying phase noise

Generally Used Frequency Synthesizers in ULP TX

In ULP transmitter designs, there are three generally used frequency synthesizers
according to different applications:

Phase-Locked Loop (PLL)


PLL is one of the most commonly used and most robust frequency synthesizers in
wireless transceivers. It generally consists a phase detector, a loop filter, and an RF
oscillator as shown in Fig. 7. The design of a PLL could be sophisticated as there are
so many different architectures to choose from and so many parameters to balance
and trade-off to achieve the ideal performance for one specific application. But for
starters, the PLL is simply a feedback loop that functionally locks the noisy RF
oscillator to an accurate reference with a frequency multiplication ratio. And from a
noise point of view, the PLL suppresses noises from all the components in the loop to
828 X. Chen and D. D. Wentzloff

Fig. 7 Simple Block


Phase
Diagram of a Phase- LPF
detector
Locked Loop
VCO

achieve an overall better noise performance by applying different noise filtering


(Lacaita et al. 2007).
However, it is pretty hard to bring the power consumption of a GHz PLL down to
1 mW; thus, a continuously running PLL in the ultralow power radio is too power
hungry. It could easily take 80% of the system power budget in a ULP TX which is
designed to only communicate within 2–3 m for biomedical applications. As ana-
lyzed in previous sections, if high performance LC oscillator is used, the improve-
ment in phase noise performance and frequency accuracy from a low bandwidth PLL
is quite small, but the increase in power consumption is huge. If, on the other hand, a
low-power ring oscillator is used, an aggressive PLL bandwidth is required. Thus,
normally, only standard compliant radio designs require the use of PLL as the
frequency synthesizer. The design of low-power and low-cost PLLs still remains a
challenging topic.

Free-Running Oscillator with Frequency Calibration Controller


Free-running oscillators were widely used in a lot of applications where phase noise is
not a big concern. In ultrawide band (UWB) transmitter designs, free-running ring
oscillator (RO)s are generally used to reduce the power consumption since the high
phase noise from the RO would not affect the system performance due to the large
bandwidth. In other narrowband pulse-modulated radio designs, a free-running LC
oscillator is used instead to offer better phase noise performance (Shi et al. 2019). In
some wideband and low-data-rate-frequency-modulated radios, free-running oscilla-
tors are used as well. In these designs, a frequency calibration controller is generally
needed to roughly tune and maintain the frequency to the target channel before data
transmission. The frequency calibration controller could be a frequency locked loop
that slowly corrects the frequency error or a PLL. Figure 8 shows a block diagram of a
ULP FSK TX using a SAR-assisted frequency-locked loop. It is quite similar to the
divider-less ADPLL, but the collected frequency errors are fed back to a SAR
controller rather than a typical phase detector and loop filter in PLL. The digitally
controlled oscillator (DCO) is tuned from MSB to LSB bit by bit to successively
approximate the oscillator frequency to the reference according to the signs of
frequency error without a specific loop gain. The SAR algorithm and simulated
frequency calibration of this design is shown in Fig. 8. As the reference clock is
1 MHz and there are 17 control bits in the DCO, it takes 17 μs to acquire frequency
lock. This kind of frequency calibration controller is limited by the noise performance
of the DCO; thus, it cannot achieve frequency calibration with high accuracy.
In recent studies, more and more standard compliant radio designs, such as BLE
and IEEE 802.15.4, also tend to utilize this “calibrate and open loop” scheme as long
40 Design Considerations of Frequency Modulated Ultralow Power Transmitter 829

Open loop direct


SPI BLE Data
Register
Data whitening
& CRC generator
DAC
modulaon modulaon
Low power high
efficiency PA
BLE <7:0>
BLEconfiguraon
configuraonRegs
Regs

<31:0>
Edge
1MHz FCW SAR controller
<16:0>
DACs combine SCDPA
XO
<31:0>
<10:0> Phase<0> 2.4GHz
Counter
Clock TDC
reference
Remer <4:0> Quarter RF frequency
Ring Oscillator with 4X
Fast locking SAR -assisted FLL 600MHz phase embedded TDC

Reset Freq Calibraon transmit sleep Freq Calibraon transmit sleep


me
(a)
SAR operaon for 17 μs
Y
N=4 Set FCW<n> = 1 Fvco > FCW?

R et imed cl ock enabl e


Set FCW<n> = 0

N
N
Calibrate_fine N=N-1 N=0 ?

Y Coarse Medium Fine


N
tune tune tune
Y CW<16:11>  Coarse_tune_DAC
Calibrate_all FCW<4:0> = 5'b0
CW<10:5>  Medium_tune_DAC CW<17:11> CW<10:5> CW<4:0>
||5'b11111 ?
Reset FCW_all CW<4:0>  1 of n encoding 
Fine_tune_DAC
(b)

Fig. 8 An FSK transmitter with SAR-assisted FLL for frequency calibration and open-loop
transmission (a) block diagram (b) FLL controller state diagram and frequency settling during
calibration

as the open-loop oscillator can provide enough PN performance and the frequency
calibration controller can provide an accurate initial frequency. This design approach
not only saves power of the whole system but also enables a much simpler direct
modulation in the DCO. Moreover, this “calibrate and open loop” scheme also
makes it possible to even remove the high-frequency crystal oscillator in BLE
designs. Interested readers could refer to F. Maksimovic et al. (2019) and Alghaihab
et al. (2020) for more detailed information.

Injection-Locked Oscillator
Another commonly used LO architecture in ULP radios is injection-locked clock
multiplier (ILCM). It is a subharmonic injection locked oscillator that relies on edge
realignment from a clean reference. Unlike the PLL which is based on feedback, the
ILCM is based on feedforward and every reference cycle, the oscillator output at RF
frequency would be pulled to align with the low-noise crystal oscillator. It can
achieve a larger bandwidth compared to PLL and does not introduce extra noise
component, thus offering a much better noise performance at low power compared to
the PLL. However, not so many frequency-modulated ULP transmitters use this
architecture due to several reasons. The major concern is that it is hard to do
frequency modulation while the oscillator is locked as it would be pulled back by
the reference. The other issue with injection locking is the oscillator’s frequency has
to be closed to multiples of the reference frequency in order to be locked since the
830 X. Chen and D. D. Wentzloff

injection locking range is related to the injection current’s pulling strength and is
limited. So, an auxiliary frequency calibration loop is needed alongside the ILCM.
Last but not least, although not impossible, it is not cost-efficient to achieve
fractional number frequency multiplication through the ILCM. This makes it hard
to work with standards where channels are spaced only a few MHz away. So, despite
its appealing noise performance and low-power consumption, the use of injection-
locked oscillator in frequency-modulated ratios is still quite limited.

PA in ULP TX

Power amplifier is the other challenging part of transmitter designs, especially in


high-power and high-performance areas such as 5G. In ULP transmitter designs, PA
also plays an important role as one of the most power-hungry building blocks. As
mentioned earlier, the trade-off between PA power consumption and communication
range associated with wireless link budget is quite straightforward. And ULP radios
generally do not require accurate amplitude modulation, the PA linearity spec is thus
relaxed. This section will go over PA design basics and introduce one of the most
commonly used PA architectures in ULP TX design.
The biggest difference between power amplifier and other amplifiers such as a
low-noise amplifier (LNA) is that the PA is a large signal device and designed to
drive an antenna, typically a 50 Ω load at the resonant frequency, while the others are
typically small signal circuits and drive a high-impedance load. The PA is optimized
to deliver power, and the others are optimized for a high-output voltage swing. The
schematic of a generic PA is shown in Fig. 9. It consists of some buffer stages, an
output transistor with an inductive load, and an output-matching network. When
designing a PA for ULP transmitters, there are two big concerns: efficiency and
linearity.

Efficiency

The efficiency of a PA is normally defined in three metrics: drain efficiency (DE),


power-added efficiency (PAE), and total efficiency (TE). Drain efficiency (DE) is
defined as:

Fig. 9 schematic of a generic VDD


power amplifier

Matching
network
50
antenna
40 Design Considerations of Frequency Modulated Ultralow Power Transmitter 831

PL
ηDE ¼ ð14Þ
PDC
where PL is the RF power delivered to the antenna load and PDC is the DC power
drawn from the supply. Power-added efficiency (PAE) takes into account the input
RF power and is defined as the RF power gain divided by the DC power supply:

PL  Pin
ηPAE ¼ ð15Þ
PDC
Alternatively, total efficiency (TE) is defined similarly as:

PL
ηTE ¼ ð16Þ
PDC þ Pin

Linearity

PA nonlinearities generally lead to two problems in wireless communication: ampli-


tude compression and harmonic distortion. Ideally, the relationship between input
power of a PA and its output power should be linearly, and the power gain over all
levels of input power is a constant. However, in realistic cases, the output power tends
to saturate, and increasing the input power after certain level will not increase output
power. 1-dB compression point is used to evaluate the amplitude compression in PA
designs, and it is defined as the point where the real output power is 1 dB less than the
ideal case when sweeping the input power of the PA. The amplitude compression is
important in some amplitude-related modulations such as QAM as it will directly
affect the error vector magnitude (EVM) of the transmitter. In ULP radio designs, the
PA is rarely pushed that far, for a huge output power and frequency modulation do not
require that stringent requirement either. The harmonic distortion in PA designs is
directly related to the output spectrums of the transmitter and is caused by the
nonsine/cosine pulse shaping of the carrier. For example, a 50% duty-cycled square
wave has a rich third harmonic, and with different duty cycles, the amplitude of the
harmonics varies significantly as well. Switching PAs tends to have a higher level of
harmonic distortion, and in some PA designs, harmonics are intentionally added to
improve the PA efficiency. Output matching network could help filter out the har-
monics. Based on different communication standard’s requirements in spectrum,
range, and modulation, the above basic specs in PA design can be traded off between
each other for an optimized performance. Different PA architectures can be used.

Switched-Capacitor Digital Amplifier

Power amplifiers are traditionally categorized in many classes: A, B, C, D, E, F, etc.


(Cripps 2006). Class A, B, and C power amplifiers are conventional nonswitching
832 X. Chen and D. D. Wentzloff

PA control
logic

Matching
SC-DPA network

50

VDD
RF

Sel
I
Bit Slice

Fig. 10 Block diagram of a class-D switched-capacitor digital PA and its matching network

architectures, with a difference in conduction angle and efficiency. Class-D, E, and F


power amplifiers are switching PA architectures where class-D is nonresonant
switching PA and class-E/F are resonant type switching PA. Switching PAs are
widely used in modern wireless communications, and among which, the non-
resonant class-D PA is one of the most commonly used power amplifier in ULP
transmitter designs due to its robustness and low cost. Figure 10 shows a class-D
switched-capacitor digital power amplifier (SCDPA). It consists of an array of PA
cells that can be digitally programmed on and off in different output power levels.
Each cell comprises a series of PA prebuffer with selection logic, a driving inverter.
In conventional SCDPA designs (Yoo et al. 2011), the output voltage is directly
related to the number of capacitors switched on to the total capacitance at the load,
and the output current is related to the number of cells turned on. The capacitors can
also be combined to improve the PA efficiency at low-output power, but at the cost of
linear power tuning according to the input code.

Optimization of Switched-Capacitor PA for Highest Efficiency in FSK


Transmitters

In FSK transmitters, there is no information encoded in the amplitude at the RF


output, so the linear power tuning can be completely traded off to a higher efficiency.
Aside from the combined capacitor bank at the output, the biggest influence on PA
40 Design Considerations of Frequency Modulated Ultralow Power Transmitter 833

efficiency is the output-matching network. Figure 10 shows a simplified block


diagram of the SCDPA and a simple L-shaped matching network to transform the
50 Ω antenna load to a target load RL at the output frequency (at the resonant
frequency, imaginary part of the impedance canceled out). It can be seen that:

V 2DD
Pdc ¼
Ron þ RL

V 2DD RL
Pout ¼
ðRon þ RL Þ2
RL
ηDE ¼ ð17Þ
Ron þ RL
where Ron is the on-resistance of the transistor. It shows that a larger load impedance
seen by the driving inverter will improve the drain efficiency but will decrease the
output power, if the matching network is lossless and the output power in all the
harmonics is delivered to the load.
Theoretically, the output impedance of a PA should be matched to an optimized
impedance Ropt, if simply from the impedance matching’s point of view. However,
Ropt is usually associated to the maximum achievable output power of the PA (e.g.,
~4 Ω for 30 dBm output power), as shown in Fig. 11. It is designed to achieve the
highest efficiency at the highest output power level. However, if the matching
network is designed this way, the PA efficiency will be very low if the PA is
operating at a low-output power. In fact, the PA efficiency will be typically much
lower in power back-off mode than full power mode. There are several ways to

Fig. 11 Illustration of high-


impedance matching for
V
optimum efficiency at
low-output power levels
extra
=

I
V No extra loss

=
=

I
834 X. Chen and D. D. Wentzloff

improve PA efficiency at power back-off mode such as out-phasing and Doherty


PA. However, it will always introduce extra DC power and extra loss. In ULP
transmitters where the target range is only 1–2 m and the target output power is
only 20 dBm (10 μW), the above techniques are still too power hungry. Thus, in
ULP transmitter designs, more cost-effective ways are needed. A simple way to
solve this is to match the output impedance to a higher value Ropt0 than Ropt, as it can
be seen that the PA efficiency drops quadratically with power back-off. So, a high
output power can be traded to high efficiency at low-output power with a larger
output impedance, which shows the same result as Eq. (17).

A RO-Based BLE Transmitter Example

This section will show an example of ultralow power BLE transmitter design first
reported in Chen et al. (2018). It is a ULP RO-based BLE transmitter with a
wideband type I ADPLL using a 32-phase fRF/4 ring oscillator (RO), which not
only forms a 5-bit-embedded time to digital converter (TDC) but also serves as a 4X
frequency edge combiner. It reduces the PLL power and improves its PN at the same
time. To reduce PA power consumption, it utilizes a SCDPA with a combined
capacitor bank and a matching network optimized for low-power operation achiev-
ing a high efficiency. The BLE TX consumes 486 μW while configured as a
nonconnectable advertiser, which is desirable for short-range TX-only beacon
devices in an asymmetric BLE network. Its functionality has been validated by
wirelessly communicating beacon messages to a mobile phone.
Figure 12 shows the top-level block diagram of the proposed design and its major
noise sources. To achieve the targeted frequency variation error with the RO,
a > 5 MHz bandwidth ADPLL for aggressive in-band phase noise suppression is

Modulaon BLE Data PA ctrl


Controller process SPI reg

FCW PLL BW
FCW
ctrl reg ctrl reg

Edge
DAC
A

REF_ACCU Combiner SCDPA


DAC
16-stage 32-
Embedded phase pseudo-
TDC
differenal RO
RF/4 RF
Prog.
divider
Counter

REF_RETIMER TDC encoder


REF

Fig. 12 Block diagram of the RO-based all-digital BLE ULP transmitter


40 Design Considerations of Frequency Modulated Ultralow Power Transmitter 835

implemented. It features a fast settling time and direct reference phase modulation
since the PLL BW is much larger than the modulation BW. Several techniques are
used in this design to save the PLL power and enhance its in-band PN at the same
time. The RO is designed at a frequency of fRF/4 and implemented with a 16-stage
pseudodifferential architecture with 32 phases directly used as an embedded TDC.
Its phases are also used in a windowed edge combiner for 4X frequency multiplica-
tion to produce the 2.4GHz RF frequency. The lower frequency RO saves the power
of the frequency divider. And it also prevents the noise-folding effect from happen-
ing when the noisy phases are subsampled and folded by the divider in the traditional
divider-based PLL, thus improving in-band PN performance. At the same time, the
high-power TDC and its delay normalization circuits are also saved, and the TDC
performance can be relaxed by dealing with the same amount of jitter at a lower
frequency while maintaining the same resolution. The edge combiner consumes
much less power compared to a TDC, and it can maintain the low flicker noise corner
from the low-frequency RO, which will again, enhance the in-band phase noise.
Even though extradeterministic jitter will be introduced because of the mismatches
in the four paths of the edge combiner, as shown in Fig. 13, this mismatch is much
smaller than the random jitter from the high PN RO. Since this jitter is not correlated
with the random jitter, the windowed edge combiner will not affect the overall RF
performance.
Major noise sources are modeled for the PLL, as shown in Fig. 13a, including
reference noise, TDC noise, DAC noise, and RO phase noise. The PLL is designed
to achieve a 5 MHz BW with a -85dBc/Hz in-band PN after edge combining. In this
design, the in-band PN is dominated by both the RO and TDC. The TDC noise floor
is around -100dBc/Hz with the 5-bit resolution at quarter RF frequency, which is
comparable to the in-band PN of the quarter frequency RO. Ideally, the relative noise
floor difference between RO and TDC is the same with or without the quadruple
effect. However, since the absolute delay offset due to layout mismatch, loading
variation, and RO jitter is the same, the actual TDC noise floor is slightly enhanced
in the quarter frequency RO architecture due to the larger VCO period:

L ð18Þ

where tres and Tv are the TDC delay and the VCO period, and ΔM corresponds to the
average mismatch. Here, the mismatch is assumed as uniformly distributed. And for
the embedded TDC, the jitter on the TDC edges follows the Gaussian distribution of
the RO output. Since the delay, jitter, and average mismatch are not correlated, the
actual TDC noise floor with and without quarter frequency multiplication is shown
in Fig. 13b, assuming a 10 ps rms jitter for the RO at 2.4GHz. And after frequency
multiplication, the in-band PN at 2.4GHz output is slightly improved compared to a
normal frequency-embedded TDC as a reference (edge combined PN vs 2.4G RO
w/ 3b-TDC PN). The DAC resolution is restricted by the modulation; thus, the DAC
836 X. Chen and D. D. Wentzloff

Reference noise,TDC & DAC noise VCO phase noise


SR(f) SP(f)
Modeled PN for The proposed ADPLL with EC
2Fd -40 free run 0.6G RO PN
VCO
TDC PLL BW free run 2.4G RO PN
DAC -50 5b TDC noise floor
PLL BW REF 3b TDC noise floor
f f -60 EC added Noise floor
3b TDC noise floor Total ADPLL PN w/ 0.6G RO
-70 Edge combined PN @2.4G
3b-TDC w/ 2.4G RO PN
-80
A
FCW -90

PDF -100

-110
5b TDC noise floor
jier -120

-130 EC noise floor


-140
Determinisc jier added by EC mismatch 1e4 1e5 1e6 1e7 1e8

(a) (b)

Simulated PLL PN vs System Modeling Measured PN for the proposed ADPLL aer EC
-40
Simulated PN
-50
Modeled edge combined noise
-60 Modeled 2.4G RO w / 3b-TDC noise Corresponding
-70 3³IFV = 68.1 kHz
-80

-90

-100
0.5dB in-band PN improve
-110
w/ EC lower power design
Far off noise floor REF = 37.5MHz
-120 penalty w/ EC Equipment noise floor

1e4 1e5 1e6 1e7 1e8

(c) (d)

Fig. 13 (a) System-level noise analysis with different noise sources including reference noise,
TDC noise, DAC noise, VCO noise, and EC noise. (b) Modeled different phase noise sources.
(c) Simulated versus modeled total phase noise. (d) Measured phase noise with corresponding IFV

noise floor is pretty low. And because of the divider-less nature of this design, its
noise will not be up-converted as a problem.
The edge combiner, due to loading mismatch, will add a certain delay “D” for
each path. Thus, the variance of the timing uncertainty from one path is:

ðDÞ2
στEC ¼ ð19Þ
12
In the worst case, there will be three phases with positive delay and one phase
with negative delay, or vice versa. Thus, the worst-case delay is 3D in (19). The
phase uncertainty is:

2πσ τEC
σϕEC ¼ ð20Þ
Tv
So, the worst-case phase noise introduced by the EC is:
40 Design Considerations of Frequency Modulated Ultralow Power Transmitter 837

L ð21Þ

It shows that the EC will add an extra nonfiltered noise floor in the overall PN
output due to the path delay from layout mismatch. But, in practice, its level is
relatively low compared to other noise sources unless the far-out PN is of concern.
The detailed circuit design of the 16-stage pseudodifferential RO is shown in
Fig. 14. All the 32 phases are buffered out and directly sampled by 32 D flip-flops at
the reference clock as an embedded TDC without extra delay lines. Then the 32b
outputs are encoded to form a 5b binary output as a fractional phase error sampler.
One phase output is sent to the counter for integer phase error calculation, while the
rest phases are connected to dummies for a balanced output to minimize the TDC
DNL. On the other hand, all phases are also buffered out to an edge selection circuit,
where 24 of them are arranged and fed to the edge combiner while the other 8 phases
are connected to dummies too. The RO cell is implemented with two inverter stages
for each cell and NMOS-only cross couple pairs rather than cross coupled inverters
for minimized loading. And each cell has six buffered outputs for TDC, EC, and
counter (or dummies). This helps achieve the best balance among speed, PN, and
power efficiency for the RO.
The current steering DAC for digital RO tuning is shown in Fig. 15. The DAC is
one of the most important circuit blocks for the PLL noise performance since supply
and bias noise are critical to ring oscillator-based designs. Since the PLL BW is very
large for in-band PN suppression and direct reference-phase modulation, the decap
on the virtual VDD of the RO has to be fairly small to keep the PLL loop stable, thus
plenty of noise from the supply and the bias network will pass through. To deal with
this, the coarse DAC bank is designed at the edge of the triode region to minimize the
noise gain while the medium and fine DAC banks are designed in the saturation
region to keep the required tuning linearity while the PLL is locked. Additional large
decaps are added to the gate of the DAC cells to filter the accumulated supply and
bias noise. The medium and fine current steering DACs are 6b each that covers
70 MHz range with approximately 20 kHz LSB tuning step for the RO, and the
coarse DAC is 4b and can cover up to 300 MHz.
Figure 16 shows the windowed edge combiner. In the 24 phases of the RO input,
6 phases are used for each rising and falling edge to be combined, in which the
2 windows are spaced by 4 RO delays and the window width is 5 delays to ensure all
selected phases pass through in different PVT corners. Tristate gates are used to pass
the selected phase and buffer the interference from other phases. The timing diagram
for edge combining is shown in Fig. 16. In this design, the EC consumes just 20 μW
from simulation, and its added jitter is much smaller than the RO jitter itself, keeping
the RF output in the random noise region. In applications where the EC jitter is
comparable to the oscillator jitter, it cannot be treated as working in the random noise
region for frequency multiplication. Power has to be traded off for mismatch in the
EC circuit design according to system requirement.
838 X. Chen and D. D. Wentzloff

16-stage 32-phase pseudo -differenal RO


Edge selecon and dummies
24b
32b
Counter
To EC
dummies

1 2 16

To counter
Q QD D Q QD D Q QD D

REF
32b
5b
TDC encoder To TDC

VDD-Digital VDD-Digital
Virtual VDD to DAC

OUTN OUTP

INN INP

RO delay cell with phase buffers

Fig. 14 Proposed 16-stage pseudodifferential ring oscillator block and the RO delay cell with
buffers

A class-D switch-capacitor digital power amplifier is utilized in this design due to


its robustness, low cost, and great performance in efficiency. Compared to other
switching power amplifiers, even though the class-D does not possess the highest
efficiency, it is more robust and less susceptible to driving transistor parasitics, PVT
variations, and matching. And with the supply-sensitive ring oscillator implementa-
tion in the LO, class D is more reliable due to its relatively low-output swing. As
there is no on-chip resonant component, it is more suitable for low-cost fully
integrated solutions and can benefit from advances in technology scaling with better
switches. The efficiency of this kind of PA is related to the ratio of the loading
impedance and on-resistance of the driving transistor minus the power of the
harmonics; thus, it is more versatile in matching schemes to achieve the highest
40 Design Considerations of Frequency Modulated Ultralow Power Transmitter 839

VDD
50pF Long channel devices

Coarse Medium &


DAC fine DACs
Fd
Near
Saturaon
Linear Region
Region PLL
4b 6b x2
FCW
Small
Decap
RO SR(f)
Decap_B 2Fd Decap_RO

50pF 50pF Large 1.3pF


Decap RO
Bias PLL BW f

Fig. 15 Current steering DAC for RO tuning and its major noise contributions

C<n>+
C<n+5>+
From OUT<n>+

RO C<n+2>+ RF
(MOD 16) 600MHz
24b C<n+4>+ To PA
C<n+9>+

C<n+6>-
OUT<n>-

C<n>+
C<n+4>+
C<n+5>+
C<n+9>+
C<n+6>-
C<n+2>+
OUT<n>

RF
Fig. 16 Schematic of the edge combiner block and its working principle
840 X. Chen and D. D. Wentzloff

efficiency at a targeted output power based on application emphasis. For example,


higher loading impedance results in a low-maximum output power but helps with
efficiency in low-output power levels. Different from the typical SCDPA design,
where series capacitors are within each PA cell, this design utilizes a shared capacitor
bank to prevent extraoutput power loss due to the grounded capacitors in the off PA
cells. As shown in Fig. 17, the SCDPA is thermometer coded with eight-bit cells and
is matched and optimized for the highest efficiency for 10 dBm operation, which is
sufficient for 2–3 m short-range communication.
Figure 18 shows the SCDPA measurement showing the PA efficiency vs output
power at different supply voltages. Using a 0.6 V power supply, the PA consumes
107 μW with a  19.2 dBm output power, yielding a 10.8% PA efficiency at the
lower boundary of the BLE output power requirement. In its high-power mode with
a 0.9 V supply, it can deliver 3.3 dBm while consuming 1.2 mW with a 39%
efficiency. The maximum efficiency of 41% is achieved at around 7.1 dBm
(@0.7 V) output power with a 476 μW PA power consumption. Due to the nonlinear
nature of the SCDPA, an external matching network is used to suppress TX
harmonic emissions.
This BLE transmitter is fabricated in 40 nm CMOS, and the die photo of the
prototype chip is shown in Fig. 19. The core area of the TX is 0.0166mm2. The
power breakdown is shown in Fig. 20. While working at the low-power mode with a
37.5 MHz off chip reference, the RO with the DAC bias network consumes 126 μW,
the PA consumes 107 μW, and the PLL blocks with the edge combiner consume
253 μW. In the highest power mode with 0.9 V supply, the PA consumes 1.2 mW.
The all-digital RO-based BLE TX consumes a total 486 μW and 1.6 mW in
low-power and high-power mode. The comparison to the state-of-the-art is shown
in Table I. As the first reported RO-based BLE TX design, it cherishes certain
benefits compared to the LCVCO-based designs. With the RO, the TX is able to
work at the BLE PN limit without extra power-noise penalty. The LO block is able to
achieve a power consumption of less than 400 μW combined. This helps to enhance
the TX efficiency regardless of the PA design. The core area is also considerably

Fig. 17 Programmable
switch-capacitor digital power SPI 50Ω
amplifier and schematic of the <7:0> Antenna
PA cell Power mode control

SC-DPA
SC-DPA
From
EC
8X RF

Sel

PA cell
40 Design Considerations of Frequency Modulated Ultralow Power Transmitter 841

45

40

35 PA efficiency (%)
30

25

20

15 0.6V
0.7V
10 0.8V
0.9V
5
-22 -20 -18 -16 -14 -12 -10 -8 -6 -4 -2
Output power (dBm)
Fig. 18 PA efficiency versus output power with different power supplies

Fig. 19 Die photo of the


BLE transmitter

small with the RO implementation and can benefit even more with technology
scaling, reducing the cost for massive IoT production. Additional system level
measurement results could be found from Chen et al. (2019). Figure 20 also shows
842 X. Chen and D. D. Wentzloff

PA 107ϤW RO+DAC RO+DAC


PLL + EC
126ϤW 126ϤW
PLL + EC 253ϤW
PA 1.2m
253ϤϤ W

Fig. 20 TX power breakdown in low-power and high-power mode and phone connectivity
measurement setup for the proposed BLE TX

the wireless test setup. Here, the BLE TX is configured to transmit an iBeacon
message, which is picked up by the iBeacon app, and shows the correct packet
information.

Summary

ULP transmitter design is a challenging topic that involves many trade-offs from
system-level characterization to circuit block implementation. Ultimately, it is the
application that defines the solution, and the trend of ULP radio design is always to
achieve the goals set by application requirements with the least resources, no matter
it is power or cost. In applications such as wearable/implantable health monitoring
systems, wireless sensor networks, and general IoT devices, the goal has gradually
changed from purely emphasizing low-power consumption to a well-balanced
system between power, performance, and cost. Digitization, standard compatibility
(such as BLE), and crystal-less and other cost-effective choices have been more and
more popular in ULP transmitter designs according to recent publications.
This chapter summarizes some fundamental trade-offs and advanced techniques
in frequency-modulated ULP transmitter designs from system architecture to its
two-key building blocks: frequency synthesizer and power amplifier. Basic phase
noise theory is introduced to show how noise in the LO could affect system
performance in frequency-modulated radios, and how to use PN as a tool to define
frequency synthesizers in ULP transmitter designs. In order to reduce the radio
40 Design Considerations of Frequency Modulated Ultralow Power Transmitter 843

power consumption to its physical limit while maintaining the standard required
performance with sophisticated modulations, low power frequency synthesizer
design is critical and has to be assisted with clear theoretical guidelines. PA funda-
mentals are introduced, and the commonly used class-D SCDPA in ULP transmitters
is discussed in detail. Finally, a recently published ring-oscillator-based BLE TX is
discussed as a standard compliant ULP FSK transmitter design example, which
involves system characterization, PLL and PA subsystem designs, and key circuit
block implementations.

References
Abidi A (2006) Phase noise and jitter in CMOS ring oscillators. IEEE J Solid State Circuits 41(8):
1803–1816
Alghaihab et al (2020) A crystal-less BLE transmitter with -86dBm Freq μ ency-hopping back-
channel WRX and over-the-air clock recovery from a GFSK-Modulated BLE packet presented
in 2020 IEEE International Solid- State Circuits Conference – (ISSCC), San Francisco, February
2020
Chen X et al (2017) An analysis of phase noise requirements for ultra-low-power FSK radios. Paper
presented in 2017 IEEE Radio Frequency Integrated Circuits Symposium (RFIC), Honolulu,
June 2017
Chen X et al (2018) A 486 μW all-digital Bluetooth low energy transmitter with ring oscillator based
ADPLL for IoT applications. Paper presented in 2018 IEEE Radio Frequency Integrated
Circuits Symposium (RFIC), Philadelphia
Chen X et al (2019) Analysis and design of an ultra-low-power bluetooth low-energy transmitter
with ring oscillator-based ADPLL and 4X frequency edge combiner. IEEE J Solid-State Circuits
54(5):1339–1350
Cripps SC (2006) RF power amplifiers for wireless communications, 2nd edn. Artech House,
Boston
Da Dalt N, Sheikholeslami A (2018) Understanding jitter and phase noise a circuits and systems
perspective. Cambridge University Press, Cambridge
Kuo F et al (2017) A Bluetooth low-energy transceiver with 3.7-mW all-digital transmitter, 2.75-
mW high-IF discrete-time receiver, and TX/RX switchable on-chip matching network. IEEE
J Solid State Circuits 52(4):1144–1162
Lacaita A et al (2007) Integrated frequency synthesizers for wireless systems. Cambridge Univer-
sity Press, Cambridge
Lin Y et al (2018) 4.32-pJ/b, overlap-free, feedforward edge-combiner-based ultrawideband trans-
mitter for high-channel-count neural recording. IEEE Microwave Wireless Compon Lett 28(1):
52–54
Maksimovic F et al (2019) A crystal-free single-chip micro mote with integrated 802.15.4 compat-
ible transceiver, sub-mW BLE compatible beacon transmitter, and Cortex M0. Paper presented
in 2019 Symposium on VLSI Circuits. Kyoto, June 2019
Mercier PP et al (2009) An energy-efficient all-digital UWB transmitter employing dual
capacitively-coupled pulse-shaping drivers. IEEE J Solid State Circuits 44(6):1679–1688
Mercier PP et al (2014) A sub-nW 2.4 GHz transmitter for low data-rate sensing applications. IEEE
J Solid-State Circuits 49(7):1463–1474
Pandey J, Otis BP (2011) A Sub-100 μW MICS/ISM band transmitter based on injection-locking
and frequency multiplication. IEEE J Solid State Circuits 46(5):1049–1058
Roy A et al (2015) A 6.45 μW self-powered SoC with integrated energy-harvesting power
management and ULP asymmetric radios for portable biomedical systems. IEEE Trans Biomed
Circuits Syst 9(6):862–874
844 X. Chen and D. D. Wentzloff

Shi Y et al (2019) A 606μW mm-scale Bluetooth low-energy transmitter using CoDesigned


3.53.5mm2 loop antenna and transformer-boost power oscillator. Paper presented at 2019
IEEE International Solid- State Circuits Conference – (ISSCC), San Francisco, February 2019
Wentzloff DD, Chandrakasan AP (2007) A 47pJ/pulse 3.1-to-5GHz all-digital UWB transmitter in
90nm CMOS. Paper presented in 2007 IEEE International Solid-State Circuits Conference.
February, San Francisco
Yahya F et al (2017) A battery-less 507nW SoC with integrated platform power manager and SiP
interfaces. Paper presented in 2017 symposium on VLSI circuits, Kyoto, June 2017
Yoo S et al (2011) A switched-capacitor RF power amplifier. IEEE J Solid State Circuits 46(12):
2977–2987
Doppler Radar Sensor Platform
41
Herman Jalli Ng

Contents
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 846
Doppler Radar . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 847
Millimeter-Wave Doppler Radar . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 847
Distant-Selective Doppler Radar . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 848
Doppler Radar Sensor Platform . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 850
Scalable Transceiver Architecture . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 850
Circuit Blocks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 854
Transmission Lines . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 854
Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 855
Down-Conversion Mixer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 856
Frequency Doubler . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 858
BPSK Modulator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 859
Experimental Results . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 860
Hardware Implementation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 860
Radar Measurements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 862
Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 866
References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 866

Abstract
A Doppler radar sensor platform suitable for measurements of vibration and
velocity of any objects is described here. The platform consists of several
multipurpose transceivers operating at two different millimeter(mm)-wave fre-
quency bands. Silicon-Germanium BiCMOS technology enables the implemen-
tation of the multiband transceivers very cost-effectively in the mm-wave
frequency region, which offers an extremely high potential for the performance
improvement and the miniaturization of the sensor platform. The radar trans-
ceivers are equipped with binary-phase shift-keying modulators as well as I/Q
H. J. Ng (*)
Faculty of Electrical Engineering and Information Technology,
Karlsruhe University of Applied Sciences, Karlsruhe, Germany
e-mail: herman.j.ng@ieee.org; herman-jalli.ng@hs-karlsruhe.de

© Springer Science+Business Media, LLC, part of Springer Nature 2022 845


M. Sawan (ed.), Handbook of Biochips,
https://doi.org/10.1007/978-1-4614-3447-4_53
846 H. J. Ng

receivers and can be utilized with the pseudo-random noise radar modulation
technique to allow distant-selective Doppler measurements. This technique not
only allows specific targets to be selectively measured while suppressing the
noise from the environment, it can also be used to determine the location of the
specific targets. The transceivers can be cascaded for the implementation of a
MIMO radar system to allow digital beamforming operation of the radar. Radar
measurements were performed with the implemented MIMO radar system to
determine the vibration frequency and the location of vibrating objects in the
anechoic chamber for demonstration purposes.

Introduction

Sensors operating with electromagnetic waves have existed for more than a century.
The first radar sensors, which were mainly developed for non-civilian use, operated
in the microwave frequency bands and achieved only limited performance. These
sensors were quite bulky and offered very few functionalities. Their production costs
were also very high. The radar sensors have only become commercially viable with
the introduction of semiconductor technologies that created the preconditions for the
development of more complex systems that offer many interesting features. In
particular in the last few years, the silicon-based technologies have advanced
enormously in regard to the high-frequency characteristics and are opening up the
millimeter (mm)-wave and sub-THz frequency regions for the implementation of
low-cost and high-performance radar sensors with an unprecedented level of reso-
lution and miniaturization.
The possibility to implement the sensors in the mm-wave frequency range is
especially advantageous for Doppler radar since a significantly higher sensitivity in
the Doppler shift and precision in the measurement of relative velocities are enabled
by this frequency range. Due to the lower wavelength at this frequency region, many
components can be miniaturized to allow the implementation of very compact radar
modules with very high integration degree. The antennas, for example, can be made
much smaller on the PCB and even integrated on the silicon chip. Many different
applications can be addressed by the mm-wave Doppler radar. In the medical sector,
mm-wave Doppler radars have been utilized for the monitoring of vital sign param-
eters such as heart and breath rate of patients in hospitals (Will et al. 2018; Wang
et al. 2015). The measurements can be done completely contactless and automatic on
the patients on sick beds without continuous presence of the doctors/nurses. In the
industrial sector, the mm-wave Doppler radars have been utilized to monitor
the vibrations of operating machines. Doppler radar can be also utilized to monitor
the traffic in the automotive sector. Most recent works reported on Doppler radars
mainly focus on the implementation at different frequency bands in the mm-wave
frequency region (Nicolson et al. 2008) and applying the Doppler effects on new
application fields (Gu et al. 2012). The Doppler radars are used to measure Doppler
frequency shifts due to moving or vibrating targets. These radars lack the possibility
to distinguish the targets that deliver the velocity data.
41 Doppler Radar Sensor Platform 847

This chapter presents a Doppler radar sensor platform that features a modular
system architecture and is suitable for various applications with different require-
ments on system performance, dynamic range, and miniaturization degree. The
platform makes use of scalable multipurpose radar transceivers that are operated at
60 and 120 GHz and implemented using silicon-germanium (SiGe) BiCMOS
technology (Rucker et al. 2010). The former operating frequency is chosen for
applications that require high dynamic range since the transceiver at lower frequency
range can deliver a very high transmit (TX) output power and lower noise figure for
the receiver. The higher operating frequency is interesting for miniaturized applica-
tions with high sensitivity requirements. The transceiver at 120 GHz can be made
much more compact and include integrated on-chip antennas. Both transceivers are
equipped with integrated frequency multiplier to scale up a 30 GHz LO signal to
60 and 120 GHz carrier frequencies. Apart from the frequency multiplier, both
transceivers also include binary-phase shift-keying (BPSK) modulators as well as
I/Q receiver and can thus be used to enable distant-selective Doppler radar measure-
ments (Heide et al. 1995; Ng et al. 2014) and to distinguish measurement objects by
their locations. Furthermore, the scalable transceivers can be easily cascaded to
implement a MIMO radar system with digital beamforming capability.

Doppler Radar

Millimeter-Wave Doppler Radar

A Doppler radar generally refers to a certain type of radar that makes use of the
continuous wave with a constant frequency and the Doppler effect to detect moving
objects and measure their velocities. A typical Doppler radar, of which block
diagram can be seen in Fig. 1, requires a signal source, a power amplifier, and an
antenna in its TX path to illuminate a target with a continuous electromagnetic wave
and a simple down-conversion mixer in its receive (RX) path to extract the velocity
information of the target from its reflected wave. The target moving with a constant
relative velocity vr results in a reflected signal having a frequency f0 + fd, where f0 is
the carrier frequency of the continuous wave and fd is the Doppler frequency shift
given by

Fig. 1 Block diagram of


conventional Doppler radar PA
consisting of only a signal V1
source, a power amplifier, and f0 sTX (t) V0
a down-conversion mixer Mixer V2
sRX (t)

ADC sIF (t)


848 H. J. Ng

2vr
f d ðv r Þ ¼ , ð1Þ
λ0
where λ0 is the wavelength of the continuous wave given by

c0
λ0 ¼ , ð2Þ
f0

and c0 is the propagation speed of the electromagnetic wave. The sensitivity of the
Doppler frequency shift to the change of the relative velocity is the first derivative of
(1) with respect to the variable vr resulting in

@ fd 2 f
¼ ¼2 0: ð3Þ
@vr λ0 c0
From (3), it is clear that the sensitivity of the Doppler frequency measurement
increases with increasing carrier frequency. The utilization of the mm-wave fre-
quency region is thus very advantageous for the implementation of high-
performance Doppler radar.
Another system consideration relating to the dynamic range of the radar is equally
important and a special attention should be given to the signal-to-noise ratio,
SNR ¼ PRX/PN, of the radar. The noise power PN is mostly dominated by the
contributions of the noise figure of the receiver, while the RX power PRX of a radar
system is directly proportional to the TX power PTX and the radar cross-section or
reflectivity of the target. To achieve an acceptable SNR for the detection of faraway
targets and targets with very low reflectivity, the PN needs to be significantly reduced
and the PTX have to be raised. Fortunately, recent advances achieved in SiGe
BiCMOS technologies in terms of high-frequency characteristics have improved
the fT/fmax of the SiGe hetero junction bipolar transistors (HBTs) from the order of
several ten GHz to several hundred GHz (Rucker et al. 2010). This results in the
performance enhancement of the circuits in terms of noise behavior and power
efficiency. At a given fT/fmax, the noise performance and the maximum output
power decrease with the increasing operating frequency. This means that there is a
trade-off between the sensitivity and the dynamic range of Doppler radar in terms of
the operating frequency. In general, the mm-wave frequency region enables a higher
sensitivity for the Doppler radar. However, a moderately high operating frequency
should be chosen for Doppler radars that require a high dynamic range such as those
for the detection of far away targets or targets with very low reflectivity. For this
reason, two different operating frequencies such as 60 and 120 GHz can be chosen.

Distant-Selective Doppler Radar

The conventional Doppler radar shown in Fig. 1 utilizes an unmodulated continuous


wave signal as a TX signal and requires only a down-conversion mixer in its RX path
to extract the velocity data of targets located at its beam direction. The targets that
deliver the velocity data cannot be distinguished by using this conventional radar,
41 Doppler Radar Sensor Platform 849

since it lacks the distant information of the targets. In certain applications, it is often
required to focus on one particular target and suppress all other undesired targets, for
example, in the monitoring of breath and heart rates of a certain patient in a crowded
area or in the measurement of the true ground speed. In these applications, the
distance of the particular target is usually known and does not change.
To allow distant-selective Doppler measurements, the radar system has to utilize a
modulated signal, which can be generated by using a BPSK modulator in combina-
tion with a pseudo-random binary sequence (PRBS). The PRBS has similar spectral
properties as a true random white noise but is deterministic and periodic and can be
easily generated as digital signal. Therefore, it is very suitable for pseudo-random
noise (PRN) radars (Heide et al. 2014) and to be used to modulate the phase of carrier
signal by 0 and 180° by means of BPSK. Figure 2 shows an example how a simple
distant-selective PRN-coded Doppler radar can be implemented, (Heide et al. 1995;
Ng et al. 2014). In order to focus on only one particular target at a certain fixed
distance and to hide all other targets in the measurements, the carrier signal needs to
be additionally modulated with a PRBS. The reflected signals from all targets are
received with various round trip delay times (RTDTs) that correspond to the dis-
tances of the targets from the radar. In the RX path, the carrier signal is also
modulated with the same PRBS and mixed with the RX signal to generate the IF
signal. If the modulated signal in the RX path is delayed by the same amount of time
corresponding to the distance of the particular target to be measured, then the mixing
of the time-shifted modulated carrier signal and the RX signal will produce the IF
signal containing the velocity information of the particular target.
The PRBS m(t) generated by the PRBS generator is modulated on the carrier
signal with a frequency fc and an amplitude aTX to form the TX signal

sTX ðtÞ ¼ aTX mðtÞ cos ð2π f c tÞ: ð4Þ

The TX signal is radiated in the direction of a target. The target moves with a
constant velocity v0 towards the transceiver and is located at a distance r(t) ¼ r0–v0t.
A portion of the TX signal is reflected by the target and received after an RTDT

PRBS m(t) R0
BPSK
mod PA
f0
±1 V1
sTX (t) V0
τ0 I/Q Mixer V2
sRX (t)
±1
BPSK
mod
ADC sIF (t)

Fig. 2 Block diagram of distant-selective Doppler radar system equipped additionally with a PRBS
generator and BPSK modulators
850 H. J. Ng

2r ðtÞ 2v
τðtÞ ¼ ¼ τ0  0 t, ð5Þ
c0 c0
where c0 is the propagation speed of the electromagnetic wave, τ0 ¼ 2 r0/c0 is the
RTDT at t ¼ 0 and r0 is the distance at t ¼ 0. The RX signal is modeled using

sRX ðtÞ ¼ α aTX mðt  τðtÞÞ cos ð2π f c ðt  τðtÞÞ þ φR Þ, ð6Þ

where α is the damping factor due to the path and reflection losses and φR is the
additional phase shift due to the reflection at the target’s surface.
The PRBS m(t) is delayed by τm and is subsequently modulated on the LO signal.
The resulting signal is then fed to a polyphase filter to create a complex modulated
LO signal consisting of two signals with a phase difference of 90° and an amplitude
aLO, as expressed in

sLO ðtÞ ¼ aLO m ðt  τm Þ exp ð j2π f c tÞ: ð7Þ

The complex LO signal is multiplied with the RX signal by using an I/Q


mixer, which creates two IF output signals containing only the phase differences
of the LO and the RX signal. The phase sums are filtered out by the output
networks of the I/Q mixer. The two IF output signals of the I/Q mixer form the
complex signal
0 1
1 B 2v0 C
sIF ðtÞ ¼ α aTX aLO mB C
@t  τ0 þ c0 t Amðt  τm Þ
2
|ffl{zffl}
Δτ
0 0 11
B B 2v0 CC
 exp B B CC
@ j@2π f c c0 t  2π f c τ0 þ φR AA ð8Þ
|fflfflffl{zfflfflffl}
fd

where Δτ ¼ Tclk is the time resolution/bin of the PRBS. Due to the Doppler effect,
the reflected signal experiences a frequency shift of fd ¼ 2 fcv0/c0. For τm ¼ τ0,
m(t  τ0)  m(t  τm) ≈ 1 8 t, Eq. (8) delivers a complex signal with a constant
frequency fd, which can be calculated by using the fast fourier transform (FFT). For
τm 6¼ τ0, m(t  τ0)  m(t  τm) results in noise that is spread in the frequency domain.

Doppler Radar Sensor Platform

Scalable Transceiver Architecture

Figure 3 shows the scalable radar platform that combines a low-frequency LO signal
generation unit and several transceiver units equipped with LO buffers and
frequency multipliers (Ng et al. 2017a). The LO buffers ensure the routing of a
41 Doppler Radar Sensor Platform 851

TX TX
Ant Ant

TRX1 TXout TRXn TXout


Frequency
Synthesizer TX TX
TXmod LOout TXmod LOout

LOin LOin
LO LO
Freq. Buf Freq. Buf
Mult.
×M Mult.
×M
IFout IFout
RX RX
RXmod RXmod
RXin RXin

RX RX
Ant Ant

Fig. 3 Block diagram of the fully differential scalable radar sensor platform combining a
low-frequency LO signal generation unit and several transceiver units equipped with LO buffers
and frequency multipliers. The modular system architecture allows a flexible number of transceiver
units to be cascaded to build a MIMO radar system

sufficient power of the LO signal to the following building blocks and transceiver
unit, while the frequency multiplier is responsible for the upscaling of the LO
frequency to the target operating frequency. An active power divider provides the
upscaled LO signal to the TX and RX paths that are connected to the antenna
elements. This modular system architecture allows a flexible number of transceiver
units to be cascaded for MIMO radar system.
Figure 4 shows the measurement and simulation results of the TX output power
and the RX voltage conversion gain of the 60- and the 120-GHz transceiver. The
60-GHz transmitter achieves a maximum output power of 11.5 dBm at 60 GHz,
while the measured maximum output power of the 120-GHz transmitter is 5 dBm at
120 GHz. The 60-GHz receiver achieves a maximum RX conversion gain of 24 dB
at 60 GHz, while the measured maximum RX conversion gain of the 120-GHz
receiver is 21 dB at 120 GHz. The input referred 1-dB compression point (P1dB) is
around 12 dBm for the 60-GHz receiver and –11 dBm for the 120-GHz receiver.
Figure 5a shows the LO signal routing and the frequency multiplier architecture.
The LO signal from the predecessor transceiver unit is fed to the first LO buffer,
which is used as an active power splitter to drive the frequency multiplier and the
succeeding LO buffer. In this way, the LO signal with sufficient output power can be
output to the next transceiver unit. The frequency multiplier is realized as a cascade
of either a frequency doubler or tripler. In this work, the LO frequency of 30 GHz is
chosen for the 60- and 120-GHz radar transceiver. A single frequency doubler is
required for the 60-GHz transceiver while the 120-GHz transceiver makes use of two
cascaded frequency doublers to scale up the LO frequency to the 120-GHz frequency
range.
852 H. J. Ng

14 27

12 24

10 21
TX Output Power (dBm)

RX conversion gain (dB)


8 18

6 15

4 12

2 9
61GHz meas. 61GHz meas.
0 6 61GHz sim.
61GHz sim.
122GHz meas. 122GHz meas.
-2 3 122GHz sim.
122GHz sim.
-4 0
50 52 54 56 58 60 62 64 66 68 70 50 52 54 56 58 60 62 64 66 68 70
100 104 108 112 116 120 124 128 132 136 140 100 104 108 112 116 120 124 128 132 136 140
Frequency (GHz) Frequency (GHz)

(a) (b)
26 0.4
61GHz meas. IIF+
24 61GHz sim. 0.3 IIF-
122GHz meas. QIF+
22 122GHz sim. QIF-
0.2
RX conversion gain (dB)

IF Amplitude (V)

20
0.1
18
0.0
16
-0.1
14
-0.2
12

10 -0.3

8 -0.4
-30 -25 -20 -15 -10 -5 0 5 0.0 0.5 1.0 1.5 2.0
Input power (dBm) Time (ms)

(c) (d)
Fig. 4 Measurement and simulation results of the 60- and the 120-GHz transceiver: (a) TX output
power over the frequency, (b) RX voltage conversion gain over the frequency, and (c) RX voltage
conversion gain over the input power. (d) The measurement results of the mixing products at the
outputs of the I/Q mixer

To enable the distant selectivity in the Doppler radar, the transceiver has to be
additionally equipped with two BPSK modulators. The first BPSK modulator is
placed in the TX path preceding the power amplifier, as shown in Fig. 5b. The TX
signal is modulated with the PRBS by using the first BPSK modulator, of which
modulated output signal is then fed to the power amplifier as the output stage of the
TX path. The second BPSK modulator is placed in the RX path preceding the I/Q
mixers. The carrier signal fed to the RX path is modulated with the delayed version
of the same PRBS by using the second BPSK modulator, of which modulated output
signal is then fed to the I/Q receiver.
The I/Q receiver is composed of a 90° hybrid coupler followed by two down-
conversion mixers and a low-noise amplifier. The 90° hybrid coupler generates two
equal quadrature signals with a 90° phase difference required by the two mixers to
down-convert the RX signal and generate a complex IF signal. At higher frequencies,
this hybrid coupler can be efficiently implemented as a branch-line coupler by using
41 Doppler Radar Sensor Platform 853

TX

PA
from prev. TRX to next TRX
LOin LOout TXmod BPSK
Mod
×2 ×2 to TX/RX
path LO
(a) (b)

LO

RXmod BPSK LO
Zd Mod
Zd
RXmod BPSK
Mod
λ/4
Zd
√ λ/4 λ/4
Zd

2 2
λ/4

Zd
IIF QIF IIF Mixer QIF

LNA LNA

RX RX
(c) (d)

Fig. 5 Block diagram of (a) the LO routing and frequency multiplier, (b) the TX path consisting of
a BPSK modulator and power amplifier, (c) the RX path consisting of a another BPSK modulator, a
branch-line coupler, two down-conversion mixers, and LNA, (d) similar RX path with a polyphase
filter instead of the branch-line coupler

four sections of λ/4 long differential transmission lines (TLs) that are joined together
to create a rectangle and four ports, as shown in Fig. 5c. Each port is formed
pffiffiffi by two
sections of TLs with differential characteristic impedances Zd and Z d = 2. One port
serves as an input, two other ports output the in-phase and quadrature signals and the
last port is terminated with a resistor with the same value of the characteristic
impedance Zd. Since the branch-line coupler requires a chip area that increases with
increasing wavelength, it is not suitable for I/Q receiver at lower frequencies. Instead,
polyphase filter that makes use of resistors (R) and capacitors (C) can be made much
more compact. Two RC and CR networks, as shown in Fig. 5d, are utilized to shift the
phase of the input signal by 45° and +45°, such that a total phase difference of 90° is
formed between the two output signals of the polyphase filter.
854 H. J. Ng

Circuit Blocks

The fully differential circuit topology is very advantageous and commonly applied in
the mm-wave integrated circuits. The balanced design exhibits higher immunity to
common-mode noise and provides an increased distortion-free dynamic range. The
transceiver for Doppler radar includes several building blocks such as LO buffer,
frequency multiplier, BPSK modulator, power amplifier, down-conversion mixer,
and low-noise amplifier.

Transmission Lines

At mm-wave frequency range, microstrip transmission lines are commonly utilized


intensively as equivalent inductors, for the impedance matching and for the signal
routing between the circuit blocks. The signal lines are implemented by using an
upper and thicker metal layer for better quality factor, while the thinner and lower
metal layer is used as ground plane to shield the signal from the substrate and to
allow TEM wave propagation in single-ended excitation. Figure 6 shows single-
ended and differential microstrip transmission lines with the equivalent lumped
components. The lumped component models for the transmission lines can be
calculated with the help of electromagnetic simulations. These transmission lines
can be used to create various arbitrary impedances, as given in (Pozar 2011).

ZL þ jZ 0 tan βl
Z in ¼ Z0 , ð9Þ
Z0 þ jZ L tan βl

where ZL is the impedance of the termination load, Z0 is the characteristic line


impedance, l is the length of the transmission line, β ¼ 2πλ is the phase constant,
and λ is the wavelength. Using Eq. (9), the equivalent inductance of a single-ended
transmission line with a short at its end can be calculated as

Z0
L¼ tan βl, ð10Þ
2πf

i i i
v11 v11 v22

(a) (b)

Fig. 6 (a) Single-ended and (b) differential microstrip transmission lines with the corresponding
equivalent lumped components. The higher and thicker metal layer is used for the signal lines, while
the lower and thinner metal layer is used as ground plane. The values of equivalent lumped
components can be calculated using an electromagnetic field simulation and used as models for
spice simulations
41 Doppler Radar Sensor Platform 855

and the equivalent capacitance of the single-ended transmission lines with an open at
its end is given as

1
C¼ : ð11Þ
2πfZ 0 cot βl

These models and simple calculation rules can help to speed up the design
process of the circuit blocks.

Amplifier

Amplifiers are the most essential building blocks of the radar transceiver. The
scalable radar sensor platform utilizes a number of amplifiers for different purposes
and at three different frequency bands. The circuit topology is used to implement a
buffer for the 30-GHz LO signal and an active power splitter to provide the TX and
LO paths with a sufficient input power at 60 and at 120 GHz. For these two purposes,
the inputs and the outputs of the amplifiers are matched for optimum reflection
coefficients. The inputs and the outputs of the buffer are both matched to a differ-
ential impedance of 100 Ω, while the active power splitter is matched to a differential
impedance of 100 Ω at the input and to 50 Ω at the output in order to allow
connection of two 100-Ω transmission lines in parallel. In a slightly modified
version, the circuit topology is also used as a power amplifier (PA) to drive the TX
antenna at 60 and at 120 GHz and as a low-noise amplifier (LNA) to amplify a very
weak RX signal without significantly reducing its signal-to-noise ratio. The output of
the PA is matched for a maximum output power, while the input of the LNA is
matched for a minimum noise figure.
In most cases an amplifier is required to provide a significant gain and an isolation
between its input and output. Figure 7a shows the schematic of the amplifier which is
implemented in a common-emitter configuration with a common-base cascode and
inductor loads using microstrip transmission lines. The differential pair formed by
the HBTs T1–T2, of which emitters are connected together to a common resistor, is
used as an input stage and provides the transconductance. The cascode pair formed
by the HBTs T3–T4 enables a higher input–output isolation and increases the gain. A
quasi-current mirror is used to provide a tail current for the differential pair which
together with the tail resistor forms the mirrored current. This technique helps to
reduce the headroom voltage required for a complete tail current source. Impedance
matching is accomplished by using transmission lines and capacitors are employed
as ac couplings at the input and the output of the circuit. Figure 7b shows the chip
photo of the 60-GHz amplifier that was implemented separately for characterization
purpose.
Figure 8 shows the measured and simulated S parameter of the 30-, 60-, and
120-GHz amplifier. The measurement were done on wafer using the Rohde and
Schwarz (R&S) vector network analyzer (VNA) ZVA67 in a true differential mode
which is suitable for testing balanced devices. The implemented amplifier has good
856 H. J. Ng

I0
Vout,n

Vout,p TB2

T3 T4

Vin,p T1 T2 TB1

Vin,n
IB
nIB

(a) (b)
Fig. 7 (a) Schematic of the 30-, 60-, and 120-GHz amplifier implemented as a differential pair in a
common-emitter configuration with a common-base cascode. (b) Micrograph of a 60-GHz amplifier
on a test chip

input and output matching and its gain is higher than 12 dB at its maximum. The
measurement results agree very well with the simulation results.

Down-Conversion Mixer

Figure 9 shows the implemented double-balanced mixer based on the Gilbert-cell


circuit. It consists of a differential input stage formed by the HBTs T1–T2, whose
collectors are connected to two differential pairs formed by the HBTs T3–T4 and the
HBTs T5–T6 are used as a switching quad. The RX signal is fed to the differential
input stage, while the switching quad is driven by the LO signal. The collectors of
the switching quad are cross-connected with the opposite phases to sum the output
currents of the opposite phases. Two resistors as well as two capacitors are used as
passive pull-up loads and low-pass filters for the differential IF output signal. The
mixer also includes an inductor implemented as a microstrip transmission line for the
emitter degeneration to improve its linearity.
Figure 10a shows the measured and simulated gain of the 60-GHz down-conver-
sion mixer at varying RF input power and an LO input power of 0 dBm. The
measured 1-dB input referred compression point of the mixer is around -3 dBm,
which is around 9 dB higher than the 1-dB compression point of the whole receiver.
Figure 10b shows the measured and simulated gain of the 60-GHz down-conversion
mixer at varying LO input power. The gain is almost constant with an LO input
41 Doppler Radar Sensor Platform 857

20 20

0 0
Magnitude (dB)

Magnitude (dB)
-20 -20

-40 -40

-60 -60
meas. S11 meas. S12 meas. S21 meas. S22
meas. S11 meas. S12 meas. S21 meas. S22
sim. S11 sim. S12 simu. S21 sim. S22
sim. S11 sim. S12 simu. S21 sim. S22 -80
-80
10 20 30 40 50 40 45 50 55 60 65 70
Frequency (GHz) Frequency (GHz)

(a) (b)
20

0
Magnitude (dB)

-20

-40

-60
meas. S11 meas. S12 meas. S21 meas. S22
sim. S11 sim. S12 simu. S21 sim. S22
-80
90 100 110 120 130 140
Frequency (GHz)
(c)

Fig. 8 Measured and simulated S parameter of the amplifier at: (a) 30 GHz, (b) 60 GHz, (c) and
120 GHz

I0
VIF,p VIF,n

T3 T5 TB2
VLO,p T4 T6

VLO,n
VRF,p T1 T2
TB1
VRF,n
nIB IB

(a) (b)

Fig. 9 (a) Schematic of the 60- and 120-GHz down-conversion mixer implemented using the
Gilbert-cell circuit topology. (b) Micrograph of a 60-GHz mixer on a test chip
858 H. J. Ng

18 18
16 16
Voltage conversion gain (dB)

Voltage conversion gain (dB)


14 14
12 12
10 10
8 8
6 6
4 4
2 2
0 meas. 0 meas.
-2 sim. -2 sim.
-4 -4
-30 -25 -20 -15 -10 -5 0 5 -30 -25 -20 -15 -10 -5 0 5
RF input power (dBm) LO input power (dBm)

(a) (b)

Fig. 10 Measured and simulated voltage conversion gain of the down-conversion mixer (a) with
varying RF input power and (b) with varying LO input power. Note that the 1-dB input referred
compression power is around 3 dBm

power higher than 4 dBm. The mixer is intended to be operated in the LO


saturation region with an LO power of around 3 to 0 dBm. The 120-GHz down-
conversion mixer shows quite similar results.

Frequency Doubler

To strictly follow the differential circuit topology, the frequency multiplier of the
transceiver is implemented as double-balanced Gilbert-cell-based frequency dou-
blers. The schematic as well as the chip photo of the frequency doubler are depicted
in Fig. 11. The inputs of the Gilbert-cell circuit, namely the differential amplifier
input stage formed by HBTs T1–T2 and the switching quad input formed by HBTs
T3–T6 are shorted together to form a single input for the frequency doubler. Ideally a
multiplication of two input signals with the same amplitude, frequency, and phase
result in an output signal having two spectral components, at double the input
frequency and at zero frequency, with both components having half the signal
amplitude. To remove the DC signal component, one of the input signal has to be
delayed by a quarter wavelength, resulting in
   
π 1 π
cos ð2π f 1 tÞ  cos 2π f 1 t ¼ cos 2π2 f 1 þ : ð12Þ
2 2 2
In the circuit implementation, a transmission line of a quarter wavelength is
inserted between the collector output of the differential stage and the emitter input
of the switching quad. This results in a higher output power at twice the input
frequency and thus contributes to a higher conversion gain, since the DC component
of the output is removed.
A frequency doubler from 30 GHz to 60 GHz is required for the 60-GHz
transceiver and an additional frequency doubler from 60 GHz to 120 GHz is
41 Doppler Radar Sensor Platform 859

Vout,p Vout,n

I0

T3 T5 TB2
T4 T6

≈ λ/4 ≈ λ/4

Vin,p T1 T2 TB1

Vin,n
IB
nIB

(a) (b)

Fig. 11 (a) Schematic of the frequency doubler implemented using the Gilbert-cell circuit topol-
ogy. (b) Micrograph of the frequency doubler on a test chip

designed for the 120-GHz transceiver. Figure 12 shows the measurement and
simulation results of the two frequency doublers. Although both frequency doublers
consume the same 10 mA from a 3.3 V supply voltage, the former exhibits a better
performance. Its output power and conversion gain at 5 dBm input power are at
least 6 dB better than the latter, which works at a higher operating frequency closer to
the fT/fmax of the SiGe technology.

BPSK Modulator

The implemented BPSK modulator is based on the Gilbert-cell multiplier. Figure 13


shows the schematic of the BPSK modulator which consists of an input differential
amplifier stage formed by HBTs T1–T2, whose collectors are connected to two
differential pairs T3–T4 and T5–T6 acting as a switching quad. The collectors of
the switching quad are cross-connected with the opposite phases to sum the output
currents of the opposite phases. Another differential amplifier pair followed by a pair
of emitter–followers is used as a pre-amplifier for the differential digital signal which
is the PRBS. The output signal of the pre-amplifier is fed to the switching quad and
modulates the carrier signal that is fed to the differential amplifier stage of the
Gilbert-cell circuit. A change of the polarity in the PRBS results in a phase shift of
180° in the output signal of the BPSK modulator.
Figure 14 shows the spectrum of the TX signal when the BPSK modulator is fed
with a PRBS with a clock frequency of 500 MHz and 1 GHz. Ideally the spectrum of
the PRBS has a form of sinc2 – shaped envelope with a center frequency at 0. The
860 H. J. Ng

12.5 11 5 4

7.5 9 0 2

Conversion Gain (dB)

Conversion Gain (dB)


Output Power (dBm)

Output Power (dBm)


-5 0
2.5 7
-10 -2
-2.5 5
-15 -4
-7.5 3
-20 -6
-12.5 1 -25
Measured Measured -8
Simulated Simulated
-17.5 -1 -30 -10
-15 -12.5 -10 -7.5 -5 -2.5 0 2.5 5 -15 -12.5 -10 -7.5 -5 -2.5 0
Input Power (dBm) Input Power (dBm)
(a) (b)

Fig. 12 Measured and simulated output power and conversion gain of the frequency doubler: (a)
from 30 GHz to 60 GHz and (b) from 60 GHz to 120 GHz

Vout,p Vout,n

A T3 T5
T4 T6 I0

Pre Amplifier Vin,p T1 T2 TB1

Vin,n
IB
nIB

Fig. 13 Schematic of the Gilbert-cell-based BPSK modulator

first minima directly correspond to the modulation bandwidth which is the clock
frequency of the PRBS. This spectrum is shifted by the carrier frequency of 60 GHz
due to the BPSK modulation.

Experimental Results

Hardware Implementation

A two-channel MIMO radar system was built using the 60- and 120-GHz radar
transceivers. For the 60-GHz radar system, a special four-layer printed circuit
board (PCB) using a combination of Rogers RO3003 as well as FR4 substrate
was created to accommodate a 30-GHz voltage-controlled oscillator (VCO) chip,
41 Doppler Radar Sensor Platform 861

-60
f =500MHz
clk
-65
f =1GHz
clk
-70
Modulated Spectra (dBm)

-75

-80

-85

-90

-95

-100
58 58.5 59 59.5 60 60.5 61 61.5 62
Frequency (GHz)

Fig. 14 Measured spectra of the TX signals around the carrier frequency of 60 GHz with BPSK
modulation at 500 MHz and 1 GHz clock frequency

two 60-GHz transceiver chips, and 2 TX as well as 2 RX antennas. Its photo can
be seen in Fig. 15a. The RO3003 substrate with a thickness of 127 μm as well as a
relative dielectric constant of around 3 is very suitable for the implementation of
the mm-wave antennas while the FR4 substrate with a much higher thickness of
1.13 mm is laminated under the RO3003 for stability. The VCO and the trans-
ceiver chips were glued and wirebonded on the front side of the PCB. The V-band
differential microstrip patch antenna topology (Tong et al. 2008), which is com-
posed of four radiating elements and achieves a maximum gain of 12 dBi, a
bandwidth of 5 GHz as well as a maximum radiation efficiency of 89% is used to
implement 2 RX antennas with a spacing of 0.5λ and another 2 TX antennas with
a spacing of 1λ. This antenna configuration results in four virtual array elements,
with angular range of 90° and angular resolution of 28.6° at the relative angle of
0° (Trees 2002).
The two-channel radar system consisting of the 30-GHz VCO, two scalable
transceivers, and four antennas can be fully integrated into one single chip if the
operating frequency is doubled from 60 to 120 GHz. At 120 GHz, the wavelength
becomes small enough that several folded dipole antennas can be implemented
directly on the chip (Ng et al. 2017b, 2018; Ng and Kissinger 2018). The dipoles
and their microstrip feeding lines are realized on the thickest topmost metal layer
while the ground plane is formed on the bottom metal layer on the backend of line.
To improve the radiation of the on-chip antennas, small areas of lossy silicon around
the antennas are removed using a special micromachining or etching technique also
known as localized backside etching (LBE) technique (Wang et al. 2012; Ng et al.
2016). This results in high-performance folded dipole antenna with an antenna gain
862 H. J. Ng

TX1 TX2

TX1 TX2

VCO TRX TRX VCO


1 2

RX1 RX2
RX1 RX2

(a) (b)

Fig. 15 (a) Photograph of the scalable two-channel 60-GHz MIMO radar board using Rogers
RO3003 and FR4 substrate. A 30-GHz VCO and two cascaded 60-GHz transceiver chips were
glued and wirebonded on the board. (b) Photograph of the fully integrated two-channel 120-GHz
radar system in a QFN package. Since the antennas are directly integrated on chip, the sensor can be
made very compact and only a cheap two-layer FR4-PCB can be used to accommodate the chip

of around 5 dBi per antenna element. This fully integrated two-channel radar chip
with on-chip antennas was put into a standard quad-flat no-leads (QFN) package. A
simple two-layer PCB using only a standard FR4 substrate was created to accom-
modate the package chip. Its photo can be seen in Fig. 15b. Since everything is fully
integrated on chip, the radar sensor at 120 GHz can be made very compact.

Radar Measurements

To demonstrate the capability of the implemented two-channel Doppler radar sensor,


measurements were performed in an anechoic chamber using loud speakers as
vibrating objects. Figure 16 shows the setup of the measurements in the anechoic
chamber. The VCO is stabilized by using the analog devices PLL ADF4159 to
generate a 30 GHz LO signal which is multiplied to 60 GHz by the frequency
doubler inside the transceivers. Two loud speakers were positioned at a distance of
2.4 and 3.3 m and were connected to audio amplifiers that were fed with two sine-
wave signals with frequencies of 9 Hz and 11 Hz. The BPSK modulators of the
two-channel radar were fed with the four PRBSs generated by the arbitrary wave-
form generator (AWG). All four PRBS have the same sequence with the same length
but with different starting points. The PRBSs were generated with two different
clock frequencies of 500 MHz and 1 GHz that correspond to a range resolution of
41 Doppler Radar Sensor Platform 863

2-Ch Loudspeakers
Oscilloscope Radar 11 Hz
RTO1044 9 Hz
3.3 m
2.4 m

Voltage
Supply
2-Ch
Radar
AWG
Generator
7102

2-Ch Function
Generator
9 and 11 Hz

(a) (b)
Fig. 16 (a) Measurement setup consisting of the two-channel radar sensor, the sine wave generator
9 and 11 Hz the voltage supply and the oscilloscope. (b) Two loud speakers vibrating at 9 and 11 Hz
were placed in front of the radar at 2.4 m and 3.3 m, respectively

30 cm and 15 cm, respectively. The first PRBS is meant for the TX path of the first
channel without any delay. The second PRBS is meant for the RX path of the first
channel and is delayed by a value from 0 to a delay value that corresponds to a
distance of 6 m. The third PRBS is shifted by half of the total length of the sequence
and is used for the TX path of the second channel. The fourth PRBS is again delayed
by a value from 0 to a delay value that corresponds to a distance of 6 m. With this
setup, PRN-coded Doppler radar measurements (Ng et al. 2014) could be performed
to determine the vibrating frequencies of the loud speakers and their positions in the
anechoic chamber.
The analog output IF signals of the Doppler radar were captured by the oscillo-
scope and converted into digital. FFTs were performed on the digitized signals to get
their spectra at various delay setups corresponding to various ranges. The spectra
were then used to form spectrograms. The spectrograms of the PRN-coded Doppler
radar measurements performed at two different PRBS clock frequencies with two
loud speakers used as test objects can be seen in Fig. 17. The spectrograms for the
higher PRBS clock frequencies are denser compared to the spectrograms for the
lower PRBS clock frequencies. The higher clock frequency results in a higher range
resolution but it also takes longer measurement time to cover a specified measure-
ment range. The lower clock frequency results in a shorter measurement time, but the
range resolution is also lower. The two loud speakers can be clearly identified at 2.4
and 3.3 m vibrating at 9 and 11 Hz in both spectrograms. The vibrations also result in
harmonics at 18 and 22 Hz.
864 H. J. Ng

Figure 18a illustrates the IF signal amplitudes versus the ranges at IF frequency of
9 Hz using two different PRBS clock frequencies of 500 MHz and 1 GHz. The first
loud speaker can be clearly located at around 2.4 m. The higher PRBS clock
frequency results in a narrower peak and thus higher range resolution. In Fig. 18b
showing the range measurement results at an IF frequency of 11 Hz, the second loud
speaker can be clearly seen located at around 3.3 m.
The measurement results using the 2 TX and 2 RX channels were used to generate
range information for four virtual array elements. These 4 results were compiled to
calculate the two-dimensional positions of the two loud speakers by means of digital
beamforming method (Feger et al. 2009). Figure 19 shows the positions of the loud
speakers in x and y coordinate system at 9 and 11 Hz. The location of the radar

Fig. 17 Spectrograms of the distant-selective Dopppler radar measurements with two loud
speakers (LSs) with a PRBS clock frequency of (a) 500 MHz and (b) 1 GHz. The two loud
speakers can be clearly identified at 2.4 and 3.3 m vibrating at 9 and 11 Hz. The vibrations also
result in harmonics at 18 and 22 Hz

0 0
f =500MHz f =500MHz
clk clk
-10 f =1GHz -10 f =1GHz
clk clk
Magnitude (dB)

Magnitude (dB)

-20 -20

-30 -30

-40 -40

-50 -50
0 1 2 3 4 5 6 0 1 2 3 4 5 6
Range (m) Range (m)
(a) (b)

Fig. 18 Range measurement results with two loud speakers (LSs) at an IF frequency of (a) 9 Hz
and (b) 11 Hz. At 9 Hz, the vibration of the first LS is located at a range of 2.4 m. At 11 Hz, the
vibration of the second LS is located at a range of 3.3 m. The width of the peaks decreases with
increasing clock frequency due to improvement in the range resolution
41 Doppler Radar Sensor Platform 865

sensor is at the origin (0,0). The first figure clearly shows that the first loud speaker is
located on the right side at about 24° and 2.4 m distance. The second loud speaker
can be clearly seen on the left side at around 18° and 3.3 m distance in the second
figure.
Without the PRBS modulation, the Doppler radar delivers the vibration/velocity
frequencies of any objects, as can be seen in the two peaks at 9 and 11 Hz in Fig. 20a.
The additional peaks at 18 and 22 Hz are due to the harmonics of the vibrations.
From this spectrum, there is no way to tell which loud speaker delivers which
vibrating frequencies. On top of that, in real measurements, there are usually many
unwanted objects that also deliver vibration information. Using the conventional
Doppler radar, there is no way to filter out this information. Using the PRN-coded
Doppler radar, the delay of the PRBS in the RX path can be kept constant to focus
only on the specific object. If a second object is present in the measurement, this

Fig. 19 RX digital beamforming results for determining the 2D positions of the loud speakers at IF
frequency of (a) 9 Hz and (b) 11 Hz. The first loud speaker can be clearly seen at around 2.4 m and
24°, while the second loud speaker is at around 3.3 m and –18°

0 0
uncod PRN cod @LS1
PRN cod @LS2
-10 -10
Magnitude (dB)

Magnitude (dB)

-20 -20

-30 -30

-40 -40

-50 -50
0 5 10 15 20 25 0 5 10 15 20 25
Frequency (Hz) Frequency (Hz)
(a) (b)

Fig. 20 Spectra of the radar measurements using (a) the conventional Doppler radar mode and (b)
PRN-coded Doppler radar mode at two different delay setups corresponding to the distances of
the two loud speakers. In the result showing the Doppler frequency shifts due to the vibrations of the
two loud speakers in the conventional Doppler radar mode, there is no way to distinguish
the objects. In the PRN-coded mode, the vibration of only one of the loud speakers results in the
Doppler frequency shift, while the vibration of the other loud speaker is suppressed
866 H. J. Ng

object can be simply hidden by using the PRBS modulation. Figure 20b shows the
spectrum of two measurements using two different delay configurations
corresponding to the distance of the two loud speakers. The first curve clearly
shows the peaks due to the vibration frequency of the first loud speaker at 9 Hz.
The vibration of the second loud speaker is suppressed by more than 30 dB.
In the second curve, only the vibration of the second loud speaker is shown at
11 Hz. The vibration of the first loud speaker is suppressed by 30 dB.

Conclusion

A sensor platform suitable for distant-selective PRN-coded Doppler radar measure-


ments of moving or vibrating objects is described. The SiGe BiCMOS technology
has proven to be very suitable to implement mm-wave transceivers cost-effectively.
The 60- and 120-GHz radar transceivers are equipped with BPSK modulators as well
as I/Q receivers and can be combined with the PRN modulation to measure not only
the Doppler frequency shifts due to vibration and movement of objects but also their
distances. The supported technique is especially very useful to suppress noise from
the environment. A suppression of unwanted signals of more than 30 dB can be
expected using the PRN-coded Doppler radar mode. MIMO radar system can be
built by cascading the scalable transceivers to allow digital beamforming operation
of the radar. Radar measurements could be performed on vibrating loud speakers to
determine their 2D positions and vibrating frequencies successfully. The results are
very promising for addressing new applications in many different sectors.

Acknowledgments The author would like to acknowledge the support and contributions from
IHP-Leibniz Institut für innovative Mikroelektronik.

References
Feger R, Wagner C, Schuster S, Scheiblhofer S, Jager H, Stelzer A (2009) A 77-GHz FMCW
MIMO radar based on an SiGe single-chip transceiver. IEEE Trans Microwave Theory Tech
57(5):1020–1035
Gu C, Li R, Zhang H, Fung AYC, Torres C, Jiang SB, Li C (2012) Accurate respiration measure-
ment using dc-coupled continuous-wave radar sensor for motion-adaptive cancer radiotherapy.
IEEE Trans Biomed Eng 59(11):3117–3123
Heide P, Magori V, Schwarte R (1995) Coded 24 GHz Doppler radar sensors: a new approach to
high-precision vehicle position and ground-speed sensing in railway and automobile applica-
tions. In: IEEE MTT-S international microwave symposium, vol 2, Orlando, pp 965–968
Heide P, Magori V, Schwarte R (2014) A fully-integrated 77-GHz UWB pseudo-random noise radar
transceiver with a programmable SequenceGenerator in SiGe technology. IEEE Trans Circuits
Syst I Regul Pap 61(8):2444–2455
Ng HJ, Kissinger D (2018) Highly miniaturized 120-GHz SIMO and MIMO radar sensor with
on-chip folded dipole antennas for range and angular measurements. IEEE Trans Microwave
Theory Tech 66(6):2592–2603
41 Doppler Radar Sensor Platform 867

Ng HJ, Feger R, Stelzer A (2014) A fully-integrated 77-GHz pseudo-random noise coded doppler
radar sensor with programmable sequence generators in SiGe technology. In: 2014 IEEE MTT-S
international microwave symposium (IMS 2014), Tampa, pp 1–4
Ng HJ, Wessel J, Genschow D, Wang R, Sun Y, Kissinger D (2016) Miniaturized 122 GHz system-
on-chip radar sensor with on-chip antennas utilizing a novel antenna design approach. In: 2016
IEEE MTT-S international microwave symposium (IMS), San Francisco, pp 1–4
Ng HJ, Kucharski M, Ahmad W, Kissinger D (2017a) Multi-purpose fully differential 61- and
122-GHz radar transceivers for scalable MIMO sensor platforms. IEEE J Solid State Circuits
52(9):2242–2255
Ng HJ, Ahmad W, Kucharski M, Lu JH, Kissinger D (2017b) Highly-miniaturized 2-channel
mm-wave radar sensor with on-chip folded dipole antennas. In: 2017 IEEE radio frequency
integrated circuits symposium (RFIC), Honolulu, pp 368–371
Ng HJ, Feger R, Kissinger D (2018) Scalable mm-wave 4-channel radar SoC with vector modu-
lators and demodulators for MIMO and phased array applications. In: 2018 IEEE/MTT-S
international microwave symposium – IMS, Philadelphia, USA, pp 1472–1475
Nicolson S, Chevalier P, Sautreuil B, Voinigescu S (2008) Single-chip W-band SiGe HBT trans-
ceivers and receivers for doppler radar and millimeter-wave imaging. IEEE J Solid State Circuits
43:2206–2217.
Pozar DM (2011) Microwave engineering, 4th edn. Wiley. [Online]. Available: http://www.wiley.
com/college
Rucker H et al (2010) A 0.13 μm SiGe BiCMOS technology featuring fT/fmax of 240/330 GHz and
gate delays below 3 ps. IEEE J Solid State Circuits 45(9):1678–1686
Tong Z, Wagner C, Feger R, Stelzer A, Kolmhofer E (2008) A novel differential microstrip patch
antenna and array at 79 GHz. In: 2008 international symposium on antennas and propagation,
Taipei, pp 1–5
Trees HLV (2002) Optimum array processing: part IV of detection, estimation, and modulation
theory, 1st edn. John Wiley & Sons, Inc., New York, USA
Wang R, Sun Y, Kaynak M, Beer S, Borngraber J, Scheytt JC (2012) A micromachined double-
dipole antenna for 122-140 GHz applications based on a SiGe BiCMOS technology. In: 2012
IEEE MTT-S international microwave symposium digest (MTT), Montreal, Canada, pp 1–3
Wang S, Pohl A, Jaeschke T, Czaplik M, Kony M, Leonhardt S, Pohl N (2015) A novel ultra-
wideband 80 GHz FMCW radar system for contactless monitoring of vital signs. In: 2015 37th
annual international conference of the IEEE engineering in medicine and biology society
(EMBC), Milan, Italy, pp 4978–4981
Will C, Shi K, Schellenberger S, Steigleder T, Michler F, Fuchs J, Weigel R, Ostgathe C, Koelpin A
(2018) Radar-based heart sound detection. Sci Rep 8(1):11551. https://doi.org/10.1038/s41598-
018-29984-5
Intelligent Intracortical Brain-Machine
Interfaces 42
Next Generation of Scalable Neural Interfaces

Shoeb Shaikh and Arindam Basu

Contents
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 870
BMI: Recording Modalities . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 872
State-of-the-Art Intracortical Brain Machine Interfaces . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 873
Scalability of Architectures . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 875
Raw Data . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 875
Compressed Data . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 875
Features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 876
Decoded Outputs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 876
Intelligent iBMI – i2BMI . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 878
Non von-Neumann Architecture Based i2BMI . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 879
Spiking Neural Network-Based i2BMI . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 881
Analog Computing-Based i2BMI . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 884
Future Directions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 884
Recording More Neurons to Build More Dexterous iBMIs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 884
Fully Implantable Wireless iBMI . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 886
Dealing with Nonstationarity . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 886
Toward Autonomous iBMIs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 887
References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 888

Abstract
Intracortical brain machine interfaces (iBMIs) are essential in restoring the quality
of life of severely motor impaired patients. They take neural activity as an input,
which is then subject to signal processing and neural decoding, in order to drive
prosthetics which enable paralyzed individuals perform activities of daily living.
Significant technological advances and simple proof of concept demonstrations
have been made in the recent past. Furthermore, in order to increase the dexterity
of iBMI systems, the number of simultaneously recorded neurons is

S. Shaikh · A. Basu (*)


School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore,
Singapore
e-mail: SHOEBDAW001@e.ntu.edu.sg; arindam.basu@ntu.edu.sg

© Springer Science+Business Media, LLC, part of Springer Nature 2022 869


M. Sawan (ed.), Handbook of Biochips,
https://doi.org/10.1007/978-1-4614-3447-4_64
870 S. Shaikh and A. Basu

exponentially rising mimicking a Moore-like law with doubling observed after


every 7 years. However, state-of-the-art iBMI systems involve bulky, wired
devices that limit mobility and pose infection risks. The answer to these chal-
lenges lies in building wireless fully implantable iBMI systems that (a) adhere to
the power dissipation constraint of 80 mW/cm2 in cortical territory, and (b) are
able to scale to an exponential increase in input data emanating from simulta-
neously recorded neurons. To this end, it is shown that embedding signal pro-
cessing and machine learning capabilities in the implant lends itself virtually
independent to the scaling of input neuronal data. Since machine learning-based
inference is implemented in the implant itself, such a system is known as an
intelligent iBMI (i2BMI). Reported i2BMI systems resort to unconventional forms
of computing architectures in order to respect the power dissipation constraints
dictated by the cortical territory. Prominent proof-of-concept bio-chips include
spiking neural network (SNN)-based and random projection-based neural net-
work (RPNN) implementations. In particular, bioinspired RPNN chips have
reported a 10X increase in power efficiency, while yielding a superior perfor-
mance over state-of-the-art algorithms such as Kalman filter.

Introduction

As long as our brain is a mystery, the universe, the reflection of the structure of the
brain, will also be, remarked the renowned Nobel winning Spanish neuroscientist
Santiago Raman Cajal. Beyond philosophical musings, it is also of much practical
interest to investigate the structure and functioning of brain as hundreds of millions
of people worldwide are currently suffering from brain related disorders. According
to the World Health Organization (WHO), roughly 50 million suffer from dementia,
50 million suffer from epilepsy, while ten million are plagued by Parkinson’s disease
worldwide (WHO 2020). The estimated total cost resulting from these neural
disorders amounts to approximately US$800 billion in the United States alone.
Figure 1 captures the different areas of the brain along with their associated
pathologies.
To tackle the above challenges, remarkable human effort is being undertaken
globally both by nation-states and private entities. The United States, European
Union, China, Japan, Australia, Canada, and South Korea, among others, direct
multimillion dollar interdisciplinary research programs to aid understanding of the
human brain as well as build cutting-edge neurotechnologies. Furthermore, private
companies such as Neuralink, Facebook, Medtronic, etc., are also in the fray to build
groundbreaking neurotechnology products accessible to people with brain disorders
as well as normal people. These efforts have started to bear fruits, and scientific
understanding coupled with ingenious engineering solutions has paved the way for
unprecedented neural interfaces. For example, 400, 000 people are already fitted
with cochlear implants to aid hearing through electrical impulses sent to the brain via
the auditory nerve. Similarly, thousands of people with chronic conditions such as
Parkinson’s are beneficiaries of deep brain stimulation – a Food and Drug
42
Intelligent Intracortical Brain-Machine Interfaces

Fig. 1 (a) Shows number of people worldwide suffering from neural disorders. It also shows a rough mapping between disorders and different regions of the
brain. (b) Shows examples of neural interfaces and their implantation locations (Erhardt et al. 2018) (CC BY 3.0 licence)
871
872 S. Shaikh and A. Basu

Fig. 2 Shows constituent blocks of a brain machine interface (BMI). The system takes neural
activity as an input, which is then processed to obtain suitable features to drive effectors such as
artificial limb, computer cursor, wheelchair, etc. Typically, an user can visually see efffector’s
movement, and this serves as a feedback mechanism. Other modes of feedback such as neural
stimulation are also being explored to improve the overall efficiency of BMI systems (Table 1)

Administration (FDA)-approved neural stimulation technology that is applied to


suppress tremors. An important point to note is that these aforementioned neural
interfaces are the only form of therapeutic medication available to mitigate/treat the
aforementioned pathologies. Using traditional pharmaceuticals-based drug therapy
is either ineffective or too risky to treat these neural disorders.
The subject of this chapter is to specifically look at systems which facilitate
rehabilitation in patients suffering from motor impairments. Motor impairments are
caused due to conditions such as stroke, cerebral palsy, multiple sclerosis, and spinal
cord injury, among others. Severely motor-impaired patients suffer from complete
loss of voluntary control in all muscles. However, it has been observed that these
patients often have an intact brain. Thus, the scientific community has come up with
a novel neural interface that takes inputs from the brain to drive effectors that assist
these patients in activities of daily living, such as feeding oneself, communication,
and locomotion, among others. This type of neural interface is commonly referred to
as brain machine/computer interface. Figure 2 captures the different building blocks
of a BMI/BCI. To be consistent, we will henceforth stick to BMI as a notation.

BMI: Recording Modalities

Different recording modalities are applied in BMIs. Broadly, the methods fall into
two categories invasive and noninvasive.
BMIs employing noninvasive methods such as EEGs have shown successful
implementations employing computer cursor control, wheelchairs, and exoskeletons
to restore bipedal walking among other things. However, temporal resolution of
42 Intelligent Intracortical Brain-Machine Interfaces 873

Table 1 Summary of neural acquisition methods


Neural Temporal resolution Spatial resolution
Methods activity Invasiveness (s) (mm)
fMRI Metabolic Noninvasive ~1 ~1
NIRS Metabolic Noninvasive ~1 ~5
EEG Electrical Noninvasive ~ 0.05 ~ 10
ECoG Electrical Invasive ~ 0.003 ~1
Intracortical Electrical Invasive ~ 0.003 ~ 0.05

cerebral activity from EEGs does not suffice in most cases resulting in slower,
sluggish systems. These signals pass through bone and skin before reaching the
scalp and hence lack fine resolution offered by intracranial recordings.
Invasive techniques, on the other hand, employ sensors that are placed in close
proximity to the generative source of neural activity, i.e., single neurons. This
enables yielding a very fine resolution signal capable of teasing out more task-
relevant information, resulting in better quality of control. However, invasive tech-
niques come with a safety concern. They require a neurosurgical procedure involv-
ing opening the scalp and penetrating brain tissue. These procedures introduce
infection, damage risks to the exposed tissue.

State-of-the-Art Intracortical Brain Machine Interfaces

As described in the previous section, among different forms of signal acquisition


methods, intracortical brain machine interfaces (iBMIs) offer the best prospect of
achieving near able-bodied dexterity in severely motor impaired patients, and hence
these systems constitute the state of the art. Successful implementations include
iBMI being used to achieve the fastest typing speed to date, feeding oneself,
decoding speech, and locomotion, among others.
Although significant progress has been demonstrated in building iBMI systems,
the field still suffers from major unsolved practical problems. Let us analyze a
subject undergoing a clinical trial as a part of the BrainGate program in Fig. 3.
The first thing to notice is the cables dangling out from the subject’s skull. This
makes the whole system pretty cumbersome and limits the user’s mobility. Further-
more, wires leave an opening in the skull increasing the risk of infection/damage. A
simple suggestion that comes to mind is to wirelessly transmit recorded information
via a fully implantable iBMI system Borton et al. (2013). Such a system stands to
eliminate the wires as well as the risk of infection posed by opening in the skull.
Furthermore, it also makes the system mobile and portable. However, due to high
transmission data rates, Borton et al. (2013) reports a battery lifetime of only 7 h
necessitating frequent battery recharging.
Apart from frequent battery recharging, another challenge, in building a fully
implantable iBMI system, is to limit the amount of heat dissipation from the iBMI
front-end recording system to less than 80 mW/cm2. This limitation is in place to
prevent any damage to the cortical tissue. As the number of electrodes scale
following a Moore-like law with doubling every ≈ 6.4 years (see Fig. 4), for the
874 S. Shaikh and A. Basu

Fig. 3 A BrainGate participant involved in a clinical trial applying intracortical Brain Machine
Interface (iBMI) to browse videos on a commercial tablet computer (Nuyujukian et al. 2018)
(CC BY 3.0 license)

Fig. 4 Stevenson and Kording (2011) have postulated a Moore-like law for simultaneously
recorded neurons wherein a doubling is observed roughly after 7 years
42 Intelligent Intracortical Brain-Machine Interfaces 875

number of simultaneously recorded neurons, it is not feasible to transmit raw data as


it is keeping in mind the aforementioned power consumption and power dissipation
concerns.

Scalability of Architectures

Figure 5 captures different blocks constituting an iBMI and possible wireless


implantable iBMI system level architectures. Different system level architectures
correspond to transmitting data after different steps of processing. The data pro-
cessing before transmission is done in the implant in a fully implantable iBMI
system. Accordingly, the following transmission options have been reported:

Raw Data

Analog information captured from the neural probes is passed through a chain of
front-end circuitry comprising of low-noise amplifiers, variable gain amplifiers,
filters, and so on. Thereafter, Analog to Digital Converters (ADCs) are used to
digitize the signal which we refer to as raw data here.

Compressed Data

Raw data rate runs into several tens of Mbps even for a 100 electrode array leading to
faster battery drainage and heat concerns. Thus, researchers resort to compression
techniques such as compressed sensing and auto-encoders to achieve data-rate
compression in the range of 8–32 times (Thies and Alimohammad 2019).

Fig. 5 Block level constituents of an intracortical brain machine interface (iBMI). Numbers 1, 2,
3, and 4 represent the different iBMI system level architectures corresponding to transmission of
raw data, compressed data, features, and decoded outputs, respectively
876 S. Shaikh and A. Basu

Features

This approach involves transmitting extracted input features from raw neural data. A
popular form of input feature used in iBMIs is the incoming number of spikes
appearing at the electrodes of microelectrode array, while looking back in a pre-
defined time window. For a D input electrode array, the input feature vector, Xi, at
time-step, t ¼ i, is given as,

Xi ¼ ½r 1 ðiÞ r 2 ðiÞ  r D1 ðiÞ r D ðiÞ ð1Þ

where Xiϵ ℝD and rz (i) represent the number of spikes appearing at zth electrode in a
look-back time window of Tw seconds. This input feature vector is then fed to a
decoder block. Alternative feature extraction algorithms to firing rates include local
frequency potentials (LFP), wavelet features, multiunit activity, etc. Despite the
choice of feature extraction algorithm, the input feature vector’s dimension remains
the same as shown in Eq. 1, i.e., Xiϵ ℝD for a D input electrode array at time-step,
t ¼ i.

Decoded Outputs

This approach advocates sending only the commands needed to drive an effector.
The transmitted output vector, at time-step t ¼ i, can either be a continuous (YCi ) or
discrete (YDi ) command depending on the type of iBMI. In case of a computer cursor
driven by continuous state velocity commands in two dimensions, the output vector
is given as,
 
YCi ¼ o1 ðiÞ o2 ðiÞ  op ðiÞ ; YCi ϵ ℝp ð2Þ

where p represents the number of dimensions and equals two in this case. In case of a
discrete type iBMI such as selecting one among finite number of choices on a
keyboard (Santhanam et al. 2006), the output one-hot vector is given as,
 
YDi ¼ o1 ðiÞ o2 ðiÞ  op ðiÞ ; YDi ϵ ℝp ð3Þ

where p represents the number of available choices.


Tables 2 and 3 give a summary of transmission data rates expressions associated
with different iBMI system level architectures. Figure 6 presents calculated values of
transmission data rates in order to study scalability, for different architectures against

Table 2 Summary of Sr. No. System Data rate expression


iBMI architectures
1 Raw data Nchan  fADC  bADC
2 Compressed data Nchan  fADC  bADC  CR
3 Features Nchan  bFeatures  fop
4 Decoded outputs p  bop  fop
42 Intelligent Intracortical Brain-Machine Interfaces 877

Table 3 Symbols used in Symbol Meaning Nominal values


Table 2 with their nominal
Nchan No. of input channels 100–10,000
values
fADC ADC sampling frequency 20–30 kHz
bADC ADC resolution 10–16
CR Compression ratio 8–32
bFeatures Features bit resolution 4–16
fop Decoded outputs frequency 10–50 Hz
p Degrees of freedom of output 2–10
bop Decoded outputs bit resolution 8–16

Fig. 6 Comparison of transmission data rates between systems transmitting – raw data, com-
pressed data, features, and decoded outputs for a ten-dimensional iBMI, p ¼ 10, operating at a
frequency of, fop ¼ 50 Hz, while sweeping input channels, Nchan, from 100 to 10, 000. It can be seen
that the system with decoded outputs is more scalable since its output data rate is >3 orders of
magnitude lower than other options for Nchan ¼ 10 k. Horizontal lines represent the maximum data
rates supported by state-of-the-art wireless iBMIs in order to give context of transmission capacity

number of input channels, Nchan, swept from 100 to 10,000. Reasonable assumptions
of ADC-sampling frequency, fADC ¼ 30 kHz, ADC resolution, bADC ¼ 10, com-
pression ratio, CR ¼ 32, features bit resolution, bFeatures ¼ 8, operating frequency,
fop ¼ 50 Hz, degrees of freedom of outputs, p ¼ 10, and decoded outputs bit
resolution, b ¼ 8 were made to arrive at this plot. Horizontal lines represent the
maximum transmission data rates supported by state-of-the-art wireless iBMIs to
give an idea about the transmission capacity.
As per the Moore-like law timeline seen in Fig. 4, we are at the cusp of reaching
10,000 simultaneously recorded neurons. Thus, observing Fig. 6 for Nchan ¼ 10,000,
one can observe that transmitting decoded output commands provides at least >3
orders of magnitude reduction in data rate compared to other architectures. In fact,
only transmission of decoded commands and features meets the transmission capac-
ities supported by wireless iBMIs of this day.
878 S. Shaikh and A. Basu

Intelligent iBMI – i2BMI

In the previous section, it was observed that implementing steps up to decoding in


the implant lends itself to reduce the transmission data rate resulting from scaling of
recorded neurons. Such a system is referred to as an intelligent intracortical Brain
Machine Interface (i2BMI) owing to its ability to perform intelligent inference.
Summary of previous works advocating for an i2BMI approach can be seen in
Table 4.
i2BMI systems come with the burden of implementing additional signal pro-
cessing steps of (a) feature extraction, and (b) neural decoding in the implant.
Spike detection and subsequent firing rate computation are one of the most popularly
employed feature extraction techniques. Spike-based feature detectors with as low as
40 nW/channel have been reported. Thus, even for Nchan ¼ 10, 000, the power
consumption comes to around merely 400 μW. Hence, adding feature extraction
block in the implant comes with an acceptable increase in power consumption.
However, neural decoding is a different ballgame when it comes to mapping
state-of-the-art algorithms without violating power consumption constraints. We
consider the case of employing state-of-the-art Long Short-Term Memory (LSTM)
(Sussillo et al. 2016) network to illustrate our point. For a single hidden layer LSTM
network with input channels, Nchan ¼ 10,000 and hidden layer, Nhidden ¼ 128, and
degrees of freedom of output, p ¼ 10, the number of multiply and accumulate
operations (MACs) comes to ≈ 405  106. The amount of power dissipated while
carrying out these operations amounts to ≈ 101.25 mW, considering 5 pJ/MAC
energy efficiency and output frequency of fop ¼ 50 Hz. Furthermore, energy is also
expended for moving weights around with typical values of 10 pJ/(SRAM access).
The total amount of power dissipated in implementing LSTMs exceeds by at least an
order of magnitude the 10 mW limit set for safe operation in the cortical territory.
In order to abide by the area/power constraints of the cortical territory while
performing neural decoding, the reported works in Table 4 utilize an alternate
framework of computing known as neuromorphic computing. Neuromorphic com-
puting essentially employs one of the following principles to achieve orders of
magnitude reduction in processing power compared to traditional deep-learning
architectures:

Table 4 Summary of i2BMI works


Bench- Real-time Animal
Works Hardware marking analysis experiment
Rapoport et al. Yes No No Rodent
(2012)
Dethier et al. (2013) No Yes No NHP
Chen et al. (2016) Yes No No NHP
Boi et al. (2016) Yes No No Rat
Shaikh et al. (2019a) Yes Yes Yes NHP
Shaikh et al. (2019b) Yes Yes No NHP
NHP stands for nonhuman primate
42 Intelligent Intracortical Brain-Machine Interfaces 879

Table 5 i2BMI works categorized on the basis of computing principles


Works Atlernate computing principle
Rapoport et al. (2012) Nonvon-Neumann architecture
Boi et al. (2016), Dethier et al. (2013) Spiking Neural network
Chen et al. (2016), Shaikh et al. (2019a) Analog computing

• Nonvon-Neumann architecture
• Computing in the analog domain
• Spiking neural networks (SNN)

Based on the above categorization, works reported in Table 4 can be split as


shown in Table 5.

Non von-Neumann Architecture Based i2BMI

Traditional von-Neumann architectures involve reading data from memory,


performing computations on dedicated processors, and writing back the results in
memory. Thus, these architectures involve computationally intensive data movement
operations between memory and the processor. These operations can serve as a
potential hindrance to abide by the power dissipation limits set by cortical territory.
Rapoport et al. (2012) have presented an alternative Turing type architecture to
implement an iBMI system as seen in Fig. 7. This architecture involves heads (data-
processing units) which read from and write to a set of tapes (programs and data
streams). Neural decoding is essentially performed by selecting the rule array of the
machine, which also has the capability to reprogram itself and therefore is adaptive
in some sense. The reported framework achieves higher levels of power efficiency
by requiring no other forms of arithmetic operations other than counting.
The architecture splits an iBMI system into two sets of computations – internal
and external. The internal computations as the name suggests are meant to be
performed within the implant. These involve heads N and I implementing neural
feature extraction and decoding respectively (see Fig. 7). The more computationally
involved learning part of the algorithm is implemented externally in head E. The
results of learning are written back in the threshold tape. The internal and external
computations are split such that, (a) low-power computations required to infer the
decoded state are implemented internally leaving the relatively complex learning
algorithm to be implemented externally, and (b) throughput between internal and
external components is reduced by virtue of data compression obtained by the
internal block.
Neural decoding essentially involves component-wise comparison of incoming
firing rate vector, Xi (see Eq. 1) with template vectors gk(gk ϵ ℝD) belonging to a set
of m such templates, g ¼ [g1; g2;   ; gm] (g ϵ ℝm  D). Each template, gk, is
composed of threshold values to be compared with Xi for each input channel.
Furthermore, each template corresponds to at least one of the p output discrete states
(see Eq. 3), such that m > p.
880 S. Shaikh and A. Basu

Fig. 7 (a) Input from electrodes is processed by head N to yield a raw neural data tape comprising
of time-binned spike rates appearing at each of the input electrodes. Head I is tasked with neural
decoding based on raw neural data tape and threshold tape as inputs. Threshold tape essentially is
composed of the learning rule that helps in decoding. The decoded results are written in the feature
tape which is transmitted to the external head E. E performs postprocessing of decoded feature tape
to yield an output, O, to drive the prosthetic device. E also implements a learning algorithm and
subsequently updates the threshold tape. (b) Shows constituents making up head N and I.
N essentially consists of neural front end blocks – amplifiers, ADCs followed by a thresholding
block to detect spikes, and subsequent counter to arrive at firing rates. An interesting point to note in
head I is that this decoding head comprises of rather elementary low-power blocks such as
multiplexers, counters, registers, AND gate. Heads and tapes are split such that, (i) Power-
constrained operations are performed internally leaving the more computationally intensive oper-
ations to be performed externally and, (ii) only meaning decoded outputs are sent externally, thereby
reducing the wireless throughput between internal and external components

The element-wise comparison operation between Xi and one of the template


vectors can be mathematically stated as,

D
d k ^ rj ðiÞ > gkj (4)
j¼1
42 Intelligent Intracortical Brain-Machine Interfaces 881

where dk represents the result of logical and of element-wise comparison for kth
template. The output vector comprises of results of template comparisons of all
m templates such that, d ¼ [d1, d2, . . ., dm], d ϵ ℝm. d is a binary vector that maps
onto one of the p possible output states. Thus, one can conclude that the threshold
matrix, g, encapsulates the rules that enable the mapping from input to output. For a
detailed walkthrough of the statistical learning procedure to learn g, refer (Rapoport
et al. 2012).
Proof of concept results were demonstrated using this iBMI architecture
implemented on an AGL060 FPGA. The iBMI system was tested on prerecorded
experimental data involving a rodent performing a maze-roaming experiment. Neu-
ral decoding involved predicting head-position trajectories with input-firing rates
from an ensemble of hippocampal place cells. The number of inputs corresponded to
Nchan ¼ 32, the number of outputs to p ¼ 32, and the decoder output frequency to
fop ¼ 30 Hz. Outputs in this case corresponded to discrete sections of a
one-dimensional track maze. Pearson correlation coefficient as high as 0.94 was
obtained between decoded and actual trajectories. Power consumption was reported
to be 537 μW. To conclude, this work showed a nonconventional low-power
architecture with good decoding performance. However, it lacked dedicated hard-
ware implementation, benchmarking against state-of-the-art algorithms and NH-/
human-based real-time experiments. Real-time closed-loop demonstrations in mul-
tiple NHPs/humans are the gold standard of scientific rigor in this field.

Spiking Neural Network-Based i2BMI

Boi et al. (2016) and Dethier et al. (2013) have presented proof of concept spiking
neural networks-based iBMI systems. However, they differ in their approach toward
implementing an SNN. Boi et al. (2016) have built an SNN using spike-time
dependent plasticity rules for learning, whereas Dethier et al. (2013) have mapped
classical Kalman filter onto an SNN.
Boi et al. (2016) in particular have presented neuromorphic processor-based
results on prerecorded data obtained from a rat experiment. The neuromorphic
processor presented goes by the name of Reconfigurable On-line Learning Spiking
(ROLLS) processor. It comprises of approximately 12.2 million transistors covering
an area of 51.4 mm2, and it is fabricated using a standard 6-metal 180 nm CMOS
process. The processor exhibits a total of 128 K synapses. Out of these, 64 K
implement Hebbian plasticity rule, whereas the rest implement short-term depression
and short-term facilitation dynamics [Short-Term Plasticity (STP) synapses].
Figure 8 captures a micrograph of the ROLLS processor.
Anesthetized Long Evans rats have 16 electrodes each implanted in the somato-
sensory (S1) and motor (M1) cortex respectively. The experimental paradigm
involves a bidirectional iBMI wherein a decoding and encoding system are set up
to generate a dynamic control policy to drive the movement of a prosthetic. The
action potential signals recorded from M1 serve as inputs to the decoder which maps
it onto a force vector to be applied to a prosthetic to move it toward the target
882 S. Shaikh and A. Basu

Fig. 8 A micrograph of ROLLS processor is presented here. A major portion of the area is devoted
to nonlinear synapse circuits for memory storage and distributed massively parallel computing.
(Adapted from Boi et al. (2016) (CC BY 4.0 license))

location. Once the prosthetic completes the decoded movement, the encoder part
generates a pattern of intracortical microstimulation (ICMS), dependent on the
position of the prosthetic, to be delivered to the S1 cortex. Stimulation part lends
bidirectionality to the overall system. After delivery of every stimulation pattern, the
decoder considers the first 256 ms of evoked motor signal to generate the force
vector needed to drive the prosthetic, and the cycle repeats until the target location is
reached.
The neuromorphic processor, ROLLS, was trained to correctly classify the
evoked motor responses resulting from four different patterns of ICMS. Training
was performed on a total of 160 trials, while 40 trials were used for testing. Reported
classification performance on the test set ranged from 50% to 70% for 20 different
random splits in choosing training and testing sets. The power consumption associ-
ated with the ROLLS chip has been estimated to be ≈ 4 mW. The overall system
architecture provides an interesting unconventional methodology to build an iBMI
system. However, experiments with NHPs/humans are yet to be demonstrated.
Furthermore, no benchmarking has been provided comparing ROLLS to conven-
tional machine-learning architectures both in terms of power consumption and
decoding accuracy. Furthermore, the reported power consumption of 4 mW is on
the higher side for a 16 input electrode system and raises doubt on its scalability to
accommodate 10, 0000 input channels within a total allotted budget of 10 mW.
Dethier et al. (2013) have presented another variant of an SNN implemented in
MATLAB on a PC. They have used the neural engineering framework to map the
widely used Kalman Filter (KF) on an SNN (see Fig. 9). Results have been presented
42 Intelligent Intracortical Brain-Machine Interfaces 883

Fig. 9 shows an SNN


realized to implement a
Kalman filter. Xi and YCi
represent input neural vector
and decoded output vector
respectively. ωjk and ωji
represent feedforward and
recurrent weights,
respectively

in two NHPs performing an eight-directional center-out task both on off-line pre-


recorded data and real-time closed-loop trials. The number of input channels
corresponded to Nchan ¼ 96 in the first NHP, whereas in the second NHP, Nchan ¼ 192.
Action potentials in the form of spikes were used as inputs to the SNN which
predicted two-dimensional cursor velocities at frequency fop ¼ 20 Hz.
The network size in terms of number of neurons used to map KF to SNN is an
important parameter in determining the complexity and power consumption of the
realized neuromorphic decoder. In the initial off-line open-loop analysis on pre-
recorded data, it was observed that the SNN performed better as the number of
neurons constituting the SNN was increased. When comparing SNN to state-of-the-
art KF, 21% root mean square error is obtained for SNN’s 200 neurons, 6% for 2,000
neurons and 3% for 20, 000 neurons, respectively. This shows that there is a clear
tradeoff between realized SNN’s network size and accuracy. The authors proceeded
with 6% error yielding 2000 neuron network to test in closed loop trials as it yielded
a reasonable balance between size and accuracy.
Dethier et al. (2013) were the first ones to report closed-loop real-time results
employing a nonconventional form of computing architecture. More importantly,
they benchmarked their approach against state-of-the-art KF. Benchmarking and
real-time demonstration are two key areas which earlier reported studies in this
chapter have lacked. They employed a test block of 200 trials to compare the real-
time performance of SNN against KF. A successful trial required the two NHPs to
manipulate a cursor starting from the center of the computer screen to one of the
eight radial targets within a time limit of 3 s. Test blocks were switched between
SNN and KF in an ABA block style experimentation. Approximately, a total of 5000
real-time trials were analyzed with an equal split of ≈ 2500 each implemented with
SNN and KF, respectively. Results showed 94% or higher success rates for SNN
compared to 98% for KF in both NHPs. Furthermore, the time to acquire the target
884 S. Shaikh and A. Basu

was comparable between the two approaches with SNN being a tad slower. Specif-
ically in one monkey, average acquisition time for SNN was 1067 ms against KF’s
830 ms, whereas in another monkey, it was 871 ms for SNN against 708 ms for
KF. Thus, similar levels of performance were achieved both in terms of accuracy and
speed by SNN in comparison to KF in closed-loop. Despite significant contributions,
the study lacks any hardware implementation. It mentioned hardware implementa-
tion to be achieved in the near future; however, we are yet to see any such
demonstration. Furthermore, no estimation of SNN’s power consumption was
given in comparison to implementing KF.

Analog Computing-Based i2BMI

In these architectures, analog signals are used as computational primitives rather


than discrete digital signals. This approach has the potential to lead at least an order
or more reduction in power consumption for pattern recognition-related applications
(Basu et al. 2018; Chen et al. 2016) have reported an analog coprocessor, named
Spike-input Extreme Learning Machine (SELMA)-based iBMI system. SELMA is a
hardware realization of a randomized neural network – the extreme learning machine
(ELM) algorithm (Huang and Zhou 2012).
Chen et al. (2016) reported SELMA’s decoding performance in a 12 class
classification problem involving finger flexion and extension. Decoding accuracy
as high as 99.3% was reported on prerecorded data in 70–30 train-test split. In
terms of power consumption, Chen et al. (2016) report a 10X improvement
compared to popular alternatives such as SVM, Adaboost. SELMA’s performance
has also been validated in a continuous state iBMI in Shaikh et al. (2019b),
wherein four off-line datasets were compared against KF. Results have shown at
least a 10% or more increase in the fraction of variance accounted for (FVAF)
when using SELMA over KF. Real-time demonstration with 1 NHP in a discrete
state iBMI with SELMA has been reported in Shaikh et al. (2019a). SELMA
yielded a performance as high as 96% of native hand control in terms of successful
trial completion. Furthermore, speeds of up to 85% of native hand control were
reached. Thus, SELMA has shown promising performance in open-loop off-line
settings. However, closed loop results have only been shown in a single NHP. The
gold standard for benchmark setting in experimental iBMI works is replicating
results in 2 NHPs, and this is something SELMA is yet to demonstrate in both
discrete and continuous state settings (Fig. 10).

Future Directions

Recording More Neurons to Build More Dexterous iBMIs

Up until recently, most iBMI experiments were reported with input neurons hovering
around 100. However, rapid technological advancements are leading to an exponen-
tial increase in the number of simultaneous observed neurons. This brings with it the
42 Intelligent Intracortical Brain-Machine Interfaces 885

Fig. 10 (a) ELM neural network architecture with Xi, Hi, and Yi representing input, hidden, and
output layers, respectively. Win, β represents first- and second-layer weights, while g() stands for
the activation function, (b) ELM chip-level architecture (Chen et al. 2016) is presented here

promise of ushering in a new era of intracortical brain machine interfaces. The


increase in the observed neurons is expected to bring with it an increased amount
of information that can be employed by the next generation iBMIs to help paralyzed
patients make complex maneuvers at faster speeds. The future wave of innovation
will ride on building biochips to implement algorithms that map the incoming
neuronal data deluge to more dexterous outcomes.
886 S. Shaikh and A. Basu

Fully Implantable Wireless iBMI

The state-of-the-art fully implantable wireless iBMI system architectures built for a
few hundred neurons (Borton et al. 2013) are untenable to handle the input scaling,
owing to power dissipation and power consumption concerns. Incorporating signal
processing in the implant is one of the effective ways (see Fig. 6) to compress the
amount of data, thereby respecting the constraints dictated by the cortical territory. In
particular, going to the hilt and making the implant do all the processing virtually
lend the system output data rate independence from input scaling albeit at the cost of
added processing power consumption. Till date, no research group has demonstrated
a fully implantable i2BMI, and it remains the next frontier of innovation in iBMI.

Dealing with Nonstationarity

iBMIs suffer from input signal nonstationarity problem due to phenomena such as
micromotion of electrodes, scar tissue development, and sensor electrode impedance
changes, among others. Figure 11 captures nonstationarity occurring due to micro-
motion of electrodes (Sussillo et al. 2016).
The current way to overcome this phenomenon is to recalibrate the decoder daily/
session-wise, involving often lengthy procedures. Recalibration procedures are
frustrating for the end-user as it involves suspension of decoder use. To mitigate
the decrease in decoder performance due to nonstationarity, algorithmic improve-
ments such as applying deep neural networks (Sussillo et al. 2016), ensemble
methods (Shaikh et al. 2020a), have been proposed.
Sussillo et al. (2016) proposed applying a multiplicative recurrent neural network
(MRNN) to overcome nonstationarity by virtue of learning the complex nonlinear
neural to output kinematics. The idea rests on employing significant amount of
varied data over a period spanning more than a year to build a complex system
that is robust to incoming distribution changes in neural data. The amount of data

Fig. 11 Micromotion of an electrode array leads to appearance/disappearance of a neuron’s signals


at a particular electrode as seen in recording conditions 1, 2. Furthermore, in a more dire scenario as
seen in recording condition 3, certain electrodes break down and stop yielding any meaningful
recorded signal. (Figure is not drawn to scale and is adapted from Sussillo et al. (2016) (CC-BY
license))
42 Intelligent Intracortical Brain-Machine Interfaces 887

required to build such a complex model has the risk of running into deployment
issues. For example, one might have to wait for a period of up to 1 year for the model
to yield optimal performance.
An alternate approach of building sparse ensemble models was proposed in
Shaikh et al. (2020). This method essentially employs a divide-and-conquer strategy,
wherein a set of models is independently trained to learn a mapping each. The idea
presented is that if sufficient diversity is appropriately introduced in these models,
the combined outputs from these models tend to be relatively more robust. Both
these models presented consistent improvements over a period of 2 months in an
off-line analysis involving prerecorded data obtained from two NHPs. Besides these
approaches, efforts are ongoing to reduce calibration trial routines through novel
algorithms (Brandman et al. 2018), transfer learning (Zhang et al. 2018), among
others.

Toward Autonomous iBMIs

Last, current decoder calibration procedures require a neuro-engineer to be present to


fine-tune the system. The reason for this requirement is that decoder learning is based
on supervised methods, which necessitate collection of labeled training data in the
form of carefully designed calibration trials. The neuro-engineer often has to ensure
that calibration trials are good enough for the model to be deployed by the end user.
An alternative to the supervised approach is reinforcement learning
(RL) approach (see Fig. 12) which has the potential to take the neuro-engineer out
of the loop. This approach does not require a dedicated calibration block, and
learning occurs on the fly as the decoder is being used. Learning in case of
RL-based approaches is driven by an evaluative feedback signal termed as reward.
To our good fortune, preliminary studies show this feedback signal to be present in

Fig. 12 Block-level representation of reinforcement learning in context of iBMIs. The iBMI


controller emits a discrete output action based on inputs – neural states and rewards
888 S. Shaikh and A. Basu

biological sources within the brain such as nucleus accumbens and primary motor
cortex. Thus, with no dedication calibration block and internal representation of
feedback signal, the realized iBMI system has the potential to auto-update itself
while in use, thereby taking the neuro-engineer out of the loop.
Initial RL-based iBMI works have been reported in the recent past. Proof of
concept demonstrations have been reported while applying RL algorithms such as
Q-learning (DiGiovanna et al. 2009), Hebbian reinforcement learning (HRL)
(Pohlmeyer et al. 2014), and Attention-gated reinforcement learning (AGREL)
(Wang et al. 2015). All these algorithms are based on training multilayer neural
networks to maximize the expectation of future reward. The actual process in which
weights are updated differs among different techniques. The common problem faced
among these approaches is to solve the curse of dimensionality problem, resulting
from the large neural-state action pair. This eventually leads to generalization issues
leading to suboptimal performance. Kernel-based reinforcement learning methods
(Zhang et al. 2019) and online update methods such as Banditron (Shaikh et al.
2020) have been proposed as possible alternatives to overcome generalization issues.
Despite RL being a promising concept, the above reported RL algorithms have
failed to show real-time demonstrations thus far. Pohlmeyer et al. (2014) is an
exception as it showed real-time demonstration. However, the task involved a simple
target selection involving only two targets, unlike supervised approaches which have
demonstrated far more complex tasks. Furthermore, in these studies, feedback has
not been obtained from a biological source yet. It remains to be seen how RL-based
iBMIs perform in a closed loop setting with real-time feedback. If and when the
above shortcomings associated with RL algorithms are solved, we can truly lend
independence to an i2BMI and perhaps rename it as an i3BMI.

References
Basu A, Acharya J, Karnik T et al (2018) Low-power, adaptive neuromorphic systems: recent
progress and future directions. IEEE J Emerg Top Circuits Syst 8(1):6–27
Boi F, Moraitis T, De Feo V, Diotalevi F, Bartolozzi C, Indiveri G, Vato A (2016) A bidirectional
brain-machine interface featuring a neuromorphic hardware decoder. Front Neurosci 10
(December):1–15. https://doi.org/10.3389/fnins.2016.00563
Borton DA, Yin M, Aceros J, Nurmikko A (2013) An implantable wireless neural interface for
recording cortical circuit dynamics in moving primates. J Neural Eng 10(2). https://doi.org/10.
1088/1741-2560/10/2/026010. NIHMS150003
Brandman DM, Hosman T, Saab J, Burkhart MC, Shanahan BE, Ciancibello JG, Sarma AA,
Milstein DJ, Vargas-Irwin CE, Franco B, Kelemen J, Blabe C, Murphy BA, Young DR, Willett
FR, Pandarinath C, Stavisky SD, Kirsch RF, Walter BL, Ajiboye AB, Cash SS, Eskandar EN,
Miller JP, Sweet JA, Shenoy KV, Henderson JM, Jarosiewicz B, Harrison MT, Simeral JD,
Hochberg LR (2018) Rapid calibration of an intracortical brain–computer interface for people
with tetraplegia. J Neural Eng 15(2):026,007. https://doi.org/10.1088/1741-2552/aa9ee7
Chen Y, Yao E, Basu A (2016) A 128-channel extreme learning machine-based neural decoder for
brain machine interfaces. IEEE Trans Biomed Circuits Syst 10(3):679–692. https://doi.org/10.
1109/TBCAS.2015.2483618. 1509.07450
42 Intelligent Intracortical Brain-Machine Interfaces 889

Dethier J, Nuyujukian P, Ryu SI, Shenoy KV, Boahen K (2013) Design and validation of a real-time
spiking-neural-network decoder for brain-machine interfaces. J Neural Eng 10(3). https://doi.
org/10.1088/1741-2560/10/3/036008. NIHMS150003
DiGiovanna J, Mahmoudi B, Fortes J, Principe J, Sanchez J (2009) Coadaptive brain- machine
interface via reinforcement learning. IEEE Trans Biomed Eng 56(1):54–64. https://doi.org/10.
1109/TBME.2008.926699
Erhardt JB, Fuhrer E, Gruschke OG, Leupold J, Wapler MC, Hennig J, Stieglitz T, Korvink JG
(2018) Should patients with brain implants undergo MRI? J Neural Eng 15(4):041002
Huang GB, Zhou H (2012) Extreme learning machine for regression and multiclass classification.
IEEE Trans Syst Man Cyber B Cybern 42(2):513–529. https://doi.org/10.1109/TSMCB.2011.
2168604
Nuyujukian P, Sanabria JA, Saab J, Pandarinath C, Jarosiewicz B, Blabe CH, Franco B, Mernoff
ST, Eskandar EN, Simeral JD, Hochberg LR, Shenoy KV, Henderson JM (2018) Cortical
control of a tablet computer by people with paralysis. PLoS One 13(11):e0204566. https://doi.
org/10.1371/journal.pone.0204566. PMID: 30462658; PMCID: PMC6248919
Pohlmeyer EA, Mahmoudi B, Geng S, Prins NW, Sanchez JC (2014) Using reinforcement learning
to provide stable brain-machine interface control despite neural input reorganization. PLoS One
9(1). https://doi.org/10.1371/journal.pone.0087253
Rapoport BI, Turicchia L, Wattanapanitch W, Davidson TJ, Sarpeshkar R (2012) Efficient universal
computing architectures for decoding neural activity. PLoS One 7(9). https://doi.org/10.1371/
journal.pone.0042492
Santhanam G, Ryu SI, Yu BM, Afshar A, Shenoy KV (2006) A high-performance brain-computer
interface. Nature 442(7099):195–198. https://doi.org/10.1038/nature04968
Shaikh S, So R, Sibindi T, Libedinsky C, Basu A (2019a) Real-time closed loop neural decoding on
a neuromorphic chip. In: 2019 9th International IEEE/EMBS Conference on Neural Engineer-
ing (NER), pp 670–673. https://doi.org/10.1109/NER.2019.8717122
Shaikh S, So R, Sibindi T, Libedinsky CD, Basu A (2019b) Towards intelligent Intra-cortical BMI
(i2BMI): low-power neuromorphic decoders that outperform kalman filters. IEEE Trans Biomed
Circuits Syst 1–1. https://doi.org/10.1109/TBCAS.2019.2944486
Shaikh S, So R, Sibindi T, Libedinsky C, Basu A (2020a) Sparse ensemble machine learning to
improve robustness of long-term decoding in iBMIs. IEEE Trans Neural Syst Rehabil Eng
28(2):380–389. https://doi.org/10.1109/TNSRE.2019.2962708
Shaikh S, So R, Sibindi T, Libedinsky C, Basu A (2020b) Towards autonomous intra-cortical brain
machine interfaces: Applying bandit algorithms for online reinforcement learning. bioRxiv
https://doi.org/10.1101/2020.01.08.899641. https://www.biorxiv.org/content/early/2020/01/09/
2020.01.08.899641.full.pdf
Stevenson IH, Kording KP (2011) How advances in neural recording affect data analysis. Nat
Neurosci 14(2):139–142. https://doi.org/10.1038/nn.2731
Sussillo D, Stavisky SD, Kao JC, Ryu SI, Shenoy KV (2016) Making brain-machine interfaces
robust to future neural variability. Nat Commun 7:1–12. https://doi.org/10.1038/ncomms13749.
1610.05872
Thies J, Alimohammad A (2019) Compact and low-power neural spike compression using
undercomplete autoencoders. IEEE Trans Neural Syst Rehabil Eng 27(8):1529–1538
Wang Y, Wang F, Xu K, Zhang Q, Zhang S, Zheng X (2015) Neural control of a tracking task via
attention-gated reinforcement learning for brain-machine interfaces. IEEE Trans Neural Syst
Rehabil Eng 23(3):458–467. https://doi.org/10.1109/TNSRE.2014.2341275
World Health Organization (2020). https://www.who.int/. Accessed on 7 Mar 2020
Zhang P, Ma X, Chen L, Zhou J, Wang C, Li W, He J (2018) Decoder calibration with ultra small
current sample set for intracortical brain-machine interface. J Neural Eng 15(2). https://doi.org/
10.1088/1741-2552/aaa8a4
Zhang X, Libedinsky C, So R, Principe JC, Wang Y (2019) Clustering neural patterns in kernel
reinforcement learning assists fast brain control in brain-machine interfaces. IEEE Trans Neural
Syst Rehabil Eng 27(9):1684–1694
Optical Biosensors
Fluorescent-Based Imaging
43
Wenhao Zhao, Lei Huang, Ke Liu, Jiuchuan Guo, and Jinhong Guo

Contents
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 892
Fluorescence Materials for Bioimaging . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 893
Downconversion Fluorescence Materials for Bioimaging . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 894
Upconversion Fluorescence Materials for Bioimaging . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 897
Lateral-Flow Immunoassay Based on Fluorescence . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 900
Smartphone-Based Application . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 903
Conclusions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 904
References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 905

Abstract
Biosensors are vitally important in various biochemical applications, and their
sensing performance directly affects whether the biodetection system can rapidly
acquire accurate analytical data. With the assistance of molecular recognition, the
integration of microfluidics and optics, and the improvement of bioprobe technol-
ogy, fluorescent-based optical imaging sensors are widely used in cell analysis,
immunoassays, and molecular diagnostics, playing an important role in the fields
of disease diagnosis, food safety monitoring, and environmental monitoring.
There are two kinds of fluorescent materials: downconversion materials and
upconversion materials. Downconversion materials are easy to prepare and have
high fluorescent intensity and low toxicity, but a strong autofluorescence will
affect the emission fluorescence. Although upconversion materials are difficult
to prepare, they possess superior physicochemical features, such as a low auto-
fluorescence background and high resistance to photobleaching. This chapter aims
at introducing biosensors made of different materials, discussing their advantages

W. Zhao · L. Huang · K. Liu · J. Guo (*) · J. Guo


School of Automation Engineering, University of Electronic Science and Technology of China,
Chengdu, P. R. China
e-mail: vinhou@163.com; 18200279388@163.com; liuke@uestc.edu.cn; uestc_gjc@163.com;
guojinhong@uestc.edu.cn

© Springer Science+Business Media, LLC, part of Springer Nature 2022 891


M. Sawan (ed.), Handbook of Biochips,
https://doi.org/10.1007/978-1-4614-3447-4_51
892 W. Zhao et al.

and limitations, and reviewing their applications. Then, we introduce the structure
and principle of fluorescence-based lateral-flow immunoassay biochip and review
its applications in multiple detection. In addition, we introduce some biological
applications that combine smartphones and these optical biosensors to improve the
flexibility and speed of detection. Finally, some future prospects and challenges
regarding this rapidly developing technology are presented.

Introduction

Fluorescence imaging is a technology that labels cells or analytes with fluorescent


material that emits fluorescent light under the illumination of an external light
source; the fluorescent light can be captured by a camera or intensity analyzer to
quantify and perform qualitative analysis. Due to the rapid development of micros-
copy techniques, fluorescence imaging can detect large areas while maintaining
spatial information. Additionally, it can be used to carry out detection at the single
molecule level. Hence, the fluorescence imaging technique is one of the most
popular methods for cell analysis, immunoassays, and molecular diagnosis. Further-
more, fluorescent materials will not affect the properties of an analyte, and fluores-
cence imaging is a powerful, efficient, high-sensitivity technique that has been
widely used in bioimaging for noninvasive and nonradiative disease diagnosis,
food safety monitoring, and environmental monitoring (Wu et al. 2018; Cao et al.
2019; Li et al. 2019). According to the difference in the mechanism of luminescence,
the fluorescent materials can be classified into two categories: conventional down-
conversion fluorescent materials and upconversion fluorescent materials. The con-
ventional downconversion materials follow the Stokes process, in which the
wavelength of the emitted light is greater than the wavelength of the excitation
light. These materials, such as organic fluorescence dyes, quantum dots, and metal
nanoclusters, are popular among fluorescent-based imaging optical biosensors
because they are easy to prepare and have low toxicity (Yang et al. 2018). However,
upconversion is an anti-Stokes process in which upconversion fluorescent material
can convert low-energy near-infrared light into ultraviolet light or visible light by
continuously absorbing photons. This feature makes upconversion materials have
the advantages of high resistance to photobleaching and low autofluorescence
compared with the conventional materials.
Recently, with the rapid development of optical biosensors, many significant
advances have been made in various biodetection applications based on fluorescence
imaging, which has greatly assisted in solving problems related to disease preven-
tion, food safety monitoring, and environmental monitoring. Simultaneously, the use
of smartphones to replace conventional optical analyzers has become a popular way
to make smartphone-based bioimaging systems in resource-limited areas due to the
improved performance of smartphones (Xu et al. 2018). In this chapter, we discuss
the characteristics of various fluorescent materials and review their applications.
First, we introduce three conventional downconversion fluorescent materials and
43 Optical Biosensors 893

their corresponding optical sensor applications in section “Downconversion Fluo-


rescence Materials for Bioimaging.” The upconversion mechanism, common
upconversion materials, and the application of optical biosensors based on
upconversion are reviewed in section “Upconversion Fluorescence Materials for
Bioimaging.” In section “Lateral-Flow Immunoassay Based on Fluorescence,” we
introduce the structure and principle of fluorescence-based lateral-flow immunoas-
say biochip and review its applications in multiple detection. In section
“Smartphone-Based Application,” we introduce some examples of the combination
of optical biosensors and smartphones and analyze their advantages and limitations.
Finally, some future prospects of and opportunities for this rapidly developing field
are presented.

Fluorescence Materials for Bioimaging

In this section, we will introduce two types of fluorescent materials, downconversion


fluorescent materials and upconversion fluorescent materials. And the down-
conversion fluorescent are divided into organic fluorescent dyes, quantum dots
(QDs), and metal nanoclusters. For the convenience of readers, we summarized
the review papers in Table 1.

Table 1 Summary of different fluorescent materials


Type Application Characteristic Reference
Organic Cysteine (Cys) Limit of detection (LOD): Chen et al. (2014)
fluorescence dyes Homocysteine Cys: 2.99 nM
(Hcy) Hcy: 1.43 nM
Organic Cholesterol Range: 1  10 7 mol L 1 Cheng et al. (2014)
fluorescence dyes ~ 1  10 4 mol L 1
Quantum dots(QDs) Malathion LOD: 4pM Bala et al. (2017)
QDs Cell bioimaging Low toxicity and high Xue et al. (2015)
selectivity
Metal nanoclusters Cu2+ LOD: Xu et al. (2019)
Pyrophosphate Cu2+: 39 nM
(PPi) PPi: 78.7 nM
Pyrophosphatase PPase: 0.976 mU
(PPase)
Metal nanoclusters Hg2+ LOD: 1.4 nM Sui et al. (2014)
Upconversion 10 foodborne Sensitivity of detection: Zhao et al. (Li et al.
nanoparticles pathogens 104 CFUs mL 1 2012)
(UCNPs)
UCNPs V. cholerae O1 and Sensitivity of detection: Hao et al. (Plouffe
O139 10 CFU mL 1 and Murthy 2016)
UCNPs Prostate-specific LOD: He et al. (Hao et al.
antigen (PSA) PSA: 89 pg mL 1 2017)
Ephrin type-A EphA2: 400 pg mL 1
receptor
2 (EphA2)
894 W. Zhao et al.

Downconversion Fluorescence Materials for Bioimaging

Organic fluorescence dyes, including fluorescein isothiocyanate (FITC), porphyrins,


nitrobenzoxadiazole (NBD), and dansyl, are the first materials used in fluorescence
imaging. The features of fluorescence dyes are their wide varieties, easy obtainabil-
ity, high biocompatibility, and high fluorescence intensity. For example, NBD-based
fluorescence dyes are widely used in intracellular imaging because of their ease of
synthesis, good cell permeability, and long wavelength emission. Cysteine (Cys) and
homocysteine (Hcy) are biological low-molecular-weight thiols in biological cells,
and some diseases, such as growth retardation in children, liver damage, and muscle
and fat loss, will lead to abnormal concentrations of Cys/Hcy. In the detection of
Cys/Hcy, NBD-SCN has shown excellent imaging levels as a fluorescent probe,
which can penetrate cells for the high-sensitivity, high-selectivity, and low-
detection-limit bioimaging of Cys/Hcy. Under 476 nm excitation light, the mixed
solution of Cys/Hcy and NBD-SCN emits fluorescence at 550 nm, and there is a
large linear relationship between fluorescence intensity and the concentration of
Cys/Hcy (Chen et al. 2014). The results proved that the detection limits of Cys/Hcy
are 2.99 and 1.43 nM, respectively. Another organic fluorescent dye, dansyl, is also
widely used in the molecular imprinting of fluorescent biosensors due to its strong
fluorescence intensity. In the study of the identification of organic analytes in an
aqueous solution by the molecular imprinting technique, a dansyl-modified
β-cyclodextrin (β-CD-en-DNS) was used to make a cholesterol imprinting biosensor
(Cheng et al. 2014). When the cholesterol molecule interacted with two β-CDs on
the biosensor, the dansyl group was extruded, which originally was in the CD cavity.
Therefore, the fluorescence intensity of the dansyl group was weakened because
dansyl entered the polar solution. The concentration of cholesterol in the solution can
be estimated by detecting the fluorescence intensity. The research results show that
the designed imprinting fluorescence biosensor exhibits good selectivity for choles-
terol, and the emission fluorescence intensity has a good negative linear relationship
with the concentration of cholesterol; the lower the concentration of cholesterol is,
the weaker the intensity of the fluorescence light. The range of detection has reached
1  10 7 mol L 1 ~ 1  10 4 mol L 1.
QDs are new fluorescent markers for biomarkers, biosensing, biometrics, and
bioimaging and have emerged as novel materials in various biosensor fields due to
their numerous advantageous properties, such as small dimensions, signal brightness,
light stability, illumination range adjustments, and longer photoluminescence
(PL) decay time. Therefore, QDs have been the material of choice for many applica-
tions, such as the detection of toxic substances in environmental monitoring and food
safety monitoring and the imaging of cells and bacteria in biomedical applications. For
example, malathion is currently the most widely used insecticide and can be used for a
variety of insects in a variety of environments. However, malathion is slightly toxic to
humans and has more serious toxicity to other animals. Therefore, it is necessary to
effectively manage the use of malathion, and QD-based fluorescence sensors can be
simple, fast, highly selective, and highly sensitive in detecting organic phosphates and
can be effectively used for the detection of malathion in the environment. As shown in
43 Optical Biosensors 895

Fig. 1a, the CdTe@CdS QD-based nanoprobe, which consists of polymer, aptamer, and
QDs, where the polymer is the quencher, can “turn off” the fluorescence of QDs, and
the aptamer can recognize malathion (Bala et al. 2017). In an aqueous solution
containing the probe, the aptamer is combined with the polymer by electrostatic
interaction so that the polymer cannot quench the fluorescent signal of QDs. When
malathion is added, the aptamer will recognize and react with malathion, thereby
causing the polymer to become free, which in turn weakens the fluorescence of the
QDs. The results showed that the minimum detection limit for malathion reached 4.0
pM, and the high sensitivity detection of other pesticide residues can be achieved by
replacing the aptamer, which is of great significance for environmental detection.
However, the very high surface area-to-volume ratio of fluorescent semiconductor
quantum dots due to the size of nanocrystals will cause surface defects to adversely
affect the fluorescence performance. Some scholars have used polymers to improve the
optical properties of semiconductor fluorescent quantum dots and greatly improve their
biocompatibility. In the research of Xue’s group (Xue et al. 2015), polyaniline was used
to decorate CdSeTe quantum dots as shown in Fig. 1b. In experiments with HeLa cells,
the modified quantum dots had stronger fluorescence intensity, quantum yield, and
biocompatibility than those of unmodified quantum dots, and the fluorescence intensity
can be adjusted by changing the polymer type and degree of polymerization. This
causes QDs to have broader prospects in biological applications. Another example is
carbon quantum dots (CQDs) for cell bioimaging (Liyanage et al. 2019). The use of
four different urea derivatives in the presence of citric acid as the N precursor to
synthesize luminescent carbon nitride quantum dots (CNQDs) exhibits excitation
light emission at approximately 600 nm, which avoids spontaneous cell fluorescence
interference. Furthermore, a cytotoxicity assessment of CNQDs using sea urchin
embryos sensitive to acute toxicity revealed that CNQDs have extremely low toxicity.
The experimental results confirmed that the prepared CNQD-U and CNQD-SU can
selectively enter the cytoplasm of SJGBM2 tumor cells, emit bright fluorescence in the
red band, and degrade after 6 h. Therefore, CNQDs have great potential for bioimaging
and drug delivery targeting cancer therapy.
In addition, metal nanoclusters can be utilized to amplify the fluorescent signal,
thereby significantly increasing the sensitivity of the detection, namely, metal-
enhanced fluorescence (MEF). When metal nanostructures are close to the fluores-
cent material (in the range of 5–90 nm), the interaction between them has a positive
effect on the optical properties of the fluorescent material, such as increasing the
quantum yield and improving the light stability. With good optical properties, MEF
has shown great potential in bio-based research and biotechnology innovation in
recent years. Currently, MEF based on Au, Ag, and Cu has been well studied. For
example, to detect Cu2+, inorganic pyrophosphate (PPi), and pyrophosphatase
(PPase) in living cells, a composite structure composed of a silver core, a silica
shell, and an outer conjugate layer of AuNCs was fabricated as shown in Fig. 1c. The
interaction between the silica shell, the silver core, and the AuNCs increases the
excitation efficiency and improves the fluorescence performance of AuNCs. The
experimental results show that a silica shell with a thickness of approximately 10 nm
can increase the fluorescence intensity of AuNCs by 3.21 times, and the Cu2+, PPi,
896

Fig. 1 (a) CdTe@CdS QDs nanoprobe and its principle of detection. (Reproduced from Bala et al. (2017) with the permission of Elsevier). (b) The structure of
modified CdSeTe and the detection result of HeLa cells. (Reproduced from Xue et al. (2015) with the permission of American Chemical Society). (c) The
process of detecting Cu2+, PPi, and PPase by the method of fluorescence switch. (Reproduced from Xu et al. (2019) with the permission of Elsevier). (d) The
process of detecting Hg+, Ag+, or coralyne by metal-enhanced fluorescence (MEF). (Reproduced from Sui et al. (2014) with the permission of Elsevier)
W. Zhao et al.
43 Optical Biosensors 897

and PPase can be detected by the fluorescence switch method. In addition, there is a
good linear relationship between the substance concentrations and fluorescence
intensity; thus, the detection limits can reach 39 nM, 78.7 nM, and 0.96 mU for
Cu2+, PPi, and PPase, respectively (Xu et al. 2019). Another example is to use the
measured object to close the distance between the fluorophore and the metal, thereby
enhancing the fluorescence intensity of the fluorophore and making it possible to
estimate the concentration of the measured object by measuring the enhancement
intensity of the fluorescence. Taking the detection of Hg2+ ions as an example as
shown in Fig. 1d, single-stranded DNA was modified with the fluorophore Cy3 and
metal nanoparticles Ag@SiO2 to prepare two probes: Cy3-GCTCTTTGAG and
Ag@SiO2-CTCTTTGAGC. In the absence of Hg2+, the two probes failed to bind
due to the strong electrostatic repulsion of the negative charge between the three T-T
base pairs. However, when Hg2+ is added, a T-Hg2+-T base pair is formed, thus
forming Ag@SiO2-DNA-Cy3, and the fluorescence intensity of Cy3 is enhanced by
silver surface plasmon resonance, thereby enabling estimation of the concentration
of Hg2+. The limits of detection reach 1.4 nM, which is better than that of most of the
proposed biosensors (Sui et al. 2014). By replacing the modified material, the
method can also detect other substances, such as Ag+ and coralyne.

Upconversion Fluorescence Materials for Bioimaging

The luminescent principle of the fluorescent materials mentioned in the previous


chapter follows the Stokes optical process, which uses high-energy light to excite the
fluorescent material to emit low-energy light. UCNPs are generally composed of a
host-matrix doping sensitizer and an activator. Currently, rare earth (RE) ions such as
Er3+, Tm3+, and Ho3+ are considered to be the most effective activators due to their
energy level gap, similar to a ladder, and can promote multiphoton absorption to
achieve upconversion emission. Yb3+ is selected as a sensitizer due to its excellent
matching for several emission energies of Er3+, Tm3+, and Ho3+. The host matrix
needs to be lattice matched to the doped ions and has low phonon energy; thus, RE
fluoride is considered to be the most excellent matrix for UCNPs due to its low
phonon energy and high chemical stability. When using these fluorescent materials
for fluorescence bioimaging applications, similar to the observation of stars during
the day, the measured light is almost covered by the excitation light. Although we
can use the filter to filter out the excitation light, this light will still affect the
detection accuracy to some extent. Upconversion is an anti-Stokes optical process
that can generate high-energy light, such as ultraviolet/visible light, by exciting the
upconversion fluorescent materials with low-energy near-infrared red (NIR) light.
Similar to watching stars at night, fluorescent bioimaging based on upconversion has
almost no background fluorescence interference and has high light stability. The
upconversion process involves several mechanisms, such as excited state absorption
(ESA), energy transfer upconversion (ETU), and photon avalanche (PA), as shown
in Fig. 2 (Tu et al. 2016). The ESA process is relatively simple, and it achieves
excitation by continuously absorbing pump photons via a single ground-state ion.
898 W. Zhao et al.

Fig. 2 The principle of various upconversion processes such as ESA, ETU, and PA. (Reprinted
from Tu et al. (2016) with the permission of Springer)

First, the ground-state ions absorb a pump photon to reach the E1 level, and the next
pump photon boosts the ion to a higher energy level E2 before it decays to the
ground state. When the ions decay from the E2 level to the ground state, UC
fluorescence emission will occur. The ETU process is similar to ESA and requires
the sequential absorption of two pump photons. The difference is that in the ESA
process, the same ion continuously absorbs two photons to reach the E2 level, while
the ETU process uses two ions to complete the energy transfer. First, an ion acts as a
sensitizer to absorb one photon to reach the E1 level and then transfers energy to the
activator ion in the ground state to reach the E1 level; at the same time, the sensitizer
returns back to the ground state. The second energy transfer allows the activator to
reach the E2 level and achieve UC fluorescence emission. The PA process is a cyclic
process that involves ESA and ET. An ion of the E1 level absorbs a photon to reach
the E2 level, and then energy transfer with the ion of the ground state causes them to
reach the E1 level simultaneously. Two ions can be pumped to the E2 level by further
energy transfer; hence, the ions of the E2 level will increase exponentially and result
in avalanche-type UC fluorescence emission.
UCNPs are widely used in vitro and in vivo bioimaging applications due to the
low background autofluorescence, low toxicity, and high permeability. For example,
Sr2LuF7:Yb/Er@Sr2GdF7@SrF2 UCNPs synthesized by a seed-mediated process
can label A549 cells well because of the excellent biocompatibility of the SrF2 shell.
Under the excitation of NIR light at 980 nm, the sensitizer Yb3+ absorbs photons and
transfers energy to the activator Er3+, which can emit visible light. The results
observed under the confocal laser scanning microscope (shown in Fig. 3a) show
43 Optical Biosensors 899

a b
SLF
SLF@SGF
SLF@SGF@SF
Intensity /a.u.

480 560 640 720


Wavelength / nm

c
Pre-injection
24 hours injection

Fig. 3 (a) Luminescence spectrum of the Sr2LuF7:Yb/Er@Sr2GdF7@SrF2 UCNPs. (b)


Bioimaging picture of A549 cells using Sr2LuF7:Yb/Er@Sr2GdF7@SrF2 UCNPs. (c) CT images
of mice according to the characteristics of the prepared UPNC absorbing X-rays. (Reproduced from
Chen et al. (2017) with the permission of American Chemical Society)

that the upconversion luminescence signal is at 540 nm, and when it overlaps with
the autofluorescence image of the cell nucleus (shown in Fig. 3b), it can be seen that
the upconversion luminescence signal is almost unaffected by background auto-
fluorescence, demonstrating superior ability in in vitro cell bioimaging. In addition,
since the Lu, Gd, Yb, and Er ions can absorb X-rays well, the UCNPs are excellent
CT contrast agents. The prepared SLF@SGF@SF uptransfer UCNP solution was
intravenously administered to mice, and it was found to accumulate in the liver and
spleen by comparing CT images 24 h after injection of the UCNPs and before
injection (shown in Fig. 3c). Therefore, it can be used as a good contrast agent for
CT imaging in vivo (Chen et al. 2017).
900 W. Zhao et al.

Lateral-Flow Immunoassay Based on Fluorescence

Lateral-flow immunoassay is a popular detection method due to the simplicity of its


design, and it’s compact and easily portable. Recently, there are many studies that
have combined fluorescence imaging technology and lateral-flow technology to
achieve lateral-flow immunoassay biochips. Current development of microfluidic
immunosensing approaches for mycotoxin detection via capillary electromigration
and lateral flow technolog.
Fluorescence-based lateral flow assays for rapid oral fluid roadside detection of
cannabis use. Figure 4 shows the typical structure of a lateral-flow immunoassay.
The sample pad, conjugate pad, test pad, and absorbent pad are mounted on the
backing board using a pressure-sensitive adhesive. Firstly, the sample is dropped into
the sample pad, which is usually made of cellulose, fiberglass, rayon, or other filter
media which depends on the requirements of the application. The sample pad filters
out particles or red blood cells in the sample, changes the pH of the sample, and
actively binds components that may interfere with the assay, releasing finally the
analyte at a high concentration. Then, the solution containing the analyte is migrated
to the conjugate pad, where particles modified by specific components (antigens or
antibodies) that are related to the assay are immobilized, and in the fluorescence-
based lateral-flow immunoassay, the particles are fluorescent monodisperse latex
particle. The analyte can react with the conjugate and migrate to the test pad. On the
test pad, bioprobes (usually proteins, antibodies, or antigens) capable of capturing
analytes and conjugates, respectively, have been immobilized on the test line and
control line in advance. Finally, the excess reagents migrate to the absorbent pad.
The detection results are reflected by whether conjugates are captured on the test
line, and the results are expressed in two forms: direct and competitive. The direct
format is generally used to detect larger analytes with multiple antigenic sites. As
shown in Fig. 5, the presence of test line indicates that the detection result is positive,
and the absence of test line indicates that the detection result is negative. Even
semiquantitative or quantitative analysis result can be acquired in some case based
on the intensity of the test line. The competitive format is used to detect small
molecule with a single antigenic determinants that such analytes cannot bind to two
antibodies simultaneously. The detection result is the opposite of the direct form. In

Fig 4 Typical structure of a lateral-flow immunoassay


43 Optical Biosensors 901

Fig. 5 The detection result of a lateral-flow immunoassay

addition, we can also use the lateral-flow immunoassay to achieve multiplexed


detection of biomarkers by adding more test lines.
Based on the common UCNPs, i.e., NaYF4:Yb,Er, Zhao’s group designed a
ten-channel UCNP-based LFIA to simultaneously detect ten foodborne pathogens,
including E. coli O157:H7, S. Paratyphi A, S. Paratyphi B, S. Paratyphi C, S. Typhi, S.
Enteritidis, S. Choleraesuis, V. cholera O1, V. cholera O139, and V. parahaemolyticus,
in fewer than 20 min by integrating ten different single-target strips into one disc, as
shown in Fig. 6a. In this ten-channel LFIA, each channel contained one strip with one
TL on the NC membrane using the same UCNPs to detect one pathogen through a
sandwich assay. Without sample enrichment, the detection sensitivity of each pathogen
was 104 or 105 colony-forming units (CFUs) mL 1, while it was 10 CFU/0.6 mg after
enrichment of the sample. Meanwhile, the linear fitting coefficient of determination
(R2) of this LFIA was 0.916–0.998 (Zhao et al. 2016). Soon after, Hao’s group
proposed a UCNP-based LFIAwith two TLs on the NC membrane for the simultaneous
detection of V. cholerae O1 and O139 via a sandwich assay, as shown in Fig. 6b
(Hao et al. 2017). Under preincubation for 7 h, this LFIA showed a sensitivity of
1  101 CFU mL 1 for V. cholerae O1 or O139. Recently, Zou et al. presented a
competitive UCNP-based LFIA with only one TL on the NC membrane to simulta-
neously detect three organophosphorus pesticides (OPs), i.e., parathion, parathion
methyl, and fenitrothion, in fewer than 40 min, as shown in Fig. 6c (Zou et al. 2019).
The detection sensitivity with half inhibition concentration (IC50) of this LFIA was
3.44, 3.98, and 12.49 ng/mL for parathion, parathion methyl, and fenitrothion, respec-
tively. In addition, the linear range was from 0.98 to 250 ng/mL (R2  0.9776), and
there was no reactivity even at a concentration of 500 ng/mL.
In another recent work, He et al. described a UCNP-based LFIA with two TLs on
the NC membrane to simultaneously detect prostate-specific antigen (PSA) and
ephrin type-A receptor 2 (EphA2) via dual-color core-shell UCNPs (He et al.
2018). In this LFIA, core-shell UCNPs highly doped with Er3+ and Tm3+ (yellowish
NaYF4:8%Er,60%Yb@NaYF4 and purple NaYF4:8%Tm,60%Yb@NaYF4) were
902 W. Zhao et al.

Fig. 6 (a) Ten-channel UCNP-based LFIA for simultaneous detection of ten foodborne pathogens.
(Reproduced from Zhao et al. (2016) with permission from the Scientific Reports). (b) UCNP-based
LFIA with two TLs for simultaneous detection of V. cholerae O1 and O139. (Reproduced from Hao
et al. (2017) with permission from the Public Library of Science). (c) UCNP-based LFIA with only
one TL for simultaneous detection of parathion, parathion methyl, and fenitrothion. (Reproduced
from Zou et al. (2019) with permission from the Frontiers in Chemistry). (d) UCNP-based LFIA
with two TLs for simultaneous detection of PCT and CRP. (Reproduced from Zhan et al. (2018)
with permission from the Analytical Sciences)

synthesized to achieve higher brightness. The LODs were 89 pg/mL for PSA and
400 pg/mL for EphA2. Later, Jin’s group reported a competitive UCNP-based LFIA
with three TLs on the NC membrane for the simultaneous detection of ochratoxin A,
mercury ions, and salmonella with different analyte-recognition reactions (Jin et al.
2018). Three common UCNPs, i.e., green NaYF4:Yb,Er (gUCNPs), blue NaYF4:
Yb, Tm (bUCNPs), and red NaYF4:Er, Tm (rUCNPs), were used as labels. However,
compared to gUCNPs and bUCNPs, rUCNPs showed a weaker emission intensity.
Therefore, core-shell rUCNPs (NaYF4@NaYF4:Er, Tm) were prepared to enhance
the fluorescence intensities. The detection ranges were 0.01–50 μg/mL, 10–104 ppb,
and 150–2000 CFU/mL, and the LODs were 3 ng/mL, 5 ppb, and 85 CFU/mL for
ochratoxin A, mercury ions, and salmonella, respectively. In addition, a smartphone-
based device was applied to read out the results. Recently, Zhan et al. designed a
UCNP-based LFIA with two TLs on the NC membrane to simultaneously detect
procalcitonin (PCT) and C-reactive protein (CRP) in serum, as shown in Fig. 6d
(Zhan et al. 2018). Two K+-doped core-shell UCNPs (Na0.8K0.2YF4:Yb,
Er@Na0.8K0.2YF4 and Na0.8K0.2YF4:Yb, Tm@Na0.8K0.2YF4) were applied
due to their strong emission bands. The LODs of this LFIA were 0.12 ng/mL for
43 Optical Biosensors 903

PCT and 0.24 ug/mL for CRP. Meanwhile, the linear relationship was 0.9801 for PCT
and 0.9873 for CRP, and the coefficients of variation for PCT or CRP were less
than 10%.

Smartphone-Based Application

Although the many advantages of optical biosensors make them play important roles
in various fields, the disadvantages of conventional optical measuring instruments,
which are difficult to operate and bulky, limit the application of optical biosensors in
resource-limited areas. With the rapid development of the integrated circuit industry,
a smartphone camera can reach an 8.64  6 mm active area and a 1.12 μm pixel size,
matching the performance of benchtop microscopes with high-end objective lenses.
In addition, the computational performance of the embedded central processing unit
(CPU) and graphics processing unit (GPU) in smartphones is fully qualified for
the real-time calculation and analysis of biomedical information. At the same
time, the development of 3D printing technology has also brought great convenience
to the production of simple mobile phone accessories to connect smartphones with
optical biosensors. Therefore, smartphone-based fluorescence imaging optical bio-
sensors have emerged as miniaturized and inexpensive detection and analysis
platforms for providing rapid and accurate detection in the field of disease diagnosis,
environmental monitoring, and food safety monitoring.
For example, heart failure is the end stage of cardiovascular diseases, and there is
still a 50% chance of recurrence after healing. Therefore, monitoring two target
antigens, namely, brain natriuretic peptide (BNP) and inhibiting tumorigenicity 2
(ST2), associated with heart failure is beneficial to the prevention of the disease and
ensures sufficient time to cope with sudden heart disease. As a result, portable
household detection devices are especially important. You’s group proposed a
portable device combining a smartphone and a dual-color upconversion lateral-
flow strip to detect BNP and ST2 (You et al. 2017). A photo of the device is
shown in Fig. 7a. BNP and ST2 antigens can be specifically captured via
the lateral-flow strip, and the smartphone-based portable reader is used to read the
upconversion fluorescence and analyze the concentrations of BNP and ST2. The
detection results of a large number of clinical serum samples showed that the limits
of detection of ST2 and BNP are 29.92 ng/mL and 17.46 pg/mL, respectively.
Another example is a portable device based on UCNPs for the on-side detection
of cocaine as shown in Fig. 7b, which was proposed by He’s group (He et al. 2016).
The luminescence intensity of UCNPs is decreased in the reaction with Au nano-
particles, which indicates the concentration of cocaine. A smartphone was used to
analyze the image and provide sensitive and quantitative results in a short time. This
device can be used to detect the concentration of cocaine in human saliva or blood
samples, providing the possibility to conduct field testing of drug abuse. Although
smartphone-based optical biosensors have some gaps in performance compared with
professional medical detection devices, the advantages of being easy-to-operate,
enabling rapid detection, and being portable make them more flexible for application
904 W. Zhao et al.

Fig 7. (a) The picture of a household HF monitoring system based on UCNPs: a portable analysis
platform combined with smartphone and a test strip based on microfluidic. (Reproduced from You
et al. (2017) with permission from American Chemical Society). (b) On-site detection system based
on UCNPs; this system can be used to detect the concentration of cocaine in human saliva or blood
samples in a short time. (Reproduced from He et al. (2016) with permission from American
Chemical Society)

at more sites. Furthermore, smartphones can be easily connected to a network, and


building a smartphone-based Internet of medical things will make the biosensor
system have greater development prospects.

Conclusions

Fluorescent-based optical imaging biosensors have emerged as a new tool for


detecting analytes in various biological fields and have been rapidly developed.
Nanobioprobes made by combining fluorescent materials with different antigens or
antibodies enable analyzing the concentration of analyte via the fluorescence inten-
sity. In addition, cells can be bioimaged and analyzed due to the high biocompati-
bility of some materials. In this chapter, we discussed the differences between
various fluorescent materials and reviewed their applications. Then, we introduced
some biological applications that combine smartphones and these optical biosensors
to improve the flexibility and speed of detection.
However, for the future development of optical biosensors, we still need to
overcome several challenges. First, the biggest problem for optical biosensors
based on downconversion fluorescent materials is the interference of auto-
fluorescence. If effective methods for reducing the interference of autofluorescence
can be developed, the limit of detection can be improved such that downconversion
fluorescent materials will have great prospects. For upconversion fluorescent mate-
rials, the luminescent efficiency will significantly decrease when the UCNPs have an
ultrasmall size due to the high surface area-to-volume ratio. Surface modification of
UCNPs has been developed to improve the luminous efficiency in terms of the
surface-induced effects. However, the quantum yield of UCNPs is still relatively
low, and challenges to improving the quantum yield still exist. In addition, the
43 Optical Biosensors 905

combination of optical sensors and smartphones has great potential for rapid and
mobile detection. However, we still need to develop new fluorescent materials that
can adapt to the performance of smartphones or improve the optical performance of
smartphone cameras to ensure the accuracy of detection. Above all, we believe that
next-generation optical biosensors will play increasingly important roles in clinical
analysis, food safety, and environmental monitoring in the future.

References
Bala R, Swami A, Tabujew I et al (2017) Ultra-sensitive detection of malathion using quantum dots-
polymer based fluorescence aptasensor. Biosens Bioelectron 104:45–49. https://doi.org/
10.1016/S0956566317308394
Cao Y, Dai Y, Chen H et al (2019) Integration of fluorescence imaging and electrochemical
biosensing for both qualitative location and quantitative detection of Cancer cells. Biosens
Bioelectron 130:132–138
Chen Y, Tsai J, Cheng T, Yuan S, Wang Y (2014) Sensitivity evaluation of Nbd-scn towards
cysteine/homocysteine and its bioimaging applications. Biosens Bioelectron 56:117–123
Chen C, Liu J, Chen Y et al (2017) Sub-10 nm sr2luf7:yb/er@sr2gdf7@srf2 up-conversion
nanocrystals for up-conversion luminescence-magnetic resonance-computed tomography Tri-
modal bioimaging. ACS Appl Mater Interfaces 9(7):5748–5756
Cheng Y, Jiang P, Lin S, Li Y et al (2014) An imprinted fluorescent chemosensor prepared using
Dansyl-modified β-cyclodextrin as the functional monomer for sensing of cholesterol with
tailor-made selectivity. Sensors Actuators B Chem 193:838–843
Hao M, Zhang P, Li B et al (2017) Development and evaluation of an up-converting phosphor
technology-based lateral flow assay for the rapid, simultaneous detection of Vibrio Cholerae
serogroups O1 and O139. PLoS One 12(6):e0179937
He M, Li Z, Ge Y et al (2016) Portable upconversion nanoparticles-based paper device for field
testing of drug abuse. Anal Chem 88(3):1530–1534
He H, Liu B, Wen S et al (2018) Quantitative lateral flow strip sensor using highly doped
upconversion nanoparticles. Anal Chem 90(21):12356–12360
Jin B, Yang Y, He R et al (2018) Lateral flow aptamer assay integrated smartphone-based portable
device for simultaneous detection of multiple targets using upconversion nanoparticles. Sensors
Actuators B Chem 276:48–56
Li P, Zhang Z, Zhang Q et al (2012) Current development of microfluidic immunosensing
approaches for mycotoxin detection via capillary electromigration and lateral flow technology.
Electrophoresis 33:2253–2265
Li Y, Dai C, Wang X et al (2019) A novel strategy to create bifunctional silica-protected quantum
dot nanoprobe for fluorescence imaging. Sensors Actuators B Chem 282:27–35
Liyanage P, Graham R, Pandey R et al (2019) Carbon nitride dots: a selective bioimaging
nanomaterial. Bioconjug Chem 30(1):111–123
Plouffe B, Murthy S (2016) Fluorescence-based lateral flow assays for rapid oral fluid roadside
detection of cannabis use. Electrophoresis 38:502–506
Sui N, Wang L, Yan T et al (2014) Selective and sensitive biosensors based on metal-enhanced
fluorescence. Sensors Actuators B Chem 202:1148–1153
Tu D, Zheng W, Huang P et al (2016) Lanthanide-doped upconversion nanoprobe. In: Liu R-S
(ed) Phosphors, up conversion nano particles, quantum dots and their applications. Springer,
Singapore
Wu Y, Wang Q, Wu T et al (2018) Detection and imaging of hydrogen sulfide in lysosome of living
cells with an activatable fluorescence quantum dots. ACS Appl Mater Interfaces 10:43472
Xu D, Huang X, Guo J, Ma X (2018) Automatic smartphone-based microfluidic biosensor system at
the point of care. Biosens Bioelectron 110:78–88. https://doi.org/10.1016/S095656631830191X
906 W. Zhao et al.

Xu D, Zheng B, Song C et al (2019) Metal-enhanced fluorescence of gold nanoclusters as a sensing


platform for multi-component detection. Sensors Actuators B Chem 282:650–658
Xue J, Chen X, Liu S et al (2015) Highly enhanced fluorescence of Cdsete quantum dots coated
with polyanilines via in-situ polymerization and cell imaging application. ACS Appl Mater
Interfaces 7(34):19126–19133. https://doi.org/10.1021/150819112630003
Yang Q, Li J, Wang X, Peng H, Xiong H, Chen L (2018) Strategies of molecular imprinting-based
fluorescence sensors for chemical and biological analysis. Biosens Bioelectron 112:54–71.
https://doi.org/10.1016/S0956566318302896
You ML, Lin M, Gong Y et al (2017) Household fluorescent lateral flow strip platform for sensitive
and quantitative prognosis of heart failure using dual-color upconversion nanoparticles. ACS
Nano 11(6):6261–6270
Zhan N, Zhou Y, Mei L et al (2018) Dual detection of procalcitonin and C-reactive protein with an
up-converting nanoparticle based lateral flow assay. Anal Sci 35(3):257–263
Zhao Y, Wang H, Zhang P et al (2016) Rapid multiplex detection of 10 foodborne pathogens with an
up-converting phosphor technology-based 10-channel lateral flow assay. Sci Rep 6:21342
Zou R, Chang Y, Zhang T et al (2019) Up-converting nanoparticles-based immunochromatographic
strip for multi-residue detection of three organophosphorus pesticides in food. Front Chem 7:18
Radiotelemetry for Epileptiform Activity
in Freely Moving Rats 44
S. Abdollah Mirbozorgi

Contents
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 908
ATR System Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 910
ATR System Design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 911
Sensor Array Equivalent Circuit Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 914
HFSS Modeling, Simulation Results, and Optimization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 916
Implementation and Measurement Results . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 920
Discussion and Future Plan . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 920
Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 924
References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 924

Abstract
This chapter presents a standalone wireless Animal-body Tracking and Radiote-
lemetry (ATR) system, designed and implemented to passively monitor
(i.e., sense and estimate) animal’s behavior (location and posture), collect, and
transmit physiological data continuously. The proposed system provides a
Bluetooth Low Energy (BLE)-based radiotelemetry platform to exchange data
with any implants or devices attached to the small animal’s body under test.
Monitoring freely moving animals (i.e., rats and mice) in their home cages, 24/7,
enables researchers to collect a comprehensive set of data associated with ani-
mals’ individual and social activities, behaviors, and physiological parameters.
To study epileptic disorders, various physiological aspects are needed to be
measured, such as activities (location and posture of the body), electroencepha-
logram (EEG), electrocardiogram (ECG), electromyogram (EMG), blood pres-
sure, or body core temperature. In epilepsy research, it is desired to run
experiments for several weeks, months, or the entire animal life, uninterruptedly,

S. A. Mirbozorgi (*)
Electrical and Computer Engineering Department, University of Alabama at Birmingham,
Birmingham, AL, USA
e-mail: samir@uab.edu

© Springer Science+Business Media, LLC, part of Springer Nature 2022 907


M. Sawan (ed.), Handbook of Biochips,
https://doi.org/10.1007/978-1-4614-3447-4_63
908 S. A. Mirbozorgi

to provide an informative set of information to scientists. The ATR system relies


on the multi-resonance inductive link (resonator array) to detect small animals’
location and posture in standard cages, which can be replaced with conventional
camera-based technologies. The camera-based systems capture the image infor-
mation, while the proposed ATR device captures the resonator array’s frequency
response, which corresponds to the animal body info. (i.e., location). The reso-
nators of the sensor array generate multiple bifurcations, and any shifts or changes
in the quality factors of one or multiple resonance frequencies can be interpreted
as changes in the location and posture of the animal.

Introduction

Epilepsy can result from a genetic disorder or brain injury (trauma, stroke, etc.). The
main symptom of epilepsy is a seizure, in which a subject experiences abnormal
behavior, such as uncontrollable jerking movements of the arms and legs, muscle
spasms (abnormal stiffness of the arm and/or leg, chewing, or swallowing move-
ments), loss of consciousness or awareness, temporary confusion, fear/anxiety, etc.
The seizure is caused by a problem in the brain’s electrical signaling when some
groups of neurons suddenly and involuntary begin firing excessively. The main
treatments for epilepsy are medication, surgery, using implantable devices, or dietary
changes. Monitoring and detecting abnormal behaviors and electroencephalogram
(EEG) are the primary observable symptoms of epilepsy. On the other hand,
recording electrocardiogram (ECG), electromyogram (EMG), blood pressure, and
body core temperature are reliable approaches for measuring the behavioral and
physiological parameters for detecting seizures (Jan et al. 2018).
Preclinical animal models are required to study the disease process for many
neurologic diseases in humans, such as epilepsy. It is technically challenging to
continuously record the activities and physiological parameters (EEG, ECG, EMG,
etc.) in small animal models (rats and mice) for detecting seizures and other
neurological disorders. It is needed to improve preclinical research to identify
suitable therapies and solutions by developing devices for continuous (24/7) behav-
ior monitoring and brain recording (such as EEG recording/radiotelemetry). Behav-
ior monitoring and brain recording approaches play an essential role in
characterizing epilepsies. Such information provides valuable insights into patho-
physiological mechanisms and the development of new technologies and treatments
(Jan et al. 2018; Andrew et al. 2015; Andreas et al. 2016).
Monitoring small animal (rats and mice) behaviors in their home cages, 24/7,
enables researchers to collect data associated with animals’ individual and social
activities and behaviors. Such studies need to be run for several weeks, months, or
the entire animal life, uninterruptedly, to provide an informative set of information to
scientists (Edoardo et al. 2018; Bains et al. 2018). On the other hand, in large scales,
the quality of small animals’ caring, safety monitoring, animal housing, and con-
trolling the labs environments, all when providing support for the durations that there
is no test to be conducted with the animals (such as resting time, in the nights, etc.),
44 Radiotelemetry for Epileptiform Activity in Freely Moving Rats 909

are significantly important and are needed for almost all the small animals’ labs and
facilities. The small animal studies and researches include the evaluation of animal
behavior, epilepsy and seizure studies, neurological disorders researches, drug
delivery studies, toxicology and addiction studies, neural recording and stimulation
researches, infectious diseases, humane endpoints, thermoregulation studies, sleep
studies, social interaction and behavior, hyper-/hypoactivity, aggressive behaviors
and conflict avoidance studies, surgical recovery, etc. There is an unmet need to
present a largely scalable fully automatic intelligent device/system to support the
requirements of the animal facilities for monitoring individual animals in their
homecage 24/7.
There exist several different technologies to address the needs of home cage
monitoring (Claire 2015). The current approaches for monitoring small animals are
mainly based on personnel observation and video monitoring. Video recording could
be an ideal approach by allowing the researchers to leave the animal room and
remotely observe the animals and their environment (Lucas et al. 2001; Tai et al.
2011; Joao and Silva 2012; Zheyuan et al. 2018). However, the video monitoring
approach (1) is not highly scalable; (2) is very time-intensive; (3) needs an expensive
setup; (4) is almost impossible to monitor the animals at nights (without distracting
by light), while such animals are most active at night; and (5) is very challenging to
monitor them in a group-housed setting. Other techniques, which have similar limits,
are ultrasound and piezoelectric systems (Aaron et al. 2007) and microwaves and
passive infrared-based systems (Andreas et al. 2017; Laurence et al. 2017).
The existing technological methods for developing small animal behavior recog-
nition tools are mainly based on capturing an animal video using a camera
(red-green-blue (RGB) or IR depth image) and analyzing it by a computer. Such
approaches cannot be used for monitoring the animal behaviors in large scales of
hundreds and thousands of animal cages simultaneously, due to (1) the needs for a
large space for setting the camera/s to have a proper view, (2) the considerable price
of a system, (3) the significant power consumption of the system, (4) the required
large bandwidth for data transmission links, and (5) the needs for supercomputers
and large data storage capacity (Lucas et al. 2001; Tai et al. 2011; Joao and Silva
2012). A Microsoft Kinect ®-based image processing system is presented in
(Zheyuan et al. 2018), capable of automated tracking and behavior recognition in
freely moving animals. It uses the depth image (works under both bright and dark
conditions) provided by the Kinect infrared (IR) camera and the image processing
algorithm in the PC/Laptop. The camera needs to be located >80 cm above the
animal cage to cover the entire cage. The conventional red-green-blue (RGB)
cameras need proper lighting for accurately detecting the animals. In Weizhe et al.
(2015), a new integrated hardware and software system is presented that combines
video tracking, depth sensing, and machine learning for automatic detection and
quantification of social behaviors between two mice in their home cage. In this
technique, the developed computer vision tool is used to extract the body “pose” of
individual animals in a social context. The camera-based systems provide detailed
images (the main advantage) for observing animal movement and behaviors
(Maarten et al. 2014). The main disadvantage of using the camera-based systems
910 S. A. Mirbozorgi

is their limits for increasing the number of monitoring cage units and mounting in a
rack because of the mechanical limits on implementing space-saving setup (Chiel
et al. 2018).
An electrical capacitance sensing technology is introduced as a cage-home rack
monitoring system (Tecniplast 2018). It can detect only spontaneous animal activity
occurring in the cage, continuously and nonintrusively. This system is rack-
mountable (main advantage), and the animal observation takes place directly at the
rack. This system enables inherent high-throughput data collection by monitoring
multiple cages simultaneously, potentially reducing stress and long-term 24/7 spon-
taneous animal activity data collection. The disadvantages of this design are as
follows: (1) it is expensive, (2) it has a very low resolution (6–12 pixels only for
the entire cage), and (3) it needs the rack to be modified.

ATR System Overview

This section overviews the design of the proposed ATR (Animal-body Tracking and
Radiotelemetry) system, a decent animal monitoring system (Abdollah 2019). The
ATR system is a standalone wireless animal body tracking sensor with a program-
mable BLE-based radiotelemetry platform to support recording/stimulating units
(implantable and wearable devices). The ATR system uses a multi-resonance induc-
tive link to quantify the location and posture of small animals such as rats and mice.
Calculating body displacement, precisely, is challenging using conventional video-
based approaches for monitoring the animal behaviors in large scales of hundreds
and thousands of animal cages simultaneously. The proposed design uses an
advanced electromagnet-based body imaging technique to sense the electromagnetic
properties of body tissue. It enables the scientists and researchers to estimate the
small animal body’s shape/posture and detect parameters like water leakage, which
is usually invisible for cameras. It is significantly important to develop a new
generation of animal body imaging and locating devices that dramatically decrease
the system’s price on a large scale, work under any brightness conditions, and detect
water leakage inside the animal cage. The ATR system provides a means to have
long-term camera-free activity monitoring and behavior detection/recognition capa-
bilities, specifically for studying epilepsy and seizure. The proposed ATR device can
be modified/customized for different standard mice/rat cages and other small animal
cages of different sizes, including Y mazes.
The ATR device facilitates trajectory, caring, behavior studying, and activity and
vital signs monitoring of small animals such as rats and mice in animal facilities on a
large scale. It provides researchers a cost-effective experimental tool to study and
explore novel solutions and treatment methods for neurological disorders such as
epilepsy and seizure, addiction, Parkinson’s, Alzheimer’s, etc. The ATR is a
standalone wireless system that captures the animals’ activities in their standard
home cages for 24/7 monitoring. Unlike alternative methods (i.e., RGB and IR depth
cameras, capacitive sensors), this method can be fully automated and does not
impose additional time and resource burden on the healthcare system. This system
44 Radiotelemetry for Epileptiform Activity in Freely Moving Rats 911

is a highly feasible approach to control large animal facilities for caring and
monitoring purposes and can be implemented broadly for animal resources
programs.
Wireless radiotelemetry technology has been customized/adapted and developed
for monitoring small animals (rats and mice). It has been used in many different
recordings (EEG, electrocorticogram (ECoG), ECG, EMG, etc.) and stimulations
units to avoid tethering the animals (Andreas et al. 2016). The ATR platform is
equipped with a BLE transceiver module, located underneath the animal cage, and
can be connected to a transceiver module on the recording unit (implanted in or
attached to a small animal in the homecage) wirelessly (~2.4 GHz frequency) to
transmit and receive data based on the BLE protocol or any other user-defined/user-
designed approach. The processor unit of the ATR design, an nRF52832 module, can
be programmed to provide the required radiotelemetry mechanism for capturing
physiological parameters’ data.
The ATR system relies on the multi-resonance inductive link (resonator array) to
detect small animals’ location and posture in standard cages, which can be replaced
with conventional camera-based technologies. The camera-based systems capture
the image information, while the proposed ATR device captures the frequency
response (changes in the resonances) of the resonator array, which corresponds to
the animal body info (i.e., location) anywhere in the specified coverage area. The
resonators of the sensor array are tuned at different frequencies, >100 MHz, and
generate multiple bifurcations in a reading coil’s reflection coefficient. Any shifts or
changes in the quality factors of one or multiple resonance frequencies can be
interpreted as changes in the animal’s location and posture located just above the
sensor array. The ATR design enables the precise estimation of the animal’s location
by building robust machine learning (ML)-based inference models. The ML algo-
rithm can be trained based on the ATR system’s data, which is labeled based on the
animal body’s physical locations and postures.

ATR System Design

None of the reviewed technologies is accurate enough and highly scalable to be


considered a standalone device for 24/7 monitoring of small animals’ activities for
epilepsy studies. The proposed ATR system is designed and implemented to address
the needs of a sensor unit to track and sense small animal body within a standard
animal cage. Figure 1 shows the proposed saleable and rack-mountable wireless
ATR system. This sensing device uses a novel technique to estimate the location and
the posture of the animal body over the sensor array to generate accurate trajectory
data. The proposed ATR system is a thin (thickness, <4 mm) device, can be located
under the cage, and works under both bright and dark conditions.
The ATR system is configured based on a novel approach for measuring small
animals’ location and posture in their standard homecages using body tissues’
electrical properties in a low-level electromagnetic field. The ATR design’s sensing
hardware includes an array of resonators, a sensor array, and a reading coil. The
912 S. A. Mirbozorgi

Fig. 1 The block diagram of the proposed ATR system for monitoring multiple units wirelessly

resonators of the sensor array are tuned at different frequencies, >100 MHz, to
generate multiple bifurcations in the resonance frequency spectrum (reflection
coefficient, S11) of the reading coil. Any shifts and quality factor changes of one
or multiple resonance frequencies can be interpreted as different changes in the
animal’s location and posture over the sensor array. A machine learning algorithm
can correctly analyze the captured frequency spectrum data to the location and
changes in animal body posture.
The microcontroller of the ATR system is equipped with an embedded Bluetooth
Low Energy (BLE) transceiver. It transmits only the animal locations and postures’
data to the base station based on the ML models (not the sensor’s raw data), uploaded
in the microcontroller. Therefore, it significantly reduces the BLE links’ data rate and
lets to scale up the number of units in the system highly and operate all units
simultaneously in a rack.
The proposed ATR system consists of a thin layer of electronics, including (1) an
array of six resonators (LC tanks, tuned at different frequencies) and a reading coil
(LR) and (2) sensing interface, processing, and data transmitting circuitries. The ATR
system is connected to a base station monitoring/controlling unit via BLE. In the
proposed design, each LC tank generates a unique resonance frequency, between the
100 MHz and 180 MHz band. The resonators are tilted to cover the target sensing
area. The resonators produce multiple bifurcations in the reading coil’s reflection
44 Radiotelemetry for Epileptiform Activity in Freely Moving Rats 913

coefficient, S11. The animal body affects the properties of the resonators’ environ-
ment by causing nonlinear changes in the capacitances, the inductances, and mainly
the mutual inductances between the resonators. Such changes (in the surrounding
area of the resonators, including the changes in the permittivity and the shape of the
electromagnetic fields) are measured precisely by measuring the shifts in the reso-
nance frequencies and the changes in the quality of the resonance frequencies.
Figure 2 shows the multiple resonances that are generated by the resonator array,
conceptually. Since the resonance frequencies, generated by the resonators, change
nonlinearly by the changes in the electromagnetic fields due to the variations in the
resonator’s environment’s property, it is impossible to map the animal’s body posture
and location to the captured frequency spectrum. Therefore, an ML technique is
required to interpret the captured data and detect the animal location and posture.
Figure 3 shows the block diagram and the proposed sensor unit’s equivalent
circuit unit, including the resonator array. As shown in Fig. 3, to sense the variation
of the frequency bifurcation through the S11 of LR, it is needed to generate a signal
while sweeping its frequency and apply it to the reading coil. The amplitude of the
reflection coefficient (S11) of the reading coil can be measured by detecting the
envelope of the signal on it using an envelope detector (self-mixing the signal) and
then digitalized it using an analog-to-digital converter (ADC) embedded in the
microcontroller. To sweep the frequency, the processor of the sensor device is

Fig. 2 The concept of the proposed technology showing the multiple bifurcations detected on the
reading coil’s reflection coefficient, S11, which varies in regard to the animal’s physical location and
posture

Fig. 3 The conceptual block diagram of the equivalent circuit model of the ATR system
914 S. A. Mirbozorgi

programmed to generate an analog signal at the output of the digital-to-analog


converter (DAC). The DAC output is applied to a voltage-controlled oscillator
(VCO) to produce a sinusoidal signal with a frequency range from 100 MHz to
180 MHz. The power amplifier amplifies this signal and applies it to the reading coil.
Considering a center frequency of 130 MHz and resolution of 10,000 points for
100 periods (VCO settling time), the frequency range is swept as fast as 7.7 ms,
10,000 100 1/(130 10^6). Therefore, the information of the entire frequency
range is captured with a sampling rate of 130 samples per second.
The hardware of the proposed highly scalable ATR system has four main parts, as
the conceptual block diagram is shown in Figs. 1 and 3:

1. Resonator array, including a reading coil and six sensing resonators (six LC
tanks), tuned at frequencies above 100 MHz.
2. Interface circuitry is needed to capture the frequency response; reflection coeffi-
cient (S11) of the resonator array, including VCO and its associated circuit; power
amplifier; and signal power detector (self-mixing envelop detector).
3. The processor unit is needed to control the ATR system and sample the resonator
array data. An nRF52832 module is used to control the system, analyze the raw
data using the ML prediction model, and transmit the data to the base station via
its BLE module.
4. The base station unit (central control unit) to collect the data from multiple ATR
systems in the racks.

Sensor Array Equivalent Circuit Model

The inductive components of the ATR system consist of two parts: (1) reading coil,
LR, and (2) six-sensor resonators (LS1CS1–LS6CS6), in which the number of resona-
tors in the system is scalable (up/down) based on the size of the cage (target area).
Due to the relatively strong coupling between the resonators and difference in the
values of the resonators’ capacitors, the reflection coefficient (S11), experienced by
the reading coil, generates multiple bifurcations in the resonance frequencies (f1–f6).
The level of bifurcations depends on the mutual coupling between the resonators
strongly, and the coupling between the resonators highly depends on the properties
of the surrounding environment. The frequency differences between resonance
frequencies and resonance qualities will be affected by the presence of small body
tissue layers (mice).
A resonance-based sensor system with a single resonator produces a single
resonance frequency at f1:

1
f1 ¼ pffiffiffiffiffiffiffiffiffiffiffiffiffiffi : ð1Þ
2π LS1 CS1
Since there is more than one resonator in the system, the resonance frequencies of
the sensor unit are bifurcated at f1–f6 because of their mutual coupling and unbal-
anced capacitances (Lan et al. 2013). Each coil of the sensor unit is identified with a
44 Radiotelemetry for Epileptiform Activity in Freely Moving Rats 915

subscript, n, in the range of 1 to 6, as shown in Fig. 3. Therefore, there are six current
loops, and it is needed to calculate the current in the LR reading coil, IR, using the
following mesh current matrix (Young et al. 2016):
2 3
LR S MR,S1 S MR,S2 S MR,S3 S MR,S4 S MR,S5 S MR,S6 S
6 7
6 MR,S1 S aS1 MS1,S2 S MS1,S3 S MS1,S4 S 0 0 7
6 7
6 MR,S2 S MS1,S2 S aS2 MS2,S3 S MS2,S4 S 0 0 7
6 7
6 MS3,S6 S 7
6 MR,S3 S MS1,S3 S MS2,S3 S aS3 MS3,S4 S MS3,S5 S 7
6 7
6 MR,S4 S MS1,S4 S MS2,S4 S MS3,S4 S aS4 MS4,S5 S MS4,S6 S 7
6 7
6 MS5,S6 S 7
4 MR,S5 S 0 0 MS3,S5 S MS4,S5 S aS5 5
MR,S6 S 0 0 MS3,S6 S MS4,S6 S MS5,S6 S aS6
2 3 2 3
IR Vs
6 I 7 6 7
6 S1 7 6 0 7
6 7 6 7
6 IS2 7 6 0 7
6 7 6 7
6 7 6 7
6 IS3 7 ¼ 6 0 7
6 I 7 6 0 7
6 S4 7 6 7
6 7 6 7
4 IS5 5 4 0 5
IS6 0
(2)

where S ¼ jω, aSi ¼ LS S þ C1Si S (i ¼ 1, 2, . . . 6), and LS ¼ LS1. . . ¼ LS6.


For the sake of simplicity and regarding the geometrical symmetry, it is better to
consider: MRS ¼ MR,S1. . . ¼ MR,S6, MA ¼ MS1,S2 ¼ MS1,S3 ¼ MS2,S4 ¼ MS3,S4 ¼
MS3,S5 ¼ MS4,S6 ¼ MS5,S6, and MC ¼ MS1,S4 ¼ MS2,S3 ¼ MS3,S6 ¼ MS4,S5.
From the first row of the (2),
X6
LR SIR þ MRS S I
i¼1 Si
¼ Vs: ð3Þ

The other six equations of the rows 2–7 in Eq. (2) can be found, too. Solving the
Eq. (2) parametrically, which generates six resonance frequencies and appears in LR,
would be complicated to be derived. The resonance frequencies of this system
depend on the inductance of LS1–LS6, mutual couplings, and the capacitances.
Through the mutual couplings between the resonators, all the resonance frequencies
influence each other.
The f1–f6 can be written in a general form of Eq. (4):

1
fi ¼ pffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi i ¼ 1, 2, . . . 6, ð4Þ
2π ðLi  Fi ðMÞÞ  Ci

where the Li, Ci, and Fi(M) can be calculated based on the Eq. (3) and the other
equations that can be derived from Eq. (2). To avoid involving too much math and
calculation, the equivalent circuit model of the proposed design is simulated using
916 S. A. Mirbozorgi

Fig. 4 (a) The equivalent circuit of the proposed tracking device modeled in LTspice and
(b) simulation results indicating the sensor’s multiple resonance frequencies

LTspice. The simulation results, shown in Fig. 4a, b, indicate the generated six
resonance frequencies by the array.
Dependence on the location and posture of the small animal over the sensor array,
the magnetic permeability of the coils, the couplings between the coils, and the
relative permittivity of coils’ parasitic capacitances would be changed at high
frequencies, > 100 MHz. It will appear in the return loss of LR as shifts in the
resonance frequencies and the changes of the resonance quality. Such changes in the
environments of the resonators and their effect on the resonance frequencies are
characterized by modeling the entire inductive links, and the results are presented in
the next subsection.

HFSS Modeling, Simulation Results, and Optimization

The proposed ATR sensing platform is modeled, including the inductive link and the
small animal body, using HFSS software to support the design and optimization
processes with realistic data. The design process of sensor coils is summarized in
Fig. 5, which includes the optimization process of the number of resonator elements,
dimensions and other specs of all coil elements, and operating resonance frequen-
cies. The developed HFSS model consists of the small animal body tissue layers and
the entire inductive link with seven coil elements. The HFSS simulation results are
used to characterize the resonators performance for detecting the animal body and
implement the design process. For example, the HFSS model and simulation results
are utilized to find the best working frequency range for the proposed design,
targeting to maximize the shifts and changes in the quality of the resonance frequen-
cies for achieving the maximum possible sensitivity in sensing the animal body. The
results suggest using the frequency range above 100 MHz, as expected, based on the
dielectric properties of the body tissues regarding the frequency. The proposed
system can precisely measure the location and changes in a small animal’s posture
based on the HFSS model and simulation results.
44 Radiotelemetry for Epileptiform Activity in Freely Moving Rats 917

Fig. 5 The flowchart of the coils’ design process and optimization for the proposed sensor unit.
Where, davg ¼ (do + di)/2, ϕ ¼ (do  di)/(do + di), F(ϕ) ¼ ln(2.07/ϕ) +0.18ϕ +0.13ϕ2

Figure 6 shows the HFSS model of the entire inductive link of the device,
including the reading coil, LR, and six sensing resonators, LS1–LS6. It also indicates
all the possible mutual couplings between all the coil elements and the animal body
model in a simplified cylindrical shape with a diameter of 2 cm and a length of 5 cm.
The number and size of the resonators in the array is optimized based on the
(1) average size of the target animal, (2) coverage height, (3) coverage area, and
(4) required resolution for detecting the location of the animal.
Figure 7a shows the HFSS simulation results of the proposed design by plotting
the LR’s reflection coefficient, S11, as a function of frequency and the size of the
animal. In this simulation, the size of the animal model is changed to estimate the
sensitivity of the design for detecting the body size variations. The results confirm
that both shifts and changes in the deepness levels of the resonance frequencies are
significant and detectable in regard to the animal size variations. Figure 7b shows the
simulation results indicating the variation of deepness levels and shifts of the reso-
nance frequencies on the S11 as a function of the frequency for different positioning
918 S. A. Mirbozorgi

Fig. 6 The HFSS model of the proposed systems, including the inductive link and the small animal
body model, indicating the mutual coupling between all coil elements

(a) (b)

(c)

Fig. 7 The HFSS simulation results of the proposed design, showing the reflection coefficient, S11,
of the LR as a function of frequency when (a) the size of the animal model is changed from the
radius of 1–15 mm, (b) the locating angle of the animal body’s position is changed, and (c) the
location of the animal is swept over the resonator array

angles of the cylindrical model of the animal body. This simulation shows the
sensitivity of the proposed sensing platform regarding the changes in the shape of
the animal body. Therefore, such capability enables detecting small animals’ different
behaviors, such as standing, walking, sleeping, etc. Figure 7c illustrates the HFSS
simulation results for the animal body displacement over various locations on the array
44 Radiotelemetry for Epileptiform Activity in Freely Moving Rats 919

of the resonators, the S11 as a function of the frequency and position in the 3D plot. The
obtained significant changes in the shifts and changes in the resonance frequencies’
quality provide the capability to detect the animal’s location everywhere above the
sensor array precisely. The minimum number of resonator elements is four for the
proposed technique to locate the animal body over the sensor array, which must be
configured in a square form of a 2 by 2 array. At the same time, the extension in both
directions is possible to increase the sensing area. The proposed design makes it
possible to identify the animal’s location anywhere between two resonators with
high resolution.
Figure 8a shows the results of sweeping the location of the animal model between
two adjacent resonators, LS5 (P-5)–LS6 (P-6), with a 10 mm step size. These HFSS
simulation results show the shifts and quality changes of the resonance frequencies
in the return loss, S11, of the LR as a function of frequency. The obtained significant
changes in the S11 curves (variations of the bifurcation levels, Δf) guarantee to
identify the location of the animal body precisely everywhere over the sensor
array with less than 10 mm resolution. The HFSS model is also used to evaluate
specific absorption rate, SAR (a measure of the rate of absorption of RF energy in the
body), in the animal body regarding the safety concerns. The average SAR simula-
tion result is presented in Fig. 8b, which is well below, >100 times, the standard
limit of 1.6 W/kg. The average SAR is calculated at the resonance frequencies,
worst-case scenario, while the input power was set 100 mW.

(a)

(b)

Fig. 8 (a) The HFSS simulation results showing the S11 of the LR as a function of frequency while
the location of the animal body over the resonator array is swept between two adjacent resonators
with 10 mm step size. (b) The SAR simulation results using HFSS model
920 S. A. Mirbozorgi

Implementation and Measurement Results

The feasibility of the proposed sensor design is verified by developing a prototype


and testing the concept of the proposed resonance frequency-based body-tracking
device. For this verification, the ATR sensor is implemented by making (1) a reading
coil using 13 mm flat and flexible foil coil (one turn, 12*24 cm2) and (2) 6 sensing
resonator coils (13 mm flat and flexible foil coil, one turn, 8*8 cm2) with different
values of constant capacitors, covering the frequency range of 70–180 MHz.
Figure 9a shows the test setup, including the implemented reading and resonator
coils, vector network analyzer (VNA), and computer. This setup is prepared for
measuring S11 and evaluating the level of the multiple resonance frequency bifur-
cations and shifts with changing the location of the animal body model over it
(a human hand in primary experiments). The sensor unit is tested using a vector
network analyzer, covering 1 MHz3 GHz. The reflection coefficient, S11, is mea-
sured with a visible bifurcation levels on the resonance frequencies, as shown in
Fig. 9b. To support the determined working frequency range, >100 MHz, for
sensing a small body model, the frequency range of 70–180 MHz is selected for
this experiment. This frequency range covers the boundary that the resonator array
starts being sensitive to the small animal body (~100 MHz). The measured S11
results, presented in Fig. 9b, indicate the shifts in the resonance frequencies of
resonators for the frequencies tuned to be higher than 100 MHz. This experiment
suggests to tune the frequencies to be higher than 100 MHz to detect animal body.
Figure 10a shows the test setup and the implemented prototype using a standard
mice cage, 20*31*13 cm3. Fresh meat is used inside the cage, while the sensor array
is located underneath the cage. The measured S11 as a function of frequency and the
location of the piece of the fresh meat, 2.5*3.8*6.3 cm3, is presented in Fig. 10b,
verifying the shifts in the resonance frequencies with sweeping the location of the
body model inside the cage.
The proposed inductive-based multi-resonance sensor device needs a reading
circuit to capture the inductive link’s frequency spectrum data. The designed and
implemented interface circuit is shown in Fig. 11a (based on the circuit schematic of
Fig. 3), including the signal generator (100–200 MHz), power amplifier, and envelop
detector circuit for measuring the reflection coefficient, and processor unit consists
of ADC, BLE transceiver, and BLE antenna. The captured reflection coefficient from
the reading coil, Fig. 11b, provides the frequency spectrum data to the processor to
analyze and extract the animal’s body location and posture information.

Discussion and Future Plan

The proposed ATR device can be modified/customized for different standard mice/
rats cages and other small animal cages of different sizes, such as Y mazes. This
device will facilitate trajectory, caring, behavior studying, and activity and vital signs
monitoring of small animals to study epilepsy and seizure on a large scale. The ML
algorithm can be trained/adapted to detect seizures and any other abnormal physi-
ological and behavioral activities, such as addiction, Parkinson’s, Alzheimer’s, etc. It
44 Radiotelemetry for Epileptiform Activity in Freely Moving Rats 921

Fig. 9 (a) The test setup and the implemented resonator array prototype. (b) Measured bifurcation
level (Δf) and the shifts of resonance frequencies as a function of frequency while changing the
location of the body tissues, human hand, indicating that at the frequencies higher than 100 MHz,
the resonators show sensitivity to the changes of the body locations

can be scaled up and adapted to control large animal facilities for caring and
monitoring purposes.
The ATR system’s design and implementation are highly cost-effective, using
only off-the-shelf components and rigid PCBs. Unlike alternative methods
(i.e., RGB and IR depth cameras, capacitive sensors), this method does not need a
large space around the cage (thickness, <10 mm) and the rack to be modified.
922 S. A. Mirbozorgi

(a)

(b)

Fig. 10 (a) The implemented prototype and test setup using standard mice cage and fresh meat and
(b) measured results of the S11 as a function of frequency while the location of the piece of the fresh
meat is swept over the resonator array

Using the capabilities of the utilized microcontroller in running the model


(prediction matrix) generated by an ML algorithm, it is avoided to transmit raw
data via BLE (sending only body location, posture, and EEG data to the central
monitoring unit). Therefore, the BLE’s data bandwidth can be used for delivering the
received EEG’s (or other physiological parameters) data to the base station via the
general-purpose radiotelemetry platform of the ATR system. Additionally, this
capability allows the researchers to develop the required code and algorithm for a
highly scalable BLE wireless network to transfer data from multiple ATR units to a
central control unit.
44 Radiotelemetry for Epileptiform Activity in Freely Moving Rats 923

(a) (b)

Fig. 11 (a) The implemented circuit interface prototype and (b) primary filtered (by MATLAB)
S11 measured results using fresh meat

Fig. 12 The conceptual


block diagram of the ATR
system for interpreting the
frequency spectrum signal,
indicating the training phase
of the ML mechanism

It is challenging to correctly map the posture and location of the target animal to
the shifts in the resonance frequencies since the density of the electromagnetic fields
around the resonators and the property of its enclosed spaces varies nonlinearly by
the animal body displacement. To address this issue, the ATR sensor data must be
labeled accurately. Therefore, a camera is needed for capturing the animal video.
Figure 12 illustrates the proposed setup block diagram for the training phase of the
ML mechanism, indicating the camera setup. The data must be captured from both
the camera, 30 frames per second video, and the ATR sensor. Then, the data will be
used for training the ML algorithm and generate a classifier/prediction matrix.
Finally, the model will be uploaded in the microcontroller of the sensor device,
and it will only receive the ATR system’s data to analyze as the process is shown in
the block diagram of Fig. 13. Based on this data, the animal’s location and posture
will be predicted in the sensor unit, and the results will be transferred to the base
station unit wirelessly. The received data at the base station (central unit) can be used
for an animal’s individual/social behavior recognition to study epilepsy or other
neurological disorders.
In the future, the proposed test setup of Fig. 12 will be used to conduct in vivo
tests using rats/mice. The data collected from the in vivo experiments will be used
for improving and modifying the ATR system’s hardware and software.
924 S. A. Mirbozorgi

Fig. 13 The block diagram


of the proposed ML algorithm
indicating training/running
phases

Conclusion

In this chapter, a standalone wireless Animal-body Tracking and Radiotelemetry,


ATR, platform/system is proposed to address the required needs for monitoring
animals’ behavior and physiological parameters for studying epilepsy. The ATR
design uses a multi-resonance inductive link to quantify the location and posture of
small animals (rats and mice). Calculating body displacement, precisely, is challeng-
ing using conventional video-based approaches for monitoring the animal behaviors
in large scales of hundreds and thousands of animal cages simultaneously, due to
(1) the considerable price of the system, (2) the significant power consumption of the
system, (3) the required large bandwidth for data transmission links, and (4) the
needs for supercomputers and large data storage capacity. The proposed ATR
system’s sensor array configuration is an advanced electromagnet-based body imag-
ing technique, working based on the electromagnetic properties of body tissue. It can
estimate the shape/posture of the small animal body and detect parameters like water
leakage, which is usually invisible for cameras. The ATR design decreases the
system’s price on a large scale dramatically and works under any brightness condi-
tions (bright and dark). It provides a means to have long-term camera-free activity
monitoring and behavior detection/recognition capabilities.

References
Aaron F et al (2007) Pattern recognition of sleep in rodents using piezoelectric signals generated by
gross body movements. IEEE Trans Biomed Eng 54(2):225–233
Abdollah M (2019) Multi-resonance- and transfer-learning-based small animal body tracking/
sensing system using inductive resonator array. Submitted US Patent application to the HIIE
office, UAB
Andreas L et al (2016) EEG radiotelemetry in small laboratory rodents: a powerful state-of-the art
approach in neuropsychiatric, neurodegenerative, and epilepsy research. Neural Plast 2016:
8213878. Hindawi Publishing Corporation
44 Radiotelemetry for Epileptiform Activity in Freely Moving Rats 925

Andreas G et al (2017) A simplified microwave-based motion detector for home cage activity
monitoring in mice. J Biol Eng 11:#36
Andrew Z et al (2015) Long-term continuous EEG monitoring in small rodent models of human
disease using the epoch wireless transmitter system. JoVE 101:e52554
Bains RS et al (2018) Assessing mouse behaviour throughout the light/dark cycle using automated
in-cage analysis tools. In: Measuring behaviour. J Neurosci Methods 300:37–47
Chiel P et al (2018) A noninterfering system to measure in-cage spontaneous physical activity in
mice. J Appl Physiol 125(2):263–270
Claire R (2015) The power of automated behavioural homecage technologies in characterizing
disease progression in laboratory mice: a review. Appl Anim Behav Sci 163:19–27
Edoardo B et al (2018) An approach to monitoring home-cage behavior in mice that facilitates data
sharing. Nat Protoc 13:1331
Jan G et al (2018) A companion to the preclinical common data elements for physiologic data in
rodent epilepsy models. A report of the TASK3 Physiology Working Group of the ILAE/AES
Joint Translational Task Force. Epilepsia Open 3(s1):69–89
Joao P, Silva M (2012) Automatic behavior recognition in laboratory animals using Kinect.
MS. thesis, Bioengineering, Faculdade de Engenharia da Universidade do Porto, FEUP
Lan J et al (2013) Frequency splitting analysis of wireless power transfer system based on T-type
transformer model. Elektronika IR Elektrotechnika 19(10):109–113. ISSN 1392-1215
Laurence B et al (2017) Compass: continuous open mouse phenotyping of activity and sleep status
[version 2; referees: 4 approved]. Wellcome Open Res 1(2):1–17
Lucas N et al (2001) EthoVision: a versatile video tracking system for automation of behavioral
experiments. Behav Res Methods Instrum Comput 33(3):398–414
Maarten L et al (2014) Sheltering behavior and locomotor activity in 11 genetically diverse
common inbred mouse strains using home-cage monitoring. PLoS One 9(9):1–9
Tai OY et al (2011) An infrared range camera-based approach for three-dimensional locomotion
tracking and pose reconstruction in a rodent. J Neurosci Methods 201(1):16–123
Tecniplast SpA (2018). http://www.tecniplast.it/en/product/about-dvc.html. Tecniplast DVC
Weizhe H et al (2015) Automated measurement of mouse social behaviors using depth sensing,
video tracking, and machine learning. PNAS 112(38):E5351–E5360
Young K et al (2016) Selective wireless power transfer for smart power distribution in a miniature-
sized multiple-receiver system. IEEE Tran Indus Elec 63(3):1853–1862
Zheyuan W et al (2018) An automated behavior analysis system for freely moving rodents using
depth image. Med Biol Eng Comput 56:1807–1821. Springer
Security and Innovation Protection
of Biochips 45
Chen Dong, Ximeng Liu, Yi Xu, and Sihuang Lian

Contents
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 928
Attack for Biochips . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 931
Attack Technology . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 932
Threat Effect . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 935
Protection for Biochips . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 938
IP Protection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 938
Detection Technology . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 940
Prevention Technology . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 942
Future Work . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 945
Conclusions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 946
References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 946

Abstract
The miniaturization of microfluidic device components has greatly improved the
scale and convenience of biochip. Undoubtedly, there will be a booming market
for biochips in the future. Owning to the popularization potential of biochip, it has
also became a target for attackers. This chapter presents about the security and

C. Dong
College of Mathematics and Computer Science, Fujian Provincial Key Laboratory of Network
Computing and Intelligent Information Processing, Ministry of Education, Fuzhou University,
Fuzhou, China
e-mail: dongchen@fzu.edu.cn
X. Liu (*) · Y. Xu
College of Mathematics and Computer Science, Key Lab of Information Security of Network
Systems (Fujian Provincial), Ministry of Education, Fuzhou University, Fuzhou, China
e-mail: x@fzu.edu.cn; n190325013@fzu.edu.cn
S. Lian
College of Mathematics and Computer Science, Key Laboratory of Spatial Data Mining and
Information Sharing, Ministry of Education, Fuzhou University, Fuzhou, China
e-mail: n190327115@fzu.edu.cn

© Springer Science+Business Media, LLC, part of Springer Nature 2022 927


M. Sawan (ed.), Handbook of Biochips,
https://doi.org/10.1007/978-1-4614-3447-4_62
928 C. Dong et al.

innovation protection of biochip, including attack technologies, security threat


effects, and protection technologies. Two mainly attacked technologies hardware
Trojan (HT) and reverse engineering (RE) are introduced. Both HT and RE
involve the destruction from physical structure to bioassay; thus, the discussion
is extended into the several security threat effects. Moreover, the protection
approaches responds to attacks from three aspects: (1) intellectual property
(IP) protection directly facing the bioassay security, (2) some reliable methods
detecting the existence of the attacks early, and (3) camouflage and obfuscation
preventing the above two attack technologies effectively. Additionally, this chap-
ter also discusses some possible security threats and countermeasures for future
biochips.

Introduction

As one of the most typical representatives of microfluidic technology, microfluidic


biochips are usually used for biochemical experiments or medical instant detection,
such as deoxyribonucleic acid (DNA) measurement, glucose detection, and so
on. Biochips have several excellent characteristics such as low cost, experiment
result accurate, using outdoors easily. It can also be used in other fields, such as
environmental testing, including air quality detecting, water quality monitoring, soil
pollution inspecting, etc. The miniaturization of biochips effectively saves expensive
reagents and avoids reagent waste. Some simple and fast biological experiments can
be directly integrated on the biochip to complete, further reducing the dependence on
human resources. In recent years, the biochips are developing rapidly. According to
the survey, due to the wide application prospects, the market value for biochips is
expected to reach 12.3 billion US dollars by 2022 (Shayan et al. 2020). Today’s
biochips are developing into two main categories: one is the digital microfluidic
biochip – DMFB (Dong et al. 2020a) and another is the continuous-flow micro-
fluidic biochip – CFMB. There are also advanced biochip architectures,
microelectrodedot-array (MEDA), and field-programmable valve array (FPVA), of
which MEDA can be classified as advanced DMFB from the working principle, and
FPVA has the characteristics of both CFMB and DMFB.
The DMFB in Fig. 1 is a structural diagram that uses the electric field released by
the electrodes to drive the activities of droplet for experiments on the biochip. The
MEDA in Fig. 1 is based on the working principle of DMFB, but it uses smaller
electrodes to drive the large droplet. The advantage of small electrode is that it can
better adjust the volume of separated droplets and even split the droplets according to
multiple ratios. The CFMB in Fig. 2 applies pressure to push the reagent flow in the
form of liquid flow inside the channels and mix with other reagents in the circular
mixer with the opening and closing movement of the valves. The mixed liquid in
CFMB can be stored in storage cells. The FPVA in Fig. 3 constructs a biochip with
valves and chambers arranged in a two-dimensional matrix, and multiplexer controls
each valve. In addition to the movement of reagent in the form of liquid flow like
45 Security and Innovation Protection of Biochips 929

Fig. 1 DMFB and MEDA structure diagram. (a) DMFB (b) MEDA

Fig. 2 CFMB structure


diagram

CFMB, the mixing operation is also carried out in the predetermined chamber area.
The FPVA also has programmability similar to DMFB and can define the division of
operating area.
930 C. Dong et al.

Fig. 3 FPVA structure diagra

Taking after the integrated circuits (ICs), with the improvement of technology and
the wider use of biochips, the security issues faced by it have become more and more
serious. To suppress opponents or carry out some illegal activities, attackers will
tamper with the functions or data of biochips by inserting Trojan or malicious code.
Once these devices or data are applied to clinical medicine or patient, it will lead to
chaos in the medical industry and even directly threaten the lives of patient. In
addition to the biochemical field, some criminals, such as companies polluting the
environment, terrorists attempting to set bombs, etc., these security risks destroy the
availability of biochips and bypass inspections (Bhunia et al. 2014).
With the network and manufacturing industry improvement, a host of third-party
roles participate in the produce process of biochips. These members are generally
unknown. Maybe assailants hide in them and invade into the biochip on a certain
stage (Tang et al. 2018b). Threats include IP piracy, function modification, concen-
tration altering, and so on. Because many concepts and ideas of biochips’ design and
manufacturing are derived from ICs, some methods used to attack ICs can also be
applied to biochips. Although biochips and the ICs have several common charac-
teristics, the hardware structure of biochips is distinct from the ICs. Therefore, the
protection manners on the ICs cannot be directly transplanted to the biochip. For
example, it is difficult to detect HTs on a biochip with logic testing (Dong et al.
2020b) based on the gate-level circuit in the IC, since no matter what type of biochip
does not have a complete gate-level circuit concept, whereas both IC and biochips
have information leakage and DoS attacks. This fact also shows that the two of them
have relevance on the attack surface, but each has its particularity in the prevention
methods. In Fig. 4, the trust in the icon indicates that the stage is basically in a safe
state. The semi-trusted stage means that there is an attack scenario in theory at the
stage but has not been proved by actual examples. The untrusted stage shows that the
attack risk already exists in the stage. The process of using the biocoder to construct
the sequencing graph is general trust. Designers may use computer aided design
45 Security and Innovation Protection of Biochips 931

Fig. 4 Life cycle credibility diagram for biochips. The blue icon represents completely trustworthy,
the green icon represents semi-trusted with only hypothetical attack scenarios without specific
attack methods, and the red icon represents the untrustworthy stage where a clear attack plan has
been proven

(CAD) tools to implement rogue designs, and for testers, they may also collude with
merchants to falsify test data. At present, the above three stages have not been
implemented with specific examples of attacks, so they can only be regarded as
semi-trusted. In the foundry stage, the hardware parameters for biochips, such as
thickness, can be directly tampered, which triggers Trojan attacks. At the end user
stage, it is also easy to use RE to implement IP piracy attacks. These two stages
should be aroused vigilance and attention.
Recent studies have shown that attackers can make huge profits by pirating IP,
and even tamper with test data. Besides, as highly programmable platforms, the
DMFB, MEDA, and FPVA are also easy to hacker attacks when connected to the
Internet. The risk caused by the attackers is not only property loss, but may also slow
down the development of biochemistry, medicine, or other fields. As an emerging
technology related to cyberphysical system security and human health, ensuring
their security so that they can resist most external threats is an important subject for
current biochip research.
The following sections mainly discuss the related conceptual information about
attack and protection on biochips. Finally, future work is summarized for the future
security situation of biochips.

Attack for Biochips

Because the life cycle of biochip is not isolated, it requires the participation of people
or the network from design to manufacturing stage, and even in end user stage.
Biochips live in the cyberphysical system, so it is impossible to avoid security risks.
932 C. Dong et al.

Due to limited existing research, the next focus is mainly on two biochips, CFMB
and DMFB.
Although both belong to biochips, CFMB and DMFB have different working
principles due to their different physical structures. The changes caused by differ-
ences discuss the attack status about CFMB and DMFB from two perspectives in the
following subsections: attack technology and threat effect. Attack technologies focus
on specific attack methods, and the threat effects illustrate the malicious risks
brought by the adversary.

Attack Technology

Hardwave Trojan (HT)


The standard definition of the ICs’ HT was proposed by the IBM Research Center in
2007: the HT refers to the malicious circuits or harmful alterations to the original
circuit that exists from the chip design stage to the chip packaging test stage (Dong
et al. 2020b). In short, HT is a malicious circuit, through it, the attacker can
implement the malicious effect he wants to achieve. HT is a common threat from
ICs, which is composed of triggers and payloads.
The CFMB, which is also called microfluidic very large scale integration
(mVLSI), has a design and manufacturing flow similar to an application specific
integrated circuit (ASIC), which forms the basis for the HT attack. What has seen so
far are valves whose membrane thickness has been maliciously tampered with
during the foundry stage (Shayan et al. 2020). In Fig. 5, the membrane is maliciously
thickened to make the valve a payload for HT.
Through improper operation and intermittently meeting time conditions as trig-
gers, the valves as payloads suffer from pressure leakage and liquid pollution. From
the perspective of the biochip cycle, the design stage can be maliciously tampering
with the hardware layout by rogue employees who use the CAD software in the
design agency. The attack at the foundry stage comes from untrusted outsourcing

Fig. 5 Normal valve and HT


valve cross-section on the
CFMB. The pressure provided
to the normal valve is not
enough to support the
membrane thickness of the
HT valve, resulting in reagent
leakage
45 Security and Innovation Protection of Biochips 933

manufacturers that introduce additional malicious components in the process of


manufacturing chips, such as valves or fluid pipes. Even HTs directly modify the
physical parameters of the components, such as pipe length and pins count, etc. It
can be a malicious change caused by photoetching technology. Related researchers
have launched benchmark work for HTs on CFMB, as shown in Table 1. It is
foreseeable that with the improvement of integration and technology, CFMB will
provide more and more hiding places for HTs.
The DMFB, MEDA, and FPVA also have the possibility of being implanted in
HT at the hardware level and face the above risks without exception. Besides, as
highly programmable platforms like field-programmable gate array (FPGA), they
will encounter Trojan at the software level, or more accurately, will suffer from
malicious code.

Reverse Engineering (RE)


Reverse engineering (RE) analysis is the process of extracting design information
from a product, such as software, chip, or other matter, aiming to reproducing it for
high profit.
Reverse engineering directly scan the physical structure inside the IC to be tested
layer by layer and then compare the extracted image after delayering with the golden
chip/reference. This process can be visual inspection with a microscope, or it can be used
with auxiliary analysis (such as ML) in order to check HT. RE-based detection rates are
higher, since HT is observed directly at the physical level. Destructively extracting IC
information makes the method have certain limitations (Dong et al. 2020b).
For biochips, IP piracy is oriented to two objects, one is the hardware layout, and
the other is the biological sequence bioassay. So RE contains attacks on these two
parts. (1) Pin-mapping: Pin-mapping technology is used to steal the information
between the control layer and the flow layer in DMFB, to interpret the droplet
position in the experiment. According to the time sequence, the biological sequence
is restored with the help of computer-related software and hardware equipment.
(2) Charge-coupled device (CCD) camera snapshots: Directly infer the logical
sequence of the key droplet/fluid flow movement at each moment in the CCD
snapshot (Shayan et al. 2019a). Observing the separation, merging, mixing, and
flow path generated by droplets is equivalent to describing the entire experimental
process through the change with the droplet motion state within a period before and
after the comparison. In the same way, observing the state for the fluid flow in the
components (such as mixers, chambers, etc.) to indicate the operating properties
(mixing or standing, etc.), and identifying the on/off status for the valve based on
whether the fluid can flow through the pipeline. Figure 6 illustrates the CCD-based
RE interprets the bioassay through key snapshots. In this figure, fluid 1 (F1) and fluid
2 (F2) are mixed in a ratio of 1:1 and the mixture is halved. At this time, the volume
of F1 and F2 are each a quarter of the circular mixer. Finally, the fluid 3 (F3), which
also occupies a quarter of the mixer, is mixed with the mixed liquid, and a solution of
the three reagents in the same proportion can be obtained. Based on the snapshot of
each experimental step in the figure, the attacker quickly restores the sequencing
graph and the information of the pirated IP. In addition to CFMB, the attack
technique can also be used for DMFB.
934

Table 1 HTs benchmarks for CFMB. P-Trigger indicates the probability of HT being activated, Rt indicates the ratio of conversion activities in the quantitative
netlist, and Rc considers the possibility that HT can be identified only by observing the structure (Chen et al. 2020)
Benchmark Area #Components #Valves #Gates P-Trigger Rt Rc |d| HT Time(s)
AquaFlux 17,500 17 26 28 0.0647 0.9253 0.5831 1.0956 3.1522
Urbanski 19,600 11 48 31 0.0611 0.9313 1.0261 1.3871 3.0155
PCR1s 16,100 11 57 37 0.0667 0.9472 0.7954 1.2386 3.2519
PCR2s 18,900 16 77 95 0.0038 0.9719 0.9421 1.3536 4.9499
PCR3s 20,800 23 96 146 0.008 0.9765 0.8121 1.2701 6.1217
EA1s 30,000 20 92 297 0.0042 0.9746 1.0505 1.4331 10.2675
C. Dong et al.
45 Security and Innovation Protection of Biochips 935

Fig. 6 CCD-based RE cracking bioassay. (a) Fluid 1 (F1) enters the upper part of the mixer. (b)
Fluid 2 (F2) enters the lower part of the mixer. (c) The mixer mixes F1 and F2. (d) Use air pressure
to exhaust the mixed fluid in the upper half of the mixer. (e) Fluid 3 (F3) enters the upper part of the
mixer. (f) The mixer mixes the fluid according to the ratio of F1:F2:F3 ¼ 1:1:1. (g) The bioassay
restores from the above CCD snapshots

Threat Effect

There are eight threat effects in the biochips. These effects exist in various stages of
the biochips life cycle, and the end user stage is the most affected part (Ali et al.
2016a).
936 C. Dong et al.

Denial of Service (DoS)


The DoS attack is a type of threat that makes the target object unable to provide
normal resources or services through some violent means. Under the DoS attack, the
target system will eventually stop responding or crash (Bar-El et al. 2006). The
attacker locks a vulnerability in the system and continues to attack, making DMFB
can’t work normally. A short-term DoS attack may completely paralyze DMFB, and
the main reason is that DMFB is prone to aging. There is a case on CFMB where a
payload was set at the output port of the ring mixer. After HT was triggered, the
buffer solution was injected into the chamber, and meanwhile, the experimental
reagent was discharged maliciously. Due to the reagent loss for DoS, the experiment
failed to get the result finally. DoS is achieved in the foundry stage and end user stage
and may also present to the CAD tool stage and design stage.

Function Modification
Function modification means that the original function for the DMFB is changed to a
function of unknown intent. The final result will be unpredictable due to function
modification. Attackers can modify design drawings or alter external physical
conditions to cause functional modifications. Functional modifications are usually
random, and the attacker’s goal is to interfere with the availability of the biochip, and
they do not care about the specific results. As a result, users cannot confirm the
original function of the biochip. Once DMFB is applied in the medical industry, it
will do great harm to society. There is a microdroplet attack on MEDA. Small
droplets meeting a certain volume condition are separated to interfere with the
bioassay of other reagents. This can tamper with the operation in the actuation
sequence to affect the final output result (Shayan et al. 2019c). Functional modifi-
cation is implemented in the foundry and end user stages and may also come from
the CAD tools and design stage.

Reagent Contamination
The electrode drives the malicious droplet in the DMFB into the mixing area, which
will mix maliciously with the bioassay droplet and directly pollute the experimental
reagent. Besides, once the HT with CFMB valve as the carrier is opened maliciously,
if other reagents are flowing in the channel on the manifold, the outflow liquid not
following the expectation will directly contaminate the reagent at the connection
(Shayan et al. 2020). The experimental analysis will be disturbed once the reagent is
mixed with other components. Reagent contamination occurs only at the end user
stage, as shown in Fig. 7.

Design Piracy
Design piracy is a security threat that involves the IP rights of biochips. There are
examples of threat risk in the actuation sequence and sequencing graph of DMFB
(Chen et al. 2017a). The attacker steals the design prototype to obtain the core design
of DMFB before the foundry stage. If the DMFB reaches the end user stage, the
adversary will pirate IP through RE or side-channel attack on purchased DMFB. The
life cycle of CFMB is similar to that of DMFB. At the same stage of the CFMB, it
cannot be immune from the influence of design piracy. Stealing the hardware layout
45 Security and Innovation Protection of Biochips 937

Fig. 7 Reagent
contamination in the CFMB
manifold

on CFMB is more complex, and its mVLSI layout requires the channel connection
and component object identification more precise. Otherwise, this means that CFMB
becomes the choice target for RE attacks since RE can crack ICs and attack CFMB
with similar structures to ICs. Design piracy is implemented in the foundry stage and
end user stage and may also come from the CAD tool stage and the design stage.

Reading Forgery
Reading forgery is a type of threat that misleads users by tampering with sensor data.
The user obtains data through the sensor. This is a dangerous method of attack. If the
attack occurs in the medical industry, and the biochip reflecting the patient’s health is
attacked by reading forgery, then it is likely to be misdiagnosed. The CFMB and
DMFB platforms are connected in a cyber-physical environment such as personal
computers (PCs), sensors, controllers, etc. The low confidentiality environment
makes the sensor link connected to DFMB vulnerable to various attacks, such as
opponents on the network or malicious Trojan carried in software and hardware can
attack them, causing PC virus infection and data manipulation, etc., making the data
obtained by researchers unreliable. In the final analysis, the attack method is due to
the universal architecture of the cyber-physical environment. Reading forgery only
occurs at the end user stage, and the threat may also be achieved at the CAD tool
stage.

Parametric Altering
The reagent concentration is one of the experimental parameters, and the concentra-
tion is also related to the accuracy of the experimental results. Therefore, the
attackers try to change the concentration of the reagent (to make the concentration
too high or too low) to achieve the purpose. This is the parametric altering. It is only
necessary to add or remove split-mix operation in the sequencing graph, and the
concentration parameters of the reagent samples will be maliciously changed (Singh
and Samanta 2016). For instance, the attacker adds a mixing operation to the original
reagent to make it diluted, so that when the currently obtained malicious reagent is
used as a test reagent, its concentration is lower than the original value. For the
CFMB peristaltic valve, if it is infected with HTs, resulting in insufficient pressure or
insufficient mixing strength, the mixer connected to it will not be able to synthesize
938 C. Dong et al.

reagents with a qualified concentration, resulting in a parameter altering attack.


Parametric altering mainly comes from the realization of the foundry stage, and to
a certain extent, it also comes from the design stage.

Information Leakage
Information leakage represents that sensitive information stored in biochip is mali-
ciously stolen by attackers and used in illegal occasions. It exists in the end user
stage and can only be implemented in the stage. The object of leakage is the data
stream with binary characteristics, which is the difference between information
leakage and design piracy. Whether it is DMFB or CFMB, the controllers, the
sensors, and the PCs on the whole biochip platform can indirectly or directly leak
the droplet positions and experimental parameters to the attacker under the influence
of HTs. These sensitive data can be utilized by the rival to further apply RE to
recover the specific biological sequencing graph, and the damage severity is thus
higher. Furthermore, collecting users’ personal privacy data from discarded biochips
also opens the door to convenience for attackers. How to better realize the recycling
of these biochips is a question worth considering.

Deliberate Aging
Deliberate Aging is defined as the valve on the FPVA that has reached a sufficient
number of on/off states under abnormal use requirements to cause damage. The
recent work (Shayan et al. 2019b) shows that FPVA is vulnerable to malicious
operations similar to other biochips. Furthermore, due to the flow-based principle,
FPVA also has the security risks like CFMB. In addition, FPVA can be attacked by
deliberate aging as well. The adversary can maliciously increase the number of valve
operations inconspicuously during the user’s use, and the user may mistake it for a
normal use failure. However, this will accelerate the scrapping of biochips. Delib-
erate aging only manifests in the end user stage.

Protection for Biochips

For different attacks and threat effects, different kinds of biochips have
corresponding defense methods to protect their security, guaranteeing the availabil-
ity, confidentiality, integrity, and reliability. There are three different categories of
defense methods for various attacks or threats, and each type of defense method can
defend against multiple threats. Owing to the design flow and the physical structure,
CFMB has the defense methods based on camouflage and confusion as well. Since
CFMB has similar characteristics to very large scale integration (VLSI), this is the
result of researchers referring to IC ideas.

IP Protection

The IP for biochips includes not only hardware but also software. The hardware is
the chip layout, and the software is the bioassay and sequencing graph. The
45 Security and Innovation Protection of Biochips 939

implementation of IP protection on biochips is to ensure innovation in the develop-


ment of biochip protocols and uses and to combat malicious piracy. A series of
anticounterfeiting measures, such as keys or watermarking technology, can be used
to declare the legitimate interests of the copyright owner, which also prevents
malicious copying by unauthorized manufacturers. IP protection is mainly for the
threat of design piracy.

Daisychain Technology
On MEDA, the daisychain technology made it difficult for adversaries that cracked
the binary actuation sequence information to obtain the true bioassay, which belonged
to the IP protection from the software level. The path layout of the daisychain was to
determine the path of the input and output actuation sequence, and each microelec-
trode in the MEDAwas implanted with a multiplexer and a demultiplexer to realize its
fixed path direction. The ordinary-scale MEDA can resist exhaustive attack under the
support of the daisychain. The multiple sub-daisy chains segmented based on the idea
of finite state machines have more kinds of input and output combinations, which
further strengthened the security performance (Liang et al. 2020).

Bioassays Locking
Inserting some split-mix operations that do not interfere with and do not affect the
original bioassay into the real one, and the locking mechanism is to implant and
hides these operations. The end user needs to use the key provided in advance to
unlock and distinguish the real information (Bhattacharjee et al. 2018). Many
encryption algorithms can implement the locking mechanism. An attacker without
the key cannot identify false operations, and even if the information is maliciously
changed, it is not necessarily affect the true bioassay.

Watermark in Biochip
Bio-protocol watermarking is often used to declare the legal information of the
copyright owner, and it is also an effective means to protect IP. The watermark
information is inserted into the experimental parameters included in the bioassay in
the form of a secret signature. If an unauthorized manufacturer pirates the IP, the
copyright owner can appeal by verifying the signature information hidden in the
pirated biochip (Chen et al. 2017b).

Hardware Fingerprint
In the process of manufacturing chips, no matter how similar two chips (even on the
same production line) are, there will be physical differences, and such differences
compose the physical unclonable functions (PUFs) and are seen as “hardware
fingerprint” (Ruehrmair and van Dijk 2013). Since DMFB does not have a complete
IC structure, only a weak PUF can meet the demand. The difference in the state of
the droplets after movement on the electrodes is reflected as the difference among the
electrodes. Figure 8 shows that after two droplets with the identical initial volume
have moved through the equal path length, the two droplets with different volumes
have been confirmed by the CCD camera at the end of the movement. PUF based on
physical differences shows a reliable basis as a biochip ID.
940 C. Dong et al.

Fig. 8 Route PUF diagram. Two droplets of the same volume move the same path length but the
volume changes are different. The difference provides a basis for weak PUFs

Encrypted by Secret-Keys
The multiplexer (MUX) of the microfluidic device is a bridge connecting the inside
and outside of the biochip and controls the electrodes (Ali et al. 2016b). In the case of
a 2-to-1 microfluidic MUX, each electrode corresponds to a unique key. Only the
user with the correct key can drive the MUX to work normally so that the droplets in
the experiment can proceed smoothly step by step in accordance with the bioassay. If
the adversary wants to use the exhaustive method to crack the key, it will only
quickly reduce the service life of DMFB. Using the key with an appropriate length
can not only resist the attackers cracking but also don’t add too much burden to
the DMFB.

Detection Technology

The detection methods focus on detecting the attack rather than preventing the
attack itself. But it still has meaning to prevent the malicious consequences from
expanding further. The subsection introduces the basic principles of the three
inspection methods: checkpoints, comprehensive security certification, and control
value calculation. Among methods, checkpoint detection can target function mod-
ification and DoS and can detect HT and parameter altering to a certain extent. In
the same way, the comprehensive security certification can achieve similar effects
of checkpoint detection. The control value calculation is specifically for HT
detection.

Checkpoint
Checkpoints are the electrodes that make up the detection circuit in the biochip (Tang
et al. 2018a). Researchers can use the integrated optical sensor on the checkpoint to
track and monitor the droplets. Comparing the data returned by the sensor with the
golden bioassay on the microprocessor, it can be seen whether the current chip is
under attack. Checkpoint detection mechanism can detect whether bioassays have
security risks.
45 Security and Innovation Protection of Biochips 941

1. Randomized checkpoint: Randomized checkpoints are randomly selected elec-


trodes on the biochip for detection. After these electrodes are selected, the sensors
integrated on electrodes acquire the droplet information and send it back to the
control center for comparison and mark the electrodes as “selected.” The layout of
the electrodes selected as random checkpoints will change with the movement of
the experimental droplets, and the entire experiment process is in the detection
state. Since it is not possible to detect all electrodes, randomized checkpoints do
not guarantee 100% security, but the detection method can detect the attacked
droplet to the maximum extent according to the layout for the checkpoint. The
uniqueness of the method is that the adversary does not know the location
information for the next checkpoint, which greatly increases the possibility of
discovering that the actuation sequence is modified. Even if only a certain point of
the actuation sequence is changed, a proper checkpoint system with the proper
layout will detect it.
2. Static checkpoint: The static checkpoint is to determine the position of the
electrode used for the test at the time of design. Designers usually choose some
significant nodes on the bioassay and the electrodes around them as checkpoints.
Whenever a droplet enters the inspection area, the sensor directly transmits the
droplet status on the checkpoint to the microprocessor. The checkpoint positions
of the biochips produced from the design drawing are all the same. The disad-
vantage of the method is that once the design is stolen or the bioassay is cracked,
attackers will obtain the specific locations of all checkpoints of the same biochip
design. However, because the checkpoint is static, the designer can integrate more
components on a checkpoint without the need to integrate all the electrodes. For
example, the error recovery system can be integrated into the inspection circuit.
Once a malicious droplet is detected to interfere with the experiment, the mech-
anism will generate a droplet that meets the actuation sequence requirements to
replace the malicious droplet. The static checkpoints in Fig. 9 are distributed
around the periphery of key areas such as the mixing area and can focus on
protecting the security for droplets in these critical operation areas. The random
checkpoint uses the probability of the statistical distribution during the

Fig. 9 Checkpoint working principle diagram. (a) static checkpoint (b) randomized checkpoint
942 C. Dong et al.

experiment to set the position of the checkpoint, to maximize the possibility of


capturing malicious droplets for the overall chip.

Comprehensive Security Certification


Comprehensive security certification is mainly applied to the shared pin tech-
nology matching the mainstream detection method to further improve the
detection rate. When an abnormality is detected, a key comparison can be
implemented to infer whether the abnormality of the electrode is affected by
the attack. The method divides the detection into two parts: one is the foundry
system and the other is the user system. In the foundry system, the DMFB with
IP authentication function is not the same as the ordinary DMFB. The DMFB
with the function uses pin sharing technology, that is, multiple electrodes
operate on the same pin. This shared pin technology makes it easier to detect
attacks because as long as one of several electrodes sharing the same pin is
attacked, all these electrodes are maliciously affected. Once the disturbed
electrode is found, it will enter the stage of key matching verification to see if
it is caused by an attack. Because the designer made the DMFB locked by the
PUF-based key, if the user needs to perform the original bioassay, it needs to be
unlocked with the corresponding user key. If the two keys cannot be success-
fully compared with each other, the bioassay will be directly terminated by the
mechanism (Lin et al. 2018).

Control Value Calculation


Binary arithmetic is carried out for each logic gate in the control circuit on the
CFMB, and the input conditions formed by all the gates are exhaustively listed. Only
change one input value in one gate at a time, explore the ratio of the last changed
result to all output conditions, and define it as the control value. Finally, the logic
gates corresponding to the control value less than the threshold are classified as
suspicious HTs (Chen et al. 2020). The detection idea is similar to the logic testing,
and the probability of HT activation is calculated to find the possible trigger logic
gates. After all, an inevitable limitation about the method is that numerous logic
gates will cause computational infeasibility; this is also a challenge after the biochips
miniaturization.

Prevention Technology

The prevention technology invalidates the attack launched by the adversary. The
difference from the detection method is that it has the effect of preventing the
attack in advance. It is mainly through camouflage and obfuscation methods that
the attacker cannot obtain the real information for the biochip, such as the fluid
state of the experiment, the valve on/off state, and even the chip layout. The
primary purpose of camouflage is to prevent design piracy and to prevent HT
implantation to a certain extent. Additionally, obfuscation is aimed at design
piracy.
45 Security and Innovation Protection of Biochips 943

Camouflage
Camouflage is to add some components to the chip to confuse the attacker; these
components are usually not used in real operations. It is burdensome that designers
to surmise whether assailants will pirate the CFMB by RE or not. What they can do
is designing the biochip complicated so that attackers get the original layout hard
after RE. If biochips with special architectures (e.g., custom-purpose biochips) can
be camouflaged, that is, virtual components can be inserted into the original layout.
And then attackers can obtain invalid layouts and get wrong results. Generally
speaking, adding dummy valves and channels on the CFMB is one of the measures
to effectively prevent RE attacks. The RE based on the CCD camera is always in the
top view. It is impossible to distinguish the difference between the sieve valve and
the ordinary valve (Shayan et al. 2019a). The sieve valve can let the liquid flow
through the channel whether it is open or closed. The introduction of the sieve valve
causes the attacker to misunderstand the on/off state in the channel. Figure 10 shows
that even when the sieve valve is closed, the fluid can still flow and pass through the
mixer. The adversary will only mistakenly believe that the reagent is stopped in the
mixer, causing errors in the interpretation of the bio-protocol. When the sequencing
graph is cracked, the misunderstanding will occur and the attack will fail. Adding
camouflage valves and control channels near the port can make the adversary
mistakenly believe that it is combined with multiple valves next to it as a mixer,
which causes errors in layout recognition. When designing a camouflage component,
the designer should give it appropriate functions so that it can be integrated into a
real circuit. If the function of the camouflage component is inappropriate, it is easy to
be discovered by attackers. Adding additional components in the biochip
manufacturing process will inevitably increase manufacturing costs, control com-
plexity, and communication overhead. Nevertheless, with the development of glob-
alization, the miniaturization in biochips reduces the cost of camouflage components
(Chen et al. 2017a).

Fig. 10 Using sieve valves to camouflage the flow status in the channel. The rotary channel is a
mixer, and reagents can pass through the open normal valves and sieve valves
944 C. Dong et al.

Obfuscation
General DMFB uses more obfuscation techniques because it is difficult for DMFB to
modify the hardware to implement camouflage. The essence of the obfuscation
method is to encrypt the binary data of the actuation sequence, and the obfuscation
itself can adapt to many kinds of encryption algorithms. Figure 11 shows that
the designer designed the actuation sequence and the layout for DMFB through
the biocoder and the CAD tools, entrusted them to the manufacturer, and provided
the key directly to the end user. The manufacturer is only responsible for manufactur-
ing the finished product according to the actuation sequence and layout. The finished
product enters the hands of the end user. For users without the key, DMFB is
equivalent to a black box, which can only be considered for utilization but cannot
be recognized or modified. The encryption operation uses XOR operation, and the
data acquired on the DMFB sensor can also be protected similarly. If an attacker
cannot correctly interpret these encrypted data, it will not be able to cause informa-
tion leakage. However, the method still does not affect the destructive attack of the
adversary’s information tampering (Chen et al. 2017a). In the comparison figure of
Fig. 12, the encrypted data with the XOR operation causes the RE to only extract the

Fig. 11 Production process for DMFB obfuscation

Fig. 12 DMFB obfuscation comparison. (a) the wrong actuation sequence extracted by RE (b) the
real actuation sequence
45 Security and Innovation Protection of Biochips 945

wrong actuation sequence. The real actuation sequence can only be effectively
acquired by the key.
Researchers occasionally use security primitives to measure the security for
biochips (Tang et al. 2017). That is to say, define a rule for a carrier that
measures security, which can be a sieve valve or a liquid path. Several restraint
criteria have been fixed to ensure biochips security under the action of the
carrier.

Future Work

The DMFB electrode can be driven in a planned way under the MUX controlled
by the IC, as long as the MUX is included in the HT payload category, the
droplet movement can be controlled at will. The attack mode can invalidate the
detection method based on pin sharing and should be considered as a potential
attack model.
The MEDA biochip is seen as the next generation about the DMFB. Compared
with the traditional DMFB, the number of electrodes of the MEDA biochip is
dozens of times comparing with the DMFB, and the architecture is more compli-
cated. In terms of security, the security issues faced by MEDA biochips are similar
to those of the DMFBs, but the detection and defense capabilities of MEDA
biochips against many attacks are higher than that of the DMFB. However, an
attacker can utilize the characteristics of the MEDA biochip to launch a “micro-
droplet attack.” The volume of the microdroplet is much smaller than that of
the ordinary droplet. Since the rate of droplet speed is inversely proportional to the
volume of it, the malicious microdroplet can cleverly avoid the detection on
the chip and complete the attack within one detection cycle. How to improve
the defense capabilities of MEDA biochip is one of the key issues for future work
of the microfluidic biochip.
CFMB is tending to be miniaturized, and valves and channels are no longer
visible to the naked eye. Miniaturization will increase the layout complexity, and it is
foreseeable that space filling as a defense technology will become possible. The
adversary who relies on the CCD camera to identify the layout needs to require a
higher resolution to restore the layout. The complexity also requires the attacker to
spend a lot of time to interpret the layout. Space filling, a simple and effective
prevention technique, has room for possibilities.
FPVA is a matrix of valves and chambers in which channels are distributed
vertically and horizontally (Shayan et al. 2019b). Meanwhile, it also faces the
risk of HT attacks. Not only the existing parametric HTs that modify the physical
structure, but also functional HTs that add additional components will also
launch attacks on FPVA. The HT detection and countermeasures on the ICs are
relatively mature. There is also reason to believe that CFMB and FPVA can use
the experience on the IC for reference to develop HT detection technology with
potential.
946 C. Dong et al.

Conclusions

The chapter introduces in detail two attack technologies (HTs and RE) on biochips
and illustrates threat effects to explain the malicious consequences. In the defense,
three effective methods (IP protection, detection, and prevention) are emphasized. It
should be noted that the specific attack means are derived from the VLSI idea in
form. Although the defense methods have the uniqueness of the biochip itself, it is
self-evident for the biochip to learn from the VLSI security system. This aspect has
been discussed in detail in future work. The security research for biochips has just
started; the chapter can hope to open up readers to think about the security of
biochip.

References
Ali SS, Ibrahim M, Rajendran J, Sinanoglu O, Chakrabarty K (2016a) Supply-chain security of
digital microfluidic biochips. Computer 49:36–43
Ali SS, Ibrahim M, Sinanoglu O, Chakrabarty K, Karri R (2016b) Microfluidic encryption of
on-chip biochemical assays. In: Proceedings of the biomedical circuits and systems conference,
Shanghai, 17–19 Oct 2016, pp 152–155
Bar-El H, Choukri H, Naccache D, Tunstall M, Whelan C (2006) The sorcerer’s apprentice guide to
fault attacks. Proc IEEE 94(2):370–382
Bhattacharjee S, Tang J, Ibrahim M, Chakrabarty K, Karri R (2018) Locking of biochemical assays
for digital microfluidic biochips. In: Proceedings of the 23rd European Test Symposium,
Bremen, 28 May–1 June 2018, pp 1–6
Bhunia S, Hsiao MS, Banga M, Narasimhan S (2014) Hardware trojan attacks: threat analysis and
countermeasures. Proc IEEE 102(8):1229–1247
Chen H, Potluri S, Koushanfar F (2017a) Biochipwork: reverse engineering of microfluidic bio-
chips. In: Proceedings of the international conference on computer design, Boston, 5–8 Nov
2017, pp 9–16
Chen X, Qu G, Cui A (2017b) Practical IP watermarking and fingerprinting methods for ASIC
designs. In: Proceedings of the international symposium on circuits and systems, Baltimore, 28–
31 May 2017, pp 1–4
Chen H, Potluri S, Koushanfar F (2020) Security of microfluidic biochip: practical attacks and
countermeasures. ACM Trans Des Autom Electron Syst 25(3):1–29
Dong C, Liu L, Liu H, Guo W, Ho TY (2020a) A survey of DMFBs security: state-of-the-art attack
and defense. In: 2020 21st International Symposium on Quality Electronic Design (ISQED),
Santa Clara, 25–26 Mar 2020, pp 14–20
Dong C, Xu Y, Liu X, Zhang F, He G, Chen Y (2020b) Hardware trojans in chips: a survey for
detection and prevention. Sensors 20(5165):1–37
Liang TC, Chakrabarty K, Karri R (2020) Programmable daisychaining of microelectrodes to
secure bioassay IP in meda biochips. IEEE Trans Very Large Scale Integr VLSI Syst 28(5):
1269–1282
Lin CY, Huang JD, Yao H, Ho TY (2018) A comprehensive security system for digital microfluidic
biochips. In: Proceedings of the international test conference in Asia, Harbin, 15–17 Aug 2018,
pp 151–156
Ruehrmair U, van Dijk M (2013) Pufs in security protocols: attack models and security evaluations.
In: Proceedings of 2013 IEEE symposium on security and privacy, Berkeley, 19–22 May 2013,
pp 286–300
45 Security and Innovation Protection of Biochips 947

Shayan M, Bhattacharjee S, Song YA, Chakrabarty K, Karri R (2019a) Desieve the attacker:
thwarting IP theft in sieve-valve-based biochips. In: 2019 design, automation & test in Europe
conference & exhibition (DATE), Florence, 25–29 Mar 2019, pp 210–215
Shayan M, Bhattacharjee S, Song YA, Chakrabarty K, Karri R (2019b) Security assessment of
microfluidic fully-programmable-valve-array biochips. In: 2019 32nd international conference
on VLSI design and 2019 18th international conference on embedded systems (VLSID), Delhi,
5–9 Jan 2019, pp 197–202
Shayan M, Tang J, Chakrabarty K, Karri R (2019c) Security assessment of micro-electrode-dot-
array biochips. IEEE Trans Comput Aided Des Integr Circuits Syst 38(10):1831–1843
Shayan M, Bhattacharjee S, Song YA, Chakrabarty K, Karri R (2020) Microfluidic trojan design in
flow-based biochips. In: 2020 design, automation & test in Europe conference & exhibition
(DATE), Grenoble, 9–13 Mar 2020, pp 1037–1042
Singh A, Samanta T (2016) Vulnerability detection and error minimization in bioassay sample
mixing and droplet routing for digital microfluidic biochips. In: Sixth international symposium
on embedded computing & system design, Patna, 15–17 Dec 2016, pp 66–71
Tang J, Ibrahim M, Chakrabarty K, Karri R (2017) Security trade-offs in microfluidic routing
fabrics. In: IEEE international conference on computer design, Boston, 5–8 Nov 2017, pp 25–32
Tang J, Ibrahim M, Chakrabarty K, Karri R (2018a) Secure randomized checkpointing for digital
microfluidic biochips. IEEE Trans Comput Aided Des Integr Circuits Syst 37(6):1119–1132
Tang J, Ibrahim M, Chakrabarty K, Karri R (2018b) Toward secure and trustworthy cyberphysical
microfluidic biochips. IEEE Trans Comput Aided Des Integr Circuits Syst 38:589–603
Wireless Applications
Biomedical Signals Telemetry System
46
Byunghun Lee and Hyung-Min Lee

Contents
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 950
Data Telemetry System Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 951
Forward (Downlink) Data Telemetry . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 952
Single-Carrier Data Telemetry . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 952
Multi-carrier Data Telemetry . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 954
Backward (Uplink) Data Telemetry . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 956
Passive Data Telemetry . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 956
Active Data Telemetry . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 959
Design Example: Wireless Telemetry Circuits in Implantable Biomedical Devices . . . . . . . . . . 959
Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 965
References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 965

Abstract
Implantable medical devices (IMDs) have been successfully developed in a wide
range of medical and neuroscience applications to treat disorders or monitor
biological signals from patients. Wireless data transmission is very essential for
these IMDs to communicate with an external unit, control the parameters in the
IMDs, and inform the monitoring status. The near-field data transfer techniques,
called data telemetries, have been widely used for IMD applications thanks to
relatively low power dissipation compared to far-field data communication.
These data telemetries highly require the efficient data link between an external
unit and IMDs considering several requirements, such as the data rate of the up-/

B. Lee
Department of Electrical Engineering, Incheon National University, Incheon, South Korea
e-mail: byunghun_lee@inu.ac.kr
H.-M. Lee (*)
School of Electrical Engineering, Korea University, Seoul, South Korea
e-mail: hyungmin@korea.ac.kr

© Springer Science+Business Media, LLC, part of Springer Nature 2022 949


M. Sawan (ed.), Handbook of Biochips,
https://doi.org/10.1007/978-1-4614-3447-4_44
950 B. Lee and H.-M. Lee

downlinks, the distance between the transmitter (Tx) and receiver (Rx), robust-
ness against link variations, and power consumption in the IMDs.

Introduction

Implantable medical devices (IMDs) have been successfully developed in a wide


range of medical and neuroscience applications to treat disorders or monitor biolog-
ical signals from patients (Lo et al. 2013). Some IMDs utilize a primary battery
inside which mainly limits the lifetime of the IMDs and requires additional surgery
to replace the battery (Borna and Najafi 2014). As an alternative, wireless power
transfer has been adopted to charge the battery in the IMDs or directly power the
IMDs without the battery (Lee et al. 2016). However, the small size of the receiver
(Rx) coil inside the body mainly limits the power transfer efficiency (PTE) from Tx
to Rx, and thus ultra-low power consumption in the IMDs is highly desired.
Figure 1 shows a wireless neural recording system as an example of the power
dissipation in the IMD. The system consists of the low-noise amplifier (LNA),
analog-to-digital converter (ADC), signal processor, and 915 MHz FSK RF trans-
mitter (Tx) with 1.5 Mbps data rate (Abdelhalim et al. 2013). The far-field data
communication such as frequency-shift keying (FSK) in Fig. 1 or on-off keying
(OOK) dissipates relatively large power in the IMDs compared to the sensing,
digitization, and signal processing blocks. On the other hand, the near-field data
telemetry has an advantage of lower power consumption in many IMD applications,
which usually require the data link from/to the external unit through the skin within a
few centimeter distance. In addition, the millimeter-sized implantable antenna
(or coil) makes the near-field data telemetry more suitable for miniaturized IMDs
(Lee and Ghovanloo 2019).
In this chapter, fundamental principles and practical design considerations of the
wireless data telemetry are categorized and described, which includes uplink/

Fig. 1 Distribution of power dissipation in the wireless neural recording IMD (Abdelhalim
et al. 2013)
46 Wireless Applications 951

downlink, single-/multi-carrier, passive/active, and pulse-based telemetries. The


near-field data telemetry, which is suitable for the low-power IMDs, typically utilizes
the inductive link with coupled resonant coils to power up the IMDs and establish
the data link between the IMDs and the external unit. For optimized data telemetry,
several requirements, such as the data rate of the up-/downlinks, the distance
between coils, robustness against link variations, and area/power budget in the
IMDs, should be considered (Rao and Liombart 2014; Lee et al. 2015a).

Data Telemetry System Overview

Figure 2 shows the simplified block diagram of the simultaneous data telemetry and
power transfer in the IMDs through a 2-coil inductive link. The IMDs are powered by
the inductive link composed of the Tx and Rx LC-tanks tuned by the power carrier
frequency, fp. The data telemetry can be divided into uplink (back telemetry) and
downlink (forward telemetry) depends on the direction of the data flow. Generally,
the uplink can be used to transmit the sensing data from the IMD to the external unit
through the skin/body to report the monitoring status. For example, the uplink up to
Mbps might be essential for the neural recording system with a large number of
channels (Lee et al. 2016; Abdelhalim et al. 2013). On the other hand, the downlink
plays an important role to control the parameters in the IMDs, such as neural stimulator,
and provide the external data to the IMDs, such as retinal and cochlear implants.
Similarly, the downlink up to Mbps is required for neural stimulator or retinal/cochlear
implants with a high number of channels to be controlled (Lee and Ghovanloo 2019).
The data telemetry technique can be categorized by the uplink/downlink data
telemetry, single-/multi-carrier or pulse-based telemetry, and passive/active teleme-
try as shown in Fig. 3 (Lee and Ghovanloo 2019). The single-carrier telemetry shows
the simple and robust modulation of the power carrier for transmitting data, but there
is a performance trade-off between data rate and power transfer efficiency (PTE)
since data and power shares the same inductive link. Several modulation techniques

Fig. 2 A simplified block diagram of the data and power transfer in the IMD through a 2-coil
inductive link
952 B. Lee and H.-M. Lee

Fig. 3 Classification of data


telemetry techniques used
in IMDs

for the low-power data telemetry have been widely used for single-carrier applica-
tion such as amplitude-shift keying (ASK) (Lee et al. 2008), FSK (Troyk and
DeMichele 2003; Ghovanloo and Najafi 2004), and phase-shift keying (PSK)
(Lo et al. 2013; Zhou et al. 2008; Simard et al. 2010).
The multi-carrier utilizes the separate power and data carrier to achieve the higher
data rate for up-/downlink data telemetry. However, the cross-coupling between
power and data coils should be carefully considered in the design stage to achieve a
low bit error rate (BER) and a large signal-to-interference ratio (SIR) for reliable data
transfer (Lee and Ghovanloo 2019). Relatively complicated modulations, such as
differential phase-shift keying (DPSK) (Lo et al. 2013) and quadrature phase-shift
keying (QPSK) (Simard et al. 2010), can be used for multi-carrier telemetry to
achieve higher data rate. Recently, pulse-based telemetries which are pulse harmonic
modulation (PHM) (Inanlou et al. 2011), pulse delay modulation (PDM) (Kiani and
Ghovanloo 2014), impulse radio ultra-wideband (IR-UWB) (Gao et al. 2011) telem-
etries are presented to achieve high data rate at low power consumption without any
carrier, but it requires an additional antenna compared to the single-carrier or passive
telemetry.

Forward (Downlink) Data Telemetry

Single-Carrier Data Telemetry

The single-carrier data telemetry shares the power link by modulating the power
carrier, enabling relatively robust coupling between coils and the simplest structure,
which also lead to more reliable data transfer without large area. Most popular data
modulation techniques, widely used in the data telemetry with single carrier, are
shown in Fig. 4, which are amplitude-shift keying (ASK), frequency-shift keying
(FSK), and phase-shift keying (PSK). In ASK, the external data modulates the
amplitude of the power carrier by changing the supply voltage (and output swing)
of the power amplifier in the Tx.
46 Wireless Applications 953

Fig. 4 Single-carrier data


modulation techniques for
downlink data telemetry

ASK is one of the most popular data modulation methods for downlink telemetry
thanks to its simple circuitry with low power consumption (Lee et al. 2008).
However, there is a trade-off between PTE and data rate, and the amplitude-
modulated data is sensitive to noise and interference, requiring higher modulation
index, which means the percentage of change in carrier amplitude between data bits
“0” and “1.” The ASK with 100% modulation index, called as on-off keying (OOK),
leads to higher data rate and easier data detection but lower PTE. Moreover, the
inaccurate synchronization between data and clock signal makes ASK more sensi-
tive to the inductive coupling variations and noise component. The pulse-position
modulation (PPM) to recover the clock and synchronized data was employed with
ASK modulation (Lee et al. 2015b); however, the ASK still suffers from lower data
rate, typically below hundreds of kbps, since the ASK carrier frequency should be
several times higher than the data rate to detect the amplitude changes in the Rx.
Figure 5 shows the schematics of the OOK-PPM demodulator circuit as a design
example (Lee et al. 2015b). In the OOK demodulator, VCOIL is converted to a half-
wave through a cross-coupled PMOS pair, P2 and P3, and rectified through a diode-
connected transistor, P4. Then, the envelope of VCOIL from P4 is provided to a
hysteresis comparator, A3, generating a pulse-position-modulated (PPM) signal,
SPPM. To recover data bits from the PPM signal, SPPM is first converted to the clock
signal, CLK, after passing through a frequency divider (DFF1). CLK controls the
timing and amplitude of VPPM by alternately charging and discharging C7
through current sources, I2 and I3, respectively. If positioning ratio among three
pulses of SPPM is 7:3, I2 charges C7 for longer time, and VPPM exceeds a reference
voltage, VREF2, during CLK ¼ 1. Then, a demodulated signal, SPPD, is sampled in
DFF2, leading to DATA ¼ 1. On the contrary, when the positioning ratio is 3:7, VPPM
does not reach to VREF2 during CLK ¼ 1, resulting in DATA ¼ 0. While this design
example utilizes analog components, such as the current sources, I2 and I3, and
954 B. Lee and H.-M. Lee

Fig. 5 Schematic diagrams of the OOK-PPM demodulator circuit and its waveforms (Lee et al.
2015b)

capacitor, C7, to demodulate the data bits, the full-digital counter-based PPM demod-
ulator can be also adopted for more robust and low-power design (Lee et al. 2017).
FSK transmits the data bits “0” and “1” through power carrier signals at different
frequencies, and PSK modulates the phase of the power carrier, while amplitude and
frequency remain constant as shown in Fig. 4. FSK and PSK are less susceptible to
noise and achieve higher data rate than ASK. For example, recent researches have
adopted a phase-coherent FSK for 2.5 Mbps data rate (Ghovanloo and Najafi 2004)
and the binary/quadrature PSK for 1.12 Mbps (Hu and Sawan 2005). However, FSK
needs larger bandwidth per bit than ASK, which is not available in high-Q inductive
links, and PSK requires the synchronization in frequency and phase resulted in the
complicated circuitries of Rx and Tx. Moreover, the single-carrier data telemetry has
an inherent limitation in achieving higher data rate due to the conflicting require-
ments between high data bandwidth and high PTE since both power and data utilize
the same carrier and LC-tanks in the Rx and Tx.

Multi-carrier Data Telemetry

To overcome the limitation in single-carrier data telemetry, the multi-carrier data


telemetry has been proposed to achieve both high PTE and higher data rate for
uplink/downlink by using the multiple pairs of coils or antennas dedicated for power
and data transmission separately. As shown in Fig. 6, separating power carrier from
the data carrier is desired in the high-performance IMDs that requires the wider
bandwidth aside from the size overhead due to the multiple carrier signals (Lee and
Ghovanloo 2019). One of the major challenge in multi-carrier design is the strong
power carrier interference with the weaker data carrier caused by the cross-coupling,
k14, between the two pair of power and data coils, L1 and L4 (Jow and Ghovanloo
2010). Therefore, several techniques can be adopted to suppress the effects of the
power carrier interference to the data telemetry.
In the circuit aspect, it is important to design the band-pass filter (BPF) on the Rx
side to separate out the power carrier frequency from the data carrier frequency.
46 Wireless Applications 955

Fig. 6 Block diagram of the multi-carrier wireless link and its associated blocks in a high-
performance IMD (Lee and Ghovanloo 2019)

Another method in the coil aspect is using the orthogonal coils in Jow and
Ghovanloo (2010) or figure 8 coil in Jow and Ghovanloo (2009) to minimize the
power carrier interference on the data telemetry by reducing the cross-coupling
between the power coil and data coil. In the modulation aspect, the noncoherent
differential phase-shift keying (DPSK) up to 2 Mbps presented in Zhou et al. (2008)
utilizes the differential demodulation technique to cancel the power carrier interfer-
ence without high-order BPF, enabling robust uplink telemetry. The multi-carrier
telemetry was extended in [45] for both uplink and downlink with coplanar coil and
offset quadrature phase-shift keying (OQPSK) achieving the data rate of 4.16 Mbps.
Recently, the pulse-based data telemetry, called pulse delay modulation (PDM),
has been developed utilizing the power carrier interference across the Rx LC-tank to
deliver the data bits for simultaneous data and power transmission (Kiani and
Ghovanloo 2014). When the data bit is “1,” the pulse pattern generator in the Tx
generates two narrow pulses spaced by a half period of power carrier, as shown in
Fig. 7. The specific delay of td should be controlled to synchronize the original zero-
crossing onset of the interfering sinusoidal power carrier at Rx data coil, which is
L4C4-tank. Since k12 (power link coupling) is much stronger than k23 (data-power
coupling), the transmitted data pulses only effect on low-Q L4C4-tank (data Rx), but
not on the L2C2-tank (power Rx). Therefore, the phase difference can be detected on
the L4C4-tank compared to the original zero-crossing point on the L2C2-tank. When
the data bit is “0,” no pulses are transmitted from L3C3-tank (data Tx). However, the
PDM still extracts the data bit “0” because the Rx data clock is same as the recovered
carrier clock. Thus, the data rate of the PHM is inherently decided by the carrier
frequency.
The main advantage of the PDM data telemetry is the robust data communication
by utilizing the power carrier interference to deliver the data bits compared to other
multi-carrier telemetries that suppress the power interference. Therefore, the Tx and
Rx circuitries are relatively simple and robust, and less affected by the strong power
carrier, which is suitable for the higher power IMD applications under the continu-
ous inductive powering.
956 B. Lee and H.-M. Lee

Fig. 7 The simplified block diagram and conceptual waveforms for PDM data telemetry (Kiani
and Ghovanloo 2014)

Backward (Uplink) Data Telemetry

Passive Data Telemetry

The multi-carrier telemetry is more suitable in the downlink than the uplink data
telemetry because the Tx consumes higher power to generate a carrier frequency,
which is challenging to the IMDs. For general IMD applications, the load-shift
keying (LSK) method (aka back scattering) called passive data telemetry is still
widely implemented in the uplink data telemetry thanks to its very simple structure,
small area, and small power consumption. In the LSK-based passive data telemetry
as shown in Fig. 8, the resistive or capacitive loading of the secondary coil (L2C2-
tank) can be changed by shorting the switch, SP, depending on the data bits to be
transmitted, leading to impedance modulation. Since the variations of RL or C2 in the
secondary side induce the reflected impedance changes in the primary side when the
46 Wireless Applications 957

Fig. 8 Passive uplink data telemetry by load-shift keying (LSK) in 2-coil inductive link

Fig. 9 Schematic diagram of the LSK envelope detector in the power Tx (Lee et al. 2017)

coil coupling (k12) is large enough, the primary current or voltage variation, V(t), can
be detected to recover the data bits as an ASK demodulation.
Figure 9 shows the schematic diagram of the LSK demodulator in the power Tx
as a design example (Lee et al. 2017). While the power Tx transfers the power carrier
to the Rx, it also receives the back telemetry signals through LSK modulation, which
closes switches across L2 in the Rx depending on the data bits to generate voltage
variations across L1 in the Tx. Then, the voltage changes across L1 are detected,
filtered, amplified, and digitized through the LSK demodulator circuit to generate the
demodulated signals, which can be oversampled in the FPGA to recover the data
bits. A diode rectifier after the amplifier operates as a low-pass filter to broaden the
pulse width for reliable digitization through the following comparator.
958 B. Lee and H.-M. Lee

The different configurations for LSK can be implemented depending on how to


modulate the impedance connected to the L2C2-tank. For example, low-power
IMDs (high impedance) can use a parallel switch as shown in Fig. 8, which
changes the impedance from high (RL) to very low value (short circuit). On the
other hand, high-power IMDs (low impedance) may require a series switch
between the L2C2-tank and RL to change the impedance from low (RL) to very
high value (open circuit), maximizing the effect of impedance modulation. How-
ever, the effect of the impedance modulation becomes very weak in small coil
coupling, and the maximum data rate at critical coupling is limited by the
inductive link bandwidth (Jiang et al. 2016). Therefore, the trade-off between
data rate and PTE in the inductive link should be considered in the LSK back
telemetry (Lee and Ghovanloo 2019).
To take an advantage from the passive data telemetry while maintaining high
PTE in the inductive link, the passive phase-shift keying (PPSK) is reported by
Jiang et al. (2016), which uses the transient response of the inductive link without
significant power transfer loss. Figure 10 shows the simplified block diagram and
key waveforms of PPSK when SP is closed for the data bit of “1.” SP is closed
during the half cycle of the carrier with the series diode at the peak of the IL2 to
hold its peak. During this time, the voltage across C2 (VC2) becomes zero. After SP
opens, IL2 is forced to go back to in phase with the carrier. Then, IL1 is increased
by the current stored in L2 through the inductive link, thereby increasing VC1.
Since the transient response of PPSK modulation is affected by Q factor as well as
coupling coefficient of the coil pair, these parameters should be carefully consid-
ered in the design procedure. The PPSK modulation in Jiang et al. (2016) achieved
back telemetry with 1.35 Mbps data rate for uplink at a carrier frequency of
13.56 MHz.

Fig. 10 Passive uplink data


telemetry by passive phase-
shift keying (PPSK) in 2-coil
inductive link (Jiang et al.
2016)
46 Wireless Applications 959

Active Data Telemetry

As high-performance IMDs require wider bandwidth in back data telemetry, the


active telemetries for uplink have been developed for several Mbps data rate instead
of the speed-limited passive telemetry. Since the multi-carrier data telemetry is
challenging for uplink due to its high power consumption, the impulse-based mod-
ulation, impulse radio ultra-wideband (IR-UWB), which utilizes a very large band-
width (typically 3–5 GHz), has been developed for high-data-rate IMD applications.
The IR-UWB Tx can be easily implemented with low complexity and power con-
sumption, which utilizes short digital pulses to drive an antenna, suitable for power-
constrained IMDs. On the other hand, the IR-UWB Rx typically has high complexity
as well as high power consumption to recover the data. Recently, IR-UWB has been
widely adapted in many IMDs due to very high uplink data rate up to a hundred of
Mbps with very low power consumption (Gao et al. 2011; Chae et al. 2009; Lim et al.
2016). The pulse-position modulation (PPM) and OOK modulation techniques are
typically used in the energy detection for UWB communication as shown in Fig. 11.
While UWB-OOK only transmits a train of impulses for data “1” (Gao et al. 2011),
UWB-PPM generates two different pair of time-shifted pulses to represent both “1”
and “0” (Chae et al. 2009). Since OOK modulation inherently requires threshold
estimation, while the OOK-PPM only requires the energy comparison at two different
intervals, UWB-PPM is more convenient to demodulate the received data stream,
thus showing better BER. In addition, the IR-UWB based on the pulse width
modulation (PWM), called PWM-IR-UWB, was also introduced in Lim et al.
(2016) to achieve higher data rate than PPM with reduced Tx power consumption.

Design Example: Wireless Telemetry Circuits in Implantable


Biomedical Devices

Figure 12 shows a conceptual view of power and data flows in inductively powered
wireless implantable neural recording and stimulation (WINeRS-8) system within
the EnerCage-HC2 system, allowing for experiments involving long-term peripheral
nerve recording and stimulation on small freely behaving animal subjects

Fig. 11 UWB transmit signal


patterns for OOK and PPM for
data telemetry
960 B. Lee and H.-M. Lee

Fig. 12 A conceptual view of power and data flows in inductively powered wireless implantable
neural recording and stimulation (WINeRS-8) system within the EnerCage-HC2 system (Lee 2017)

(Lee 2017). In the EnerCage-HC2, a power amplifier (PA) drives the Tx coil to
generate an electromagnetic carrier signal at 13.56 MHz, which is a band approved
by the Federal Communications Commission (FCC) for industrial, scientific, and
medical (ISM) applications. The WINeRS-8 ASIC with the Rx coil is designed for
both 32-ch recording and 4-ch stimulation within the EnerCage-HC2 system. Since
9 Mbps wireless data transmission is required to continuously send the raw neural
signals from 32-ch analog front-ends (AFE), 433 MHz OOK RF Tx is utilized for
176-bit packet data, and multiple software-defined radios (SDRs) are implemented
for the received RF signals.
The downlink data telemetry is implemented in the WINeRS-8 system to control
the implant adjustable parameters in real time. Downlink data is inputted from the
graphical user interface (GUI) on the PC and allows the user to set parameters during
the operation such as 30-bit stimulation parameters. As described in section “Data
Telemetry System Overview,” the near-field communication within the EnerCage-
HC2 system is the most suitable method to send the control data from the Tx coil to
Rx coil because the control data typically does not need high data rate. The
OOK-PPM modulation, which provides the synchronization between the data and
clock signals, is implemented to render ASK sensitive because of the inductive
coupling variation from the animal movements and existing noise in the power carrier
amplitude. The block diagram of the OOK-PPM downlink data transmission between
EnerCage-HC2 (Tx) and WINeRS-8 (Rx) system through 4-coil inductive link is
shown in Fig. 13a, and its conceptual waveforms are shown in Fig. 13b (Lee 2017).
The control commands in the PC are converted to the corresponding PPM pulses
(Tx_PPM) via MCU (CC2540). An RFID reader (TRF7960) generates the PPM-
OOK-modulated power carrier signal (13.56 MHz), which is used for both wireless
powering and downlink data transmission. An envelope detector filters the received
power carrier at the Rx L4C4-tank, Vcoil, and OOK pulses are recovered by
threshold detection, when compared against VREF1. The recovered OOK pulses,
SOOK, repeatedly charge and discharge the integration capacitor, C7, depending on
the delay between the individual OOK pulses, while the synchronized data clock,
46 Wireless Applications 961

Fig. 13 (a) Block diagram of the OOK-PPM downlink between EnerCage-HC2 (Tx) and
WINeRS-8 (Rx) systems via 4-coil inductive link. (b) Conceptual waveforms of the downlink
data at various nodes of the block diagram (Lee 2017)

FWD CK, is extracted from the edges of the OOK pulses. When VPPM at C7 goes
above VREF2, the comparator output, SPPD, is set to “1,” and the recovered data, FWD
Data, is recognized as “1” while being in sync with FWD CK. The FWD Data is
962 B. Lee and H.-M. Lee

shifted in the data buffer with D-type flip-flops (DFFs) and compared to the
preamble for the RF or stimulation operations. The WINeRS-8 SoC has been
designed in 130-nm standard CMOS technology as shown in Fig. 14a, and the

Fig. 14 (a) Chip photo and (b) measured waveforms for OOP-PPM near-field downlink data
telemetry between WINeRS-9 and EnerCage-HC2 systems (Lee 2017)
46

Table 1 Benchmarking of recent downlink data telemetry


Reference Lee et al. Troyk and Ghovanloo and Hu and Simard et al. Lo et al. Inanlou Kiani and
(2008) DeMichele (2003) Najafi (2004) Sawan (2010) (2013) et al. (2011) Ghovanloo
(2005) (2014)
Wireless Applications

Modulation ASK FSK pcFSK BPSK OQPSK DPSK PHM PDM


Distance – 20 5 15 5 – 10 10
(mm)
Power 2 5 5/10 10 1 2 – 13.56
carrier
(MHz)
Data (MHz) 2 –/5 5/10 10 13.56 20 66.6 50
Data rate 0.25 1.25 2.5 1.12 4.16 2 20 13.56
(Mbps)
Tx/Rx power –/ – –/152 –/625 – – 345/294 960/162
(pJ/bit)
Tech (μm) 0.35 0.8 1.5 0.18 – 0.18 0.35 0.35
Area (mm2) –/0.003 – –/0.29 –/0.2 – – 0.1/0.5 0.34/0.37
Tx/Rx
Supply 3.3 2.7 5 1.8 – 1.8 1.8 1.8
voltage (V)
Bit error rate – – 105 105 2  106 2  107 8.7  107 4.3  107
(BER)
963
964

Table 2 Benchmarking of recent uplink data telemetry


Reference Mandal and Lin and Tang Ha et al. Jiang et al. Gao et al. Ebrazeh and Chae et al. Lim et al.
Sarpeshkar (2008) (2016) (2016) (2016) (2011) Mohseni (2016) (2009) (2016)
Modulation LSK LSK COOK PPSK UWB- UWB- UWB- PWM-IR-
OOK OOK PPM UWB
Distance 20 9 50 5–15 200 500–4 k – 200
(mm)
Power carrier 25 10 13.56 13.56 – – – –
(MHz)
Data (MHz) 25 10 13.56 13.56 3–5 k 3–5 k 4k 200–800
Data rate 2.8 2 6.78 1.35 10 67 90 100
(Mbps)
Tx/Rx power 35.7/1250 – 9.5/– – 350/6200 30/– – –
(pJ/bit)
Tech (μm) 0.5 0.18 0.6 0.18 0.09 0.35 0.35
Area (mm2) 2.2/2.2 – 0.92 – 1.2a/3a 0.061 –/17.6a –
Tx/Rx
Supply 2.8 1.15–2 1.2 5 1.8 1.2 1.65 3.3
voltage (V)
Bit error rate 106 4.79  104 9.9  108 5.98  108 103 108 – –
(BER)
a
Estimated
B. Lee and H.-M. Lee
46 Wireless Applications 965

measured waveforms of the OOK-PPM near-field downlink data telemetry between


WINeRS-8 and EnerCage-HC2 systems are shown in Fig. 14b (Lee 2017).

Conclusion

In this chapter, the wireless data telemetries for biomedical sensor and IMD appli-
cations are categorized and discussed depending on uplink/downlink, single-/multi-
carrier, and passive/active telemetries. Recent telemetries for downlink and uplink
data communication described in this chapter are summarized on Tables 1 and 2,
respectively. Since the state-of-art data telemetries still cannot address all consider-
ations required for biomedical signal telemetry, it is essential for designers to select
the suitable data telemetry and optimize the performance while considering its
practical limitations and available budgets in the IMD (i.e., power, area, data rate,
reliability, distance, etc.).

References
Abdelhalim K, Kokarovtseva L, Velazquiez JLP, Genov R (2013) 915-MHz FSK/OOK wireless
neural recording SoC with 64 mixed-signal FIR filters. IEEE J Solid State Circuits
48(10):2478–2493
Borna A, Najafi K (2014) A low power light weight wireless multichannel microsystem for reliable
neural recording. IEEE J Solid State Circuits 48(2):439–451
Chae MS, Yang Z, Yuce MR, Hoang L, Liu W (2009) A 128-channel 6 mW wireless neural
recording IC with spike feature extraction and UWB transmitter. IEEE Trans Neural Syst Rehab
Eng 17(4):312–321
Ebrazeh A, Mohseni P (2016) 30 pJ/b, 67 Mbps, centimeter-to-meter range data telemetry with an
IR-UWB wireless link. IEEE Trans Biomed Circuits Syst 9(3):362–369
Gao Y, Zheng Y, Diao S, Toh WD, Ang CW, Je M, Heng CH (2011) Low-power ultrawideband
wireless telemetry transceiver for medical sensor application. IEEE Trans Biomed Eng
58(3):768–772
Ghovanloo M, Najafi K (2004) High data rate frequency shift keying demodulation for wireless
biomedical implants. IEEE Trans Circuits Syst I 51(12):2374–2383
Ha S, Kim C, Park J, Joshi S, Cauwenberghs G (2016) Energy recycling telemetry IC with
simultaneous 11.5 mW power and 6.78 Mb/s backward data delivery over a single
13.56 MHz inductive link. IEEE J Solid State Circuits 51(11):2664–2678
Hu Y, Sawan M (2005) A fully integrated low-power BPSK demodulator for implantable medical
devices. IEEE Trans Ciruits Syst I Reg Papers 52(12):2552–2562
Inanlou F, Kiani M, Ghovanloo M (2011) A 10.2 Mbps pulse harmonic modulation based
transceiver for implantable medical devices. IEEE J Solid State Circuits 46(6):1296–1306
Jiang D, Cirmirakis D, Schormans M, Perkins TA, Donaldson N, Demosthenous A (2016) An
integrated passive phase-shift keying modulator for biomedical implants with power telemetry
over a single inductive link. IEEE Trans Biomed Circuits Syst 11(1):64–77
Jow U, Ghovanloo M (2009) Modeling and optimization of printed spiral coils in air, saline, and
muscle tissue environments. IEEE Trans Biomed Circuits Syst 3(5):339–347
Jow U, Ghovanloo M (2010) Optimization of data coils in a multiband wireless link for
neuroprosthetic implantable devices. IEEE Trans Biomed Circuits Syst 4(5):301–310
966 B. Lee and H.-M. Lee

Kiani M, Ghovanloo M (2014) A 13.56-Mbps pulse delay modulation based transceiver for
simultaneous near-field data and power transmission. IEEE Trans Biomed Circuits Syst
9(1):1–11
Lee B (2017) A robust wirelessly-powered recording and stimulation system for a freely-moving
animal subject. Ph.D. Thesis, Georgia Institute of Technology
Lee B, Ghovanloo M (2019) An overview of data telemetry in inductively powered implantable
biomedical devices design and implementation of devices. IEEE Commun Mag 57(2):74–80
Lee TJ, Lee CL, Ciou YJ, Huang CC, Wang CC (2008) All-MOS ASK demodulator for
low-frequency applications. IEEE Trans Circuits Syst II Express Briefs 55(5):474–478
Lee B, Kiani M, Ghovanloo M (2015a) A triple-loop inductive power transmission system for
biomedical applications. IEEE Trans Biomed Circuits Syst 10(1):138–148
Lee H-M, Kwon KY, Li W, Howel B, Grill WM, Ghovanloo M (2015b) A power-efficient
switched-capacitor stimulating system for electrical/optical deep-brain stimulation. IEEE
J Solid State Circuits 50(1):360–374
Lee S, Lee B, Kiani M, Ghovanloo M (2016) An inductively-powered wireless neural recording
system with a charge sampling analog front-end. IEEE Sensors 16(2):475–484
Lee H-M, Juvekar CS, Kwong J, Chandrakasan AP (2017) A nonvolatile flip-flop-enabled crypto-
graphic wireless authentication tag with per-query key update and power-glitch attack counter-
measures. IEEE J Solid State Circuits 52(1):272–283
Lim J, Tekes C, Degertekin FL, Ghovanloo M (2016) Towards a reduced-wire interface for CMUT-
based intravascular ultrasound imaging systems. IEEE Trans Biomed Circuits Syst
11(2):400–410
Lin YP, Tang KT (2016) An inductive power and data telemetry subsystem with fast transient low
dropout regulator for biomedical implants. IEEE Trans Biomed Circuits Syst 10(2):435–444
Lo YK, Chen K, Gad P, Liu W (2013) A fully-integrated high-compliance voltage SoC for
epi-retinal and neural prostheses. IEEE Trans Biomed Circuits Syst 7(6):761–772
Mandal S, Sarpeshkar R (2008) Power-efficient impedance-modulation wireless data links for
biomedical implants. IEEE Trans Biomed Circuits Syst 2(4):301–315
Rao S, Liombart N (2014) Miniature implantable and wearable on-body antennas: towards the new
era of wireless body-centric systems. IEEE Antennas Propag Mag 56(1):271–291
Simard G, Sawan M, Massicotte D (2010) High-speed OQPSK and efficient power transfer through
inductive link for biomedical implants. IEEE Trans Biomed Circuits Syst 4(3):192–200
Troyk PR, DeMichele GA (2003) Inductively-coupled power and data link for neural prostheses
using a class-E oscillator and FSK modulation. In: Proceedings of IEEE 25th EMBS conference,
pp 3376–3379
Zhou M, Yuce MR, Liu W (2008) A non-coherent DPSK data receiver with interference cancella-
tion for dual-band transcutaneous telemetries. IEEE J Solid-State Circuits 43:2003–2012
Wireless Applications: Dual Band Power
and Data Telemetry 47
Anil Kumar RamRakhyani and Gianluca Lazzi

Contents
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 968
Conventional Power and Data Telemetry System . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 969
Low-Frequency Inductive Link . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 970
High-Frequency Radio-Frequency (RF) Link . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 971
Dual-Band Power and Data Telemetry . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 972
Efficient Wireless Power Transfer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 972
Data Telemetry . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 976
Discussion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 979
Design Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 980
Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 982
References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 983

Abstract
Wireless power and data communication systems are the key components of
modern neuroprosthetic devices. Traditionally, a single carrier is used to transfer
energy and data signals to implant electronics, which restricts the optimum
link performance. A dual-band power and data telemetry link is a multi-carrier
link configuration to transmit power and data over independent carriers.
Each link can be optimized independently to meet the design objective and
constraints. In this work, a detailed discussion of carrier frequency selection for
each link (power and data) is presented. Comparison between the low-frequency
inductive link and high-frequency RF link for power and data is discussed. Using

A. K. RamRakhyani (*)
Department of Electrical and Computer Engineering, College of Engineering, University of Utah,
Salt Lake City, UT, USA
G. Lazzi
Department of Electrical and Computer Engineering, North Carolina State University, Raleigh,
NC, USA
e-mail: lazzi@utah.edu

© Springer Science+Business Media, LLC, part of Springer Nature 2022 967


M. Sawan (ed.), Handbook of Biochips,
https://doi.org/10.1007/978-1-4614-3447-4_16
968 A. K. RamRakhyani and G. Lazzi

two practical design examples, it is shown that the selection of different config-
uration is application dependent, and care needs to be taken to select each
configuration to optimize the telemetry link.

Introduction

With the advances in low-power integrated circuits and mm-size microelectrode


arrays, prosthesis devices have become a promising solution for improving the
daily life of patients with disabilities. Some of these technologies are currently in
clinical trials, while few devices are commercially available. These devices are
successfully implanted in millions of patients for several applications, including a
retinal prosthetic for artificial vision (Theogarajan 2008; Chen et al. 2010), spinal
cord stimulator for patient pain management, and deep brain stimulation for
Parkinson’s disease. The power requirement of the implanted electronics depends
on the application (e.g., neural stimulator, neural activity recorder, actuator,
sensors), which can range from tens of microwatts to hundreds of milliwatts
(Mounaim and Sawan 2011). Some applications include implanted rechargeable
battery, while few implants are powered continuously using wired or wireless
power link. To eliminate the transcutaneous wires between the external power
source and the implanted device, and to achieve a long lifetime of the implanted
device, most commercial devices use wireless power transfer to the implanted
electronics. Similarly, the data signals are transmitted over the wireless link to
configure the implanted electronics in different operating modes. For few
devices, neural signals and sensor data are transmitted from the implant to the
external unit. Figure 1 shows the relative position of three commercially available
neuroprosthetic devices. For all three applications, wireless power and data
transmission is implemented to achieve a device lifetime of more than 10 years.
Despite well-established wireless power and data transfer technology, significant
research is devoted to improve the power transfer efficiency (above 40–70 %) and
supported data rate (above 1–5 Mbps) for the power and data telemetry.
Power transfer efficiency, voltage gain, and frequency bandwidth are the three
key parameters of wireless power and data telemetry. To transmit power and data,
electromagnetic energy is generated and modulated by the source (e.g., external
device). Due to the close proximity of the receiver (e.g., implant), some of the
transmitted electromagnetic energy is retrieved by the implanted electronics to
power the device and control the device configuration. Depending on the operating
frequency, two modes of electromagnetic energy can be coupled between the
external and implant unit. At low operating frequencies below 100 MHz, most of
transmitter’s energy is stored as evanescent field (magnetic field) near the small
transmitter (diameter 4–6 cm). The generated field decays exponentially with the
distance from the source coil, and part of the magnetic field couples with the
implanted coil to transfer the energy wirelessly. This wireless link is commonly
47 Wireless Applications: Dual Band Power and Data Telemetry 969

b
a
DBS
Electrodes

Pulse
Generator

Spinal Cord
Electrodes

Pulse
Generator Implant
Electronics

Magnetic
Coil

Fig. 1 (a) Block diagram of a spinal cord stimulator with pulse generator and implanted
electrodes, (b) position of deep brain stimulator electrode in the thalamus and pulse generator,
and (c) retinal prosthetic implant with external magnetic coil for wireless power and data transfer

known as an inductive link. On the other hand, for frequencies above 100 MHz,
most of the transmitter’s energy is radiated from the transmitter antenna. Some
devices use a single radio-frequency (RF) carrier for the data and power transmis-
sion, while others use multiple carriers (bands) for power and data transfer.
Depending on the operating frequency and number of carriers, there are four
popular methods for transmitting power and data between the source and receiver,
as shown in Fig. 2. In the following sections, we compare different alternatives to
provide benefits of using dual-band (carrier) power and data telemetry as compared
to conventional single-band low-frequency power and data telemetry.

Conventional Power and Data Telemetry System

Single-band low-frequency telemetry has been commonly used for biomedical


applications since the 1980s (Galbraith et al. 1987). Recently, high-frequency
power and data transfer was demonstrated to achieve a mm-size implantable
device. The following sections provide the theory of operation and key features
of each method.
970 A. K. RamRakhyani and G. Lazzi

Fig. 2 Popular wireless power and data telemetry topologies for single and multiple carriers at
low (below 100 MHz) and high frequency (above 100 MHz) operating frequencies

Low-Frequency Inductive Link

For a biomedical implant, high-power transfer efficiency and small implant dimen-
sions are the two key design objectives. Traditionally, a low-frequency inductive link
is used to transfer power and data due to its high-power transfer efficiency (30–60 %)
(Trigui et al. 2015). The inductive link requires an external magnetic coil, which can
generate time-varying magnetic field when driven with a source. This magnetic field
can be coupled with an implanted coil in close proximity (5–30 mm) to generate
voltage across the implanted electronics. Figure 3a shows the block diagram of
magnetically coupled coils across the tissue, and Fig. 3b presents general schematics
of the two-coil based wireless power transfer system. To achieve high-power transfer
efficiency, the coils are resonated at the operating frequency. Power transfer
efficiency of the inductive link increases with the increase in magnetic coupling
k and the reduction in the system’s resistive losses. Based on these parameters, power
transfer efficiency of the inductive link can be calculated by Eq. 1:

k2 Qd Ql
η¼ (1)
1 þ k2 Qd Ql

where k is the magnetic coupling between the coils and Qd, Ql are the quality factors
of the driver (external) and load (implant) coil at the resonant frequency, respectively.
Frequency bandwidth of the inductive link reduces with the Q-factors of
the magnetic coils and increases with the coupling between the coils. Figure 3c,
3d shows that by increasing coupling between the coils, power transfer
efficiency and frequency bandwidth can  be increased.
 Even if the inductive link
can achieve high fractional bandwidth Bandwidth f of 10–20 %, the frequency
carrier
47 Wireless Applications: Dual Band Power and Data Telemetry 971

a Magnetic Field b k
Rd (self) Cd RL(self)
S
K
L CL RLoad
I Ld
Rdriver V1 (QL)
N (Qd)
Implant Coil
External Coil

c Qexternal = 40, Qimplant = 6.28 d Qexternal = 40, Qimplant = 6.28


80
k = 0.01 1.5 k = 0.01
70 k = 0.05 k = 0.05
k = 0.1 k = 0.1
60
Efficiency (%)

50 1

vout/vin
40
30
0.5
20
10
0 0
3 3.5 4 4.5 5 3 3.5 4 4.5 5
Frequency (MHz) Frequency (MHz)

Fig. 3 (a) Block diagram for inductive link wireless and data telemetry system, b schematics of
the inductive link, (c) power transfer efficiency, and d frequency bandwidth as with the variation of
magnetic coupling between coils (RamRakhyani 2013)

bandwidth is limited to 1–10 MHz for the operating frequency of 10–50 MHz,
which restricts the maximum supported data rate.

High-Frequency Radio-Frequency (RF) Link

Recently, a high-frequency wireless power and data link was proposed to power a
mm-size implant (Pivonka et al. 2012; Chin-Lung et al. 2011). It is claimed that, for
the tissue electric properties, an operating range between 1.8 and 2.0 GHz is
optimum for wireless power transfer to a small dimension (2  2 mm) receiver.
For the operating range of 2–5 cm and high operating frequency, external and
implanted antennas are linked with radiative near field. For such a link, power
transfer efficiency is achieved below 0.05 %. These low efficiency levels are
sufficient to power low-power (10–20 μWatts) sensors from a 100 mW power
source. Figure 4 shows the block diagram of this system.
For high-frequency data transfer, frequency bandwidth is limited by the antenna
parameters and impact of lossy tissue on the antenna performance. Typically, a
bandwidth of 1–3 % is achievable for small dimension (1–5 mm) implanted
antennas, resulting in a frequency bandwidth of 20–60 MHz at the carrier frequency
of 2 GHz (Gosalia et al. 2004). Thus, high data rate (20 Mbps) is supported over the
high-frequency data link.
972 A. K. RamRakhyani and G. Lazzi

Pin
Matching
PA Wireless Power/
Network
Data Link Power Retrieve
f0 Freq
Load/
Rectifier
Battery
Matching
Network
Clock and
Data recovery

Tissue Data Retrieving

Fig. 4 Block diagram of high-frequency wireless power transfer system. The design utilizes
rectenna to capture high-frequency electromagnetic signal and generate voltage across the antenna

Dual-Band Power and Data Telemetry

Both low-frequency inductive links and high-frequency data transfer provide


unique benefits over each other in terms of power transfer efficiency and supported
data rate. Low-frequency wireless power links are suitable for achieving high-
power transfer efficiency, which results in the improved lifetime for the external
battery. However, high-frequency data links are essential for achieving a frequency
bandwidth of 10–50 MHz to transfer (or retrieve) large real-time (or stored) data
to (or from) the implanted devices (e.g., sensors, actuator). Therefore, multiple
studies are performed to analyze the benefits of high-power transfer efficiency and
high data rate independently by using a dual-band wireless power and data telem-
etry system (Bashirullah et al. 2003; Ghovanloo and Atluri 2007; Khripkov
et al. 2012).
Figure 5 shows the general block diagram of a dual-band power and data
telemetry system requiring separate links for power and data. The external unit
includes the power source and control blocks for the power and data transmitter/
receiver. Implant electronics include the power receiver and data transmitter/
receiver unit to power and configure the sensor/recording/stimulating electrodes.
For dual-band power and data telemetry, power and data links can be indepen-
dently optimized. The following sections discuss the design approach to design an
efficient power and data link.

Efficient Wireless Power Transfer

Selection of the low-frequency versus high-frequency wireless power transfer


system depends on the design constraints, such as dimension of the implant,
power requirements, and operating distance. Multiple approaches have been
suggested to improve the efficiency for both topologies.
47 Wireless Applications: Dual Band Power and Data Telemetry 973

Fig. 5 Block diagram of dual-band power and data telemetry system used for biomedical implant.
Link 1 is unidirectional, while Link 2 can be bidirectional depending on the application

Inductive Link
A two-coil based inductive link is typically used to deliver energy from the external
power source to the implant coil. Figure 6 shows a block diagram of a two-coil
system. To achieve high Q-factor magnetic coils, multi-strand Litz wires are used
for the external coils. However, biocompatible metals, such as gold, are used to
fabricate the implant coil (Harrison et al. 2009; Chen et al. 2010). To achieve
maximum power transfer, the external and implant coils are resonated at the carrier
(operating) frequency using lumped capacitors. At resonance, resistive losses in the
parasitic components play a crucial role in limiting the power transfer efficiency.
Due to large driver resistance Rd and effective load resistance RL of the implant, the
Q-factors are limited below 40 (RamRakhyani and Lazzi 2013; Chaimanonart
et al. 2010) for practical designs.
A multi-coil wireless power transfer (WPT) system was proposed to achieve a
high-efficiency WPT link (RamRakhyani and Lazzi 2013). Multi-coil WPT systems
can be configured using three different topologies, as shown in Fig. 6b–6d. For the
same design constraints (dimensions, operating distance, and operating frequency),
multi-coil WPT can decouple the effect of the driver and/or load resistance on the
link efficiency. In all configurations, the driver and transmitter coils are in close
proximity and are part of an external unit. Receiver and load coils are coupled
closely and embedded as a part of the implant. This results in a high-efficiency
inductive link between the driver and transmitter coil and between the receiver and
load coil. Thus, for a fixed operating distance, the overall efficiency of the system is
primarily dependent on the Q-factor of the transmitter and/or the receiver coil.
To calculate the power transfer efficiency for different multi-coil configurations,
a network model is derived from the system schematics and is solved for the current
in each magnetic coil (RamRakhyani and Lazzi 2013). Figure 7a, 7b shows the
circuit level representation of the multi-coil configurations in Fig. 6b, 6d,
respectively.
Using the calculated current, the power transfer efficiency is derived as the
function of loaded Q-factor of the coil and coupling between each set of coils. To
achieve low resistive losses in the driver and/or load circuits, intermediate
974 A. K. RamRakhyani and G. Lazzi

k k1
a b k2

Driver Load Driver Load


Circuit System Circuit System

Driver Load k3
Load
Coil Coil Driver Transmitter

k1 k1
c k2 d k2 k3

Driver Load Driver Load


Circuit System Circuit System

k3 k4
Load Load
Driver k5
Driver Receiver k6
Transmitter Receiver

Fig. 6 Block diagram of (a) two-coil, (b), c) three-coil, and (d) four-coil wireless power transfer
system. The implant coil(s) is coupled with external coil(s) using the time-varying magnetic field
(RamRakhyani 2013)

transmitter and receiver coils are introduced and resonated at the same operating
frequency. These intermediate coils can achieve very low resistive losses resulting
in high loaded Q-factor (~150–300) coils. The multi-coil WPT system compensates
the effect of low coupling between the external (transmitter) and implant (receiver)
coil with the high Q-factor intermediate coils (transmitter/receiver). Thus, high-
power transfer efficiency (70–80 %) can be achieved compared to the same
dimension two-coil WPT system.
For multi-coil systems, driver and load coils have fewer turns than the transmitter
and receiver coil, respectively. Therefore, mutual inductance between the driver and
receiver coils, the transmitter and load coils, and the driver and load coils has small
effect of the power transfer efficiency and can be ignored (RamRakhyani and Lazzi
2013). This results in a simplified analytical expression of power transfer efficiency
as shown in Eqs. 2, 3, and 4 for configuration discussed in Fig. 6b–6d, respectively:

k21 Qd Qt k22 Qt Ql
η3CoilðbÞ ¼ (2)
1 þ k21 Qd Qt þ k22 Qt Ql 1 þ k22 Qt Ql

k21 Qd Qr k22 Qr Ql
η3CoilðcÞ ¼ (3)
1 þ k21 Qd Qr þ k22 Qr Ql 1 þ k22 Qr Ql
47 Wireless Applications: Dual Band Power and Data Telemetry 975

k3
a
k1
k2
Rcd Cd Rt Rcl
Cl

Rdriver
Lt Ct RL
Ld LI
V1

k1 k3
b k2
Rcd Rt Rr Rcl
Cd CI

Rdriver
Ct Cr LI RL
Ld Lt Lr
V1

k5
k4

k6

Fig. 7 Circuit model of (a) three-coil (configuration Fig. 6b) and (b) four-coil wireless power link
(RamRakhyani 2013)

 
k21 Qd Qt k23 Qr Ql k22 Qt Qr
η4CoilðdÞ ¼   (4)
1 þ k21 Qd Qt 1 þ k23 Qr Ql þ k22 Qt Qr 1 þ k22 Qr Ql þ k23 Qr Ql

where Qd, Qt, Qr, and Ql are the loaded Q-factors of driver, transmitter, receiver,
and load coil, respectively. Coupling k13 are the primary coupling between
individual coils as shown in Fig. 6b–6d. Equations 2, 3, and 4 show that the effect
of a low Q-factor driver (or load coil) is compensated by the high coupling between
the driver and the transmitter coil or between receiver and load coil.

Near-Field High-Frequency Power Link


For some implants, for which minimizing the size of the device is more important
than power transfer efficiency, near-field high-frequency link is suitable. Figure 8
shows the typical block diagram of high-frequency power source and implanted
electronics to retrieve the suitable output voltage across the implanted load/
rechargeable battery. To design an efficient rectifier stage, it is crucial to use
high-frequency and low-voltage drop Schottky diodes. The design of an efficient
976 A. K. RamRakhyani and G. Lazzi

RF Pin
Antenna
Source
Matching
PA
Network Wireless High
f0 Freq Frequency Power
Link

Frequency
Matching
Load /
Antenna Rechargeable
Battery

Rectifier
Tissue

Fig. 8 Block diagram of high-frequency wireless power transfer system to deliver (or store)
energy to the implanted load (or rechargeable battery)

power amplifier is also important to improve the overall efficiency of the power
transfer link.
In general, high-frequency power link can achieve very low-power transfer
efficiency. For example, a power source that can deliver 2 W of power to the
external antenna is required to deliver 500 μ watts of power (η = 0.025 %) to the
implant with receiver coil of 2  2 mm (Pivonka et al. 2012). The source uses a
carrier frequency of 1.8 GHz which is commercially licensed and not available for
medical devices. Additional design steps need to be taken to ensure no interference
with the licensed carriers at the operating frequency. Also, this design approach
cannot be used in a continuous mode due to low-power transfer efficiency, which
can result in fast depleting battery. Thus, near-field high-frequency power link has
very limited applications.

Data Telemetry

Achieving high data rate with low energy consumption per bit is an active research
goal for many researchers (Simard et al. 2010; Gosalia et al. 2004; Inanlou and
Ghovanloo 2011). Transmitting real-time multichannel raw data from the neural
recorders and transmitting/retrieving large configuration files in the implanted
device’s memory are some of the applications that require a high data rate
(5–10 Mbps) communication link. Depending on the direction of the data flow,
uplink and downlink are defined as the data communication link from the implant to
the external unit and link from the external control unit to the implanted electronics,
respectively.

Inductive Link-Based Data Communication


Performance of the inductive link-based communication system depends on the
Q-factors of the coils, magnetic coupling between them, and the carrier frequency.
47 Wireless Applications: Dual Band Power and Data Telemetry 977

In general, a fractional 3-db frequency bandwidth of 10–20 % is achievable over the


inductive link, which will require the carrier frequency to be in the range of
20–50 MHz. Depending on the data rate requirements and allowed bit error rate,
different modulation techniques can be used to achieve high data rates for a fixed
frequency bandwidth. Figure 9 shows a block diagram of a bidirectional data link
setup. As shown, the control unit of the external unit controls the downlink data
communication and can support different modulation techniques such as FSK
(frequency shift keying), PSK (phase shift keying), ASK (amplitude shift keying),
and its derivatives. The data is linked through the magnetic coil Ldt and is retrieved
by the implanted coil Ldr. Also, uplink data communication can be supported by a
separate set of magnetic coils Lut and Lur, and different modulation techniques can
be used to enhance the data rate. In addition to standard modulation techniques
(e.g., FSK, ASK, PSK), uplink data communication can be achieved by a special
technique named LSK (load shift keying). To implement LSK-based modulation,
the data signal modulates the effective load (implant) impedance. By monitoring
the effect of load resistance modulation on the external coil’s current, the data
stream can be retrieved. LSK can be implemented for single- and dual-band data
telemetry.
In general, the external and implant coils are in close proximity (0.5–2 cm), and
at low frequency (below 100 MHz), tissue absorption of the electromagnetic field
does not contribute significantly to the signal attenuation (skin depth @100 MHz
>4–5 cm) (Singh et al. 2009). So, it requires low power to establish a low error data
link between the external and implant coils. OQPSK (offset quadrature PSK)
(Simard et al. 2010) and DPSK (differential PSK) (Zhou et al. 2008) have been
implemented to achieve a downlink data rate of 4 Mbps and 2 Mbps, respectively.
Recently, pulse harmonic modulation was proposed (Inanlou and Ghovanloo 2011)
with a data rate of 5.2 Mbps over a coil separation of 1 cm at BER below 106.
However, different designs use different design parameters, such as coil dimensions
and operating frequency. To compare the effectiveness of different dual-band

kdownlink
Cdt RdR
Data T
I Filter and
Modulator Automatic Ldt S LdR Clock & Data
(e.g. FSK, Gain Control
S CdR Recovery
PSK, ASK) U
Rdt E Downlink
Control I
and Signal N Implant Electronics (Control
Generator T Cut and sensor unit)
E
R
Filter and Data
F Data Modulator
Demodulator
CuR LuR A Lut (e.g. FSK, PSK,
(e.g. LSK, FSK, C ASK)
PSK, ASK) E
RuR Rut
kuplink
Uplink

Fig. 9 Generalized block diagram of bidirectional inductive data link. The data can be transferred
to (downlink) or from (uplink) the implant (Ghovanloo 2007; Zhou et al. 2008)
978 A. K. RamRakhyani and G. Lazzi

power and data telemetry systems, a figure of merit (FOM) is defined, as in Eq. 5
(RamRakhyani and Lazzi 2013):

 
d2 BW
FOM ¼ 10Log10  η  Gain (5)
D1  D2 f carier

where d is the distance between the external and the implant coil with D1 and D2
denoting as the maximum dimension of the external and the implant coils,
respectively. BW denotes the 3-dB data bandwidth at carrier frequency fcarrier. η is
the power transfer efficiency of the system.

High-Frequency Data Communication


High-frequency data links are commonly used for high throughput wireless com-
munication systems. Multiple standards such as Bluetooth and WiFi have been
created to utilize license-free frequency spectrum and to support short-distance
(2–10 m) data communication for implementation of personal area networks
(PAN). For applications for which data communication is a part of the external
wireless charger unit (Pivonka et al. 2012), the communication range is between 0.5
and 5 cm. However, to support long-distance remote configurations, an operating
range of 1–2 m is desired.
For medical device applications, multiple frequency bands are dedicated to
support standard communication links for the data transfer between an implant
and external units. Industrial, scientific, and medical (ISM) bands, medical implant
communications service (MICS) bands, and wireless medical telemetry service
(WMTS) are some of the few license-free frequency bands that are dedicated to
medical applications. Table 1 provides the summary of different license-free
frequency bands and their operating conditions. Some unlicensed bands are
allocated for specific regions of the world (e.g., Europe, Japan, the USA). However,
to accommodate the worldwide use of medical devices, MICS (402–405 MHz) and
ISM (2,400–2,483.5 MHz) bands should be used.

Table 1 Unlicensed frequency bands


Frequency Descriptions
174–216 MHz, 470–608 MHz, 614–668 MHz Wireless medical telemetry
608–614 MHz, 1,395–1,400 MHz, 1,427–1,432 MHz WMTS bands (1.5 MHz/channel)
202.65–205.15 MHz WMTS in New Zealand
420–429 MHz, 440–449 MHz WMTS bands in Japan
402–405 MHz MICS band (worldwide)
401–406 MHz MICS band extended (worldwide)
433.05–434.79 MHz, 868–870 MHz Europe unlicensed ISM bands
902–928 MHz US unlicensed ISM band
2,400–2,483.5 MHz Unlicensed ISM band (worldwide)
5,150–5,350 MHz, 5470–5,825 MHz ISM unlicensed (worldwide)
47 Wireless Applications: Dual Band Power and Data Telemetry 979

Allocation of MICS band for medical devices is on the secondary basis, as it


shares its frequency spectrum with meteorological aids service. The output
signal’s power is restricted to 25 μW and bandwidth of 300 khz per channel to
reduce interference with the primary services and to allow multiple channels.
Multiple medical device manufacturers, including Boston Scientific and
Medtronics Incorporated, use MICS-based data communication in their design
(e.g., cardiac rhythm management) to support a data rate of 150 kbps over a
operating range of 2–10 m. Some designs utilize antennas which can support
multiband data communication to support MICS as well as ISM bands (Kiourti
and Nikita 2012; Fu-Jhuan et al. 2011).
In general, conductivity of the tissue increases with the operating frequency.
Neuroprosthetic devices such as pulse generators for deep brain stimulator or spinal
cord simulation are implanted deep in the tissue (2 cm). At these distances, tissue
attenuation of the electromagnetic signal can be significant (1–2 dB/cm). So,
moderate frequencies such as 400 MHz (MICS) are preferred for these designs
compared to 2.4 GHz (ISM) spectrum.

Discussion

Selection between the inductive link and high-frequency power or data


communication link depends on the design objectives, design constraints, and
safety aspects. Each method provides benefits over each other. For dual-band data
and telemetry systems, power and data links can be independently designed and
optimized. Moreover, the design must comply with the federal regulations before
commercialization (International Electrotechnical Commission; Federal Commu-
nications Commission) to meet the electromagnetic safety aspects of the device.
For different design objectives, Table 2 summarizes the key features of each
method.

Table 2 Comparison between the inductive link and high-frequency link


Power transfer Data communication
High
Inductive frequency Inductive High frequency
Operating frequency (MHz) 1–10 1,800–2,000 10–50 400–2,500
Efficiency η (%) 40–80 0.02–0.05
Frequency bandwidth (MHz) 1–10 20–50
Data rate (Mbps) 1–5 1–25 @distance
5 cm
0.1–1 @distance
2m
Electromagnetic energy Low High Moderate High
absorption
Operating range (cm) 0.5–2 1–5 0.5–2 2–200
980 A. K. RamRakhyani and G. Lazzi

Due to independent carriers for the data and power transfer link, different coils
(or antennas) are required to transmit for each carrier. For system in which power
and data communication units are part of the same external unit, power and data
coils are in close proximity. Thus, to reduce the interference between the power and
data link, coupling between the coils needs to be minimized by using orthogonal
coils (Wang et al. 2012).

Design Examples

To analyze the practicality of the dual-band power and data telemetry, two design
examples are discussed in this section. One of the designs is commercially
available, while the second design is commonly used in research lab setups.

Dual-Band Telemetry for Implantable Visual Prosthetics


Retinal prosthesis is a commercially available medical device manufactured by
Second Sight Inc. for partial restoration of vision. The device is suitable for patients
with degenerative eye conditions such as retinitis pigmentosa or macular degener-
ation. Chen (Chen et al. 2010) hypothesizes the underlying structure of the system
as shown in Fig. 10. The implant includes an array of surface microelectrodes to
stimulate ganglion cells, a neural stimulation circuit to control individual
electrodes, and a power/data recovery circuit. The external unit consists of a
video camera, signal processing unit, data/power transmitter and receiver, and a
control unit. The eyeglass-mounted camera captures real-time images and digitizes
the visual information using the signal processing unit. The resulting data stream is
transmitted over the inductive link to control the electrode array. Power require-
ments of the stimulator depend on the number of electrodes and the stimulation
current. For example, the stimulation circuit requires 100 mW to power the
256-channel stimulator.
Figure 11 shows the microphotograph of the chip implementing the 256-channel
epiretinal stimulator, data demodulator, and power regulators (Chen et al. 2010).

Data
Downlink
Video Sensor
and Signal DPSK Data Digital
DPSK Data
Processing Transmitter Processing
Receiver
Unit Unit 256 Channel
S Mirco electrode
K Carrier Array
22 MHz
I
Data Envelope Back
N
Decoder Detector Telemetry
Power and Power
Data Control
Unit Rectifier / Neural
Power Power
Transmitter stimulator
Regulator

Data Carrier
External Unit Uplink 2 MHz Implant Unit

Fig. 10 Block diagram of implantable visual prosthetics. The system uses dual-band power
(inductive link) and data (inductive link) telemetry (Chen et al. 2010)
47 Wireless Applications: Dual Band Power and Data Telemetry 981

Fig. 11 Chip microphotograph of the 256-channel epiretinal stimulator (Reprinted with the
permission (Chen et al. 2010))

Wireless power is transmitted over a carrier frequency of 2 MHz using a two-coil


based wireless power transfer system. To support the high data rate of 2 Mbps
required for the 256-channel control, a carrier frequency of 22 MHz is used. The
downlink data communication unit includes an external DPSK data transmitter and
an implanted DPSK data receiver. The back telemetry (uplink) utilizes LSK-based
modulation and can support low data rate (100–200 kbps) over the link.
Retinal prosthesis demonstrates a successful medical device that uses a dual-
band telemetry link. The implanted device requires continuous power transfer
during the device operation. So, a charger magnetic coil is mounted on the eye-
glasses and is in close proximity (15–20 mm) to the implanted power receiving coil.
To reduce the interference between the power and data link, the power and data
coils are mounted orthogonal to each other. A noncoherent DPSK data receiver is
used for interference cancelation in the transcutaneous telemetry. The design can
eliminate the use of a high-pass filter and can still achieve a robust telemetry link,
which is interference-free from the power carrier signal (Zhou et al. 2008).

Dual-Band Telemetry for Cortical Implant


The second design is currently used as an active research tool to monitor cortical
signals (Harrison et al. 2009). The design utilizes a Utah electrode array (UEA)
which is a 100-channel microelectrode array with device footprint of 4  4 mm.
The implant includes a customized ASIC (application-specific integrated circuit)
which can be configured to sample neural signal from individual electrode. The
982 A. K. RamRakhyani and G. Lazzi

Data
Uplink

Signal Digital
FSK Data FSK Data
Processing Processing
Receiver Carrier Transmitter 100 Channel
Unit ~900 MHz Unit
Mirco electrode
S
K Array
I
N
Power Rectifier /
Power and
Power and Power Neural
Data Control
ASK Data Regulator Stimulator/
Unit Recording
Transmitter Clock and
Data Recovery
Data Carrier
External Unit Downlink 2.765 MHz Implant Unit

Fig. 12 Block diagram of Utah electrode array (UEA)-based cortical implant. The system uses
dual-band power (inductive link) and data (high-frequency) telemetry (Harrison et al. 2009)

ASIC is mounted on the back of the UEA. A customized 100-channel threshold-


based spike detector and 10-bit ADCs (analog to digital converter) are the key part
of the ASIC. The monitored signal from individual neural signal amplifier can be
sampled at 15.7 ksample/s and digitized using ADC. The wireless power is trans-
ferred over the carrier frequency of 2.765 MHz. The implant uses a gold-based
power receiving coil with a diameter of 5 mm, which is mounted on the back of the
ASIC to reduce the footprint of implant to below 6  6 mm. A low data rate of
16 kbps is supported to transmit the configuration signal over downlink. The uplink
data transfer system implements a FSK transmitter operating at 902–928 MHz ISM
band which is an unlicensed spectrum in the USA. Figure 12 shows the basic block
diagram of the telemetry system.
To decode the neural encoding, spatial-temporal information of neural activity
needs to be recorded which requires a simultaneous monitoring of spike detection
from each channel. By using wideband ISM spectrum of 902–928 MHz, monitored
signals from multiple electrodes can be transmitted to the external recording device
over long operating range of 10 cm. To capture the raw data of neural activity, each
channel requires the data rate of 157 kbps. Individual channels can be selected, and
real-time neural activity can be interleaved with the spike detection data and
transmitted over the high-frequency uplink.

Conclusion

Dual-band power and data telemetry systems are popular for designing independent
power and data links. The operating frequency of the power and data links depends
on the design objectives. Selection between the inductive link and high-frequency
wireless power system requires a trade-off between the implant dimension and
power transfer efficiency. Inductive links require a bigger implant coil compared to
a mm-size high-frequency implantable antenna. However, power transfer efficiency
47 Wireless Applications: Dual Band Power and Data Telemetry 983

of more than three orders of magnitude can be achieved compared to high-


frequency wireless power link. Similarly, selection of carrier frequency for data
communication depends on the required data rate, operating distance, and region of
operation. To communicate with the implant over the dedicated unlicensed
frequency band, MICS and ISM are suitable due to their worldwide allocation.
However, for short-range transcutaneous data communication, carrier frequency
below 100 MHz can be utilized. In this work, multiple configurations for power and
data transfer are discussed, along with a multi-coil inductive power transfer system
to achieve high efficiency. Using two design examples, it is shown that selection of
optimum configuration is unique to the underlying application. Thus, it is
recommended to utilize the independence between each topology based on the
presented discussion.

References
Bashirullah R, Liu W, Ji Y, Kendir A, Sivaprakasam M, Wang G, Pundi B (2003) A smart
bi-directional telemetry unit for retinal prosthetic device. Proc IEEE ISCAS 5:25–28
Chaimanonart N, Suster MA, Young DJ (2010) Two-channel passive data telemetry with remote
RF powering for high-performance wireless and batteryless strain sensing microsystem appli-
cations. IEEE Sensors J 10:1375–1382
Chin-Lung Yan, Chi-Lin Tsai, Yu-Lin Yang, Chieh-Sen Lee (2011) Enhancement of wireless
power transmission by using novel multitone approaches for wireless recharging. IEEE AWPL
10:1353–1357
Federal Communications Commission. In: Wireless devices and health concerns, http://www.fcc.
gov/guides/wireless-devices-andhealthconcerns
Fu-Jhuan Huang, Chien-Ming Lee, Chia-Lin Chang, Liang-Kai Chen, Tzong-Chee Yo, Ching-
Hsing Luo (2011) Rectenna application of miniaturized implantable antenna design for triple-
band biotelemetry communication. IEEE TAP 59:2646–2653
Galbraith DC, Soma M, White RL (1987) A wide-band efficient inductive transdennal power and
data link with coupling insensitive gain. IEEE TBME 34:265–275
Ghovanloo M, Atluri S (2007) A wide-band power-efficient inductive wireless link for implant-
able microelectronic devices using multiple carriers. IEEE TCAS 54:2211–2221
Gosalia K, Lazzi G, Humayun M (2004) Investigation of a microwave data telemetry link for a
retinal prosthesis. IEEE MTT 52:1925–1933
Harrison RR, Kier RJ, Chestek CA, Gilja V, Nuyujukian P, Ryu S, Greger B, Solzbacher F,
Shenoy KV (2009) Wireless neural recording with single low-power integrated circuit. IEEE
TNSRE 17:322–329
Inanlou F, Ghovanloo M (2011) Wideband near-field data transmission using pulse harmonic
modulation. IEEE TCAS 58:186–195
International Electrotechnical Commission. In: Human exposure to radio frequency fields from
hand-held and body-mounted wireless communication devices, http://webstore.iec.ch/
webstore/webstore.nsf/artnum/033746
Khripkov A, Hong W, Pavlov K (2012) Integrated resonant structure for simultaneous wireless
power transfer and data telemetry. IEEE AWPL 11:1659–1662
Kiourti A, Nikita KS (2012) Miniature scalp-implantable antennas for telemetry in the MICS and
ISM bands: design, safety considerations and link budget analysis. IEEE TAP 60:3568–3575
Kuanfu C, Yang Z, Hoang L, Weiland J, Humayun M, Liu W (2010) An integrated 256-channel
epiretinal prosthesis. IEEE JSCC 45:1946–1956
Mingcui Z, Yuce M, Liu W (2008) A non-coherent DPSK data receiver with interference
cancellation for dual-band transcutaneous telemetries. IEEE JSCC 43:2003–2012
984 A. K. RamRakhyani and G. Lazzi

Mounaim F, Sawan M (2011) Integrated high-voltage inductive power and data-recovery front end
dedicated to implantable devices. IEEE TBioCAS 5:283–291
Pivonka D, Yakovlev A, Poon ASY, Meng T (2012) A mm-sized wirelessly powered and remotely
controlled locomotive implant. IEEE TBCAS 6:523–532
RamRakhyani AK, Lazzi G (2013) On the design of efficient multi-coil telemetry system for
biomedical implants. IEEE TBCAS 7:11–23
Simard G, Sawan M, Massicotte D (2010) High-speed OQPSK and efficient power transfer
through inductive link for biomedical implants. IEEE TBCAS 4:192–200
Singh V, Qusba A, Roy A, Castro RA, McClure K, Dai R, Greenberg RJ, Weiland JD, Humayun
MS, Lazzi G (2009) Specific absorption rate and current densities in the human eye and head
induced by the telemetry link of an epiretinal prosthesis. IEEE TAP 57:3110–3118
Theogarajan LS (2008) A low-power fully implantable 15-channel retinal stimulator chip. IEEE
JSCC 43:2322–2337
Trigui A, Hached S, Mounaim F, Ammari AC, Sawan M (2015) Inductive power transfer system
with self-calibrated primary resonant frequency. IEEE TPE 30:6078–6087
Wang G, Liu W, Sivaprakasam M, Kendir GA (2005) Design and analysis of an adaptive
transcutaneous power telemetry for biomedical implants. IEEE TCAS 52:2109–2117
Wang G, Wang P, Tang Y, Liu W (2012) Analysis of dual band power and data telemetry for
biomedical implants. IEEE TBCAS 6:208–215
Wireless Applications: Inductive Links
for Power and Data Telemetry to Medical 48
Implants

Shirin Pezeshkpour and Mohammad Mahdi Ahmadi

Contents
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 986
Power Transfer via Inductive Link . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 986
Resonance in Inductive Links . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 987
Link Drivers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 990
Power Management . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 995
Data Transfer via Inductive Link . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1000
Forward Data Telemetry . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1000
Backward Data Telemetry . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1008
Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1010
References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1010

Abstract
Inductive power transfer is the most widely used method to supply power to smart
medical implants such as cochlear implants, visual prostheses, deep brain stim-
ulators, and spinal cord stimulators. In addition, inductive links are used as a data
communication channel between the smart medical implants and the external
world.
In this chapter, we first study the concepts and the building blocks of the
inductive links used for power transmission to smart medical implants. Then, we
extent our discussion to wireless data communication between the medical
implants and the external world over the inductive links.

S. Pezeshkpour · M. M. Ahmadi (*)


Department of Biomedical Engineering, Amirkabir University of Technology (Tehran Polytechnic),
Tehran, Iran
e-mail: sh.pezeshkpour@aut.ac.ir; mmahmadi@aut.ac.ir

© Springer Science+Business Media, LLC, part of Springer Nature 2022 985


M. Sawan (ed.), Handbook of Biochips,
https://doi.org/10.1007/978-1-4614-3447-4_73
986 S. Pezeshkpour and M. M. Ahmadi

Introduction

Smart medical implants, such as artificial pacemakers, cochlear implants, and neuro-
muscular and deep brain stimulators, are now widely used to alleviate neurological
disorders or replace sensory modalities lost due to diseases or injuries. This type of
implants are either battery-less, such as cochlear implants, and should continuously
receive power from an external source, or, like deep brain stimulators have a recharge-
able battery that should be regularly charged. Presently, inductive power transfer is the
most widely used method to transfer power to such smart medical implants.
In addition, Inductive links are widely used as a communication channel for data
transmission between the implants and the external world. Data transmission from an
external device to an implant is called forward data telemetry, whereas the data
transmission from an implant to the external world is called backward data telemetry.
Forward data transmission is usually used in the implants that are used to apply
artificially acquired sensory information to the central or peripheral nervous systems.
In this type of implants, a substantial amount of real-time information should be
continuously transmitted from an external sensor to the implant. The rate of data
transmission should be sufficiently high, exceeding 1 Mbps for cochlear implants
(Zeng et al. 2008) and 20 Mbps or more for visual prostheses (Monge et al. 2013).
Inductive links are sometimes used for backward data telemetry. For example, in
cochlear implants, it is required that some information about the status of the implant
and the impedance of the electrodes be periodically transmitted to the external sound
processor. For such data transmission, a fairly small data rate is required. As a result,
the data is transmitted over the same inductive link that is used for power and
forward data transmissions.
In this chapter, we study the fundamentals of inductive links. We first discuss the
inductive links for power transmission. To that end, we describe the concept of
resonance in inductive links for improved power transmission, and then explain
different circuit topologies used for driving inductive links. In addition, we describe
circuits used in implants for ac-to-dc power conversion.
Afterwards, we discuss the fundamentals of data transmission through inductive
links. We first explain amplitude-shift keying (ASK) and frequency-shift keying (FSK)
modulation schemes and illustrate a couple of exemplary circuits used for data modu-
lation and demodulation over inductive links. We also describe the concept of load-shift
keying (LSK) for backward data telemetry and explain a few circuits used for LSK.

Power Transfer via Inductive Link

In its simplest form, an inductive link consists of two coils that are magnetically
coupled: a coil that is connected to an external device and is placed on the skin, and a
coil that is connected to the implant and is placed inside the body and in front of the
external coil. The external coil is sometimes called primary or transmitter coil and
the internal coil is sometimes called the secondary or receiver coil. Fig. 1 shows a
simplified diagram of an inductive link used for power transfer to medical implants.
48 Wireless Applications: Inductive Links for Power and Data Telemetry to. . . 987

External Circuit Skin Implanted Circuit

VDD

Link Driver Power Tuning ac-to-dc Implant


Capacitor Converter Circuit

External Internal
Coil Coil

Fig. 1 Block diagram of an inductive power transfer link

As shown in Fig. 1, in an inductive power transfer link, the external coil is


connected to a link driver which generates an ac current in the external coil. When
the ac current flows in the external coil, a magnetic field is generated in the vicinity
of that coil. Due to magnetic coupling between the external and internal coils, an ac
current flows in the internal coil. This ac current is then rectified, using an ac-to-dc
converter, and is used as dc supply voltage for the implant’s circuitry.
The magnitudes of the ac current in the external coil and the magnetic coupling
between the internal and external coils should be large enough, so that sufficient
amount of power is transferred to the implant. In order to improve the efficiency of
power transmission, each one of the external and internal coils should resonate with
a capacitor at the frequency of the ac current. Depending on the inductance of the
external and internal coils and the amount of power that should be transferred to the
implant, different resonant circuits are used in the implants (Van Schuylenbergh and
Puers 2009).

Resonance in Inductive Links

In inductive power transfer links, capacitors are added in series with or in parallel to
the external and internal coils to generate resonance in the external and internal
circuits and improve the efficiency of power transmission. These capacitors, which
are called resonant capacitors, nullify the impedance of the coils at the operating
frequency ωo, which itself allows more power to be transferred to the desired load.
To illustrate this concept, consider Fig. 2a which is a simplified circuit of the
implant’s circuitry. In this circuitry, Vr(t) is the ac voltage that is induced in the
internal coil. The voltage across the load is equal to

RL
V L ðjωÞ ¼  V r ðjωÞ: ð1Þ
RL þ jωLr

As is seen, as the frequency of operation increases, the amount of the voltage that
is transferred to RL reduces. If we place a capacitor in series with Lr, the voltage
across RL can be expressed by
988 S. Pezeshkpour and M. M. Ahmadi

L L C
+ +
V (t) R V (t) V (t) R V (t)
- -
(a) (b)

Fig. 2 Simplified internal circuit in (a) non-resonance, (b) resonance structures

Z
Z
k k
C
V L L R V L L C R

(a) (b)

Fig. 3 Internal circuit in (a) series, (b) parallel resonance structures

R
V L ðjωÞ ¼  L   V r ðjωÞ: ð2Þ
1
RL þ j ωLr  ωC r

If the value of Cr is chosen such that at ω0 the circuit resonates, i.e., we have
ω0Lr ¼ 1/ω0Cr, the impedance of Cr nullifies the impedance of Lr and the total
amount of the source voltage is placed across RL.
In the internal circuit, Cr can be placed in series with or in parallel to the internal
coil Lr. The combination of Cr and Lr is sometimes called the internal LC tank. We
study here both parallel and series LC tanks to illustrate the advantages of each one.
Due to magnetic coupling between the external and internal coils, the internal
circuit has a loading effect on the external circuit and vice versa. This loading effect
causes that the values of the internal and external resonant capacitors cannot be
simply calculated using the well-known formula (LC ¼ ω02) used for resonance
between an inductor and a capacitor. As a result, it is useful to study the reflected
impedance from the internal circuit to the external circuit. Fig. 3a, b show inductive
links with a parallel and series LC tanks, respectively. In these circuits, Lt and Lr
represent the external and internal coils, respectively. RL models the active power
consumption in the implant.
As is shown in Fig. 4a, two coupled coils with inductance Lt and Lr and with a
coupling coefficient of k can be modeled with an ideal transformer, an uncoupled
leakage inductance LtL, and a magnetizing inductance LtM. LtM models the portion of
the external coil that does participate in coupling (Lee 2003). The value of LtL and
LtM can be calculated by
48 Wireless Applications: Inductive Links for Power and Data Telemetry to. . . 989

Ideal
Transformer
V L − V L
1:n

L L

(a) (b)

V L

(c)

Fig. 4 Equivalent circuits of an inductive power link. (a) modeling coupled coils with an ideal
transformer, (b) equivalent circuit referred to the external circuit, (c) simplified circuit model of
the link

 
LtL ¼ 1  k2 Lt , ð3Þ

and
LtM ¼ k2 Lt : ð4Þ

qffiffiffi
The turn ratio n of the ideal transformer equals 1k Lr
Lt . According to Fig. 3a, b, the
impedance seen by Lr in the internal circuit is denoted by Zr . In order to calculate the
reflected impedance from the internal circuit to the external circuit, we can take
advantage of the ideal transformer model and reflect Zr to the external circuit by
dividing it by n2 (Fig. 4b).
In the series-resonant internal circuit, by considering Fig. 3a, the value of Zr can
be calculated using
Z r ¼ ðCr jωÞ1 þ RL , ð5Þ

and in the equivalent circuit of Fig. 4b, Zr/n2 appears in parallel with LtM. This
parallel combination is denoted by Zt. If we choose the value of Cr using

1
Cr ¼ , ð6Þ
Lr ω2o

Zt is obtained by
00 11 11
1
ðCr jωo Þ þ RL A
Z t ¼ @@   þ ðLtM jωo Þ1 A : ð7Þ
k2 LLrt
990 S. Pezeshkpour and M. M. Ahmadi

Substituting LtM from (4) and Cr from (6), we can simplify (7) to

k2 Lt Lr ω2o
Z t ¼ k2 Lt jωo þ : ð8Þ
RL
Finally, by considering Fig. 4c and (8), the values of Leq and Req for the series-
resonant internal circuit can be expressed with the following equations

Leqs ¼ Lt , ð9Þ

k2 Lt Lr ω2o
Reqs ¼ : ð10Þ
RL
The reflected impedance from the parallel-resonant internal circuit to the external
circuit can also be derived using the same procedures. If (6) is valid for the parallel-
resonant internal circuit, Leq and Req can be calculated using
 
Leqp ¼ Lt 1  k2 , ð11Þ

and

k2 Lt RL
Reqp ¼ : ð12Þ
Lr
Selecting an appropriate resonant structure for the internal circuit is crucial to
achieving high power transfer efficiencies. The power transfer efficiency of the link
can be obtained by modeling the internal circuit as an equivalent load for the external
circuit. Considering the principle of power sharing between the reflected resistance
from the implant and the source resistance of the power transmitter, we can conclude
that if the reflected resistance from the implant is larger, more power is dissipated in
the reflected resistance, which means more power is transferred to the implant. As
both of internal and external circuits are designed to resonate at ωo, the appropriate
resonance topology is the one that results in a larger reflected resistance to the
external circuit.
If RL > Lsωo, according to (10) and (12), Req  P would be larger than Req  S. As
a result, the parallel-resonant structure is more appropriate for the internal circuit and
in this case a larger portion of the supply power is transferred to the internal circuit.
On the other hand, if RL < Lsωo, internal circuit with series-resonant structure can
achieve a larger power transfer efficiency.

Link Drivers

In order to generate an ac current in the external coil, we need a dc-to-ac power


converter which obtains energy from a dc voltage source and generates an ac current in
48 Wireless Applications: Inductive Links for Power and Data Telemetry to. . . 991

the external coil. Large ac voltages should be placed across the external coil in order to
generate large enough magnetic fields in the internal coil. Often, a power amplifier
(PA) is used to drive the external coil; if the external coil is directly connected to the
PA, the PA should be supplied with a large dc supply voltage, and as such, the
transistors of the PA should be able to tolerate large voltages. As a result, the concept
of resonance is also used in the external circuit to generate large ac voltages across the
external coil, without requiring a large dc supply voltage for the PA.
Switching-mode PAs, e.g., Class-D and Class-E amplifiers, achieve significantly
larger power conversion efficiencies compared with their linear counterparts, such as
Class-A, -B or -C amplifiers. In switching-mode PAs, the transistor act as a switch. In
the first half-cycle, the transistor is completely OFF and no current flows through it. In
the next half-cycle, transistor is completely ON and the voltage across it is ideally zero.
Therefore, ideally no power is dissipated in the transistor and the total power obtained
from the supply voltage is delivered to the load, resulting in an efficiency of 100%. In
the following sections, we describe these switching-mode PAs.

Class-D Power Transmitter


Class-D power amplifier was invented by Baxandall in 1959. This PA has been
extensively used in various applications such as audio amplifiers, radio transmitters,
dc-to-dc converters, electronic ballasts, LED drivers, induction heating appliances,
and wireless power transmission systems (Kazimierczuk 2015).
A Class-D power transmitter, in its simplest form, consists of a PFET and a NFET
connected similar to an inverter and driving a series resonant load network. It is
possible to use four transistors, arranged in a full-bridge structure, driving the same
series resonant circuit. The basic schematic of a Class-D power transmitter driving
an inductive link is shown in Fig. 5. Transistors Mn and Mp act as switches that are
periodically connecting node D to VDD and ground. One of the advantages of the
Class-D power transmitter is that the peak voltage across each transistor equals to the
supply voltage. This makes these amplifiers suitable for high-voltage applications.
The load network, i.e., the series resonant branch, is a band-pass filter and if
the loaded quality factor QL of this branch is sufficiently high, the current through
the resonant branch would be near sinusoidal. Considering the loading effect of the

V
Inductive
M link
C
V D k
I Implanted
M L L
Circuit

Fig. 5 Class-D power transmitter


992 S. Pezeshkpour and M. M. Ahmadi

internal circuit on the Class-D power transmitter, QL equals ωoLeq/Req. C1 in Fig. 5 is


chosen to have resonance in the external circuit at ωo. In such case, the soft switching
condition, i.e., the zero-current switching (ZCS) is satisfied. The normalized drain
and gate voltages of Mn and Mp and the normalized current of Lt are shown in
Fig. 6a–c.
In a Class-D PA, if both transistors are ON at the same time, significant current
can flow from VDD to ground, causing significant power loss in the transistors and
possibly damaging them. To avoid this, there must be a short time gap between the
turn-off time of one transistor and the turn-on time of the other.
The parasitic capacitors of the transistors cause additional switching losses. Let us
show the total parasitic capacitance at node D with CD (Fig. 7). When Mp is ON and
Mn is OFF, CD is charged to VDD. When Mp turns off and Mn turns on, all the charge
stored on CD is discharged to ground through Mn.
Fig. 7 illustrates a zero-voltage switching (ZVS) Class-D power transmitter. In
this circuit CZVS  LZVS branch is added between node D and ground. The ZVS tank

Fig. 6 (a) The drain and (b) the gate voltage of Mn and Mp (normalized to VDD), (c) the current of Lt
(normalized to average of IDD) of a Class-D Power transmitter
48 Wireless Applications: Inductive Links for Power and Data Telemetry to. . . 993

V
Inductive
M ZVS link
D C
V Tank k
L L Implanted
M C L
C Circuit

Fig. 7 ZVS Class-D power transmitter

V
Inductive
L
C link
V
k
I I
V
M C L L Implanted
VS Circuit

Fig. 8 Class-E power transmitter

functions to smoothly transition the drain voltage, and as a result, eliminates the
power losses of a traditional Class-D power transmitter.

Class-E Power Transmitter


Class-E PA was introduced by N. O. Sokal (the father) and A. D. Sokal (the son) in
1975. This PA is widely used in many applications, such as radio transmitters, dc-to-
ac inverters, dc-to-dc converters, electronic ballasts, induction heating, and wireless
powering applications (Kazimierczuk 2015).
The basic schematic of a Class-E power transmitter, used for driving an inductive
link, is shown in Fig. 8. This circuit consists of transistor M1, RF choke LRFC and the
series resonant branch Cp  Ct  Lt. Lt and Lr represent the external and internal
coils, respectively. M1 acts as a switch and is periodically turned on and off by an
external clock signal. LRFC has a large inductance in the operating frequency and
behaves like a dc current source. The load network is a band-pass filter which filters
out the second and higher-order harmonics of the drain signal; thus a near sinusoidal
current flows through the external coil.
994 S. Pezeshkpour and M. M. Ahmadi

Fig. 9 (a) The drain and (b) the gate voltage (normalized to VDD), (c) the drain current, and (d) the
current of Lt (normalized to IDD) of a nominally-tuned Class-E power transmitter

A Class-E power transmitter can be nearly 100% efficient if it is nominally tuned.


Fig. 9 shows the gate voltage (VG), drain voltage (VD), drain current (ID) of the
transistor, and the current of Lt (ILt) for a Class-E PA that is nominally tuned. As is
clear in this figure, VD drops to zero before the transistor turns on. For such circuit, it
is said that the circuit satisfies ZVS condition. The reason for ZVS condition is to
guarantee that the charge stored on Cp is completely sunk by the load network before
being discharged to ground by M1. Another important condition that a tuned Class-E
PA should satisfy is zero-voltage-derivative switching (ZVDS), which means that
the slope of VD when M1 turns on should also be zero. The reason for ZVDS is to
minimize the sensitivity of the circuit to the jitter of the clock pulse and the rise-time
of VG.
Here, an advantage of a Class-E PA over a Class-D one becomes evident. In a
Class-E circuit, the parasitic capacitances in the drain of the transistor are absorbed in
Cp, and the charge stored on Cp is sunk to the load network before being discharged
to ground by the transistor; whereas, in a Class-D circuit, the charge stored on CD is
discharged to ground, causing power loss in the transistor.
48 Wireless Applications: Inductive Links for Power and Data Telemetry to. . . 995

In order to tune a Class-E PA, the values of its components should be chosen
properly. In a Class-E link driver, the first step to tune the circuit is to calculate the
reflected load from the internal circuit to the external circuit. Then, the loaded quality
factor QL of the external resonant circuit can be calculated using

ωo Leq
QL ¼ , ð13Þ
Req

where Leq is total inductance and Req is the total resistance in the series resonant
branch. Leff includes the inductance of the external coil and the reflected inductance
from the internal circuit to the external one. Req includes the reflected resistance from
the internal circuit to the external one, and also the total series parasitic resistance in
the series resonant branch. Generally, the size and dimensions of the external and
internal coils are selected based on the target application. Then, the values of Cp and
Ct can be calculated using (Kazimierczuk 2015)

8 1
Cp ¼ , ð14Þ
πðπ2 þ 4Þ ωo Req

and  1
1 πð π2  4Þ
Ct ¼ QL  : ð15Þ
ωo Req 16

Power Management

Almost all electronic circuits, including those used in smart medical implants,
require a dc power supply to function. However, the electrical energy picked up
by the internal coil is in the form of an ac signal. Therefore, an ac-to-dc converter is
utilized in the internal circuit to rectify the induced voltage on the internal coil and
generate a clean and stable dc supply voltage for the implant. In the following
sections, we study the fundamentals of the voltage rectifiers and regulators used in
smart medical implants.

Voltage Rectifier
A voltage rectifier is a circuit that converts an ac signal to a dc one. A simple voltage
rectifier is shown in Fig. 10a. When Vin is positive, D1 is ON and Crec, which is called
smoothing capacitor, is charged up by Vin. When Vin is smaller than Vrec, D1 is OFF
and RL is supplied by the charge stored on Crec. Assuming a large value for Crec, Vrec
reaches Vp  VD(ON), where Vp is the amplitude of Vin and VD(ON) is the forward
voltage drop of D1. In this circuit, D1 must have a reverse breakdown voltage larger
than 2  Vp. The circuit shown in Fig. 10a is a half-wave rectifier because only the
positive cycles of Vin is rectified.
As shown in Fig. 10b, in a half-wave rectifier, Crec is charged up by the input
signal for a small percentage of the signal period and supplies RL for almost the
entire period. This causes large ripples on Vrec.
996 S. Pezeshkpour and M. M. Ahmadi

D V
V V

V C R

(a) (b)

Fig. 10 (a) Half-wave rectifier circuit, (b) input and output waveforms

D D V V
V V
D
D C R

(a) (b)

Fig. 11 (a) Full-wave rectifier circuit, (b) input and output waveforms

To minimize the amplitude of the ripple voltage, one can use a full-wave rectifier,
as shown in Fig. 11a. In this circuit, during the positive peaks of Vin, D1 and D2 are
ON and Crec is charged up, whereas, during the negative peaks, D3 and D4 are ON
and Crec is charged up again. Therefore Crec is charged up twice in one period.
Assuming a large value for Crec, Vrec reaches Vp  2VD(ON). Here a disadvantage of a
full-wave rectifier over a half-wave one becomes evident. The voltage drop across a
full-wave rectifier is twice of that of a half-wave rectifier. However, an advantage of
a full-wave rectifier over the half-wave one is that the diodes in a full-wave rectifier
should have a reverse break-down voltage of Vp which is almost half of that of a half-
wave rectifier.
For the voltage rectifiers used in smart medical implants, the voltage drop across
the rectifier is of utmost importance. If the voltage drop on the rectifier becomes
comparable with Vrec, the power conversion efficiency of the rectifier degrades
considerably. Assuming Crec is so large that the ripple on Vrec is negligible, the
efficiency of the half-wave and full-wave rectifiers can be calculated using

V rec
η¼  100, ð16Þ
V rec þ V drop
48 Wireless Applications: Inductive Links for Power and Data Telemetry to. . . 997

M M C R

M M

Fig. 12 Full-wave rectifier

where Vdrop represents the voltage drop across the voltage rectifier (Van
Schuylenbergh and Puers 2009). Vdrop equals to VD(ON) and 2VD(ON) for half-wave
and full-wave rectifiers, respectively. As an example, assume that we aim to have
Vrec ¼ 2V and also VD(ON) equals 0.7V. For such case, the efficiency of the half-wave
and full-wave rectifiers, using (16), would be equal to 74% and 59%, respectively.
Even though, the full-wave rectifier is advantageous in terms of ripple voltage and
reverse breakdown voltage of the diodes, it suffers from a considerably lower power
conversion efficiency.
To minimize the voltage drop across a full-wave rectifier, one can use a full-wave
rectifier shown in Fig. 12 (Ahmadi and Jullien 2009). In this circuit, instead of using
four diodes, two diode-connected PFETs and two NFET switches are used. During
the positive peaks of the input signal, Mn2 and Mp1 are ON, charging up Crec. During
the negative peaks, Mn1 and Mp2 are ON and charge up Crec. Since the voltage drop
across a switch can be considerably smaller than that of a diode, the full-wave
rectifier shown in Fig. 12 can have a voltage drop that is considerably lower than that
of the conventional full-wave rectifier shown in Fig. 11a. The voltage drop of the
circuit of Fig. 12 can be as low as 0.6V (Ahmadi and Jullien 2009).
To minimize the voltage drop across a full-wave rectifier more, one can use an
active full-wave voltage rectifier. In an active full-wave rectifier, the diode-connected
transistors of Mp1 and Mp2 shown in Fig. 12 are replaced with the active diodes shown
in Fig. 13. In the circuit shown in Fig. 13, when V+ is larger than V , the output of the
comparator CMP would be ON, turning off the transistor MP . On the other hand, when
V+ is lower than V , the output of the comparator goes low and turns on MP . This
operation results in a diode which ideally has a zero forward voltage drop.
Fig. 14 shows a schematic of a full-wave active rectifier (Cha and Je 2014). This
circuit consists of two cross-coupled NFETs and two active diodes. During the positive
peaks of Vin, in which Vin is larger than Vrec, the output of CMP1 is low, turning on
Mp1. In this time, Mn2 is also ON; therefore, Vin charges up Crec. Both Mp1 and Mn2
998 S. Pezeshkpour and M. M. Ahmadi

CMP M

Fig. 13 Active diode circuit

Active Diode Active Diode


V

M CMP CMP M C R

M M

Fig. 14 Schematic of an active full-wave rectifier

behave like switch, as such, the voltage drop across them are quite low. Similarly, in
the negative peaks, Mp2 and Mn1 are ON and pass the current. The voltage drop across
full-wave active rectifier can be as low as 0.3V (Cheng et al. 2016).

Voltage Regulator
The output voltage of a voltage rectifier has large ripples. The amplitude of these
ripples can be substantially reduced by increasing the value of the smoothing capac-
itor. However, in inductive links, the coupling coefficient between the internal and
external coils can considerably change due to coil spacing variation and coil mis-
alignment. Consequently, the amplitude of the induced ac voltage in the internal coil
can change substantially, which itself causes a large variation on the rectified voltage.
In order to have a clean and well-defined dc supply voltage for the implant’s circuitry, a
voltage regulator is required. To minimize the power loss in the voltage regulator,
often a low dropout (LDO) voltage regulator is employed in the implant’s circuity.
48 Wireless Applications: Inductive Links for Power and Data Telemetry to. . . 999

V V

V V

A M A M
V V

R C R

R R

(a) (b)
Fig. 15 Series voltage regulators with (a) PFET pass device and (b) NFET pass device

Linear voltage regulators are classified into shunt and series regulators. In shunt
regulators, the regulating device, usually a Zener diode or a similar circuit, is
connected in parallel with the load; however, in a series regulator, the regulating
device is in series with the load. An implant with a shunt regulator consumes more
power to drive the same load compared to that with a series regulator. As a result,
series regulators are more generally used in smart medical implants.
Series regulators are realized in the two general configurations shown in Fig. 15.
Fig. 15a shows the basic schematic of an LDO regulator realized with a PFET pass
device. This regulator consists of an error amplifier Aerr, a pass transistor Mp, and a
resistive divider consisting of R1 and R2. A portion of the output voltage VDD is
sensed the R1R2 resistive divider and is compared with a reference voltage Vref
using Aerr. The output of Aerr controls the gate of Mp. The feedback loop adjusts the
voltage drop across Mp to ensures that VDD is close to the desired value, which is
equal to Vref(1 + R2/R1).
The output impedance of the regulator shown in Fig. 15a increases with
frequency due to the roll-off in the frequency response of its feedback loop.
Therefore, a capacitor CDD is required to enforce a low output impedance at high
frequencies. The feedback loop has at least two low-frequency poles: one is the
dominant pole of error amplifier and another one located at the output of the
regulator. If we increase the value of CDD to improve the power supply rejection
of the regulator at high frequencies, the output pole moves to lower frequencies,
degrading the stability of the regulator. Therefore, this circuit suffers from a trade-
off between the stability of the feedback loop and the power supply rejection at
high frequencies.
Fig. 15b shows the second configuration, in which, pass transistor is realized with
an NFET device (Mn). Because Mn is used in a source follower configuration, instead
of a common source one, this circuit is generally more stable than the one shown in
Fig. 15a. In addition, due to the inherently low-ohmic output impedance of the
source follower structure, this circuit does not necessarily require a large output
1000 S. Pezeshkpour and M. M. Ahmadi

capacitor. But even in this configuration, usually a capacitor is added to VDD to


achieve larger power supply rejections at high frequencies.
A disadvantage of this configuration is that the output voltage is at least one VGS
below the input supply voltage Vrec. This causes the dropout voltage of the regulator
to increase. To alleviate this issue, usually a native NFET is used to realize Mn.
Native NFETs exist in any CMOS process and have a threshold voltage close to zero.

Data Transfer via Inductive Link

The same pair of coils that is used for power transmission to the implant can be used
as a communication channel between the implant and the external world. Data
telemetry can be performed in the same direction that power is transmitted, i.e.,
from the external circuit to the implant. This is known as forward or downlink data
telemetry. Data communication can also be performed in the opposite direction,
which is referred to as backward or uplink data telemetry.
Fig. 16 shows a simple block diagram of an inductive link which is used for both
power and data transmission. The differences between this figure and Fig. 1 are that
downlink data modulation is implemented in the power transmitter and therefore,
clock and data recovery circuits are used in the implant to extract the clock and data
from the received signal on the internal coil. Also, uplink data modulator is utilized
to transmit data from the implant, and in the external circuit, uplink data demodulator
detects the transmitted information.

Forward Data Telemetry

Forward data telemetry is usually used in multichannel neural stimulating implants,


such as cochlear implants, deep brain stimulators, spinal cord stimulators, and visual
prostheses. The stimulation data, such as amplitude, frequency, and width of the
stimulation pulses as well as the address of the stimulating electrodes, should be
continuously transmitted to the implant. Data transmission rate can be as large as
1 Mb/s for cochlear implants (Zeng et al. 2008) and 20 Mb/s or more for visual
prostheses (Monge et al. 2013).

Implanted Circuit
External Circuit Skin

Forward Data Telemetry


(Downlink) Power Management
Power and
Data transmitter
Power Tuning Clock and
Capacitor Downlink Data Recovery
Uplink Data Recovery
Backward Data Telemetry
(Uplink) Uplink Data Modulator

External Internal
Coil Coil

Fig. 16 Block diagram of the wireless power and data transmission via inductive link
48 Wireless Applications: Inductive Links for Power and Data Telemetry to. . . 1001

The rate of data transmission can be increased by increasing the carrier frequency.
However, the same carrier frequency that is used for power transmission is usually
used for data transmission. The carrier frequency for power transmission to medical
implants is limited to few tens of MHz due to coupled coils self-resonance frequency,
excessive power absorption and heat generation in the human tissue at high frequen-
cies (Ghovanloo and Najafi 2004). As a result, transmitting and receiving each data
bit with a lowest number of carrier cycles, i.e., maximizing data-rate-to-carrier-
frequency (DRCF), has been a prominent goal in designing modulator and demod-
ulator circuits. Among the different digital modulation techniques, ASK, ON-OFF
keying (OOK) and FSK are more commonly used to implement forward data
telemetry in inductively-powered medical implants.

ASK Modulation
In ASK modulation, as illustrated in Fig. 17, the amplitude of the carrier signal
changes according to the bit that should be transmitted. In order to implement ASK
modulation in forward data telemetry, the amplitude of the ac current in the external
coil should change according to the data bit that should be transmitted to the implant.
The ASK modulation and demodulation circuits are fairly simple to implement.
In addition, in ASK modulation, the frequency of the carrier signal is fixed. This
allows the link designer to tune the resonance frequency of the external and internal
circuits to the power carrier frequency, which itself maximizes the power transfer
efficiency of the link. As a result, ASK is the most common modulation scheme used
for forward data telemetry.
In order to detect data with the minimum number of errors by the data demod-
ulator, there should be enough difference between the two amplitude levels associ-
ated with the data bits. Modulation index (MI) measures this distinction and in an
ASK-modulated system MI is expressed by

V L1  V L0
MI ¼  100%, ð17Þ
V L1 þ V L0

DATA

ASK V V

OOK

Fig. 17 Data transmission using amplitude modulation methods


1002 S. Pezeshkpour and M. M. Ahmadi

where, VL1 and VL0 are the high and low amplitudes of the voltage across the internal
coil, respectively. In order to improve the robustness of the ASK-modulated system
against noise and interfering signals, MI can be increased even to 100%. This means
that the power carrier signal is stopped for high or low data bits. ASK modulation
with 100% MI is called OOK or suspended carrier modulation (Ahmadi and
Sarbandi-Farahani 2020).

ASK Data Modulation


Because the Class-E is the most common link driver topology, here we explain the
implementation of ASK modulation in a Class-E link driver. Implementing ASK
modulation on a Class-E link driver can be achieved by either (a) modulating the
supply voltage of the link driver, (b) modulating the frequency of the input clock
pulse of the link driver while keeping its load network intact, and (c) modulating the
load network of the link driver.
In both Class-D and Class-E link drivers, the amplitude of the ac current in the
external coil is proportional to the supply voltage of the link driver. Therefore, one
can simply implement ASK modulation by changing the supply voltage of the link
driver according to the input data bit. This is perhaps the most common ASK
modulation scheme (Kazimierczuk 1984; Lotfi Navaii et al. 2018). An example of
such ASK modulator circuit is shown in Fig. 18. In this circuit, the supply voltage of
the Class-E driver, i.e., VDD, is realized by a Darlington pair consisting of Q1 and Q2.
When DATA is low, M2 is OFF and VDD would almost be equal to VCC  VBE1  VBE2.
When DATA is high, M2 is ON and VDD is given by

R2
V DD ¼ V  V BE1  V BE2 : ð18Þ
R1 þ R2 CC

R
Q
R
Q
DATA M
V

Modulator L C
k
V Implanted
M C L L
Circuit
VS

Fig. 18 Conventional circuit for implementing ASK modulation in a Class-E power transmitter
48 Wireless Applications: Inductive Links for Power and Data Telemetry to. . . 1003

The modulation index is adjusted by properly choosing the values of R1 and R2. A
major problem with this circuit is that in both modulation states, considerable power
is wasted in the Darlington pair (Lotfi Navaii et al. 2018).
Another approach for implementing ASK modulation in a Class-D or Class-E
link drivers is to change the clock frequency of the input pulse according to the input
data bit. Since the load network of the driver is a resonant circuit tuned to the power
carrier frequency, if the frequency of the signal going to the resonant circuit changes
from the resonance frequency, the amplitude of the ac current in the external coil
changes. In this approach, both the frequency and amplitude of the power carrier
signal change according to the data bit, but the percent change in the amplitude is
larger than the percent change in the frequency. In this approach, the modulation
index is sensitive to the quality factor of the load network.
Another approach for ASK modulation in a Class-E driver is to keep the supply
voltage and clock frequency intact, but change the load network of the link driver. A
modulator based on this approach is depicted in Fig. 19 (Lotfi Navaii et al. 2018).
The idea behind this circuit is to insert a resistor in the load network and change the
other components of the load network, i.e., Cp, Ct and Lt to keep the transmitter
nominally tuned in both of its modulation states. In Fig. 19, when DATA is high, Cp2
is placed in parallel to Cp1 and the Class-E transmitter is nominally tuned and in its
typical configuration. In this state, the series branch consisting of Ct2  Lt2  Rm is
open and ideally has no effect on the Class-E transmitter. On the other hand, when
DATA is low, Cp2 is disconnected from the circuit, but M3 shorts the lower terminal

L
C
k
V L Implanted
M C C L
Circuit
VS
k

M C
Modulator
R
DATA
M

Fig. 19 Schematic of an ASK-modulated Class-E power transmitter, in which the load network of
the transmitter is switched between two circuits that in both, the transmitter is nominally tuned
(Lotfi Navaii et al. 2018)
1004 S. Pezeshkpour and M. M. Ahmadi

k
V Implanted
M C L L Circuit

C C
DATA Modulator
M

Fig. 20 Schematic of a recently proposed ASK modulated Class-E power transmitter by modu-
lating the load network angle (Ahmadi et al. 2022)

of Rm to ground. The values of Ct2 and Lt2 are adjusted to keep the Class-E
transmitter tuned when DATA is low as well.
The problem of this circuit is that, when DATA is high, a portion of the drawn
power from VDD is dissipated in Rm. In addition, the implementation of this circuit is
complex and requires an additional coil, which adds to the size and complexity of the
transmitter circuit.
A better approach is to change the resonance frequency of the load network of the
link driver, or in other words, make the load network a little mistune. A circuit to
implement this approach is shown in Fig. 20 (Ahmadi et al. 2022). It is known that
the efficiency of a Class-E power transmitter is high in a range of load network
angles. Load network angle ψ is the phase of the series resonant branch of the load
network of a Class-E power transmitter, consisting of Ct  Leq  Req, which can be
calculated using

!
Leq ωo  ðCt ωo Þ1
ψ ¼ tan1 : ð19Þ
Req

 
When ψ is in the range of 40 to 65 , the efficiency of a Class-E PA is close to its
maximum. (Ahmadi et al. 2022) has shown that in this high efficiency region, the
output power of the Class-E transmitter is proportional to exp(tan(ψ)) and presented
an ASK-modulated Class-E data and power transmitter that has high efficiency in both
of its data modulation states. Therefore, the proposed circuit outperforms all of the
previously reported circuits in terms of power transfer efficiency.
In the circuit shown in Fig. 20, the load angles in both modulation states are
selected in the specified high efficiency region. When DATA is low, the Class-E
48 Wireless Applications: Inductive Links for Power and Data Telemetry to. . . 1005

transmitter is nominally tuned, and the values of Cp and Ct are calculated using (14)
and (15). Finally, the value of the modulation capacitor Cm is obtained using
0   1
1MI
2 ln 1þMI
Cm ¼ Ct  @   A: ð20Þ
1 1MI
Ct ωo Req þ 2 ln 1þMI

ASK Clock and Data Recovery


The clock and data, transmitted to the implant, should be recovered using clock and
data recovery circuits. ASK clock recovery can simply be performed using the
circuit shown is Fig. 21. In this circuit, when Vr1 is positive compared with Vr2,
Mn1 and Mp2 are ON, connecting o1 to ground and o2 to VDD. In this state, Mn2 and
Mp1 are OFF and CLK1 is low. On the other hand, when Vr2 is positive compared
with Vr1, Mn2 and Mp1 are ON, connecting o2 to ground and o1 to the supply. In this
state, CLK1 is high. CLK1 is a clock pulse with the same frequency as the power
carrier frequency, but the duty cycle of this clock pulse is not usually 50%. To get a
clock pulse with a 50% duty cycle, one can easily use a digital frequency divider, as
shown in Fig. 21, to get CLK2 which is a clock pulse with 50% duty cycle.
A conventional ASK data demodulator circuit is shown in Fig. 22. The demod-
ulator consists of an envelope detector, two low-pass filters (LPF) with different
cut-off frequencies and a comparator CMP. The envelope detector extracts the
envelope of the signal; then, this voltage is fed to LFPs. The LPF which has a larger
time constant extract the average of the envelope signal. On the contrary, the other
LPF only smoothens the envelope signal. CMP compares the outputs of the LPFs
and detects the data bit.

FSK Modulation
As shown in Fig. 23, in FSK modulation, the frequency of the carrier signal changes
between two values, i.e., fH and fL, according to the data bit. Compared with ASK,

M M D Q CLK
D
V o o CLK
¯

Q

L
M M
V

Fig. 21 Schematic of the ASK clock recovery


1006 S. Pezeshkpour and M. M. Ahmadi

Envelope Detector
Lowpass Filter
V D V

R V
C R C R DATAout
CMP

R
Lowpass Filter C R

Fig. 22 Schematic of a conventional ASK demodulator

DATA

FSK

f f

Fig. 23 Data transmission using FSK modulation

FSK has higher immunity against noise, interferences and coil misalignments.
A disadvantage of an FSK-modulated inductive link over an ASK one is that, in
an FSK-modulated link, the resonance frequency of the internal LC tank is neither
fully tuned at fH nor at fL. As a result, the voltage gain of the link and the power
picked up by the internal coil in an FSK-modulated link, are smaller than those of its
ASK counterpart (Ahmadi and Ghandi 2018). In other words, FSK links achieves
higher data rates and more immunity to noise, interferences and, motion artifacts at
the cost of lower power transfer efficiencies and higher circuit complexity.
48 Wireless Applications: Inductive Links for Power and Data Telemetry to. . . 1007

L
k
f
MUX V Implanted
M L L
C C Circuit
f

C C

DATA M
M

Fig. 24 Schematic of a proposed FSK modulated Class-E power transmitter in (Ahmadi and
Ghandi 2018)

FSK Data Modulation


In order to implement FSK modulation on a Class-E power transmitter, the fre-
quency of the input clock pulse to the power transmitter should change according to
the input data bit. FSK modulation can result in a larger DRCF ratio, if one carrier
frequency is selected twice the other one. Also if one carrier frequency is double the
other one, regenerating the clock signal in the implant from the received voltage at
the internal coil is fairly straightforward. This type of FSK modulation, in which one
carrier frequency is double the other one, is known as the wideband FSK modulation
(Ghovanloo and Najafi 2004).
In (Ahmadi and Ghandi 2018), a wideband FSK modulated Class-E power
transmitter is presented. The simplified schematic of that circuit is shown in
Fig. 24. In this circuit, according to the input data bit, the load network and the
input clock frequency are changed in order to keep the power transmitter nominally
tuned at both modulation states. Thus, the circuit achieves both high power transfer
efficiency and large DRCF ratio.

FSK Clock and Data Recovery


Fig. 25a illustrates an exemplary FSK data and clock detector circuit used for
wideband FSK-modulated links and Fig. 25b shows the working principle of that.
This circuit works based on the clock sampling concept (Dong et al. 2006; Jung et al.
2007). As shown in Fig. 25b, using a clock regenerator, the voltage across the
internal coil Vr is converted to a digital signal CLKin. CLKin corresponds to a digital
FSK- encoded binary data stream.
For data recovery, CLKin is delayed by a specific time TD. TD should be chosen to
be the average of 0.5/fL and 0.5/fH to have minimal sensitivity to circuit
1008 S. Pezeshkpour and M. M. Ahmadi

CLK
D Q DATA
+ CLK DFF
Clock DATA
L V T ¯
Q
Regenerator
- Delay

CLK
D Q
DFF
¯
Q
Multiplexer
to
Frequency Divider
(a)

CLK
T

CLK

DATA

CLK

(b)

Fig. 25 (a) Block diagram of FSK data and clock detectors, (b) conceptual waveforms of the detectors

imperfections. The output of the delay unit, named CLKd, samples CLKin using a
rising-edge triggered D-flipflop DFF1. DFF1 recovers the received data bits.
For clock recovery, as shown in Fig. 25a, the frequency of CLKd is divided by two
using a D-flipflop DFF2. Using a multiplexer, when DATAout is high, CLKd is
outputted as the recovered clock CLKout, and when DATAout is low, the output of
DFF2 which is the divided-by-2 version of CLKd is outputted as CLKout.

Backward Data Telemetry

In many applications, it is required that data be transferred from the medical implant
to an external device located on the body. For example, in implants used for
48 Wireless Applications: Inductive Links for Power and Data Telemetry to. . . 1009

recording neural activities, recorded data should be wirelessly transmitted to an


external device. Also, in the implants used for neural stimulations, it is useful to
periodically check the status of the implant and the impedance of the stimulation
sites and send a status report to the external device. In such cases, a backward
communication channel should exist between the implant and the external device.
If the required data rate for the backward channel is high, e.g., in neural recording
implants, an antenna is attached to the implant and a high frequency communication
channel is used to transmit data from the implant to the external device. If the
required data rate is low, the same inductive link that is used for power transfer
and forward data telemetry is used to transmit data back to the external device using
a modulation scheme which is called LSK, or backscatter modulation.
LSK modulation is a passive modulation technique that has been widely used in
many applications, such as radio frequency identification (RFID) systems. In this
method, the digital data is transmitted back to the external device by modulating the
loading that the external device sees from the implant. This is achieved by changing
the power consumption of the implant according to the data bit that should be
transmitted back to the external device. Due to the coupling between the external
and internal coils, the ac current in the external coil changes accordingly, and by
detecting these changes, one can detect the data transmitted by the implant.
Modulating the impedance of the internal circuit can be performed by either
(a) switching a load resistor connected across the internal coil, (b) switching the
resonant capacitance, and (c) switching the inductance of the internal coil between
two values. Switching coils is not suitable for medical implants, because coils are
usually bulky and switching the internal coil requires adding an extra coil to the
implant. As a result, switching a load resistance or the resonant capacitor is more
practical (Trigui et al. 2019).
Fig. 26a illustrates the concept of LSK modulation using resistor switching. As is
shown in this figure, the data generated in the implant switches in and out a resistor

k
R
Implant’s
V L L C
S Circuitry

DATA
(a)

k
C
Implant’s
V L L C
S Circuitry

DATA
(b)

Fig. 26 Implementing LSK modulation. (a) switching resistor, (b) switching capacitor
1010 S. Pezeshkpour and M. M. Ahmadi

connected in parallel with the internal LC tank. In this approach, the resonance
frequency of the internal LC tank remains constant, but the power consumed in the
implant changes. As a result, the reflected resistance to the external circuit is
modulated.
Fig. 26b shows the concept of LSK modulation using resonant capacitance
switching. In this approach the resonance frequency of the internal LC tank is
modulated and causes that the internal LC tank becomes untuned in one state of
the data. As a result, less power is absorbed by the implant and the loading of the
implant over the external circuit reduces.
Since, in LSK modulation, the loading of the implant on the external circuit
changes, the amplitude of the ac current in the external coil changes. In other words,
load switching in the implant causes small amplitude modulation in the ac current of
Lt, which can be detected using a sensitive ASK demodulator. LSK modulation is
recommended when there is a sufficient coupling between the primary and second-
ary coils. Otherwise, the backward data telemetry fails as the changes in the current
of Lt is too small to be detectable in the presence of noise and interference (Pérez-
Nicoli et al. 2021).

Conclusion

In this chapter, the fundamentals of power and data transmission through inductive
links were discussed. First, the concept and importance of resonance in the external
and internal circuits were studied. Then, the Class-D and Class-E power transmitters,
as the main circuit topologies, used for dc-to-ac conversion in the inductive links
were described. Following that, the main building blocks used for ac-to-dc conver-
sion in implants, including voltage rectifiers and voltage regulators, were discussed.
Inductive links are also useful as a communication channel between the implant
and the external world. To discuss that, at first, ASK and FSK modulations that are
the main modulation schemes used for forward data telemetry were discussed. In
addition, a few exemplary ASK and FSK data modulators and demodulators were
illustrated. Finally, the concept and the methods of LSK modulation for backward
data telemetry were discussed.

References
Ahmadi MM, Ghandi S (2018) A class-E power amplifier with wideband FSK modulation for
inductive power and data transmission to medical implants. IEEE Sensors J 18:7242–7252.
https://doi.org/10.1109/JSEN.2018.2851605
Ahmadi MM, Jullien GA (2009) A wireless-implantable microsystem for continuous blood glucose
monitoring. IEEE Trans Biomed Circuits Syst 3:169–180. https://doi.org/10.1109/TBCAS.
2009.2016844
Ahmadi MM, Sarbandi-Farahani M (2020) A class-E power and data transmitter with on–off keying
data modulation for wireless power and data transmission to medical implants. Circuits Syst
Signal Process 39:4174–4186. https://doi.org/10.1007/s00034-020-01362-5
48 Wireless Applications: Inductive Links for Power and Data Telemetry to. . . 1011

Ahmadi MM, Pezeshkpour S, Kabirkhoo Z (2022) A high-efficiency ASK-modulated class-E


power and data transmitter for medical implants. IEEE Trans Power Electron 37:1090–1101.
https://doi.org/10.1109/tpel.2021.3092829
Cha HK, Je M (2014) A single-input dual-output 13.56 MHz CMOS AC-DC converter with
comparator-driven rectifiers for implantable devices. Microelectron J 45:277–281. https://doi.
org/10.1016/j.mejo.2014.01.012
Cheng L, Ki WH, Lu Y, Yim TS (2016) Adaptive on/off delay-compensated active rectifiers for
wireless power transfer systems. IEEE J Solid State Circuits 51:712–723. https://doi.org/10.
1109/JSSC.2016.2517119
Dong M, Zhang C, Mai SP et al (2006) Wideband frequency-shift keying demodulator for wireless
neural stimulation microsystems. J Zhejiang Univ Sci 7:1056–1060. https://doi.org/10.1631/
jzus.2006.A1056
Ghovanloo M, Najafi K (2004) A wideband frequency-shift keying wireless link for inductively
powered biomedical implants. IEEE Trans Circuits Syst I Regul Pap 51:2374–2383. https://doi.
org/10.1109/TCSI.2004.838144
Jung LH, Byrnes-Preston P, Hessler R, et al (2007) A dual band wireless power and FSK data
telemetry for biomedical implants. In: Conf Proc IEEE Eng Med Biol Soc, pp 6597–6600
Kazimierczuk M (1984) Collector amplitude modulation of the class E tuned power amplifier. IEEE
Trans Circuits Syst 31:543–549. https://doi.org/10.1109/TCS.1984.1085542
Kazimierczuk MK (2015) Radio-frequency power amplifiers, 2nd edn. Wiley
Lee TH (2003) The design of CMOS radio-frequency integrated circuits. Cambridge University
Press
Lotfi Navaii M, Sadjedi H, Sarrafzadeh A (2018) Efficient ASK data and power transmission by the
class-E with a switchable tuned network. IEEE Trans Circuits Syst I Regul Pap 65:3255–3266.
https://doi.org/10.1109/TCSI.2018.2808189
Monge M, Raj M, Nazari MH et al (2013) A fully intraocular high-density self-calibrating epiretinal
prosthesis. IEEE Trans Biomed Circuits Syst 7:747–760. https://doi.org/10.1109/TBCAS.2014.
2298334
Pérez-Nicoli P, Silveira F, Ghovanloo M (2021) Inductive links for wireless power transfer:
fundamental concepts for designing high-efficiency wireless power transfer links. Springer
Trigui A, Hached S, Ammari AC et al (2019) Maximizing data transmission rate for implantable
devices over a single inductive link: methodological review. IEEE Rev Biomed Eng 12:72–87.
https://doi.org/10.1109/RBME.2018.2873817
Van Schuylenbergh K, Puers R (2009) Inductive powering: basic theory and application to
biomedical systems. Springer Science & Business Media
Zeng FG, Rebscher S, Harrison W et al (2008) Cochlear implants: system design, integration, and
evaluation. IEEE Rev Biomed Eng 1:115–142. https://doi.org/10.1109/RBME.2008.2008250
Wireless Capsule Design and Its
Locomotion and Navigation Within 49
the Body

Fahad N. Alsunaydih, Muhammad A. Ali, and Mehmet R. Yuce

Contents
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1014
Impulse Radio Ultra-Wideband (IR-UWB) Communication For Wireless Capsules . . . . . . . . . 1015
UWB Antenna Design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1018
Path Loss Variation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1020
Temperature Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1020
Wireless Capsule Design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1023
Pressure Sensor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1023
pH Sensor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1025
Navigation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1028
Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1034
References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1035

Abstract
Endoscopy is extensively used to diagnose the gastrointestinal (GI) tract abnor-
malities. It is a medical process that uses flexible tube to inspect the GI tract from
the mouth to the duodenum throughout the esophagus and the stomach. Colo-
noscopy is a similar process of endoscopy but employed to inspect the large
bowel. Both colonoscopy and endoscopy cannot be used to explore the small
intestine due to its length and its complexity. Wireless Capsule Endoscopy
(WCE) is the key to inspect the overall small intestine. The WCE is disposable
and integrated with camera in order to record its journey through the GI tract and
send the images to an external receiver. The data then can be examined by the
specialist to evaluate the digestive system. This chapter will be describing some
of the key elements of a WCE system. This includes the uses of UWB for high-

F. N. Alsunaydih · M. A. Ali · M. R. Yuce (*)


Electrical and Computer Systems Engineering, Monash University, Melbourne, VIC, Australia
e-mail: fahad.alsunaydih@monash.edu; muhammad.ali2@monash.edu; mehmet.yuce@monash.edu

© Springer Science+Business Media, LLC, part of Springer Nature 2022 1013


M. Sawan (ed.), Handbook of Biochips,
https://doi.org/10.1007/978-1-4614-3447-4_49
1014 F. N. Alsunaydih et al.

speed data transmission. It also discussed the design of sensors for temperature,
pressure, and pH sensing required for the digestive system. Finally, it explores the
suggested navigation techniques to guide the capsule during its locomotion.

Keywords
Capacitive pH Sensor · Gastrointestinal (GI) Tract · Impulse Radio
Ultra-WideBand (IR-UWB) · Internal Cavity of the Digestive System ·
Microelectromechanical Systems (MEMS) · Navigation · Pressure Monitoring ·
System Integration · Swallowable Devices · Wireless Capsule Endoscopy
(WCE),

Introduction

Early detection of digestive system abnormalities is necessary to reduce the com-


plexity of medical treatment. Endoscopy is a powerful medical process in which the
specialist utilizes a customized instrument to inspect the body’s organs and vessels.
Conventional endoscopy involves inserting a long, flexible tube usually made of
fiber-optic cable into the gastrointestinal tract. The first invented prototype to
visualize the internal organs of the body was by Philip Bozzini in 1805. After that,
the development of the endoscopy continued until the procedure reached what it is
today. Sonde, push, and intraoperative enteroscopy are used to visualize the proxi-
mal side of the small bowels; however, these methods are unsatisfactory for visual-
izing lesions present on the small bowels. The procedures are long and ineffective
because of the associated depth insertion. The use of intraoperative enteroscopy has
also resulted in adverse side effects in the patients. These limitations have led to the
development of a revolutionary technique, Wireless Capsule Endoscopy (WCE),
which enables treatment of patients with small bowel disease. WCE is an endoscopy
technique which uses a disposable multivitamin pill capsule size that can be
swallowed by the patient. The procedure is considered as a safe procedure and
completely tolerated by the patient. The Given Imaging Ltd was the manufacturer
of the first capsule endoscopy M2A. M2A was approved for clinical usage in 2003
by Food and Drug Administration (FDA). After that, PillCam SB2 and PillCam SB3
are manufactured with better image resolution and better power consumption for
diagnosing the small intestine problems. On the other hand, Pill Cam ESO2 is used
for the esophageal imaging. Moreover, the treatment of PillCam Colon2 is the
development which helps in treating large bowel problems.
The general structure of the procedure has three main portions: the capsule, a data
recorder to receive and store the data being transmitted by the capsule, and a
workstation for the picture’s analysis. The main functions that performed by the
capsule are video recording using camera and data transmission using transmitter.
Other functions could be performed such as pH and pressure sensing, drag delivery,
and sample taking for further examination in the lab. In terms of physical structure of
the capsule, general talking, the capsule has a shape of a cylinder with hemispherical
ends. The advantage of the hemispherical ends is to ensure the smoothness of the
49 Wireless Capsule Design and Its Locomotion and Navigation Within the Body 1015

motion inside the body and to increase the visualization angle for the camera by using
lenses. Internally, the capsule consists of transmitter, battery, antenna, camera, LEDs,
and lenses. The transmitter with its antenna is used to send the captured images and
videos to the external data recorder. For imaging, the capsule usually embedded with
charge coupled device (CCD) camera or complementary metal oxide semiconductor
(CMOS) cameras and both considered as image sensors that provide high quality
images. As the internal cavity of the digestive system contains mucosa and dark, the
capsule used lens and LEDs to enlighten and get a wider and high-quality image.
Current wireless capsules use some image compression techniques before trans-
mitting the signals due to lack of available bandwidth. These capsules use narrowband
wireless communication systems which cannot corporate higher data rates. High data
rate transmission can improve the image quality and resolution. Ultra-wideband
(UWB) communication system providing up to 100 Mbps is ideal for future wireless
capsules. In addition, continuous monitoring of gastrointestinal (GI) tract is often
required. Traditionally, a rigid endoscope containing sensors is inserted inside the GI
tract of the patients through the mouth. This process is not only painful for the patients
but also inefficient in analyzing complex areas of the GI tract such as small intestine.
These limitations lead to the integration of miniature sensors inside wireless capsules.

Impulse Radio Ultra-Wideband (IR-UWB) Communication For


Wireless Capsules

For quality vision, camera is an essential component of a wireless capsule. Better


image quality aids in more accurate diagnosis of internal organs of the human body.
Existing capsules uses narrowband and low-frequency wireless communication
systems that cannot corporate higher data rates. High data rate transmission is
necessary to improve the image quality and resolution. Therefore, a wide band
communication system which can provide high data rate is essential for the evolution
of wireless capsules. Ultra-wideband (UWB) communication system providing up to
100 Mbps is ideal for future wireless capsule. Figure 1 represents some key
components and blocks involved in IR-UWB based WCE devices designed by
Monash University (Thotahewa et al. 2014a).
We demonstrated that a high data rate is achieved to effectively transmit raw image
and video data without using any data compression technique, resulting in battery
conservation. In addition, IR-UWB communication provides smaller transmitter
designs with low power consumption (Thotahewa et al. 2014b). Lower power con-
sumption is due to the use of pulse waves instead of sinusoidal waves as the carrier
medium and as it operates on high frequencies so, small electronic components are
required for system design. IR-UWB technology has gained popularity in applications
for implant Wireless Body Area Network (WBAN). A general WBAN is shown in the
Fig. 2, where a wireless capsule inside the gastrointestinal transmits data outside the
body over IR-UWB link using digital modulation schemes. As the communication is
carried through the body, it is prone to degradation due to multiple layers of different
dielectric material and the distance between transmitter and receiver.
1016 F. N. Alsunaydih et al.

Fig. 1 UWB-based WCE device designed by Monash University (a) Key components of WCE
device. (b) Positioning of key modules inside the WCE device. (c) a. UWB board, b. narrowband
and microcontroller board, c. camera board, d. UWB antenna, e. narrowband antenna, f. prototype
capsule (Thotahewa et al. 2014a)

Figure 3 represent the simulation of path loss associated with propagation of


UWB signal in different tissues (Thotahewa et al. 2013) within the body. Lower
frequency spectrum results in lower path loss due to less absorption by tissues but it
49 Wireless Capsule Design and Its Locomotion and Navigation Within the Body 1017

Fig. 2 IR-UWB based


communication system
(Thotahewa et al. 2014b)

Fig. 3 Path loss variation from transmitter to receiver in different tissues (Thotahewa et al. 2013)

Table 1 Antenna path loss Antennas Loss Frequency Penetration


at different frequencies
UWB 80 dB 4 GHz 7 cm
(Yuce et al. 2014)
MICS 60 dB 403.5 MHz 10 cm
418 MHz 21 dB 418 MHz 3 cm
916 MHz 27.5 dB 916.5 MHz 3 cm

will ultimately increase size of the antenna. Hence, an UWB antenna with high
matching characteristics is crucial for good communication in an implant environ-
ment (Thotahewa et al. 2013) (Table 1).
1018 F. N. Alsunaydih et al.

UWB Antenna Design

An antenna plays a key role in WCE. For implanted device, one of the important
considerations in antenna design is its matching characteristics with surrounding
tissues. When a capsule travels through GI tract, it encounters different tissues and
fluids with different conductivity and permittivity (Yuce et al. 2014). Improper
matching characteristics of antenna with respect to its surrounding may result in
loss of signal at the receiving end. An efficient antenna design for UWB communi-
cation at a 4 GHz frequency with a bandwidth around 1GHz has been proposed in
Thotahewa et al. (2015). The dimensions of the antenna are 11.85  9  1.25 mm
which are compatible with commercially available WCE devices (Thotahewa et al.
2014a). Figure 4 portrays the wide-slot antenna with vertical 50-ohm feed
(Thotahewa et al. 2015). A frequency material, Rogers TM 10i is used for antenna
fabrication. In order to minimize the reflection between the capsule and the sur-
rounding tissues the radiating part of the antenna was submerged into glycerin,
which has relative permittivity almost equal to the surrounding tissues, that is, 50.
Figure 5 depicts the experimental setup to evaluate the performance of the antenna
and path loss measurement in small intestine environment. Pork is used as the tissue
material due to its remarkable similarities with human tissues at higher frequencies.
Pig’s abdomen tissues arrange in the same manner as of human abdomen tissues.
Small intestine is covered with fat, followed by stomach muscle covered with fat. At
last skin tissues are placed to establish human small intestine like model.
The UWB antenna is implanted inside the small intestine while a horn antenna is
placed off-body. Horn antenna is usually preferred owing to its constant gain
characteristics in the frequencies of interest (Thotahewa et al. 2015). Figure 6
represents the measured and simulated S-parameters of the setup depicted in the

Fig. 4 Wide-slot antenna (Thotahewa et al. 2015)


49 Wireless Capsule Design and Its Locomotion and Navigation Within the Body 1019

Fig. 5 Experimental setup for path loss measurements using pig’s tissues (Thotahewa et al. 2015)

Fig. 6 Simulated and measured S-parameters of the experimental set up in Fig. 4 (Thotahewa
et al. 2015)
1020 F. N. Alsunaydih et al.

Fig. 5. It can be seen that due to interaction with real varying permittivity s11, return
loss, is less for measured values as compared to simulated values.

Path Loss Variation

Path loss describes the attenuation in signal power from transmitter to receiver due to
the presence of some absorbing material in the signal path. In case of WCE, path loss
is caused by the tissues which absorb the transmitted signal inside the body. Path loss
values can be calculated by using the following equation;

PLjdb ¼ jS21 jdb ð1Þ

In order to estimate the path loss inside the body, first of all path loss was
calculated between transmitter and receiver without any medium between them.
This was followed by calculation of path loss after placing medium between the
transmitter and receiver. Finally, path loss inside the body can be calculated by the
following equation:

PLjinbody ¼ PLjtotal  PLjfreespace ð2Þ

Figure 7 shows simulated and measured path losses at different receiver positions
inside and outside the pork’s tissues based on the experimental setup described in the
Fig. 5. It can be observed that as the distance between transmitter and receiver
increases the power of the received signal decreases.
This information is vital for designing any in-body UWB communication system
as limited power source is one of the crucial design aspects of wireless capsules.
Furthermore, most of the energy is absorbed by the small intestine and fat tissues
within 60 mm of transmitted signal. Figure 8 depicts the path loss relation to the
operating frequency range using the same setup. It can be seen that some frequencies
propagate with less absorption than others.

Temperature Analysis

As signals are propagated inside the body the power is absorbed by the tissues, it
is important to keep the absorption level within the safety limit. Specific Absorp-
tion Rate (SAR) and Specific Absorption (SA) are two indicators used to describe
the amount of electromagnetic power that can be safely absorbed by the human
body. International Council on Non-Ionizing Radiation Protection (ICNIRP) and
Institute of Electrical and Electronics Engineer (IEEE) recommend that SAR must
not be greater than 2 W/kg, that is 10 g averaged from 10 kHz to 10 GHz
frequency (IEEE; International Commission on Non-Ionizing Radiation Protection
(ICNIRP) 1998). This 2 W/kg restricts the maximum power which can applied to
the transmitting antenna for UWB communication. Any exposure to the
49 Wireless Capsule Design and Its Locomotion and Navigation Within the Body 1021

Fig. 7 Simulated and measured path loss variations (Thotahewa et al. 2015)

Fig. 8 Path loss variation for propagation distance of 60 mm over the target frequency range
(Thotahewa et al. 2015)

electromagnetic fields above 2 W/kg could cause change in temperature and


mechanism of the tissues surrounding the device. SAR is material dependent and
can be calculated by (Thotahewa et al. 2015)
1022 F. N. Alsunaydih et al.

1σ 2
SAR ¼ jEj ð3Þ

where σ is the conductivity of the tissue (S/m), σ is the tissue mass density (kg/m3),
E is the RMS value of the electric field strength (V/m). Bio-heat equation provides
the relation between the electromagnetic power absorption and the subsequent
increase in tissue temperature.
Figure 9 depicts SAR variation corresponding to the variation in IR-UWB pulse
in a simulated human tissue environment (Thotahewa et al. 2015). It can be observed
that with the increase in the pulse power from 41.3 dBm/MHz, Fig. 9a, to
21.7 dBm/MHz, Fig. 9c, magnitude of energy absorption increases, while Fig. 10
shows the rise in temperature of surrounding body tissue to the amount of energy
absorbed. Different SAR values for different IR-UWB signals have been reported in

Fig. 9 Simulation results for IR-UWB pulses-based SAR variations in the human voxel model
(Thotahewa et al. 2015)

Fig. 10 IR-UWB pulses-based temperature distribution in the human voxel model having different
powers (a) 0.0024 mw (b) 21.5 mW (Thotahewa et al. 2015)
49 Wireless Capsule Design and Its Locomotion and Navigation Within the Body 1023

Table 2 SAR/SA Comparison (Thotahewa et al. 2015)


Reference input MAX 10 g
References Scenario Body part power Frequency SAR/SA
Xu et al. In-body Abdomen 25 mW 2.4 GHz 0.37 W/kg
(2009) 1.2 GHz (SAR)
800 MHz 0.64 W/kg
430 MHz (SAR)
400 MHz 0.66 W/kg
(SAR)
0.62 W/kg
(SAR)
0.54 W/kg
(SAR)
Wang and On- Skin 41.3 dBm/MHz 3.1– 0.037–0.476
Wang (2008) body regulated IR-UWB 10.6 GHz pJ/kg (SA)
Thotahewa In-body Abdomen 41.3 dBm/MHz 3.5– 0.2 mW/kg
et al. (2015) regulated IR-UWB 4.5 GHz (SAR)
0.4 pJ/kg (SA)
1.8 dBm/ MHz 2 W/ kg (SAR)
(21.5 mW) IR-UWB 4 nJ/kg (SA)

Xu et al. (2009), Wang and Wang (2008). Table 2 shows SAR/SA comparison over
different frequencies at on-body and in-body experiments.

Wireless Capsule Design

Wireless capsule is a vitamin pill shaped device mainly composed of an embedded


camera, battery, sensors to measure physiological parameters, and a data transmis-
sion system. The wireless capsule is swallowed by the patient; it is then moved with
some wireless actuation system and captures images along its travel. These images
were sent to a nearby receiving center outside the body of the patient. WCE is
considered to be harmless and effective in visualization of complex tract. Detection
of abnormalities is an essential part of WCE system. In addition, continuous
monitoring of gastrointestinal (GI) tract is often required. Traditionally, a rigid
endoscope containing sensors is inserted inside the GI tract of the patients through
the mouth. This process is not only painful for the patients but also inefficient in
analyzing complex areas of the GI tract such as small intestine. These limitations
lead to the integration of miniature sensors inside wireless capsules. Some important
GI tract sensors and a complete wireless capsule design including different sensors
and communication system are presented below.

Pressure Sensor

Pressure characteristics of GI tract play important role in clinical and physiological


examinations. Pressure throughout the GI tract is not constant. Some parts of the GI
1024 F. N. Alsunaydih et al.

tract have pressure more than the atmospheric pressure, 100 kPa, while some areas
have pressure less than the atmospheric pressure. For example, pressure in colon is
higher than the atmospheric pressure, while the pressure in stomach and esophagus
is lower than the atmospheric pressure. Hence, a good sensor for GI tract must be
able to measure pressure from 70 kPA to 140 kPa. All pressure sensors utilize some
sort of mechanical changes caused by the pressure. Comparatively, capacitive
pressure sensors demonstrate high sensitivity and low temperature dependence
(Arefin et al. 2016).
In capacitive pressure sensors, the gap between the capacitor plates changes with
respect to the applied pressure. These types of sensors can detect slightest changes in
the pressure of the GI tract. In addition, capacitive sensors are less sensitive to
temperature variations. Sensitivity and range of the sensor is limited by the parasitic
capacitance and also due to the nonlinear relation between capacitance and sur-
rounding membranes under the effect of pressure (Bakhoum and Cheng 2011).
These parasitic and nonlinear effects can be minimized by the use of a proper
readout circuit integrated into an electronic pill (Arefin et al. 2016). Capacitive
sensor interfaces can be divided into three main types; analog, digital, and semi-
digital circuit interface.
Analog capacitive pressure sensors transform the changes in capacitance of the
sensor into voltage or current values. There are many drawbacks inherent in these
types of circuits such as flicker noise, thermal noise, parasitic capacitance, and
nonideal switch operations which minimize sensor range and measurement resolu-
tion. Additional complex circuitry is required to reduce the effects of noise but this
leads to more power consumption which is not ideal for WCE (Nizza et al. 2012).
In contrast, semi-digital capacitive pressure sensors use period modulation
(PM) or pulse width modulation (PWM) techniques to convert change in capacitance
of the sensor into frequency or pulse duration changes. In this type of circuits usually
ring oscillator is used to generate square wave for modulation purposes. Typically, a
PWM-based capacitive pressure sensor encodes changes in the capacitance in time
domain to modulate the pulse width of a digital signal (Arefin et al. 2016).
Figure 11 depicts the block diagram of the PWM capacitive pressure sensor. Ring
oscillator circuit is used to generate a square wave clock signal which is used to drive
RC circuits. These RC circuits include reference and sensing capacitors; these are
followed by high-pass filters to eliminate low frequency noise signals. Comparators
are used to compare the voltages across reference and sensing capacitors with their
threshold level to switch the output on and off. Finally, the output of XOR gate is a
PWM signal whose pulse width is based on the difference between reference and
sensing capacitance (Fig. 12).
Figure 13 shows the experimental measurements of the setup shown in Fig. 12. It
can be observed that with the increase in applied pressure pulse width is also
increasing. From 50 kPa to 101 kPa and from 101 kPa to 200 kPa, the sensitivity
for pressure is reported to be 23 ns/kPa and 60 ns/kPa, respectively. Table 3 shows a
performance comparison of different PWM based capacitive pressure sensors.
Digital capacitive pressure sensors provide output pressure data in the form of
integer values without converting capacitance into current on voltage (Omran et al.
49 Wireless Capsule Design and Its Locomotion and Navigation Within the Body 1025

Fig. 11 PWM based capacitive readout circuit (Arefin et al. 2016)

Fig. 12 Pressure sensor chip and experimental setup with PWM based interface IC for pressure
sensing (Arefin et al. 2016)

2014). The main drawback associated with this type of circuit is the design of a
digital circuit with high number of bits and high measurement resolution.

pH Sensor

The pH value plays a significant part in diagnosis of certain diseases inside the body.
Changes in pH measurements of blood, tissues, and other body fluids may indicate
some abnormalities. It is reported that for healthy tissues the pH value ranges from
7.2 to 7.6 and for tumor cells its ranges around 6.8 (Griffiths et al. 2014). The pH
profile of GI tract provides valuable insight to medical practitioners. Gastric acid,
fluid in stomach used for digestion, has a pH value of 1 to 2 under normal
circumstances and any significant variation from this range may indicate of certain
disease. The pH value below 1 is usually observed in patients suffering from ulcer
and lower levels of gastric acid are recorded in patients with atrophic gastritis.
Traditional methods to measure pH levels are time consuming and uncomfortable
1026 F. N. Alsunaydih et al.

Fig. 13 Experimental results of the pulse-width of PWM signal for different pressure values
(Arefin et al. 2016)

Table 3 Performance comparison of the interface circuits (Arefin et al. 2016)


Parameters
Technology 0.18 μm 0.35 μm 0.13 μm 0.32 μm 0.35 μm
Supply 1.8 V 3.0 V 1.0 V 3.0 V 3.3 V
Area (mm2) 0.17 0.09 0.08 0.52 0.51
Power 98 705 60 84 211
Consumption
(μW)
Conversion PWM PWM PWM PWM PM
Method (differential) (unipolar) (unipolar) (differential)
Sensor SMD Cap. and On chip On chip On chip Cap. SMD
Pressure Cap. Cap. Cap.
Sensitivity 3.62 NA 1.82 32 NA
(μS/pF)
Capacitance 0 to 150 pF 2.5 to 13aF to 0 to 0.256 pF 0 to
Range 2.5825 pF 10.7 nF 6.8 pF
Measurement 40 40 30.52 NA 7600
Time (μS)
Sensitivity to Very low High High Low Low
parasitic ca-

as they involve injection of gastric content in the stomach through a tube (Arefin
et al. 2014a).
For pH measurement of gastric acid, many other efficient techniques have
been developed including impedance tomography, urinary analysis, serum anal-
ysis alkaline tides, and breath analysis. However, due to their large equipment
49 Wireless Capsule Design and Its Locomotion and Navigation Within the Body 1027

and size requirements, it is not possible to enclose them inside wireless capsules.
In Arefin et al. (2014a, b) a small and compact pH measurement technique is
presented. This technique measures pH by taking advantage of changes in
capacitance due to change in surrounding permittivity. Inter-digitated electrode
(IDE) based sensors are used as their small size and low manufacturing cost
make them ideal candidates for wireless capsules. Construction of an IDE based
sensor usually consists of two comb-like electrodes with sensor material on top
placed on a wafer. The working principle of IDE based sensor involves the
change in dielectric permittivity of fringe electric field surrounding the electrodes
due to change in H+ ions of the sensing material. This leads to capacitance
change due to pH change.
Figure 14 depicts an IDE based sensor, where IEDS and pads are manufactured
on silicon (Si) substrate which is coated with a thin layer of silicon dioxide (SiO2). In
order to reduce Faradaic current between the electrodes and to make sensing surface
for pH buffer solutions, the exposed surface IDE surface is coated by silicon nitride
(Si3N4).
Any variation in pH level is transformed to capacitance change which is then
transformed to frequency variation by the cross-coupled voltage control oscillator
(VCO). As shown in Fig. 15, the cross-coupled VCO includes inversion MOS
variable capacitor (Co) and an inductor (Lo) which behaves as a LC-tank of the
VCO. The oscillation frequency of the VCO can be determined as a function of Csen
(Arefin et al. 2014a, b).
The change in capacitance of the sensor from the change in pH value is depicted
in Fig. 16. From pH level 1 to pH level 2, capacitance of the sensor increases sharply.
While from pH level 2 to PH level 5 the changed in capacitance is almost within
2 pF. These values are obtained from a Vector Network Analyzer operating at
100 MHz. Figure 17 shows the variation in resonant frequency with the change in
pH level when the pH sensor was connected to the VCO. It can be observed that the
sensitivity is very high at lower pH level; this is due to the higher molar concentra-
tion of H+ ions in acidic region. Similarly, for strong basic regions with high molar
concentration of OH ions, the sensitivity of the sensor is high. From pH 3 to 5 the
sensitivity of the sensor sensitivity is not much high but the changes in pH level are
still detectable (Arefin et al. 2014a, b).

Fig. 14 (a) MEMS fringe field capacitive pH sensor. (b) Silicon wafer based multi-layered pH
sensor (c) IDEs (Arefin et al. 2014a, b)
1028 F. N. Alsunaydih et al.

Fig. 15 (a) Crossed coupled differential VCO circuit containing LC-tank circuit. (b) Capacitive
pH sensor with parallel LC-tank. (c) Integrated VCO chip. (d) Experimental setup of the sensor on
top of the VCO chip (Arefin et al. 2014b)

Navigation

Navigation can be defined as the information that is required to guide an object into
specific route. The route could be chosen based on shortest distance or for safety
issues. For active wireless capsule endoscopy, it is essential to guide the capsule
inside a hollow organ or internal cavity of a patient. A possible risk that could be
occurred during the movement is due to the forces being used for moving the capsule
and the internal cavity when the capsule runs through the organ. This is challenging
as the internal structure of the human body is complex and unpredictable environ-
ment. As a result, it is not practical to apply a preplanning process using MRI or
X-ray to determine the structure of the targeted environment and hence guide the
capsule based on that. Another major challenge is that the internal cavity of the
human body is dark which means that the navigation system rather not to relay on
49 Wireless Capsule Design and Its Locomotion and Navigation Within the Body 1029

Fig. 16 Sensor capacitance for different pH values using VNA at 100 MHz. (Arefin et al. 2014b)

Fig. 17 The resonance frequency of VCO for strong acidic (pH 1 to 4) and for strong basic
solutions (pH 10 to 12) (Arefin et al. 2014a)

lightness because the light would consume power and the power is limited as the
capsule’s size is small and cannot accommodate more batteries. Similarly, the
digestive system is filled with liquids and mucus which could affect the quality of
path planning for the systems that based on the path visualization. Apart from this, it
is also evident that different physicians provide different findings on the images
1030 F. N. Alsunaydih et al.

generated by the use of wireless capsule endoscopy (Mura et al. 2016). Hence, with a
practical locomotion system, the capsule would be held at any specific location and
the physician will have a greater chance to diagnose the abnormality or even taking
samples for analysis in the laboratory.
A novel method for navigation requires no large computational efforts and is not
memory intensive for autonomous navigation system has developed (Alsunaydih
et al. 2019, 2020). The system can be integrated within the capsule endoscopy and
has no confliction with any locomotion system suggested in the literature
(Alsunaydih et al. 2018; Liu et al. 2015). It also is compatible with the shape of
the commercial capsule endoscopy and with any communication system. The main
advantage of this method is that the navigation information obtained by the system is
from the interaction with the external environment which makes the collected data
more realistic. In this method, an array of capacitive sensor was designed to monitor
the forces between the capsule and the outer environment. As the capsule moves
within the hollow organ, the sensor keeps on reading the forces that act on the tip of
the capsule and send the data to the workstation unit to analyze it in terms of
direction and magnitude. The analyzed data can be used then as a feedback to
control the amount and the direction of the forces used for locomotion. The sensor
is made of flexible printed circuit board (FPCB) in order to form it into hemisphere
shape to fit the tip of the capsule. The designed sensor has 33 nodes with known
position in terms of polar and azimuth angles with respect to the center of the
capsule’s tip as shown in Fig. 18.
The flexible pressure sensor array has three layers: PCB layer, intermediate layer,
and ground layer. The PCB layer contains the sensor nodes and each node works as a
two-plate capacitor which vary its value according to the separation distance with the
ground layer as capacitance ¼ ekd A, where e is permittivity of the free space, k is the
relative permittivity of the material between the layers, A is the area, and d is the
separation distance between the layers. The ground layer acts as the ground terminal.
The intermediate layer was made of Polydimethylsiloxane (PDMS), whereas the
thickness and density of this layer can be determined according to the application
and the applied forces on the sensor.
The size of the sensor nodes was chosen to be circular with 2 mm diameter. The
selection of the size is crucial to firstly have a large range of reading when the

a b Top view c
°
30° Pure azimuthal
Side view −3
0

angles
60
60°

−90°
°

−6
−90° −

0
90°

°
−30
°
°
30

90°
60
°
Pure polar
angles

Fig. 18 (a) Side view (polar angles), (b) top view (Azimuthal angels), (c) the distribution of the
array (designed using COMSOL)
49 Wireless Capsule Design and Its Locomotion and Navigation Within the Body 1031

distance varies between the layers and secondly to increase the reading accuracy by
minimizing the nodes size. As the system intended to be used for navigation based
on the variation on the latitude and longitude of the path, the size of the nodes needs
to be minimum to improve the resolution as the system will not be able to distinguish
and find the exact location of the pressure on the node, but instead, it uses the relative
pressure of the nearby nodes to detect the position of the absolute pressure. Besides
the 33 nodes which are equally distributed on the sensor surface, the sensor contains
AD7147 a capacitance-to-digital converter (CDC) in order to convert the capaci-
tance due to the pressure into digital. AD7147 works at 250 kHz with 16-bit
resolution and uses Serial Peripheral Interface (SPI) as interface bus to communicate
with the microcontroller integrated in the capsule endoscope. As the PCB
manufacturing can be done only in two dimensional, the shape of the sensor was
designed as shown in Fig. 19 in order to fit the capsule endoscope tip.
As the human body is a complex environment and contains loose organs (i.e., small
intestine and colon), and partially rigid organs (i.e., mouth, pharynx, esophagus), the
system is designed to work in both environments according to the rigidity of the organ.
For loose organs, the system works in flexible mode which is based on least pressure
method, whereas the rigid mode is designed to determine the direct pressure between the
capsule endoscope and the ambient environment.
For rigid mode, and as the GI tract can be defined as differential path, the pressure
that the tip of the capsule experienced could alert one node or more. In case of one
node, the system can determine the changes in the direction of the unit vector of the
path as each node is placed with known position with respect to the motion axis of
the capsule. It is important to assume that the unit vector of the motion is aligned
with the axis of the capsule which means the capsule moves straight not laterally.
Hence, the difference between the unit vector of the ambient environment and the
axis of the capsule is used as navigation data.
In case if the pressure from the ambient environment is applied into more than one
node as illustrated in Fig. 20, the system calculates the angular distance of the
absolute pressure between the nearby nodes and then finds the exact angle with

Fig. 19 (a) A plan view for


the final shape of the sensor
designed by Altium Software.
(b) The fabrication of the
sensor. (c) The integration of
the inner FPCB layer on the
tip of the capsule endoscope.
(d) The overall integration of
the sensor on the capsule
1032 F. N. Alsunaydih et al.

Fig. 20 The local coordinate


system of the sensor

Fig. 21 The detection of the least-pressure point of the collapsed

respect to the center of the hemisphere using Δα ¼ Δs/r where Δs is the angular
distance and r is the radius. The angular distance can be found according to the
magnitude of the pressure of a node relative to the magnitude of the pressure of the
other nodes.
The intestine is deformable and contains unpredictable and inhomogeneous bends
which makes the navigation process of any internal method more difficult. The
bends might contain more liquids which needs to be counted when extracting the
navigation data for path planning. Also, it is observable that most of the tip of the
endoscope device will be interacting with the ambient environment during its
locomotion. For that, the designed system by Liu et al. (2015) used the advantage
of such interaction to determine the navigation data using least pressure method in
flexible mode. As shown in Fig. 21, while the capsule moves inside the small
intestine, the lowest pressure always indicates the optimal path. Even if the trajectory
of the path contains unpredictable and collapsed bends, liquids, the interaction
between the capsule and the capsule endoscope which can be classified as a pressure
has been used by determining the nodes with least pressure.
49 Wireless Capsule Design and Its Locomotion and Navigation Within the Body 1033

Fig. 22 PVC tube used for


experiment

For data analyses, MATLAB was used to perform the required calculation of the
received data. Also, a path that is made of Polyvinyl Chloride (PVC), with different
bends to stimulate the intestine environment, was used to evaluate the system as
shown in Fig. 22.
The bends in the setup were having different polar and azimuth angles. The donated
bends in Fig. 9 are (33 LEFT, 0 ), (72 LEFT, 30 UPWORD), (85 LEFT, 45
UPWORD), (32 LEFT, 7 UPWORD), (80 LEFT, 0 ), (0 , 80 DOWNWORD),
respectively. A 2.8-mm-height and 8-mm-diameter (N52) permanent magnet was
embedded into the capsule in order to control its position through the path using
external magnet. A Graphical User Interface (GUI) has developed in order to determine
three essential navigation data for any locomotion system: firstly, the system shows the
direction of the path’s longitude (right and left), secondly, the direction of the path in
latitude (up and down), and thirdly, the pressure of the capsule endoscope against the
ambient environment. Besides the three essential parameters, the GUI also displays the
real-time output of each node, the active nodes with respect to the capsule endoscope
coordinate system, the amount of the pressure at every active node, and the total
amount of pressure position of absolute pressure.
It can be noticed from the GUI in Fig. 23 that the highest peak was for the third
bend as it has the highest bending angle. This is obvious as the capsule was in
straight motion and requires more force to be aligned with the new direction. The
same figure shows the sensor output during the first bend. Initially, the capsule was
moving in straight motion which means there was no pressure at its tip, once the
capsule reaches the first bend (33 LEFT, 0 ), the (0, 30) and (0, 60) nodes were
active at different amount of pressure and the absolute position of the pressure which
is (33 LEFT, 0 ) can be calculated according to the relative amount of pressure
produced by each node. Then, the pressure at those nodes became lower as the
capsule starts aligning with the new direction until the only active node is (0,30)
which means that the difference between the capsule axis and the path axis is 30 .
After that, the (0,0) node becomes higher and the (0,30) becomes lower when the
1034 F. N. Alsunaydih et al.

Fig. 23 The output of the sensor during the motion in the path (UP). The output of the sensor
during the motion in the 1st bend (DOWN)

capsule more aligning with the path until all the nodes become inactive which
indicates that the capsule axis is now totally aligned with path axis. All the other
bends were processed with the same concept.

Conclusion

This chapter discusses key design issues to develop a complete wireless capsule
system. It presents IC circuits for important sensors used in wireless capsules. The
advantage of using UWB communication is provided with feasibly of high data rate
transmission. In addition, the chapter discusses the design and navigation of wireless
capsule endoscopy devices. Detailed sensing techniques for sensing temperature,
pH, and pressure are described. Advantages and impacts of using IR-UWB-based
communication system for wireless capsules are presented. Finally, complete wire-
less capsule design and different navigation techniques are explored.
49 Wireless Capsule Design and Its Locomotion and Navigation Within the Body 1035

References
Al-Rahayfeh AA, Abuzneid AA. Detection of bleeding in wireless capsule endoscopy images using
range ratio color. arXiv preprint arXiv:1005.5439. 2010 May 29
Alsunaydih FN, Redouté JM, Yuce MR (2018) A locomotion control platform with dynamic
electromagnetic field for active capsule endoscopy. IEEE J Translat Eng Health Med 6:1–10.
https://doi.org/10.1109/JTEHM.2018.2837895
Alsunaydih FN, Arefin MS, Redoute JM, Yuce MR. An automatic navigation and pressure
monitoring for guided insertion procedure. In: 2019 41st annual international conference of
the IEEE engineering in medicine and biology society (EMBC) 2019 Jul 23. IEEE,
pp 3315–3318
Alsunaydih FN, Arefin MS, Redoute J Yuce MR. A Navigation and Pressure Monitoring System
toward Autonomous Wireless Capsule Endoscopy. In IEEE Sensors Journal, 2020 https://doi.
org/10.1109/JSEN.2020.2979513
Arefin MS, Coskun MB, Alan T, Neild A, Redoute JM, Yuce MR. A MEMS capacitive pH sensor
for high acidic and basic solutions. In: SENSORS, 2014 IEEE 2014a Nov 2. IEEE,
pp 1792–1794
Arefin MS, Bulut Coskun M, Alan T, Redoute JM, Neild A, Yuce MR (2014b) A microfabricated
fringing field capacitive pH sensor with an integrated readout circuit. Appl Phys Lett
104(22):223503
Arefin MS, Redouté JM, Yuce MR (2016) A low-power and wide-range MEMS capacitive sensors
interface IC using pulse-width modulation for biomedical applications. IEEE Sensors J
16(17):6745–6754
Bakhoum EG, Cheng MH (2011) High-sensitivity inductive pressure sensor. IEEE Trans Instrum
Meas 60(8):2960–2966
Griffiths I, Cherns D, Wang X, Wehman HH, Mandl M, Strassburg M, Waag A (2014) Character-
isation of 3D-GaN/InGaN core-shell nanostructures by transmission electron microscopy. Phys
Status Solidi C 11(3–4):425–427
IEEE C95.1-2005 – IEEE standard for safety levels with respect to human exposure to radio
frequency electromagnetic fields, 3 kHz to 300 GHz
International Commission on Non-Ionizing Radiation Protection (ICNIRP) (1998) Guidelines for
limiting exposure to time-varying electric, magnetic, and electromagnetic fields (up to
300 GHz). Health Phys 74(4):494–522
Liu L, Towfighian S, Hila A (2015) A review of locomotion systems for capsule endoscopy. IEEE
Rev Biomed Eng 8:138–151
Mura M, Abu-Kheil Y, Ciuti G, Visentini-Scarzanella M, Menciassi A, Dario P, Dias J, Seneviratne
L (2016) Vision-based haptic feedback for capsule endoscopy navigation: a proof of concept.
J Micro-Bio Robot 11(1–4):35–45
Nizza N, Dei M, Butti F, Bruschi P (2012) A low-power interface for capacitive sensors with PWM
output and intrinsic low pass characteristic. IEEE Trans Circuits Syst I Reg Pap
60(6):1419–1431
Omran H, Arsalan M, Salama KN (2014) 7.9 pJ/step energy-efficient multi-slope 13-bit
capacitance-to-digital converter. IEEE Trans Circuits Syst II Express Briefs 61(8):589–593
Thotahewa KM, Redoute JM, Yuce MR. Electromagnetic power absorption of the human abdomen
from IR-UWB based wireless capsule endoscopy devices. In: 2013 IEEE international confer-
ence on ultra-wideband (ICUWB) 2013 Sep 15. IEEE, pp 79–84
Thotahewa KM, Redouté JM, Yuce MR. A UWB wireless capsule endoscopy device. In: 2014 36th
annual international conference of the IEEE engineering in medicine and biology society 2014a
Aug 26, pp 6977–6980
Thotahewa K, Redoute JM, Yuce MR. On medical implant communication of IR-UWB. In: Pro-
ceedings of the 9th international conference on body area networks 2014b Sep 29. ICST
(Institute for Computer Sciences, Social-Informatics and Telecommunications Engineering),
pp 26–31
1036 F. N. Alsunaydih et al.

Thotahewa KM, Redoutè JM, Yuce MR (2015) Propagation, power absorption, and temperature
analysis of UWB wireless capsule endoscopy devices operating in the human body. IEEE Trans
Microwave Theory Tech 63(11):3823–3833
Wang Q, Wang J. SA/SAR analysis for multiple UWB pulse exposure. In: 2008 Asia-Pacific
symposium on electromagnetic compatibility and 19th international Zurich symposium on
electromagnetic compatibility, 2008 May 19. IEEE, pp 212–215
Xu L, Meng MQ, Ren H, Chan Y (2009) Radiation characteristics of ingestible wireless devices in
human intestine following radio frequency exposure at 430, 800, 1200, and 2400 MHz. IEEE
Trans Antennas Propag 57(8):2418–2428
Yuce M, Alici G, Than TD (2014) Wireless endoscopy. In: Wiley encyclopedia of electrical and
electronics engineering. Wiley, pp 1–25
Wireless Circuits and Systems:
Energy-Neutral Links 50
Yaoyao Jia and Maysam Ghovanloo

Contents
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1038
System Architecture . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1040
FF-WIOS Device Assembly . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1041
FF-WIOS SoC Design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1042
3-Coil Inductive Link Design and Optimization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1046
System Implementation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1049
Bench-Top Characterization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1051
In Vivo Experiments . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1055
Conclusion and Discussion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1057
References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1060

Abstract
Neural interfacing devices enable new therapies based on neuromodulation for
diseases and conditions, such as Parkinson’s disease and dystonia, which cur-
rently cannot be treated adequately with medication alone, thus potentially
improving the quality of life for patients suffering from these diseases. It is well
understood that like any other implantable medical device (IMD), neural inter-
facing devices need to be wireless and minimally invasive. This objective can be
achieved by developing highly miniaturized implants with new distributed sys-
tem architectures that in turn require novel circuit topologies. This chapter pre-
sents circuit design concepts, wireless operation strategies, and system-level
integration of mm-sized wirelessly powered distributed neural interfacing IMDs
for a particularly challenging subset of neuromodulation devices that can be used

Y. Jia (*)
Department of Electrical and Computer Engineering, NC State University, Raleigh, NC, USA
e-mail: yjia6@ncsu.edu
M. Ghovanloo
Bionic Sciences Inc., Atlanta, GA, USA
e-mail: mghovan@ieee.org

© Springer Science+Business Media, LLC, part of Springer Nature 2022 1037


M. Sawan (ed.), Handbook of Biochips,
https://doi.org/10.1007/978-1-4614-3447-4_54
1038 Y. Jia and M. Ghovanloo

for untethered and battery-free optogenetic neuromodulation. Spanning from the


integrated circuit (IC) design to the mm-sized implant microassembly and to the
focused wireless power delivery and data communication, the development of
each building block and how they come together to form the complete system are
discussed. These details are further supported with IC level and in vitro experi-
mental results followed by in vivo experiments to demonstrate the system
performance and challenges that had to be overcome. Finally, this chapter will
be concluded with a brief discussion of state-of-the-art miniaturized implants and
future steps.

Introduction

Electrical stimulation has been traditionally used for inducing functional response in
neurons, also known as neuromodulation, by injecting current in the tissue to
depolarize their cell membranes (Santaniello et al. 2010). However, this method,
which became popular in the modern era since the 1960s, suffers from indiscriminate
stimulation of cell components, large electrical artifacts when combined with neural
recording, and poor spatial resolution due to unpredictable current pathways within
the neural tissue, which naturally pursues the path of least resistance (Deisseroth
2011). Optogenetics, on the other hand, is a more recent neuromodulation technique
with explosive growth over the last two decades, which uses optical pulses at certain
wavelengths to activate or inhibit genetically modified neurons that express light-
sensitive opsin proteins (Deisseroth 2011).
In comparison with electrical stimulation, optical stimulation provides several
distinct advantages, such as cell-type specificity, millisecond temporal precision, and
rapid reversibility (Fan and Li 2015). Because of its much smaller electrical distur-
bance, this technique also enables simultaneous monitoring of neural response by
electrical recording, in the vicinity of stimulation sites (Fan and Li 2015). On the
downside, optical stimulation is considerably more power hungry and not yet
approved for clinical applications. Therefore, optical stimulation has been widely
utilized for brain research, particularly in brain mapping, and once some of the
engineering challenges discussed in this chapter and safety issues related to genetic
modification of neurons are addressed, it is expected to find clinical applications in
brain disorders that do not respond to medication. These would include Parkinson’s
disease, epilepsy, and psychological disorders, which require the development of
reliable, minimally invasive, and wireless neural interfacing devices with optical
stimulation capability (Fan and Li 2015).
Laser is one type of commonly used light source in optogenetic neuromodulation
applications, which requires optical fiber or waveguide connectivity to deliver light
from an external and often stationary source to the target neural tissue in an awake
behaving animal subject (Fan and Li 2015). The tethering effect, however, may bias
the natural behavior of the small animal subjects, such as rodents, which are unable
to carry the light source. Tethering is not feasible in animal studies that involve
multiple subjects or those that are conducted in specific environments, such as
tunnels, either (Fan and Li 2015). Light-emitting diodes (LEDs) cannot generate
50 Wireless Circuits and Systems: Energy-Neutral Links 1039

coherent light but have much smaller size and can be directly integrated in the neural
interface devices that would be carried by the animal subjects (Fan and Li 2015).
μLED-based devices, in the form of detachable headstage, eliminate the tethering
effect of a stationary light source, facilitating in vivo experiments on freely behaving
subjects (Fan and Li 2015; Jia et al. 2018). An implantable module, under control of
the external headstage, can have many design options. For example, it can be
implemented as a probe with integrated micro-LEDs (μLEDs), either penetrating
into the brain or placed on the brain surface. However, the transcutaneous connection
between the headstage and implantable module could cause infection and damage to
the surrounding soft tissue (McConnell et al. 2009). To prevent physical trauma
caused by the transcutaneous connection, developing miniaturized wireless implants
would be necessary, which would still be capable of delivering sufficient power to
the μLEDs to surpass optogenetic stimulation threshold.
Recently, a few wirelessly powered optogenetic neuromodulation approaches
have been reported, demonstrating significant reduction in the implant size (Mont-
gomery et al. 2015; Noh et al. 2018; Shin et al. 2017; Tokuda et al. 2018; Charthad
et al. 2018). In Montgomery et al. (2015) and Noh et al. (2018), the proposed optical
stimulators, equipped with the energy-harvesting unit, either a coil (Montgomery
et al. 2015) or a stretchable antenna on board (Noh et al. 2018), are wirelessly
powered in the GHz band. The specific absorption rate (SAR) of electromagnetic
(EM) field in the tissue, which mainly consists of water, at high frequencies is rather
high (IEEE Standards Coordinating Committee 2005). Moreover, operation in GHz
bands produces considerable radiation, which results in interference with other
laboratory instruments or wireless devices in the environment (Amar et al. 2015).
To circumvent the challenges associated with wireless operation in GHz bands,
the carrier frequency in Shin et al. (2017) is limited to 13.56 MHz. However, in this
case, the receiver (Rx) coil with a diameter of 9.8 mm becomes the main limiting
factor in the device miniaturization. A photovoltaic power transfer strategy is
proposed in Tokuda et al. (2018) to wirelessly power the implant using infrared
(IR) light. In this case, the overall power transfer efficiency (PTE) is quite low,
elevating the risk of temperature elevation beyond safe limits before optogenetic
light stimulation is achieve. Moreover, additional post-processing steps needed in
microfabrication of the silicon die, and separation of the photovoltaic cells may
reduce the yield. In Charthad et al. (2018), an ultrasonically powered mm-sized
implant enables both optical and electrical stimulations at the cost of increasing size
and weight of the external transmitter, which is too large to be carried by a behaving
animal subject. Moreover, even though ultrasonic power transmission is immune to
EM interference and offers good PTE in deeper tissues, it is quite sensitive to
transducer misalignments and cannot penetrate the bone/skull (Amar et al. 2015).
In this chapter, we will use the design and development of an mm-sized, free-
floating, wirelessly powered, implantable optical stimulation (FF-WIOS) device and
its wireless power and data transmission platform as an example to introduce a
distributed miniaturized wireless IMD architecture and its design methodology for
the challenging optical neuromodulation application. As key design requirements,
the FF-WIOS device should be (1) compact in size and lightweight to the extent
that it can be implanted on the surface of the animal subject’s brain, (2) achieve
1040 Y. Jia and M. Ghovanloo

high-level integration and (3) power efficiency in the front end and wireless power/
data transmission circuit blocks for (4) sufficient spatial precision provided by
16 channels of optical stimulation in the form of a 4  4 array of μLEDs that are
700 μm apart. (5) It should also support adjustable parameters in terms of μLED
selection, current level that translates to light output, stimulation frequency, and
stimulation pulse width. (6) The inductive link needs to be designed to ensures
sufficient power delivered to the load (PDL) at high PTE, using a closed-loop
power control (CLPC) mechanism while (7) staying well below the SAR limit to
ensure safety. The novel strategies and solutions that enable the FF-WIOS device
to satisfy the design requirements and overcome the abovementioned challenges
will be presented in the following.

System Architecture

The conceptual view of the system that wirelessly powers and controls the mm-sized
array of FF-WIOS devices is shown in Fig. 1. Each FF-WIOS device consists of an
application-specific IC (ASIC) in the form of a system-on-a-chip (SoC), four

Fig. 1 A conceptual view of the FF-WIOS devices being wirelessly powered and controlled by a
battery-powered headstage, which is in turn controlled via BLE by a PC that is running the GUI
50 Wireless Circuits and Systems: Energy-Neutral Links 1041

surface-mount device (SMD) capacitors (0201), an Rx coil, and 16 μLEDs, all of


which are mechanically assembled on a 100 μm-thick polyimide substrate, and
hermetically sealed with Parylene C and polydimethylsiloxane (PDMS). The wire-
wound Rx coil, LRx, which encompasses the SoC, forms a 3-coil inductive link with
a transmitter (Tx) coil, LTx, which is part of the external headstage, and a passive
high-quality (Q)-factor resonator, LRes, which encompasses one or more FF-WIOS
devices roughly in the same plane (Mirbozorgi et al. 2017). LRes is expected to be
implanted above or below the skull in smaller or larger hosts, such as rodents or
nonhuman primates, respectively, for increasing the magnetic field intensity within
the area that is encompassed by the resonator.
The headstage houses a class-E power amplifier (PA) controlled by a microcon-
troller (MCU) (CC2541, Texas Instruments) that delivers power from an embedded
rechargeable battery to the FF-WIOS device through the 3-coil inductive link that
operates at 60 MHz. This is a frequency that is high enough to provide high enough
PTE despite the small size of the Rx coil and yet not too high for the electromagnetic
power to be absorbed in the surrounding tissue (Mirbozorgi et al. 2017). To
wirelessly control the FF-WIOS device, stimulation parameters, which are set by
the user through a graphical user interface (GUI) running on a nearby PC, are sent to
the headstage MCU via Bluetooth Low Energy (BLE) and then relayed to the
FF-WIOS through the 3-coil inductive link by on-off keying (OOK) the 60 MHz
power carrier signal. The rectified voltage of the FF-WIOS device is digitized, as a
measure of the received power on the implant, and sent back (back telemetry) to the
headstage via load-shift keying (LSK) to close the power control loop (CLPC), and
eventually send to the PC via BLE along with other important parameters, such as
battery voltage, for real-time display, and storage.

FF-WIOS Device Assembly

Figure 2 depicts the steps for microassembly of the FF-WIOS device. In the current
prototype, 18 μm of copper is patterned on both sides of the polyimide substrate with
the diameter of 2.5  2.5 mm2 to form interconnections between the wire-bonded

Fig. 2 The fabrication and microassembly process of the FF-WIOS device


1042 Y. Jia and M. Ghovanloo

SoC die, μLED pads, and SMD caps. The μLEDs (220  270  50 μm3,
TR2227TM, Cree, City) were mounted on their pads using low melting point solder
(144 Alloy Field’s Metal) and then encapsulated using Parylene C (Jia et al. 2018).
The μLEDs are separated by 700 μm to ensure sufficient illumination field distinc-
tion (Kwon et al. 2013). The FF-WIOS SoC was fixated in the center of the substrate
for wire bonding, following which it was protected with ultraviolet-cured medical
grade epoxy, while capacitors were mounted on the periphery of the SoC die using
silver conductive epoxy (MG Chemicals 8331, City). The wire-wound Rx coil was
then mounted around the SoC die with its terminals connected to one of the SMD
capacitors by silver conductive epoxy to form the LRxCRx-tank that is tuned to
resonate at the power carrier frequency. Finally, the FF-WIOS device is hermetically
sealed with Parylene C and PDMS.

FF-WIOS SoC Design

The overall block diagram of the FF-WIOS SoC is shown in Fig. 3. The FF-WIOS
SoC was fabricated in the TSMC 0.35-μm 4M2P standard CMOS process, occupy-
ing a 1  1 mm2 footprint including pads. With additional test pins, the die area is
1.1 mm2. Major challenges in the design of FF-WIOS circuitry include small input
power from LRx due to its weak couplings with LTx and LRes and safety limit on the
SAR (IEEE Standards Coordinating Committee 2005). On the other hand, instanta-
neous output power to μLEDs needs to be large enough for the resulting light
intensity to surpass the optogenetic stimulation threshold (Stark et al. 2012). High
efficiency should be maintained at every step from the PA to the μLED array to

Fig. 3 A simplified system architecture of the FF-WIOS SoC


50 Wireless Circuits and Systems: Energy-Neutral Links 1043

minimize heat generation. To address the issues, we adopted the switched-capacitor-


based stimulation (SCS) circuit in Lee et al. (2014) and modified it for this particular
application.
A built-in charger of a voltage double periodically charges a storage capacitor,
CLED, from the inductive link. The target charging voltage, VCLED, is set at 5 V by the
CLPC mechanism. During stimulation, CLED is detached from the charging cell and
delivers its stored charge to the selected μLED through positive and negative
terminal selector multiplexers (MUXs) without loading the inductive link. A current
limiter is added to limit the maximum current flowing through the target μLED. To
control the timing of CLED charging/discharging, a timing control block is designed
based on the Schmitt trigger in Baker (2010) and utilized for generating reference
clocks, CLKs, and a stimulation enable signal, Stim, which pulse width and fre-
quency are adjustable. In the power management block, a voltage doubler, following
LRxCRx-tank, generates a DC voltage, VDBR. A bandgap reference generator uses a
classic topology in Baker (2010) to generate VBGR, which is used by a cap-less low
dropout regulator (LDO) to generate the supply voltage, VDD. The bias generator
block also uses the same VBGR to generate other reference voltages and currents. In
the forward data telemetry, a pulse-position-modulated clock/data recovery
(PPM-CDR) circuit recovers synchronized clock/data from the OOK coil voltage,
VCOIL, setting a 12-bit shift register through a serial-to-parallel (S2P) converter with
10-bit pre/post-amble data. LSK back telemetry is adopted for CLPC of the
FF-WIOS by sensing the VDBR value and reporting back to the headstage in a
simplified fashion.
The 60 MHz power carrier induces a VCOIL across the LRxCRx-tank, which is
rectified and regulated by the voltage doubler and cap-less low dropout regulator
(LDO) blocks, respectively, as shown in Fig. 4. The built-in charger is controlled by
the Stim input, which turns P4 on and N2 off when it is lowered. During stimulation,
Stim ¼ “1,” the charger is disabled to avoid VDD drop, while CLED is connected to the
stimulation sites as shown in Fig. 8. We adopt dynamic body biasing with two pairs
of auxiliary transistors, automatically connecting the body voltage of P1 and P5 to

Fig. 4 Schematic diagram of the voltage doubler with built-in charger and the cap-less LDO
1044 Y. Jia and M. Ghovanloo

the highest potential (Lee et al. 2014). To reduce the number of off-chip components,
a cap-less LDO is utilized to generate a stabilized VDD ¼ 1.8 V for the rest of SoC
(Rincón-Mora 2015).
Figure 5 shows the schematic diagram of the OOK-based forward data telemetry
block, adopted from Lee et al. (2014). In the OOK demodulator, VCOIL is low-pass
filtered by an envelope detector, following which OOK pulses are recovered by a
hysteresis comparator, A1, when compared with VREF2, to provide the PPM signal,
SPPM. In the PPM-CDR block, SPPM is converted to clock, CLK, using a frequency
divider. CLK controls the charging and discharging of C3, which generates a
triangular waveform, VPPM. If positioning ratio among three consecutive SPPM
pulses is 4:1, VPPM exceeds VREF3 during CLK ¼ “1,” leading to DATA ¼ ‘1’.
Otherwise, DATA ¼ ‘0’, if the positioning ratio is 1:4. In the S2P, the recovered data,
DATA, is shifted by CLK into the data buffer, which consists of 22 D-type flip-flops
(DFFs). Once the incoming preamble and post-amble data bits (D1 ~ D5, D18 ~ D22)
are matched with a pre-defined 10-bit value, a flag, StimEN, will be raised, and then
the data bits (D6 ~ D17) will be saved in registers to set the stimulation parameters.
LSK back telemetry is adopted for CLPC of the FF-WIOS. This is a key
mechanism for practical implementation in the face of headstage (basically LTx)
and brain motion artifacts, as well as uneven brain surface morphology, e.g., gyri and
sulci, in larger species. We have chosen a 160 Hz clock, CLKLSK, to control the
timing of back telemetry pulse, BT, which results in a BT data rate of 160 bps. In
Fig. 6, the pulse width of BT (1 μs or 2 μs) is decided by the number of delay cells
that are engaged in generating this pulse. The resistive divider, R4 and R5, sets the
maximum VDBR at 4.2 V. When the divided VDBR exceeds the bandgap reference
voltage, VBGR, BT pulses are generated to short LRx by closing P14 switch, resulting
in increasing LRx Q-factor, as well as the voltage across and current through LTx.

Fig. 5 Schematic diagram of the forward data telemetry with OOK modulation
50 Wireless Circuits and Systems: Energy-Neutral Links 1045

Figure 7 shows the schematic diagram of the clock generator for the timing of
charging and stimulation. A control signal, PL, at the output of a hysteresis com-
parator, A4, controls the timing and amplitude of VC4 by charging C4, in the phase of
PL ¼ ‘0’. Once VC4 reaches VREF4, PL is set to ‘1’ to discharge C4 in a short period,
generating a single narrow PL, which is converted to a reference clock, CLKREF,
through a frequency divider. In Fig. 8, a μLED is selected from the 4  4 μLED array

Fig. 6 Schematic diagram of the LSK back telemetry

Fig. 7 Schematic diagram of the clock generator for the timing of stimulation and charging

Fig. 8 Schematic diagram of the current limiter and stimulation output stage
1046 Y. Jia and M. Ghovanloo

by specifying the positive/negative terminals of the μLED through a pair of 4:1


MUXs. The current limiter, consisting of a 3-bit programmable current sink with
binary-weighted transistors, can adjust the maximum current limit and the light
output of the activated μLED. The current sink is controlled by three pairs of digital
control signals, CL0-CL2, and CL0-CL2.

3-Coil Inductive Link Design and Optimization

A 3-coil inductive link model with surrounding tissue layers of a rodent model was
constructed in HFSS (ANSYS, Cecil Township, PA) for the coil optimization
(Mirbozorgi et al. 2017). In Fig. 9a, LTx is placed above the head, while LRes and
LRx are implanted above and under the skull, respectively. Using a high Q-factor LRes
can significantly improve and homogenize the EM field over the area encompassed
by LRes. To complete the HFSS model, LRes and LRx are coated with 50 μm PDMS
and 5 μm Parylene C for biocompatibility. A simplified equivalent circuit model of
the wireless power delivery from Tx to Rx is shown in Fig. 9b.
The power conversion efficiency (PCE) of passive AC-DC converters, such as
rectifiers and voltage doublers, which use diode-connected transistors, degrades at
higher frequencies due to the parasitic capacitance. Considering the effects of
surrounding tissue and PCE of the voltage doubler that loads LRx, Fig. 10 shows
an algorithm, which generates the optimized coil specifications and operating fre-
quency that would maximize PTE  PCE. Other considerations are the application
and fabrication constraints, which are the input parameters to the algorithm, includ-
ing the distance between LTx and LRes, D, LRx diameter, dRx, LRes diameter, dRes, and
PDL. The equivalent load, RL, was set to 4.6 kΩ based on a maximum PDL of
2.7 mW at 5 Vpeak across LRx, which is imposed by the process.
Design procedure starts with LRx optimization, which effective area should be
maximized to increase the magnetic flux passing through it. Then, LRx is wire wound
around the FF-WIOS die to maximize the effective area of LRx without increasing the
diameter of the FF-WIOS. AWG 34 magnet wire is chosen for making LRx, resulting
in dRx of 1.6 mm and wire thickness, wRx, of 0.16 mm. The number of turns, nRx, is
then fine-tuned for maximizing the receiver power reception susceptibility, Rx-PRS,
which indicates the efficiency of LRx power reception under a given magnetic field

Fig. 9 (a) The model of the 3-coil inductive link with tissue layers in HFSS and (b) the circuit
equivalent model of wireless power delivery from Tx to Rx
50 Wireless Circuits and Systems: Energy-Neutral Links 1047

Fig. 10 Flowchart of the


algorithm for 3-coil inductive
link optimization

exposure (Mirbozorgi et al. 2017). To determine nRx, we need to strike a balance


between Rx-PRS and geometrical parameters of LTx and LRes as a function of
frequency to maximize PTE. Therefore, nRx is chosen after determining the carrier
frequency of the 3-coil inductive link.
LRes is designed based on the size of target cortical area. In our application, we
expect to observe light-evoked neural activities from the primary visual cortex of a
rat following the FF-WIOS optical stimulation. According to Gias et al. (2005),
approximately 0.5–5 mm lateral of the skull midline overlays the visual cortex in
each side lobe. As a result, dRes should be larger than 10 mm to encompass the visual
cortex areas in both left and right lobes. With a certain margin of error, LRes is
implemented with dRes ¼ 11.6 mm. The wire thickness, wRes, and the number of
turns, nRes, were selected considering the limited space on the rat skull for LRes, its
Q-factor, and coupling coefficient between LRes and LRx. The result was a single-turn
coil made of 0.4 mm (AWG 26) magnet wire.
The distance between LTx and LRes, D, is determined by the thickness of various
tissue layers, depending on the anatomical position of the FF-WIOS. For the rodent
model, we considered the thickness of the skin and fat to be 5 mm at most. The
optimal size of LTx is directly related to D and is calculated for maximum coupling
between LTx and LRes using equation (4) in Mirbozorgi et al. (2017). LTx is made of
0.8 mm (AWG 20) magnet wire for high Q-factor. It is relatively easier to fine-tune
LTx parameters compared to those of LRx and LRes. To find the best, the number of
turns, nTx, PTE of the 3-coil link is simulated from 10 MHz to 160 MHz for 1- and
2-turn LTx in Fig. 11. The PTE with 2-turn LTx is lower across the entire frequency
range, and its peak occurs at lower frequency. As a result, LTx is designed as a single-
turn coil.
The PCE of the voltage doubler is yet another key factor in determining the
overall efficiency of the power delivery path. In Fig. 11, the PCE of the voltage
doubler drops sharply as the frequency increases because of parasitic capacitors of
1048 Y. Jia and M. Ghovanloo

the diode-connected transistors. The best operating frequency was decided based on
the peak value of PTE  PCE. The results of PTE  PCE with both 1-turn and 2-turn
LTx are divided by the peak value of PTE  PCE for normalization. The peak value
of the normalized PTE  PCE appears at 60 MHz with the 1-turn LTx. Thus, 60 MHz
is selected as the carrier frequency of the 3-coil inductive link, with nRx ¼ 6. In
practice, designers should also consider other factors, such as regulatory require-
ments and interference with other devices in the users’ environment in the choice of
the power carrier frequency.
Figure 12a shows the directional power flux density, i.e., the rate of power
transfer per unit area, using Poynting vectors. The power density varies from 1 to
5  103 W/m2 when the input power level is set at 12.86 mW to deliver a target PDL
of 2.7 mW to the FF-WIOS. The threshold marked on the vertical column indicates
the required power flux density to deliver PDL  2.7 mW. The area where LRx is to
be located is above the threshold, indicating sufficient received power. LRes and LRx
are concentrically aligned with LTx in this model. In terms of PTE vs. horizontal
misalignment, this arrangement is considered the worst-case scenario for LRx, as

Fig. 11 HFSS simulation results for PTE of the 3-coil inductive link vs. power carrier frequency
and nTx, simulated PCE of the voltage doubler as a function of frequency, and normalized
PTE  PCE vs. frequency and nTx

Fig. 12 (a) Poynting vector and (b) local SAR simulations in HFSS
50 Wireless Circuits and Systems: Energy-Neutral Links 1049

demonstrated in Mirbozorgi et al. (2017). Since the 3-coil inductive link provides
sufficient PDL in the worst-case scenario, and the Poynting vector in Fig. 8a shows
higher EM power density close to the perimeter of LRes, the entire area within LRes is
indeed covered with sufficient PDL. Concerning the exposure to EM field and heat
generation in the tissue, Fig. 12b presents the HFSS simulation of the local SAR for
different tissue layers under the same power source setting. Simulation results show
that the maximum local SAR is ~2.78 W/kg, which is well below the safety limit of
20 W/kg (IEEE Standards Coordinating Committee 2005).

System Implementation

Figure 13 shows the in vitro setup using tissue layers in a cube cut out of a sheep
head, including the brain, skull, fat, and skin for preliminary evaluation of the system
operation. The headstage, consisting of two stacked PCBs and LTx, is powered by a
100 mAh rechargeable LiPo battery, resulting in the size of 15  15  23 mm3 and
weight of 4.2 g. The headstage is placed face down above the skin so that LTx can be
close to LRes and LRx. The assembled FF-WIOS device and LRes are encapsulated
with 5 μm Parylene C and ~50 μm PDMS before implantation. The FF-WIOS
device, with dimensions and weight of 2.5  2.5  1.5 mm3 and 15 mg, respectively,
is placed on the surface of the brain, while LRes is placed above the skull but under
the scalp, 2 mm height from the FF-WIOS device. Between LTx and LRes is the skin
and fat layers of scalp with a total thickness of 5 mm. LTx, LRes, and LRx are
concentrically aligned. The 3-coil inductive link was implemented using the opti-
mized geometries from the optimization algorithm, and the specifications are
summarized in Table 1. In this setup, the main job of the headstage includes
(1) delivering power through the 3-coil inductive link to the FF-WIOS device,
which drives a selected μLED to apply optical stimulation in a user-defined pattern,
(2) establishing BLE link with the nearby PC, (3) OOK modulating the power carrier

Fig. 13 Miniature FF-WIOS prototype with a close-up view of the FF-WIOS and the resonator
1050 Y. Jia and M. Ghovanloo

to transfer data to the FF-WIOS device, and (4) recovering BT pulses for CLPC,
resulting in 97.6 mW drawn from the 3.7 V battery.
The headstage is made of commercial off-the-shelf (COTS) components. Its
simplified schematic diagram is shown in Fig. 14. In the power management
block, a DC-DC converter directly powers the PA. The DC-DC converter output
voltage VPA_HS is adjustable by programming the digital potentiometer, while a
voltage regulator generates VDD_HS ¼ 3.3 V from VPA_HS for the rest of the
headstage. In the class-E PA, a 13.56 MHz oscillator provides gate drive of the
power MOSFET, while the PA drives LTx to deliver power to the FF-WIOS device
through the inductive link. To implement the OOK forward telemetry link, the
headstage MCU OOK modulated the PA power carrier by switching on and off the
oscillator. The LSK back telemetry link is also implemented for CLPC. The enve-
lope detector monitors the voltage variations across LTx, which is extracted by a
band-pass filter before being amplification. The resulting signal is then compared
with a reference voltage, VREF, to recover BT pulses, which are detected by the

Table 1 Measurement of Coil Tx Resonator Rx


3-coil inductive link
Inductance (nH) 26 21.2 50.1
specifications at 60 MHz
Resistance (Ω) 0.04 0.1 0.85
Quality factor (Q) 246 79 22
Diameter (mm) 14.3 11.6 1.6
Number of turns 1 1 6
Wire gauge AWG 20 AWG 26 AWG 34
Separation (mm) DTx-Res ¼ 5 DRes-Rx ¼ 2
PTE 21%
Carrier frequency ( f ) 60 MHz

Fig. 14 Schematic diagram of the headstage


50 Wireless Circuits and Systems: Energy-Neutral Links 1051

headstage MCU. The rule of thumb in designing the CLPC is to reduce the
complexity and power consumption of the FF-WIOS device, which has limited
power budget and size, often at the cost of more complexity on the headstage side,
which is not under the abovementioned constraints. Similar to Lee et al. (2016), the
operation of the CLPC algorithm is that the headstage MCU decreases VPA_HS, when
it detects the BT pulses; otherwise, VPA_HS is continually increased by default at an
adjustable rate. In steady state, VPA_HS bounces within a range to stabilize the amount
of power delivered to the FF-WIOS device. VPA_HS is also sampled by the built-in
analog-to-digital converter (ADC) of the headstage MCU and sent out via BLE to be
displayed on the GUI running on the PC in real time.

Bench-Top Characterization

The PTE of the inductive link and the PCE of the voltage doubler were measured,
respectively, and compared with simulations in Fig. 15, as a function of frequency.
The PTE  PCE was also calculated and normalized. Measurements have good
agreement with simulation results. The lower PTE in measurements can be attributed
to the effect of tissue on LRes. Its high Q factor is affected by the large contact area
with the surrounding tissue, which makes it prone to detuning. The difference
between the measured and simulated PCEs could be due to process variation and
parasitic effects of the measurement instruments. The parasitic inductance and
capacitance from the probes cause distortion in the measured waveforms at higher
frequencies, resulting in further reduction in the measured PCE. The key point here
is that the optimal carrier frequency to achieve maximum PTE  PCE is still at
60 MHz, as expected from the simulations and design target.
Figure 16 shows the measurement results of the forward data telemetry. VCOIL is
OOK demodulated to generate SPPM, which is converted to synchronized 50 kbps

Fig. 15 PTE of the 3-coil inductive link and PCE of the voltage doubler in both simulation and
measurement as a function of frequency and normalized PTE  PCE based on the measurement
results
1052 Y. Jia and M. Ghovanloo

Fig. 16 Measured results of forward data telemetry block

CLK and DATA by PPM-CDR. In the close-up view, SPPM with pulse position ratio
of 4:1 is interpreted as DATA ¼ “1.” On the contrary, when the positioning ratio is
1:4, DATA ¼ “0.”
Figure 17 shows the CLPC operation when the headstage is moved manually
from D ¼ 10 mm to 5 mm and then back to 10 mm. As the headstage gets closer to
the FF-WIOS device, BT pulses are generated when VDBR is larger than a certain
threshold, indicating that there is more than enough power available to the FF-WIOS
device. In response, voltage across LTx increases, and the CLPC starts reducing VPA
to compensate for this perturbation. VPA decreases by 1 V in 8 steps, resulting in
the step size of 0.125 V. It takes ~60 ms for VDBR to return back to 4.2 V. As the
headstage moves back to its original location, VPA starts to increase by default in
the absence of BT pulses. During the short switching period of LRx, the FF-WIOS
SoC is powered by the stored charge in CL, and VDD remains stable at 1.8 V.
Figure 18 shows the charging and discharging of the 10 μF CLED to generate
optical stimulation with 2 ms pulse width at 10 Hz. The μLED current, ILED, is
limited to 10 mA. Once stimulation starts, CLED discharges in the target μLED with a
decaying exponential current. VDBR shows a slight drop (0.45 V), which is much less
than VCLED (voltage across CLED), and remains above the minimum level (2.6 V)
required for VDD not to be affected by its variations. The emitted light from μLED
(0.5  1  0.4 mm3, LB QH9G, OSRAM) during stimulation pulse was collected by
a photodetector (Newport 883-SL) of an optical power meter (Newport 1835-C).
The normalized output light (NOL) expectedly follows the stimulation current
variation but with a slight delay. After each stimulation, CLED is recharged back to
the target voltage within 30 ms.
Following startup, as shown in Fig. 19a, it takes ~50 ms for VDBR and VCLED to
stabilize at their steady-state target voltages. Before this, VDD and VBGR have already
50 Wireless Circuits and Systems: Energy-Neutral Links 1053

Fig. 17 Measured results of back telemetry mechanism for CLPC

Fig. 18 Measured results of switched-capacitor charging and stimulation function

been stabilized within 20 μs at 1.8 and 1.2 V, respectively. The μLED current under
4 different settings was measured from the voltage across a 10 Ω current sensing
resistor in series with the μLED. In Fig. 19b, ILED increases from 2.5 to 10 mA in a
2.5 mA step according to the design specifications. The μLED output light during a
1054 Y. Jia and M. Ghovanloo

Fig. 19 (a) Starting up transients of the power management block, (b) measured μLED current at
four stimulation current settings, and normalized output light as a function of (c) time and (d) μLED
current

Table 2 Measured specifications of the FF-WIOS SoC


Overall system Stimulation parameters
ASIC area 1 mm2 Stimulation freq. 2.5–10 Hz, 2 bits
Power with stimulation 1 mW (average) Pulse width 0.5–2 ms, 2 bits
Power without 300 μW Current limiter 250 μA–1 mA, 2.5–
stimulation 10 mA
Voltage doubler 43%
efficiency
Switch-capacitor-based stimulation Forward and back data telemetry
Target voltage 5V Data bits 12 bits
Charging efficiency 37% Pre/post-amble 10 bits
bits
Charging time 30 ms PPM data rate 50 kbps
CS/CLED/CL 10 μF/10 μF/ LSK data rate 160 bps
10 μF
Optical stim. efficiency 62.5% BT pulse width 1 or 2 μs, 1 bit

stimulation pulse is also measured at each current level with 2 ms pulse width at
10 Hz, as shown in Fig. 19c. To validate measurement results with the μLED
datasheet, we normalized the output light. The output light at each μLED current
is divided by the peak value of the light intensity (4.8 mW/mm2) under 5 mA current
for normalization. Figure 19d shows that normalized output light intensities under
different currents match with specifications of the μLED. A summary of the
FF-WIOS SoC characterizations is presented in Table 2.
50 Wireless Circuits and Systems: Energy-Neutral Links 1055

In Vivo Experiments

In vivo experiments were conducted to verify the efficacy of the FF-WIOS to


optically evoke neural activities in the visual cortex of anesthetized rats. One male
and one female adult rat (Sprague Dawley, 600–650 g) were tested based on our
established protocols approved by the Institutional Animal Care and Use Committee
(IACUC) at Michigan State University. Using the stereotaxic surgery protocol in Jia
et al. (2018), adeno-associated virus (AAV) that carries optogenetics opsin
(AAV-hSyn-hChR2 (H134R)-mCherry; UNC Vector Core) was injected bilaterally
into the rat’s visual cortex. Postinjection, the rats were housed in the animal facilities
for 4 weeks till the visual cortex neurons express channelrhodopsin-2 (ChR2). Then
the animals were subjected to in vivo experiments.
Under anesthesia, unilateral optical stimulation was performed on the left visual
cortex by selectively driving μLED1 with user-defined parameters, while local field
potentials (LFPs) were simultaneously recorded through a tungsten electrode, which
penetrated into the left visual cortex cortical layers. The LFP recordings were
amplified and digitalized through a commercial 32-ch Intan system (RHD2132)
and then uploaded to a PC for data analysis using a MATLAB Chronux toolbox.
Figure 20 shows LFPs recorded at the depths of 100, 500 μm, and 1 mm,
following light stimulation directed with a pulse train of 2 ms pulse width, 2.5 Hz
frequency, and 10 mA stimulation current. Light-evoked LFPs were clearly observed
at the ~100 μm depth in response to the optical stimulation. However, the LFP
variations decrease in amplitude as the recording depth increases. This result reveals
that the optical stimulation applied by the FF-WIOS at the surface of the brain can
evoke the neurons in superficial layers but not in the deeper layers.
At the effective stimulation depth of 100 μm, LFPs were measured when the
μLED light intensity is above and below the threshold of 1 mW/mm2 (Stark et al.
2012). The stimulation pulses have 2 ms pulse width at 2.5 Hz with 10 and 2.5 mA
current limits, corresponding to the light intensity of ~10 and ~0.95 mW/mm2,
respectively. Spontaneous LFPs were also recorded as a baseline when μLEDs
were completely off. We expected to observe light-evoked LFPs, i.e., synchronized
with above threshold stimulation, being distinguishable from the LFPs uncorrelated
with below threshold stimulation.

Fig. 20 LFP recordings at different depths through the tungsten electrode


1056 Y. Jia and M. Ghovanloo

LPF recordings recorded at different light intensities are compared in Fig. 21 over
a time span of 50 s. The stimulation flags in the close-up view of 1 s long LFP
recordings indicate the occurrence of a stimulation pulse. Photoelectric artifacts
induced from light stimulation came along with the LFPs (Fan and Li 2015). The
recorded LFPs with below threshold stimulation is not evoked as compared to the
spontaneous LFPs, while with 10 mA stimulation current, the recorded LFPs show
significantly larger variations, suggesting that the above threshold stimulation can
effectively evoke neural activity.
The Hilbert transformation was applied to extract the instantaneous phases of
200 trials of LFP recordings within a frequency range of 1–25 Hz (Jia et al. 2018). In
Fig. 22, colors indicate the instantaneous phase of each trial. The 200 trials are
aligned to the concurrence of the optical stimulus and stacked. The Y axis of the
Hilbert transformation in Fig. 22 is the number of trials. Since each trial lasts 0.4 s,
the X axis of the Hilbert transformation indicates the time duration of the stimulation
trials. Expectedly, the spontaneous LFPs with random phases did not show phase
synchrony. Very short phase synchrony was observed at the 2.5 mA stimulation
current, which could only be the effect of photoelectric artifacts. In contrast, strong
and reliable phase-locked synchronization was observed across 200 trials within a
time window of ~100 ms following the optical stimulation at 10 mA current
( p < 0.05, Wilcoxon signed-rank test).

Fig. 21 LFP analysis in terms of amplitude variation with above threshold stimulation (left), below
threshold stimulation (middle), and no stimulation (right)

Fig. 22 LFP analysis in terms of instantaneous phases with above threshold stimulation (left),
below threshold stimulation (middle), and no stimulation (right)
50 Wireless Circuits and Systems: Energy-Neutral Links 1057

Fig. 23 LFP analysis in terms of normalized PSD with above threshold stimulation (left), below
threshold stimulation (middle), and no stimulation (right)

Furthermore, 200 trial LFPs were averaged and mapped onto a time-frequency
graph of color-coded, normalized power spectral density (PSD) distribution (Jia et al.
2018). Figure 23 shows the PSD results in a 1–300 Hz frequency range, where a
significant increase in PSD was observed in a short time window of ~100 ms
following the optical stimulation of 10 mA. In contrast, stimuli at 2.5 mA only
caused a slight increase in PSD as compared to the PSD of the spontaneous LFPs,
which can be mainly attributed to the photoelectric artifacts.
In addition to LFPs, immunochemical analysis was performed to identify the
increased expression of c-Fos as an indirect measure of light-evoked neuronal
activity induced by optical stimulation (Jia et al. 2018). In this experiment, the left
visual cortex lobes of both rat #1 and #2 were stimulated optically for 45 mins, with
2 ms pulse width, 2.5 Hz pulse rate, and 10 mA stimulation current, while the right
visual cortex was untouched as a control. Figure 24 shows the fluorescent micro-
scope images of the post-processed brain tissue with a thickness of 50 μm. Green
fluorescence spots indicate cells expressing c-Fos, while orange spots are m-cherry
stained cells that are expressing optogenetic opsins (ChR2). The tissue analysis
results show a significant increase in the c-Fos expression under 10 mA stimulation,
implying elevated neural activity. In contrast, only a slight increase in the c-Fos
expression was observed at the 2.5 mA current, most likely representative of
background activity since both of the rats’ eyes were open during testing (Jia et al.
2018). Moreover, the overlapping of the cells expressing both m-cherry and c-Fos
reveals that the same transfected cells express increased activities induced by the
above threshold optical stimulation.

Conclusion and Discussion

We have presented a wirelessly powered free-floating implantable optical stimula-


tion device with distrusted architecture. With its compact size and light weight, the
FF-WIOS is expected to minimize tissue damage and therefore enable efficient
chronic wireless optical stimulation. Thanks to the 3-coil inductive link design, the
FF-WIOS is efficiently powered while staying well below the SAR limit. Wireless
data transmission between the headstage and FF-WIOS is established with OOK
modulation of the power carrier. The CLPC mechanism is also utilized to ensure
1058 Y. Jia and M. Ghovanloo

Fig. 24 c-Fos expression in the left and right visual cortex lobes of the animal subject

stable power delivery to the FF-WIOS. The SCS architecture implemented in the
FF-WIOS SoC provides high instantaneous current for effective optical stimulation
without putting too much burden on the inductive link. The circuit topology for each
block is chosen for power/area efficiency and design simplicity to achieve a compact
and reliable SoC design. It is possible to further reduce power consumption using
ultra-low power circuit design techniques. We have verified the functionality of the
entire system in vitro and in vivo on the sheep head and rat models, respectively. We
targeted the left visual cortex of two anesthetized rats with wireless optical stimula-
tion while observing light-evoked LFPs and immunostained tissue responses.
Table 3 benchmarks the FF-WIOS against state-of-the-art optical implants in the
literature. The FF-WIOS is competitive in terms of implant size, weight, and
stimulation capability. The near-field 3-coil link combined with SCS charge storage
is a safe and efficient strategy that can offer sufficient PDL for optical stimulation.
Moreover, CLPC can handle misalignments much better than ultrasound and
focused EM field at low and high frequencies, respectively. The FF-WIOS also
benefits from high-level integration of 16-ch stimulation in terms of high spatial
precision and provides the end user with full control over the key stimulation
parameters, such as stimulation current, pulse width, and frequency.
From the neuroscience perspective of alignment with a particular target in the
brain, a combination of multiple FF-WIOS distributed within the region of interest,
and each FF-WIOS being capable of driving a 4  4 μLED array with 700 μm pitch
50

Table 3 Benchmarking of mm-sized, wirelessly powered optogenetic interfaces


Montgomery et al. Noh et al. Shin et al. Tokuda et al. Charthad et al.
Publication (2015) (2018) (2017) (2018) (2018) This work
Technology COTS COTS COTS 0.35-μm CMOS 0.18-μm HV BCD 0.35-μm
CMOS
Wireless power, operating freq. RF, 1.5 GHz RF, 2.9 GHz RF, Photovoltaic Ultrasound, RF, 60 MHz
13.56 MHz 1.314 MHz
Number of stim. channels 1 4 1 1 4 16
Max. ILED (mA) – – 20 5 5 10
Light intensity (mW/mm2) 1–60 30 1–50 15 1.4–23 Up to 10
Stimulation Power 19a – – 10.7a 50.3b 37.5b
efficiencya eff.b %
Optical – – 30.7c 62.5c
eff.c %
3
Wireless Circuits and Systems: Energy-Neutral Links

Device size (mm ) 10–25 125 9.8 mm 1.3  1.3 2  3  6.5 2.5 3 2.5 3 1.5
diameter (0.6–1.0)
1.3 mm
thickness
Device weight 20–50 220 30 2.3 78 15 mg
Stim. proper control No No No No Yes Yes
In vivo experiments Yes Yes Yes No Yes Yes
a
Stim. eff. ¼ power eff.  optical eff
b
Power eff. ¼ rectifier eff.  LDO eff
c
Optical eff: output light power divided by input power
1059
1060 Y. Jia and M. Ghovanloo

give the neuroscientist sufficient flexibility, redundancy, and leeway to try multiple
adjacent channels or nearby devices to find the optimal target. In rodents, surgical
procedure involves creating small holes in the skull that match the size of FF-WIOS
at the target locations to reach the surface of the cortex. After placing the device
inside the whole, it will be sealed by dental cement. This approach would preserve
key feature of the FF-WIOS to be free-floating with no anchor onto the skull or brain
tissue and minimize damage to the surrounding tissue. Due to small intracranial
space and thinness of the skull bone, LRes will be placed above the skull but under the
scalp to power the FF-WIOS devices underneath through the bone. LRes is stationary
and covers the target brain area. Therefore, no accurate alignment is needed when
one or more FF-WIOS are to be located within LRes, and every one of them can
receive sufficient power regardless of their position.

References
Amar A, Kouki A, Cao H (2015) Power approaches for implantable medical devices. Sensors
15(11):28889–28914
Baker RJ (2010) CMOS: circuit design, layout, and simulation. Wiley, Hoboken
Charthad J, Chang TC, Liu Z, Sawaby A, Weber MJ, Baker S, Gore F, Felt SA, Arbabian A (2018)
A mm-sized wireless implantable device for electrical stimulation of peripheral nerves. IEEE
Trans Biomed Circuits Syst 12(2):257–270
Deisseroth K (2011) Optogenetics. Nat Methods 8(1):26–29
Fan B, Li W (2015) Miniaturized optogenetic neural implants: a review. Lab Chip 15(19):3838–
3855
Gias C, Hewson-Stoate N, Jones M, Johnston D, Mayhew JE, Coffey PJ (2005) Retinotopy within
rat primary visual cortex using optical imaging. NeuroImage 24(1):200–206
IEEE Standards Coordinating Committee (2005) IEEE standard for safety levels with respect to
human exposure to radio frequency electromagnetic fields, 3 kHz to 300 GHz. IEEE C95.
1-2005
Jia Y, Khan W, Lee B, Fan B, Madi F, Weber A, Li W, Ghovanloo M (2018) Wireless opto-electro
neural interface for experiments with small freely behaving animals. J Neural Eng 15(4):046032
Kwon KY, Sirowatka B, Weber A, Li W (2013) Opto-μECoG array: a hybrid neural interface with
transparent μECoG electrode array and integrated LEDs for optogenetics. IEEE Trans Biomed
Circuits Syst 7(5):593–600
Lee HM, Kwon KY, Li W, Ghovanloo M (2014) A power-efficient switched-capacitor stimulating
system for electrical/optical deep brain stimulation. IEEE J Solid State Circuits 50(1):360–374
Lee B, Kiani M, Ghovanloo M (2016) A triple-loop inductive power transmission system for
biomedical applications. IEEE Trans Biomed Circuits Syst 10(1):138–148
McConnell GC, Rees HD, Levey AI, Gutekunst CA, Gross RE, Bellamkonda RV (2009) Implanted
neural electrodes cause chronic, local inflammation that is correlated with local
neurodegeneration. J Neural Eng 6(5):056003
Mirbozorgi SA, Yeon P, Ghovanloo M (2017) Robust wireless power transmission to mm-sized
free-floating distributed implants. IEEE Trans Biomed Circuits Syst 11(3):692–702
Montgomery KL, Yeh AJ, Ho JS, Tsao V, Iyer SM, Grosenick L, Ferenczi EA, Tanabe Y,
Deisseroth K, Delp SL, Poon AS (2015) Wirelessly powered, fully internal optogenetics for
brain, spinal and peripheral circuits in mice. Nat Methods 12(10):969–974
Noh KN, Park SI, Qazi R, Zou Z, Mickle AD, Grajales-Reyes JG, Jang KI, Gereau RW IV, Xiao J,
Rogers JA, Jeong JW (2018) Miniaturized, battery-free optofluidic systems with potential for
wireless pharmacology and optogenetics. Small 14(4):1702479
50 Wireless Circuits and Systems: Energy-Neutral Links 1061

Rincón-Mora GA (2015) Power IC design-from the ground up. LuluMorrisville, NC, USA
Santaniello S, Fiengo G, Glielmo L, Grill WM (2010) Closed-loop control of deep brain stimula-
tion: a simulation study. IEEE Trans Neural Syst Rehabil Eng 19(1):15–24
Shin G, Gomez AM, Al-Hasani R, Jeong YR, Kim J, Xie Z, Banks A, Lee SM, Han SY, Yoo CJ,
Lee JL (2017) Flexible near-field wireless optoelectronics as subdermal implants for broad
applications in optogenetics. Neuron 93(3):509–521
Stark E, Koos T, Buzsáki G (2012) Diode probes for spatiotemporal optical control of multiple
neurons in freely moving animals. J Neurophysiol 108(1):349–363
Tokuda T, Ishizu T, Nattakarn W, Haruta M, Noda T, Sasagawa K, Sawan M, Ohta J (2018) 1 mm3-
sized optical neural stimulator based on CMOS integrated photovoltaic power receiver. AIP Adv
8(4):045018
Wireless Circuits and Systems: FM
Telemetry Devices 51
Chin-Lung Yang

Contents
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1064
Architecture of FM Telemetry Devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1065
Circuit Design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1066
Theoretical Analysis of 400 MHz VCO . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1066
VCO with Temperature Compensation Operating in Med-Radio Band . . . . . . . . . . . . . . . . . . . 1073
Operational Transconductance Amplifier Filter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1077
Simulation Results . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1078
Conclusions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1083
References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1084

Abstract
This chapter presents frequency modulated (FM) telemetry devices for wireless
circuits and systems. A low-power implantable monitoring system in Med-Radio
band is introduced and includes a sampling amplifier, and a Gm-C filter, a voltage
control oscillator with temperature compensation and bandgap reference (BGR).
The chip is designed based on a standard TSMC 0.18-μm CMOS process. The
power consumption of the sampling amplifier and Gm-C filter is 10.7 μW and
300 μW, respectively. The cutoff frequency of the filter is 4 MHz. The output
frequency of VCO with temperature compensation ranges from 401 MHz to
406 MHz, and the temperature variation coefficient is only 10 ppm/oC. The

C.-L. Yang (*)


Wireless Innovative System and EM-Applied (WISE) Lab, Instrumentation System and Chip
Group, Department of Electrical Engineering, National Cheng Kung University, Tainan City,
Taiwan
e-mail: cyang@mail.ncku.edu.tw

© Springer Science+Business Media, LLC, part of Springer Nature 2022 1063


M. Sawan (ed.), Handbook of Biochips,
https://doi.org/10.1007/978-1-4614-3447-4_33
1064 C.-L. Yang

VCO power consumption is 661 μW. The output voltage of the bandgap reference
circuit is 1 V, and the voltage variation coefficient is 0.52%/V. The temperature
variation coefficient is 2 ppm/oC, and the power consumption is 131.6 μW. In the
temperature measurements, the maximal error is 0.057 with the standard devi-
ation of 0.034 .

Introduction

Modern medical, electronics, and wireless communications technologies have been


developed fast in the past decades. Implantable medical devices for health monitor-
ing have attracted considerable attentions for remote home care applications. There
are many implantable devices that have been proposed even in medical applications,
such as retinal prosthesis, glaucoma monitoring, cochlear implants, cardiac pace-
makers, implantable cardiac defibrillators, blood coagulation detection devices, and
so on (Liu et al. 2000; Chow et al. 2008; Loizou 1999; Wong et al. 2004; Halperin
et al. 2008; Yang et al. 2012).
General biomedical implantable devices measure the voltage or current using
biosensors related to those physiological signs. This voltage or current is sampled
with the circuit and controls the oscillator to process the frequency modulation
(FM) followed by a power amplifier and an antenna for transmission. Both
amplitude shift keying (ASK) and frequency shift keying (FSK) are popular to
transmit the modulated signals; however, due to the varying and complicated
biological activities and environments, frequency modulated signals suffered less
uncertainty from attenuation, interferences, or noise. Therefore, frequency modu-
lation is suitable for the purpose of biomedical telemetry devices (Moradi and
Sawan 2015).
The implantable biomedical devices have to consider the variation problems of
the physiological environments, such as temperature, interference, and noise. The
signal voltages are determined based on a stable reference voltage circuit.
Concerning the temperature variations, the CMOS parameters and the circuit char-
acteristics vary with the temperature caused by the biological environments or the
heat during the chip operation. The temperature will seriously affect all the circuits,
especially the oscillator. In this chapter, not only a typical full transmitter system is
introduced but also temperature-compensated circuits are included to solve the
problem of the voltage and frequency drifts due to the temperature (Zhang and
Apsel 2011).
In this chapter, the telemetry system is featured of a low power, low voltage, and
low noise, and furthermore, its performance is not affected by the temperature
variation. The bandgap voltage circuit produces a stable reference voltage to supply
the system power. The system noise and interference are filtered by a low-pass gm-c
filter, which uses the current cancellation to achieve low power consumption at low
cutoff frequency. A ring oscillator is applied to achieve small size and low power
consumption.
51 Wireless Circuits and Systems: FM Telemetry Devices 1065

Architecture of FM Telemetry Devices

This chapter presents a wireless platform of implantable systems for temperature


detection, as shown in Fig. 1. Thermistors is chosen as temperature sensors whose
resistance (ΔR) can be varied according to the temperature change (ΔT ) and can be
sampled with amplifiers. The sampled voltage will be interfaced by physiological
and system noise, so a low-pass filter is followed to suppress the noise. Furthermore,
the resistance change is converted into the voltage change (ΔV ), which enables to
control the voltage controlled oscillator (ΔF), resulting in a simple FM transmitter.
In the receiver end, a receiving antenna is attached on a spectrum analyzer to record
the central frequency and demodulated to the sampling temperature information.
The physiological sensor requires small size for implantable applications. The
thermistor (Vishay PTS0805) has the volume of 2  1.25  0.45 mm3. The target
temperature detection is assumed to be a high-accuracy measurement of the human
body temperature, which typically ranges from 36 to 37.5 centigrade; the chosen
resistor has the corresponding values from 570 to 573 Ω over this temperatures. Only
a small 3-Ω difference of resistance can be detected, implying a 0.5% variation. Due
to the small variation, an operation amplifier (OP-Amp) is employed for a boosted
voltage signal. The typical conversion amplification circuits to read-out the therm-
istor are wheatstone bridge, resistive subdivision, constant current methods, active
bridge, and negative impedance converter (Goldstein and Szwarc 2001). The advan-
tage of the active bridge circuit is due to that V+ is not directly grounded, resulting in
the reduction of the noise. A proper operation range is adjusted by Vn. The active

Antenna

TX RX
Bandgap VCO
Reference ∆V®∆f Receiver
System

Sensor LPF

temperature Smart
Sampling
Amplifier
Phone
pH values
∆R®∆V
blood O2
Health
Sensor
∆T®∆R Cloud

Fig. 1 System block diagram of the wireless temperature measurement system


1066 C.-L. Yang

Fig. 2 Active bridge circuit


Vn R1
R2
-
T Vout
+
Vs
RT
Z1

bridge circuit is shown in Fig. 2. In this design, precision resistance alloys are chosen
for implementation due to high accuracy, stability, and low temperature coefficient.

Circuit Design

Theoretical Analysis of 400 MHz VCO

According to the report proposed by the FCC organization, the frequency range of
MedRadio band is within 401 MHz to 406 MHz. Thus, the center oscillation
frequency of VCO is designed at 403 MHz. Furthermore, the frequency tuning
range should be designed as larger as possible to avoid the effects of process and
biological variation. Because of the implantable device, the power consumption is
very critical and should remain small to avoid harm to the human body as well as to
prolong the battery life, and the expected phase noise should be acceptable under the
constraint of small layout area. However, it is challenging to achieve the all speci-
fications mentioned above, so the most crucial factors of low power consumption, a
wide tuning range, and a small chip area are designed. From the studies in recent
years, it can be found that LC-tank type VCO has superior phase noise in the
lower power consumption condition. However, LC-tank also has the disadvantages
such as:

1. Compared to the ring VCO, the tuning range of LC-tank VCO is smaller (about
10–20%), but the tuning range of ring VCO can reach 50% or more. Because
LC-tank VCO has smaller tuning range, it also has limited capability to deal with
frequency offset in the center frequency compared to the simulation results.
2. Most of the LC-tank VCOs are used in the higher frequencies, such as 2.4 GHz,
5 GHz, or even above 30 GHz. The reason for high frequency is that the
wavelength is small and so has the chosen inductance the smaller size and area.
Regarding to the low frequency 403 MHz, the value and area of inductance must
be relatively large and make it impractical for implantable application.
3. Since inductors occupy the large area in the chip, thereby increasing the consid-
erations of cost, yield, and quality factor. In contrast, the ring VCO occupies a
relatively small area and could improve the consideration of cost and yield.

According to the above discussions, our main focus of implantable device is on


the area and power consumption. Thus, applying and optimizing the architecture in
51 Wireless Circuits and Systems: FM Telemetry Devices 1067

reference (Yan and Luong 2001). This ring oscillator is composed of two differential
delay cells, and the output signals are sent through the buffer for the measurement.
The schematic of circuit blocks is shown in Fig. 3. The single delay cell unit
involves a PMOS transistor, two pairs of common-source PMOS, and a pair of
common-source NMOS transistors, as shown in Fig. 4. The operating bandwidth of
general ring VCO is controlled by the varactor implemented using the p-n junction
of MOSFET or variable load impedance, and thus has the limited range. However, in
this architecture, by controlling the current flowing through Mb1, the trans-
conductance gm of PMOS transistors Mp2 can be adjusted. With this design
approach, the operating bandwidth could increase up to as much as 50%.
To analyze the small signals of the circuit, the gain of delay cell unit can be
represented as:

Outp
Buffer

Delay #1 Delay #2

Buffer
Outn

Fig. 3 Building blocks of the ring VCO applied to the implantable device

Fig. 4 Single delay cell unit VDD


of the differential ring VCO

Mb1 Vcon

Mp2 Mp1 Mp1 Mp2

Out- Out+

In+ Mn1 Mn1 In-

gnd
1068 C.-L. Yang

Vo gmn1
AðsÞ ¼ ¼  (1)
Vin gmp1 þ gmp2 þ GL þ sCL

where

GL ¼ gdn1 þ gdp1 þ gdp2 (2)

CL ¼ Cgsn1 þ 2Cgdn1 þ Cdbn1 þ Cgsp1 þ 2Cgdp1 þ Cdbp1 þ Cgsp2 þ Cdbp2


þ Cbuffer (3)

where

gm: the trans-conductance


gd: the channel conductance
Cgs: the gate-to-source capacitance
Cgd: the gate-to-drain capacitance
Cdb: the drain-to-bulk capacitance
Cbuffer: the capacitance of output buffer.

Generally, to start and maintain the stable oscillation, the whole cascaded phase
should be 180 . In the view of this framework, the phase in each delay cell should be
equal to or larger than 90 . To achieve this condition, the following condition must
be satisfied according to (4):

gmp1  gmp2 þ GL (4)

Subsequently, the maximal, minimal and center oscillation frequency can be


shown as (5, 6, and 7):

vffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi
u  2
u 2
1 u gmn1  gmp1 þ gmp2 þ GL
f osc ¼ t (5)
2π C2L

1 gmn1
f max ffi  (6)
2π CL
sffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi
1 g2mn1  g2mp1
f min ffi  (7)
2π C2L

Therefore, according to the above formulas, when the corresponding ratios of


MOS transistors can be designed properly, the center frequency would meet
403 MHz. Table 1 lists the designed parameters:
The taped-out buffer is composed of the inverters with the given ratio, as shown
in Fig. 5. The reason for this buffer is not only to simplify the circuit complexity but
51 Wireless Circuits and Systems: FM Telemetry Devices 1069

Table 1 Relevant parameters of the single delay cell unit


The parameters of the delay cell unit
MOSFET Mb1 Mp1 Mp2 Mn1
W/L (μm) 20/0.5 15.2/0.31 7/0.5 4.92/0.5
Supply voltage: 1.8 V
Voltage tuning range: 0–1.8 V

Fig. 5 Build block of the VDD


VCO buffer

Mp1 Mp2

M=1 M=3
Vin

Vout

Mn1 Mn2

gnd

Table 2 Detailed parameters of MOSFET in the buffer stage


MOSFET Mp1 Mn1 Mp2 Mn2
W/L (μm) 1.83/0.18 0.42/0.18 5.49/0.18 1.26/0.18

also to integrate with other circuit blocks easily, and the detailed values of compo-
nents are listed in Table 2.
The design flow of this ring VCO is described briefly as follows. First, according
to the desired specifications we select the device models and the architecture of
circuit for the system. Second, by executing the simulations of the VCO using the
Hspice program initially, we can verify the results to achieve the specifications.
If not, the further refinement of theoretical models and tuning the parameters of
devices should be conducted. If yes, following taped-out procedures for post-layout
simulation is performed by carrying out the layout of the circuit, the verification of
DRC and LVS, and extracting the parasitic components after PEX verification.
After post-layout simulations with the parasitic components, the chip fabrication is
processed and the measurement is taken with a testing PCB.
Figure 6 shows the simulation results at the TT corner and the temperature of
25  C. The supply voltage is 1.8 V, and the sweeping controlled voltage ranges are
from 0 to 1.8 V. The relationship of voltage corresponding to frequency is shown as
follows.
1070 C.-L. Yang

1000
Frequency

800
Frequency (MHz)

600

400

200

0.0 0.2 0.4 0.6 0.8 1.0 1.2 1.4 1.6 1.8
Controlled Voltage (V)

Fig. 6 Relationship of control voltage corresponding to oscillation frequency (TT Corner,


Temp = 25  C)

The total power consumption of the circuit can be calculated by the operations in
Hspice. The phase noise was calculated by the mathematical model derived and
proposed by Hajimiri as shown in (8, 9, and 10) (Hajimiri et al. 1998):

Γ2rms i2n =Δf


Lff off g ¼ N   (8)
8π2 f 2off C2L V2pp

where
ð π=2
2 π2
Γ2rms ¼ x2 dx ¼ (9)
π 0 12

_  
i2n
¼ 4KT γ gmn1 þ gmp1 þ gmp2 (10)
Δf
where γ = 3, foff = 600 kHz, N = 4, Vpp = 1.8 V, CL and gm can be obtained by the
netlist file after the Hspice simulation. Substituting the values mentioned in the (8, 9,
and 10), the phase noise can be calculated. After the layout of the circuit, the parasitic
inductances, capacitances, and resistors are extracted to execute the post-layout
simulation, and the results with the process and temperature variations are listed in
Table 3. From the results, it can be found that frequency tuning range has some
mismatch in each corner, and the power consumption is larger with the pre-layout
simulation results due to the parasitic components and the equivalent PAD capaci-
tance. The specification parameters are listed in Table 4.
51 Wireless Circuits and Systems: FM Telemetry Devices 1071

Table 3 Pre- and Post- layout simulation results with process and temperature variations
Pre-Sim Post-Sim
TT SS TT SS
RF corner (25  C) FF (0  C) (100  C) (25  C) FF (0  C) (100  C)
Power supply (V) 1.8 1.8 1.8 1.8 1.8 1.8
Controlled 0–1.8 0–1.8 0–1.8 0–1.8 0–1.8 0–1.8
voltage (V)
Frequency tuning 185–967 355–1160 72.8–712 154–846 298–1010 60.3–633
range (MHz)
402 MHz @ 1.163 1.308 1.076 1.091 1.19 1.02
controlled
voltage (V)
Kvco (MHz/V) 1132 1161 924.9 1003 1021 832
Power 2.85 3.46 2.15 3.46 4.03 2.79
consumption
(mW)
Phase noise @ 103.6 102.53 102.86 102.93 102.03 102.14
600 kHz offset
(dBc/Hz)

Table 4 Specification parameters of the chip


Technology TSMC 0.18 μm 1P6M
Chip size (mm2) 0.3384  0.2701 ffi 0.0914
Transistor/gate count 14 PMOS + 8 NMOS = 22 transistors
Power consumption (mW) 3.46
Layout tool Cadence virtuoso

This chip was measured on-board FR4 PCB because that FR4 has the lower
insertion loss at low frequency. The instruments were including the signal source
analyzer (SSA, Agilent E5052A) and spectrum analyzer (Agilent EXA N9010A).
The RF output on the board would be welded with SMA and then connected to the
instruments via cables to take measurement after the DC PAD were connected to the
instruments to supply voltage.
The frequency tuning range and output power are measured in a laboratory
environment. The supply voltage was 1.8 V, and the controlled voltage was swept
from 0 to 1.8 V. The measured spectrum and output power were shown in Fig. 7.
Figure 8 shows the output power at 403 MHz, and the corresponding controlled
voltage was approximately 1.055 V. The total tuning range was 234–888 MHz, and
the output power was 15.01 dBm at 403 MHz.
The phase noise and power consumption were measured in the Electromagnetic
Communication Laboratory, NCKU, Taiwan. Because the circuit was the differential
mode, the one end of RF outputs was connected to the SSA for the measurement
while the other end was connected to the 50-Ω terminator for the load balance. The
power supply was provided through the regulator circuit to lower the noise and to
ensure a stable power supply. Meanwhile, the current of chip combining with the
1072 C.-L. Yang

1000 -13.0
Frequency
Output Power

Output Power (dBm)


800 -13.5
Frequency (MHz)

600 -14.0

400 -14.5

200 -15.0
0.0 0.2 0.4 0.6 0.8 1.0 1.2 1.4 1.6 1.8
Vcon (V)
Fig. 7 Measured frequency tuning range and output power of this chip

Fig. 8 Output power at 403 MHz @ 1.055 V


51 Wireless Circuits and Systems: FM Telemetry Devices 1073

Table 5 Sheet of Expected Specifications for 403 MHz VCO


Specifications Simulation Measurement
Supply voltage (V) 1.8 1.8
Controlled voltage (V) 0–1.8 0–1.8
Frequency tuning range (MHz) 154–846 234–888
402 MHz @ controlled voltage (V) 1.091 1.055
Power consumption (mW) 3.46 3.20
Phase noise @ 600-kHz offset (dBc/Hz) 102.93 103.72
Chip size (mm2) 0.34  0.27
FOM (dB) 154.06 148.97

regulator circuit was 5.55 mA when supplied voltage was 1.8 V and controlled
voltage was 1.055 V. The current consumption in the regulator circuit was
3.773 mA obtained by the instrument, so the current consumption of this VCO
was 1.777 mA, and thus the power consumption of chip was 3.20 mW. The expected
specifications and measurement results were listed in Table 5.
   
f0 DC  Power
FOM ¼ PN  20  log þ 10  log (11)
Δf 1mW

where

PN: Phase Noise


f0: Operating Frequency
Δf: Frequency Offset.

VCO with Temperature Compensation Operating in Med-Radio Band

In the design of VCO, the control voltage Vctrl modifies the current, which varies the
delay time, so the frequency is adjustable. However, the output frequency of the ring
oscillator is easily influenced by the temperature. One of the most important con-
siderations for biomedical RF telemetry devices is its frequency stability with
temperature. To discuss the variation factors, two kinds of sources include the supply
current source and MOS parameters. First, bias voltage is fixed to simulate the MOS
drain current. NMOS and PMOS drain currents vary significantly even when the
MOS size is fixed, due to that MOS carrier mobility has different rates as shown in
Fig. 9. The drain current value is different with the temperature; the reason is the
MOS threshold voltage difference. So, one must design appropriate size to reduce
the influence of the temperature (Enz and Temes 1996).
Then the relationship between the current and frequency is explored. By the
Eq. (12), the delay time determined the oscillation frequency by varying the current,
the output amplitude, and the parasitic capacitance. CMOS carrier mobility (μ) and
threshold voltage (Vth) are varied with the temperature. The threshold voltage rises
1074 C.-L. Yang

200.0µ

NMOS Drain Current


150.0µ PMOS Drain Current 0°C~100°C
Id (A)

100.0µ

0°C~100°C

50.0µ

0.0
0.0 0.2 0.4 0.6 0.8 1.0 1.2 1.4 1.6 1.8
Vgs (V)

Fig. 9 MOS drain current versus Vgs under different temperatures

with the temperature. This current increases with the temperature, resulting in the
oscillation frequency increases according to (13).

1 ΔV
f osc ¼ !t Co (12)
n∙t d I
1 W
ID I S / μðT ÞC ox ∙ðV GS  V th ðT ÞÞ2 (13)
2 L
where Co is the output node of all the parasitic capacitance, the ΔV is the amplitude
of the output frequency, the I is the current of the oscillator. Therefore, to reduce the
oscillation frequency variation due to the temperature, a temperature independent
current source is required. Based on the temperature independent current Iref, the
frequency can be less influenced by the temperature (Fig. 10).
In this chapter, the bandgap reference circuit is applied to improve the tempera-
ture independent current source circuit. The output current source is given to the ring
oscillator as the tail current Iref, to stabilize the oscillation frequency without the
temperature dependence. The design is based on the bandgap reference voltage
circuit, which mirrored the current I4 with M3, M4 and R3 as (14).

R2 V ref
V ref ¼ V BE1 þ ðV T ∙ ln nÞ , I R3 ¼ I 4 ¼ (14)
R1 R3
The frequency of the ring oscillator is controlled by the delay time of the inverter
by modifying the current amount through the inverters to control. The VCO archi-
tecture can be divided into two parts: (a) Coarse tuning systems and (b) Fine tuning
51 Wireless Circuits and Systems: FM Telemetry Devices 1075

systems. First, using the resistor R3 to adjust the output current I4 for the coarse
tuning stage. The current size controls the conversion time of the inverter to reach the
center frequency of 400 MHz. The tail current may be deviated due to the process
variation and require careful design. As for fine tuning systems, two PMOS Mc1 and
Mc2 used to adjust the microcurrent variation, modulate and control the output
frequency to range within ~401–406 MHz. PMOS are chosen due to its low noise
characteristics. PMOS substrate body is the N-type well and the noise immunity is
better than NMOS. The control voltage Vctrl combines Mc1 and Mc2 to make the
output frequency to operate within 401–406 MHz. Therefore, the small current
changes can achieve to adjust the operation frequency to cover the required band-
width. The VCO combined with a bandgap reference is shown in Fig. 11 for
temperature compensation (Yang and Zheng 2015).

Fig. 10 Tail current Iref


versus frequency

VDD Fine-tuned Current

M2 M3 M4 Mc1 Mc2
M1 Vctrl
Vref
I4 Ic1 Ic2
R2 R2
R3 Iref
R1
Vout

Q1 Q2 Temperature-
Compensated Low Power
Current Ref. Ring Oscillator

Fig. 11 VCO with temperature compensation circuit


1076 C.-L. Yang

Accurate reference voltage source plays a very important role in integrated circuit
system, and the reference voltage does not much change with the variation of the
supply voltage and the temperature. The principle of the bandgap reference com-
bines a positive temperature coefficient circuit and a negative temperature coefficient
circuit. Then, a stable voltage source with minimal temperature coefficient is
achieved (Banba et al. 1999). For the traditional bandgap reference voltage circuit,
the output voltage (VBE + KVT) produces about 1.25 V. However, the value is not
conducive to design the low-power circuit. Therefore, Low-voltage bandgap refer-
ence architecture is proposed (Boni 2002). The architecture is shown in Fig. 12. The
principle is first to produce to a low temperature coefficient current source, followed
by a current-to-voltage converter as a voltage reference voltage using a resistor. The
proportional to absolute temperature (PTAT) current is produced by BJT Q1, Q2, and
resistor R2, and the complementary to absolute temperature (CTAT) current flows
through the resistor R3. The feedback operational amplifier enforces VN be equal to
VP, and let R1 = R3; Vref can be found using (15, 16, and 17). The low-voltage
bandgap reference voltage circuit provides better tuning capability by adjusting the
output voltage with the ratio of Ro/R3 to operate at 1 V.

V BE1 V BE1  V BE2 ΔV BE


I CTAT ¼ , I PTAT ¼ ¼ (15)
R3 R2 R2
ΔV BE V BE1
I o ¼ I PTAT þ I CTAT ¼ þ (16)
R2 R3
 
ΔV BE V BE1 Ro
V ref ¼ Ro  þ ¼  V BG (17)
R2 R3 R3

The bias voltage circuit provides to a bias voltage for the OP-Amp to ensure the
OP-Amp circuitfunction properly. However, the bias voltage circuit usually has an

Fig. 12 Low-voltage VDD


bandgap reference voltage
circuit
VBG M2
M1 M3

ICTAT
Vref

IPTAT R2
Io
R3
R1 n nA Ro

Q1 Q2
51 Wireless Circuits and Systems: FM Telemetry Devices 1077

Fig. 13 Bias voltage circuit VDD


with start-up circuit

M5 M1 M2

Va Vb Vc
Vbias
M7

M3 M4
M6

Start-up Circuit Bias Circuit

important issue – degeneration point, especially at the initial condition. If all transistor
currents are zero when the power supply turns on, and such a situation remains, it
results in failure. So a start-up circuit is required to avoid this degradation point and
the circuit can start working. The start-up circuit turns off after the bias voltage circuit
is working. Figure 13 shows the bias voltage circuit with start-up circuit. All transistor
currents are zero in the beginning; the transistors M5–6 work after the power supply is
turned on and make the point Va gradually to raise from 0 V to 0.5 V. Also, the point
Vb starts to increase the voltage to enable the bias voltage circuit working. When the
bias voltage circuit start running, the point Vc produces a voltage to shut the transistor
M5 off and to let the transistor M6–7 off to reduce power consumption.

Operational Transconductance Amplifier Filter

There are two common architectures in the analog filter: (a) switched-capacitor
(SC) filter which is the discrete-time filter (Solomon 1988) and (b) operational
transconductance amplifier-capacitor (OTA-C) filter which is the continuous-time
filter (Sinencio and Martinez 2000; Maghami and Sodagar 2011; Hasan et al. 2011).
The SC filter required an input clock. Not only mixed noise does increases but also
more power dissipates. The OTA-C filter uses a small transconductance value to
form equivalent resistance and to from a RC filter with capacitors. The power
consumption is smaller than the SC filter (Vittoz and Fellrath 1977). For the above
reasons, this telemetry device applies OTA-C filter. The OTA is a transconductance
type device, which means that the input voltage controls an output current by means
of the device transconductance, labeled Gm. The OTA-C filter uses trans-
conductance amplifier and capacitors to achieve passive components, such as resis-
tors, inductors, integrators, and differentiators. However, the OTA-C filter is required
to achieve very small Gm, implying very little current. The design challenge is to
design a low power consumption and low Gm. This telemetry device uses a second-
1078 C.-L. Yang

order, low-pass filter architecture. To achieve the cutoff frequency below 10 Hz, the
basic unit of the capacitance should be determined. According to the process
parameters, the MIM cap is formed by the metal 5 and metal 6, and the capacitance
value is up to 1.5 fF upon 1 mm2. So, the maximum the capacitance value on
625 μ m2 is 0.94 pF as a unit of the fabricated capacitance. The cutoff frequency is
calculated according to (18).
rffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi
1 Gm1 Gm2 V o Gm1 Gm2
fC ¼ , ¼ (18)
2π C1C2 V i s2 C 1 C 2 þ SC 2 Gm1 þ Gm1 Gm2
Let C1 = C2 = 5 pF; therefore, the corresponding resistances are: R1 = R2 = 3.2
GΩ. However, the resistance value is extremely large; using normal process implies
an extremely large area and the resistance value is easily affected by the parasitics,
process errors, and other factors. The corresponding Gm should be as small as
0.31 nA/V for the equivalent component. In order to achieve low transconductance,
the circuit used two technologies of weak inversion and current cancellation.
When VGS ≦ VTH, the voltage is still sufficient to build up the depletion region
although the transistor channel is not formed. It still can be produced a weak
inversion layer. Some high energy carriers can through weak inversion layer to
drain, and the control of its tiny drain current, to form trace drain current. Because
the weak-inversion current is so small, it is a great merit in low power applications.
Second, in addition to operating in the weak inversion region, a current cancel-
lation technology is applied to effectively reduce the Gm, as shown in Fig. 14. The
two differential cross-coupled pairs are used to reach the current cancellation, and
the equivalent Gm can be expressed as (19):

gm ffi ðN  1Þgm1 (19)

The N is the ratios for the transistors acting as Mn and M1. A very low gm can be
possible when N is close to 1. The dummy technique can improve the matching
characteristics in the layout. When the tiny transconductance value is achieved, an
extremely large resistance is implemented by the equivalent component. Moreover,
its power consumption and the total area are smaller than SC-type filter. In Fig. 14b,
the universal filter is adopted, which requires only two OTAs to fulfill the low-pass
filter (Chang and Pai 2000).

Simulation Results

The small variation thermistor is sampled with an amplifier readout circuit, and the
output voltage is provided to drive the control voltage of the VCO. The power
consumption of the sampling amplifier is 10.7 μW. When the supply voltage is 1 V,
the Gm-C filter power consumption is 11 nW and cutoff frequency is 7.3 Hz. The
OTA has harmonic distortion that may degrade the overall circuit performance.
Therefore, simulation of total harmonic distortion (THD) and signal-to-noise ratio
51 Wireless Circuits and Systems: FM Telemetry Devices 1079

(SNR) is shown in Fig. 15. The filter has low-power and low cutoff frequency, which
is suitable for biomedical applications in the implanted chip. The Gm-C filter
specifications are summarized in Table 6.

a V DD

Ni1
-Ni2
M1 M1
MN MN
V out
V in+ i1 -i1 V in-

V bias

-
- Gm2 Vout
Gm1 +
Vin + C2
C1

Fig. 14 OTA-C low-pass filter architecture

Fig. 15 Simulation of THD,


SNR and power dissipation
1080

Table 6 Low-pass filter specifications


VDD Tech Vth BW THD SNR Power Area
(V) (μm) (V) Ordera (Hz) (dB) (dB) (nW) (mm2) NPb NAc FoM1d FoM2d
1 0.18 0.5 2 (S) 7.3 49.3 66 11 0.032 7.4  109 0.987 5.6  1011 3.6  1010
a
(S): Single, (D): Differential
b
Normalized Power: NP = Power  (0.5/(VDD–Vth))  (1/VDD)
c
Normalized Area: NA = Area/Tech2
d
FoM1 = NP/(Order  DR); FoM2 = (Power  BW  NA)/(Order  DR)
C.-L. Yang
51 Wireless Circuits and Systems: FM Telemetry Devices 1081

Figure 16 shows the simulated output voltage of the bandgap circuit with the
temperature variation. The output reference voltage variation is less than 1 mV; the
temperature variation coefficient (T.C.) is 2 ppm/oC, and the voltage variation
coefficient (V.C.) is 0.42%/V. The stability of the voltage source is very important
for the biomedical system, which can reduce the noise of supply voltage and provide
accurate references.
The ring oscillator will be measured by the body temperature, so a temperature
independent current source is required. After applying the temperature compensation
circuit, the drift value is about 0.02 μA and the current variation coefficient is
195.3 ppm/oC over the temperature ranging from 0  C to 100  C as shown in
Fig. 17. The constant control voltage source is set to 0.5 V to control the output
frequency whose variation is merely 0.6 MHz with the temperature, and the fre-
quency variation coefficient is 10.1 ppm/oC. The voltage signal is filtered after the
sampling amplifier as the VCO control voltage. The simulated results are shown in
Fig. 18. When the control voltage ranges from 0.2 to 0.8 V, the VCO output
corresponds of 401–406 MHz, which is dedicated to the biomedical applications.
The power consumption is 566 μW with the buffer and the output power is 3 dBm.
The VCO specifications of the current sensitivity and temperature sensitivity are
195.3 (ppm/oC) and 10 (ppm/oC), respectively.
Finally, Fig. 19 shows the microphotograph of the resulting proposed chip, and
Fig. 20 presents the overall measurement set up for temperature verification (Yang
and Zheng 2015). First, the thermistor is placed on the heating plate for temperature
control and a celebrated thermometer is attached for accurate temperature reference,
which detects temperature by the M3300A digital multimeter. Then, a calibration
table of the temperature is established and correlated with the frequency. According
to the measured frequency, the temperature can be estimated.

Fig. 16 Simulation of the output voltage versus temperature


1082 C.-L. Yang

Fig. 17 Simulation of the current variation with the temperature

Fig. 18 Output frequency operating at Med-Radio band

The final measurement result is shown in Fig. 21. Wireless telemetry measure-
ment is compared with the wired-transmission (cable) data and the actual tempera-
ture. The maximum error of the temperature measurement is 0.057 at 37.2 . The
maximum error range is 0.15%, and the average error of 0.05%. The system
satisfies the high resolution requirement of 0.1  C (Yang and Zheng 2015).
51 Wireless Circuits and Systems: FM Telemetry Devices 1083

Fig. 19 Microphotograph of
the proposed FM
telemetry chip

Multitester

Spectrum
Power Supply Temperature Analyze
Resistor & Carbide

Devider

Dental
Monople
Network Analyzer Antenna Heating Plate

50 cm

Fig. 20 Measurement setup of the temperature monitoring system

Conclusions

The chapter presents a wireless 1-V low-power temperature monitoring system in


Med-Radio Band. The biomedical device can be mounted on bodies of the subjects
to perform the temperature monitoring and transmit data wirelessly. The main
requirement of the performance metric is the low power consumption, low voltage,
1084 C.-L. Yang

Fig. 21 Comparison of the


measured and actual
temperatures

low noise, and low dependence of temperature. The design issue and consideration
were introduced and discussed in this chapter. The area and power consumption are
strictly limited in the implanted device. First, the sampling amplifier circuit is
explored and analyzed, and precision resistance is chosen to achieve the high
sensitivity of the amplification circuit. The low-pass filter consists of the OTAs
and capacitors. To achieve low transconductance, the device uses weak inversion
and current cancellation techniques. The Gm-C filter power consumption is 11 nW
and cutoff frequency of 7.3 Hz in simulation.
The system is powered by the bandgap reference, and the output voltage is 1 V.
The architecture output voltage is not limited to 1.25 V as typical BGR and is not
varied with temperature variation and supply voltage. The T.C. is 2 ppm/oC and the
V.C. is 0.42%/V in simulation. The voltage controlled oscillator is designed based on
the MedRadio Band with a low temperature coefficient, which composed of a
temperature independent current source and a fine-tuned ring oscillator. The current
variation coefficient is 195.3 ppm/oC, and the frequency variation coefficient is
10.1 ppm/oC. The output frequency ranges over the MedRadio band, and the
power consumption is 566 μW with the buffer.
Finally, the temperature is measured and transmitted wirelessly to obtain a precise
temperature estimate from the thermistors. The maximal error is 0.057 , and the
system average error is 0.034 . Therefore, the proposed system is promising for a
low-power implantable telemetry device to promote biomedical applications and to
achieve remote home care for early prevention and early treatment.

References
Banba H, Shiga H, Umezawa A, Miyaba T, Tanzawa T, Atsumi S, Sakui K (1999) A CMOS
bandgap reference circuit with sub-1-V operation. IEEE J Solid State Circuits 34:670–674
Boni A (2002) Op-Amps and startup circuits for CMOS bandgap references with near 1-V supply.
IEEE J Solid State Circuits 37(10):1339–1343
51 Wireless Circuits and Systems: FM Telemetry Devices 1085

Chang C-M, Pai S-K (2000) Universal current-mode ota-c biquad with the minimum components.
IEEE Trans Circuits Syst I 47(8):1235–1238
Chow EY, Yang C-L, Chlebowski A, Moon S, Chappell WJ, Irazoqui PP (2008) Implantable
wireless telemetry boards for In-vivo transocular transmission. IEEE Trans on MTT 56:3200
Enz CC, Temes GC (1996) Circuit techniques for reducing the effects of op-amp imperfections:
autozeroing, correlated double sampling, and chopper stabilization. Proc IEEE 84(11):
1584–1614
Goldstein R, Szwarc J (2001) Zero TCR foil resistor ten fold improvement in temperature
coefficient. Electron Compon Technol Conf 51:1423–1426
Hajimiri A, Limotyrakis S, Lee TH (1998) Phase noise in multi-gigahertz CMOS ring oscillators.
In: Custom integrated circuits conference, proceedings of the IEEE. Santa Clara, CA, USA.
pp 49–52
Halperin D et al (2008) Pacemakers and implantable cardiac defibrillators: software radio attacks
and zero-power Defenses. In: IEEE symposium on security and privacy. Oakland, CA, USA.
pp 129–142
Hasan SA, Hall S Marsland JS (2011) A wide linear rnage OTA-C filter for bionic ears. In: The 3rd
computer science and electronics engineering conference (CEEC). Colchester, UK. pp 19–22
Liu W et al (2000) A neuro-stimulus Chip with telemetry unit for retinal prosthetic device. IEEE
J Solid State Circuits 35:1487–1497
Loizou PC (1999) Introduction to cochlear implants. IEEE Eng Med Biol Mag 18(1):32–42
Maghami MH, Sodagar AM (2011) Fully-integrated, large-time-constant, low-pass, Gm-C filter
based on current conveyors. In: The 18th IEEE international conference on electronics, circuits
and systems (ICECS). Beirut, Lebanon. pp 281–284
Moradi A, Sawan M (2015) An energy-efficient high data-rate 915 MHz FSK wireless transmitter
for medical applications. Springer Analog ICs Signal Process J 83(1):85–94
Sinencio S, Martinez JS (2000) CMOS transconductance amplifiers, architectureand active filters: a
tutorial. IEE Proc Circuits Devices Syst 147:3–12
Solomon CW (1988) Switched-capacitor filters: precise, compact, inexpensive. IEEE Spectr 25(6):
28–32
Vittoz E, Fellrath J (1977) CMOS analog integrated circuits based on weak inversion operation.
IEEE J Solid State Circuits SC-12:224–231
Wong LSY, Hossain S, Ta A, Edvinsson J, Rivas DH, Naas H (2004) A very low-power CMOS
mixed-signal IC for implantable pacemaker applications. IEEE J Solid State Circuits 39(12):
2446–2456
Yan WST, Luong HC (2001) A 900-MHz CMOS low-phase-noise voltage-controlled ring oscilla-
tor. IEEE Trans Circuits Syst II Analog Digit Signal Process 48:216–221
Yang C-L, Zheng G-T (2015) Wireless low-power integrated basal-body-temperature detection
systems using teeth antennas in MedRadio bands. Sensors 15(11):29467–29477
Yang C-L, Cheng K-T, Chen S-H (2012) Low-invasive implantable devices of low power con-
sumption using high efficient antennas for cloud healthcare. In: IEEE Journal on emerging and
selected topics in circuits and systems (JETCAS) 2(1):14–23
Zhang X, Apsel AB (2011) A low-power, process and temperature compensated ring oscillator with
addition-based current source. IEEE Trans Circuits Syst I, Reg Papers 58(5):868–878
Wireless Circuits and Systems: Healthy
Radios 52
Ziyi Chang and Bo Zhao

Contents
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1088
Narrowband Transceivers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1089
MICS/MedRadio Transceivers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1089
433/868/915/960 MHz ISM Transceivers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1091
2.4GHz ISM Band Transceivers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1091
UWB Transceivers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1093
IR-UWB Transceivers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1094
FM-UWB Transceivers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1096
Chirp-UWB Transceivers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1097
HBC Transceivers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1099
Galvanic-Coupling HBC Transceivers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1099
Capacitive-Coupling HBC Transceivers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1102
Magnetic HBC Transceivers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1102
Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1105
References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1105

Abstract
The growing demand for portable healthcare instruments results in the rapid
development of implantable and wearable medical devices. These devices can
be arranged in a wireless body area network (WBAN) to monitor multiple vital
signs. The physiological signals of the sensors are managed by the network, and
the data communication is realized by the radios in the sensor nodes. In this way,
the healthy radios play an important role in configuring the WBAN, while the
power consumption of the radio part dominates the overall life time. Therefore,
low power is the main design requirement in healthy radios, which can also
minimize the battery size of each sensor node. In this chapter, we will briefly

Z. Chang · B. Zhao (*)


Institute of VLSI Design, College of Information Science and Electronic Engineering, Zhejiang
University, Hangzhou, China
e-mail: changziyi@zju.edu.cn; zhaobo@zju.edu.cn

© Springer Science+Business Media, LLC, part of Springer Nature 2022 1087


M. Sawan (ed.), Handbook of Biochips,
https://doi.org/10.1007/978-1-4614-3447-4_46
1088 Z. Chang and B. Zhao

discuss three main kinds of healthy radios, operating in the schemes of narrow-
band, UWB, and HBC. The features of the transceivers will be summarized, and
recent design examples will be described.

Introduction

In recent years, the healthcare has benefited greatly from the development of wireless
body area networks (WBAN), providing a platform to support wide range of
applications. The WBAN is composed of wearable or implantable devices, locating
in, on, or around the human body, where the physiological signals can be monitored
in real time by the portable devices. Miniaturization and low power are the design
requirements of these sensor nodes, to replace the conventional huge equipment in
the hospitals. With a small size, the sensor nodes will induce little impact on the body
activities. In addition, low-power consumption helps to extend the life time or reduce
the battery size. The basic functions of the sensor nodes can be summarized into
sensing, processing, and communicating, where the wireless communication plays
an important role to collect the vital signs and configure the network. In a WBAN,
the vital signs collected by each sensor can be sent to a HUB node, which commu-
nicates with the external devices such as a smart phone. In this way, each node in
WBAN aims to support a communication featuring with a short range, low power,
and security.
The communication of the sensing data is conducted by the radios in the sensor
nodes of WBAN. The radio consumes the most power among all the submodules in a
sensor node, limiting the battery size. In addition, the radio usually requires bulky
passive components, such as antennas, inductors, and capacitors. The passive
components take a large die area on a CMOS chip, or they cannot be integrated,
which further enlarge the node size. With the target of miniaturization and low power
in WBAN nodes, the main design challenge is how to reduce the power and size of
the radio part. Recently, there have been plenty of research works focusing on the
power and size of healthy radios.
As there are many key specifications in a wireless transceiver, the trade-offs
should be considered for different applications, such as data rate, sensitivity, linear-
ity, power, anti-interference, and so on. The improvement of one performance may
inevitably degrade another one. For instance, simple modulation schemes such as
on-off keying (OOK), amplitude-shift keying (ASK), and frequency-shift keying
(FSK) are frequently used to realize a low-power consumption, but these schemes
are less bandwidth efficient and limited in data rate. In different applications, the
designs focus on improving different specifications. For example, the required data
rate varies in different physiological sensors: The communication data rate of
capsule endoscopes can be up to 20 Mb/s to transfer colored VGA images, while
less than 100 kb/s is enough for the blood pressure or electrocardiogram (ECG)
sensors. Currently, the most popular transceivers for healthcare applications can be
categorized into three types, i.e., narrowband transceivers, ultra-wideband (UWB)
transceivers, and human body communication (HBC) transceivers. In this chapter,
52 Wireless Circuits and Systems: Healthy Radios 1089

the main considerations and some advances in the three kinds of healthcare trans-
ceivers are introduced.

Narrowband Transceivers

Narrowband transceiver is the most widely used radio in healthcare applications. In


narrowband communication, the data bandwidth is much lower than the communi-
cation carrier frequency. The carrier frequency varies from several MHz to several
GHz, and there are multiple regulations in various standards. Low-power consump-
tion can be achieved in narrowband transceivers, while the data rate is limited to a
relatively low level, ranging from several kbps to a few Mbps. Meanwhile, the power
consumption is usually controlled to be hundreds of uW or a few mW, and the
antenna size varies from several mm to several cm. Based on the operation frequency
bands, narrowband transceivers mainly include MICS/MedRadio transceivers,
433/868/915/960 MHz ISM transceivers, and 2.4GHz ISM band transceivers.

MICS/MedRadio Transceivers

Medical implant communications service (MICS) band is an FCC regulated fre-


quency band for healthy radios to meet the increasing demand for medical telemetry.
In 1999, the FCC selected a frequency band of 402–405 MHz as the MICS band,
which was specially used for biomedical telemetry (MICS 2003). The MICS band
can support a 2 m communication range with more than 250kb/s data rate for
diagnostic and therapeutic purposes. Then, the program was explored in 2009 and
2011, and name of the FCC standard was changed into medical device radio
communications service (MedRadio). The MedRadio standard expanded the previ-
ous MICS band to 401–406 MHz with four additional 6-MHz channels including
413–419 MHz, 426–432 MHz, 438–444M Hz, and 451–457 MHz (Medical device
radio 1999). The MICS and MedRadio bands are widely adopted in the designs of
implantable and wearable transceivers (Bohorquez et al. 2009; Vidojkovic et al.
2014). For example, a MICS transceiver reported in (Bohorquez et al. 2009) adopted
the FSK/MSK direct modulation scheme, achieving 350 uW/400 uW power con-
sumption in transmitting/receiving mode with a data rate up to 120 kb/s.
As a typical MICS/MedRadio transceiver, the chip in (Vidojkovic et al. 2014)
showed a data rate of 11 kb/s–4.5 Mb/s and 0.33 nJ/b energy efficiency. The
transceiver consists of a phase-locked loop (PLL)-based transmitter and a zero-IF
receiver, as illustrated in Fig. 1a. For the transmitter design, the paper utilizes a
two-point modulation PLL as well as a frequency-modulation digital-to-analog
converter (FM-DAC). Compared to the conventional mixer-based modulation
scheme, the PLL-based structure is more power-efficient. The amplitude modulation
of I/Q data was realized by the power amplifier (PA), whereas the FM-DAC
modulates the phase. Meanwhile, different carrier frequencies are generated to
support the multiple modes of the transmitter. In the circuit design, the operating
frequency of the VCO and the divider are optimized for maximal power efficiency.
1090 Z. Chang and B. Zhao

a VDD_ANA VDD_DIG

DC-offset trimmer
DAC
XO Bias
DAC
LPF
DAC
RFIN LNA

DAC
To/from
digital baseband
DAC Digital
I/F
From XO
1/4 LPF CP PFD

Swing cal.
To external matching

RFOUT PA Frac. div


Two-point modulation PLL SDM
SPI

Fig. 1 PLL-based MICS/ISM wireless transceiver (Vidojkovic et al. 2014, © [2014] IEEE): (a)
block diagram; (b) die photo

The receiver adopts a typical zero-IF architecture with programmable gain and
bandwidth to satisfy different operating modes, including a low-noise amplifier
(LNA), a mixer, two LPFs, and two ADCs. The receiving sensitivity can be 5 dB–
10 dB better than the IEEE802.15.6 standard. The radio chip is fabricated in 40nm
CMOS technology and the die photo is shown in Fig. 1b, with a dimension of
52 Wireless Circuits and Systems: Healthy Radios 1091

1.8 mm  1.7 mm. The communication data rate ranges from 11kb/s to 4.5Mb/s,
which is flexible in various applications. The chip consumed 0.73 nJ/b for 4.5Mb/s
data rate.

433/868/915/960 MHz ISM Transceivers

The industrial, scientific, and medical (ISM) band is usually adopted for healthy
radios (ITU-R, Radio Regulations 2012). The ISM bands include the sub-GHz
frequencies such as 433/868/915/960 MHz, which are regulated in China, Europe,
North America, and Japan, respectively. There have been plenty of ISM transceiver
chips in both academic researches and commercial products. The issue of interfer-
ences should be specially considered in such transceiver designs since many elec-
tronics work in the ISM bands.
To deal with the impact of various interferences in the ISM bands, the transceiver
chip in (Huang et al. 2012) presents a 2-tone modulation scheme and an envelope
detection scheme, as shown in Fig. 2. In conventional zero-IF receivers, the RF
signal is directly down-converted to DC, where the low-frequency interferences lead
to poor selectivity. The transmitter in (Huang et al. 2012) adopts a low-IF architec-
ture, which modulates the baseband data to a digital IF, and then up-converts it to
RF. In this way, the transmitting (TX) spectrum of the desired signal locates at
fLO  fIF and fLO + fIF. Figure 2a shows the system architecture of the low-power
2-tone transmitter. The digitally controlled oscillator (DCO) provides a signal-tone
RF carrier instead of a power-hungry PLL, and the buffer drives digital PA (DPA)
modulated by the digital IF signal. The circuit generates 2-tone carriers by utilizing
only one oscillator, resulting in 33.9% overall efficiency. The receiver is shown in
Fig. 2b, an off-chip SAW filter before an LNA is adopted to reject the interferences.
Then, the envelop detector squares the RF signal to a frequency of △f, while the
interference is down-converted to DC. Through the band-pass IF amplifier, the
desired signal is amplified without the interference, resulting in 24.5 dB improve-
ment in the selectivity. The transceiver operates in the band from 780 MHz to
950 MHz, and the receiver achieves 121μW power consumption. The chip is
implemented in 90 nm CMOS technology, as shown in Fig. 2c, where the transmitter
and the receiver take 0.71 and 1.27 mm2, respectively.

2.4GHz ISM Band Transceivers

The 2.4GHz ISM is a universal wireless frequency band, and a lot of healthy radios
work on 2.4GHz compatible to multiple standards, such as IEEE 802.15.4 (Zigbee),
Bluetooth, IEEE 802.15.6 (Body Area Networks 2012), etc. The 2.4 GHz transmitter
in (Rahman et al. 2015) introduces a subharmonic injection-locking technique to
modulate baseband data. The system architecture is shown in Fig. 3a, where a phase-
selection injection-locking oscillator (FPS-ILO) is employed to get rid of the phase
shift at different frequencies. A polyphase filter operating on 800 MHz (1/3 of the RF
frequency) generates eight different phases, and digital baseband data controls the
1092 Z. Chang and B. Zhao

a
On-chip
Buffer DPA

DCO

Swing
Detection

Digital
Divide
IF
by 8

BB
FPGA

b Df RF BPF IF BPF BB LPF


(AMP)

DC fL0 f DC Df f DC f

On-chip

ADC
IF Mixer

SAW Envelope IF BB
LNA
915MHz Detector AMP LPF
MUX

IF Clock Multi-
FPGA BB
Generator Phase

Fig. 2 2-Tone anti-interference transceiver (Huang et al. 2012, © [2012] IEEE): (a) transmitter; (b)
receiver; (c) die photo
52 Wireless Circuits and Systems: Healthy Radios 1093

a
Φ1
Φ2
Vin+ Φ3
800MHz RF Φ4
M

...
...
Vin-
Φ8
PULSE MATCHING
SLIMMER 2.4GHz ILO PA NETWORK
POLYPHASE
FILTER
PHASE MUX

DIGITAL BASEBAND

Fig. 3 2.4GHz ISM transmitter with phase multiplexing (Rahman et al. 2015, © [2015] IEEE): (a)
block diagram; (b) die photo

subsequent MUX to realize the modulation. In this way, the modulation operates at a
lower frequency of 800MHz instead of 2.4GHz, resulting in low-power consump-
tion. The transmitter selects the third harmonic component (2.4GHz) as the carrier
frequency to go through a pulse slimmer. The pulse slimmer picks up an optimal
duty cycle to maximize the third harmonic component, which is then injected to the
ILO and the power amplifier (PA). Figure 3b shows the die micrograph, which is
implemented in 130nm CMOS technology and occupies 0.45mm2 area. The
reported design consumes 2.4mW at 10dBm output power, equivalent to an energy
efficiency of 2.5nJ/bit at 1.2Mb/s.

UWB Transceivers

The UWB transceiver is an alternative solution of the healthy radios, which operates
in GHz bands with a wide bandwidth. In 2002, the FCC defines the UWB as a
communication scheme operating in 3.1GHz–10.6GHz with a minimum bandwidth
1094 Z. Chang and B. Zhao

of 500MHz and average output power of 41.3dBm/MHz (FCC Code of Federal


Register (CFR) n.d.). In comparison to the narrowband radios, the UWB transceivers
can support a high data rate based on its large bandwidth, while it is suitable for
short-range communication due to the high transmission loss. In 2019, the recently
released U1 chip in iPhone#11 utilizes UWB technology to realize the in-door
positioning (Iphone-11 2019).
In the early time, the multiband orthogonal frequency division multiplexing
(MB-OFDM) UWB with a frequency-hopping scheme was widely used in high-
speed data communication (Leenaerts et al. 2009), especially for streaming video
and wireless USB applications, where the data rate was up to 480Mb/s. However, the
MB-OFDM UWB was obsoleted soon due to its poor energy efficiency. Afterward,
the UWB transceivers widely concerned are impulse-radio UWB (IR-UWB) trans-
ceivers, FM-UWB transceivers, and Chirp-UWB transceivers. In this section, three
popular UWB transceivers in healthcare applications are discussed.

IR-UWB Transceivers

The IR-UWB transceiver utilizes short pulses to represent the data information. Most
commonly used time-domain pulses in IR-UWB scheme include rectangular pulse,
triangle pulse, Gaussian pulse, and so on, where the short pulses in the time domain
leads to relatively wide bandwidth in the frequency domain. To illustrate the
principle of IR-UWB, the generating procedure of rectangular pulse and Gaussian
pulse is described, respectively, as shown in Fig. 4. There can be multiple pulses in
an interval to carrier a large number of data, to enable the IR-UWB transceiver
perform with a high data rate. The advantage makes IR-UWB very popular in many
academic researches.

T
f=1/t

FT
t

Rectangular
1/2T
pulse
f=1/t

FT

Gaussian
pulse
time
T
time

Fig. 4 Pulse-generating IR-UWB


52 Wireless Circuits and Systems: Healthy Radios 1095

The work in (Lee et al. 2019) tries to further increase the data rate of IR-UWB
transceiver to meet the requirements of some special biomedical applications, such
as high-quality video images. The transceiver is implemented with digital multi-
PPM (D-MPPM) modulation, and the system architecture is shown in Fig. 5a. The
D-MPPM technique can modulate multiple bits in one period to increase the data
rate, where the data modulation is represented by different time intervals between a
fixed sync pulse and a data pulse. As a result, the position of the data pulse indicates
the data information. In order to modulate M bits data, 2M different time intervals are
needed. In the transceiver, the key circuits to realize the D-MPPM technique are
digital-to-time converter (DTC) and time-to-digital converter (TDC). The DTC in
transmitter generates a delayed clock signal, and the delay time is proportional to the
corresponding data. Then, the original clock signal and the delayed clock signal
control a trigger and a pulse generator to generate a sync pulse and a data pulse at the

a Transmitted Pulse
Sync-Pulse Data-Pulse
D-MPPM Modulator
5bit Data IN
TX Out DTCTx [0:4]
Pulse Trigger Clock
t data Generator Generator
TX
Envelope Hysteresls
Detector D-MPPM Demodulator
Comparato
Start
r Edge 6bit Data OUT
LNA

RF BB
RX In AMP AMP Detector TDC [0:5]
Stop

AFE
OUT Recovery
Synchronizer
Reset & Data Clock
Clockless RX
Decision

Fig. 5 IR-UWB transceiver with D-MPPM (Lee et al. 2019, © [2019] IEEE): (a) Block diagram.
(b) Die photo
1096 Z. Chang and B. Zhao

rising edge of the clock signals. In this way, different data signal results in different
time intervals between the two pulses. In the receiver, the TDC detects the time
interval and recovers the data bits. The paper demonstrates a 5-bit parallel data
modulation with a data rate of 500Mb/s and a system clock of 100MHz, but the high
data rate also leads to high power (27.7 mW for receiving and 7 mW for transmit-
ting). The transceiver is fabricated in 65 nm CMOS process, taking 2.88 mm2 die
area, as shown in Fig. 5b.

FM-UWB Transceivers

Compare to the high data rate of IR-UWB transceivers, the frequency-modulated


UWB (FM-UWB) ones are more suitable for low-power applications. The procedure
of FM-UWB modulating includes two steps. Firstly, the input data bits are modu-
lated to subcarriers with low-index FSK, where the two carrier frequencies represent
data “0” and “1,” respectively. Secondly, the two subcarriers are modulated to an RF
carrier with a high-modulation index. In this way, the spectrum can be expanded to a
wide frequency band. Figure 6 demonstrates an example of signals changing from
digital bits into a wideband RF signal.
The chip in (Kopta and Enz 2019) provides a typical FM-UWB transceiver, as
given in Fig. 7a. The subcarriers are generated in digital domain, and then converted
into an analog signal by a DAC. Then, the analog subcarrier signal is fed to an
oscillator. To reduce the power consumption, a frequency-locked loop (FLL) is
adopted to provide periodical calibration instead of a frequency synthesizer. A
fully integrated configurable matching network is designed to get rid of the external
components. The receiver supports two RX modes, i.e., multiuser mode and
low-power mode. In the multiuser mode, the receiver offers multiple subcarrier
channels allowing several FM-UWB to be received simultaneously. The
low-power mode adopts a simplified receiver structure for down-conversion to
minimize the power dissipation, resulting in 267μW power consumption, whereas
the transmitter achieves a 100kb/s data rate at 575 μW. The transceiver in (Kopta and
Enz 2019) is implemented in 65nm CMOS technology, as illustrated in Fig. 7b. The
overall die size is 2.25mm  2.25mm, whereas the transceiver occupies one-third of

Digital signal 0 1 0 1 0 1 0 1 1 0

Sub-carrier
signal

Wideband
RF signal
time

Fig. 6 Signals in two-step FM-UWB modulation


52 Wireless Circuits and Systems: Healthy Radios 1097

a
MU Rx
Cal1 IDAC ÷N Div1 Div1 Cal1
SAR FLL
Div2 Cal2
Div3 Calibration Cal3
´
Test
N-path
IFA
SC Filter
Test
Active ´ IQ Delay Line
Mixer Demodulator

Cal2 IDAC ÷N Div2 LP Rx


FM Discriminator
IFA
Matching
Network

2
FSK Dem. Rx Bit
RF IO port (·) & Clk
Recovery
Clk out
Act. Mix.

Ref. Clk
IDAC Cal3 Tx
Cal3 Sub-carrier
PA IDAC DSS
Tx Bit

÷N Div3 IDAC Test

Fig. 7 Zero-IF FM-UWB transceiver (Kopta and Enz 2019, © [2019] IEEE): (a) block diagram;
(b) die photo

the die area. In comparison to the IR-UWB transceiver, the FM-UWB transceiver
consumes much lower power with a lower data rate.

Chirp-UWB Transceivers

The Chirp-UWB can be a good candidate to deal with the trade-off between the data
rate and the power consumption. The Chirp-UWB employs chirp-FSK modulation
1098 Z. Chang and B. Zhao

to satisfy the spectral requirement with a wide symbol in time domain, so that it does
not have to provide extremely high peak transmission power as IR-UWB. Compared
to FM-UWB, the Chirp-UWB has a flexible duty-cycle operation to control the
power consumption.
The work in (Chen et al. 2014) designs a Chirp-UWB transceiver with BFSK for
binaural hearing-aid devices, as given in Fig. 8. The modulation principle of Chirp-
UWB is a combination of IR-UWB and FM-UWB. The baseband data modulates a
digital-gradient generator (DDG) to generate two different gradient signals, where
the upward and downward gradients represent the data “1”and “0,” respectively. The
output of DDG tunes the digitally-controlled oscillator (DCO) to generate the chirp

a Digital Gradient þ1ÿ


Generator PA
þ1ÿ
DCO þ0ÿ
þ0ÿ
TX Data

SYS CLK
100MHz FSK
Data Recovery Demodulator
Decision LNA
Limiting
BPF1
RX Data FF BPF2

CLK Synch

Fig. 8 Chirp-UWB transceiver (Chen et al. 2014, © [2014] IEEE): (a) block diagram; (b) die photo
52 Wireless Circuits and Systems: Healthy Radios 1099

pulses. In this work, the 7.75–8.25GHz frequency band is selected to meet the
500MHz bandwidth requirement. In this way, the upward gradient symbol corre-
sponds to 8.025–8.25GHz (data “1”), whereas the downward gradient symbol
corresponds to 7.975–7.75GHz (data “0”). A 10% duty cycle is employed to get
10% average power consumption. The RX chain contains a front-end and a data
recovery module, and the front-end includes a wideband LNA and the envelope
detection circuit, which achieves 76dBm sensitivity at 1Mb/s data rate. Benefiting
from the Chirp-UWB, the transceiver achieves a 1Mb/s data rate at 1mW average
power consumption. The die photo of the transceiver is shown in Fig. 8b, and the
chip is implemented in 65nm CMOS technology and occupies 0.7mm2 area.

HBC Transceivers

Human body communication (HBC) is a promising communication technique for


both wearable and implantable applications. In 2012, the IEEE 802.15.6 task group
released some HBC communication regulations (IEEE 2013). Compared to conven-
tional wireless schemes, HBC utilizes the human body as the signal-transfer
medium. The lower transmission loss enables the HBC to be an attractive solution
for WBAN applications. In addition, HBC benefits from the high conductivity of
human body while the conventional wireless communications suffer from the body-
related attenuation and shielding. Moreover, the HBC can enhance communication
security since the vital signs are limited on the human body instead of broadcasting.
The conventional wireless transceivers require antennas to transmit/receive signals,
while the HBC transceivers can reuse the sensor electrodes attached to body
skin instead. There are three main HBC schemes, i.e., galvanic-coupling HBC,
capacitive-coupling HBC, and magnetic HBC. The galvanic-coupling HBC and
capacitive-coupling HBC utilize electrodes instead of antenna, and the communica-
tion is based on the electric field within human body, as demonstrated in Fig. 9.
Meanwhile, the communication of magnetic HBC is based on inductive coupling. In
this section, features of HBC with several design examples are provided.

Galvanic-Coupling HBC Transceivers

In galvanic-coupling HBC, the transmitter utilizes a couple of differential electrodes


attached to human skin to transmit a differential signal. On the receiver side, there is
also a pair of differential electrodes on the skin to collect the signals. In this way, the
two pairs of differential signals form a galvanic loop on the body surface, as shown
in Fig. 9a. As a result, the galvanic-coupling HBC is secure and immune to the
environmental influence and disturbance. Moreover, the electrodes of galvanic-
coupling HBC can be very small to minimize the wearable devices. However,
most of the signals are cancelled in the transmitter output due to the short range
between the differential electrodes, leading to limited communication range and low
1100 Z. Chang and B. Zhao

a Signal
Electrode

RX
TX

Signal Path

GND
Electrode

b Signal
Electrode

Signal Path
TX RX

Capacitive
Coupling
GND
Electrode

c RX coil

TX RX

Magnetic
Signal
TX coil

Fig. 9 HBC schemes: (a) galvanic-coupling HBC; (b) capacitive-coupling HBC; (c)
magnetic HBC

data rate. Typically, the channel bandwidth of the galvanic-coupling HBC is less
than 1 MHz, and the transmission distance is shorter than 20 cm.
The chip in ref. (Jeon et al. 2019) focuses on expanding the bandwidth of
galvanic-coupling HBC to improve the data rate. This paper gives a lumped-circuit
channel model of galvanic-coupling HBC, as shown in Fig. 10a, illustrating the body
channel impedance and terminations. The interface design is no longer based on
impedance matching in wireless communication, and the bandwidth is extended
beyond 100 MHz by applying a resistive termination at the input of receiver, which
is in parallel with the body channel impedance. Hence, this galvanic-coupling HBC
transceiver achieves a 100Mb/s data rate. To reduce the power of clock generator, the
transceiver employed a subharmonic injection-locking technique to provide
100MHz clock signal based on a 50MHz crystal. The block diagram of the trans-
ceiver is illustrated in Fig. 10b, which achieves energy efficiency of 4.75pJ/b and
52

Rint Cint
a

Cint,TX
Cint,RX
Rext
TX Rext,
Rext,TX Rterm RX
Rint Cint RX
Rint,TX Rint,RX
Rext

Lumped-Circuit Model

b Neural Data
c
Transmitter
Freq. Cal.
Current Driver

BRZ
Wireless Circuits and Systems: Healthy Radios

Encoder 50MHz
100MHz
SILRO PG

Patientÿs Arm
Comp. PD
2
(·) ž DATA rec.

LNA VGA Squarer

BRZ-Error

DLF
Detector

Freq. Cal.
CLKrec.
Receiver CDR OSC

Error Flag Robotic Arm


1101

Fig. 10 Galvanic-coupling HBC (Jeon et al. 2019, © [2019] IEEE): (a) circuit model; (b) block diagram; (c) die photo
1102 Z. Chang and B. Zhao

26.8pJ/b in transmitting and receiving modes, respectively. The galvanic-coupling


HBC transceiver is robust to external interferences, showing a BER of 109. The
chip is implemented in 0.18μm CMOS technology, and the die photo is shown in
Fig. 10c, including 0.89mm  0.68mm die area for the transmitter and
1.45mm  0.45mm die area for the receiver.

Capacitive-Coupling HBC Transceivers

Different from galvanic-coupling HBC, the capacitive-coupling HBC only attaches


the signal electrodes to the body surface, leaving the ground electrodes floating. As
illustrated in Fig. 9b, the signal electrodes of transmitter and receiver are in contact
with human skin to form a forward signal path on the body. Meanwhile, the two
floating ground electrodes form a backward path through capacitive coupling. In this
way, the backward path is easy to be impacted by the environment and the body
motions. As a result, it is necessary to deal with the backward loss in capacitive-
coupling HBC, such as capacitive compensation technique (Mao et al. 2017). In
addition to this, the human body can act as a wideband antenna to pick up the EM
waves in the environment, such as the FM interferences. One of the possible
solutions is to design the transceiver in a narrowband channel far from FM band,
but it will limit the data rate.
The capacitive-coupling HBC transceiver in (Maity et al. 2019) presents a design
to alleviate the body-antenna interferences for broadband HBC. Considering the
impedance in forward path and the “air capacitance” in the backward path, the HBC
circuit is simplified into a model in Fig. 11a, while the system-level architecture is
shown in Fig. 11b. To optimize the signal transmission gain, the output impedance of
the transmitter is reduced while the receiver input impedance is designed to be a high
value. In the transmitter, the data is transmitted directly without any modulation, and
the very simple structure helps to reduce the power consumption and die area. To
address the body-antenna issue, the receiver utilizes an integration technique to filter
out the interference. Benefitting from the technique, the broadband HBC transceiver
shows 30 dB interference tolerance with 30Mb/s data rate, resulting in an energy
efficiency of 6.3 pJ/bit. Implemented in 65nm CMOS technology, the transceiver
takes 0.122mm2 die area, as shown in Fig. 11c.

Magnetic HBC Transceivers

In addition to the electric field–based HBC (galvanic-coupling HBC and capacitive-


coupling HBC), the magnetic HBC is also explored in several recent works (Park
and Mercier 2019; Park and Mercier 2015). The communication is realized by the
inductive coupling between two coils on the human body, as shown in Fig. 9c. This
method can be realized with lower power consumption, but leading to bulky size due
to the big coils.
52

a Zbody

+ Vbody -
Rs
+
Zload VRx
Vin
-
VRet Rload Cload
- +
Cret

b c
TX_OUT LOAD
DATA GEN
TX

Capacitive Vcm
Coupling Rbias PATH 1 SA_0 I-DDR
Wireless Circuits and Systems: Healthy Radios

Int_0 RX
Cc CK_Int CK_SA
EXT_CTRL
DCA_CODE

OFF
Int_0 Int_0
C1 ERRP ERRN

MUX
RX_OUT
1
DCA_CODE
RX_DATA CK CK 0
16- CDR-
CK
phase Clock phase

...
Logic CK

MUX
Gen.
EXT_CLK C16 CDR_CODE
CDR_CODE
OFF

CKb_Int CKb_SA
Int_180
Cc Rbias PATH 2 SA_180

Vcm

Fig. 11 Capacitive-coupling HBC (Maity et al. 2019, © [2019] IEEE): (a) circuit model; (b) block diagram; (c) die photo
1103
1104 Z. Chang and B. Zhao

a
S-to-D Fully Diff.
Preamp Comp. Rx
ED Buffer VGA
2 Decision
(·)
Logic

4X4 Cap.
Array
Power Inj. Look Ctrl Ref.
Osc. CLK
ADFLL
Frequency

400MHz Xtal
Divider Crystal
FreqN
Osc.
OOK Modul.
Tx Modul. Data Logic
On-chip

Fig. 12 Magnetic HBC transceiver (Park and Mercier 2019, © [2019] IEEE): (a) block diagram;
(b) die photo
52 Wireless Circuits and Systems: Healthy Radios 1105

The magnetic HBC transceiver in (Park and Mercier 2019) achieves high energy
efficiency. The system utilizes a coil around the human ear as well as a wristband coil
to construct an inductive link. The transceiver architecture is shown in Fig. 12a,
where OOK is employed to further reduce the power and hardware cost. In the
receiver, a preamplifier is designed to improve receiver sensitivity, with a subsequent
envelope detector to demodulate the signal. A 1 m communication range from the
smartphone to the headphone is realized by the chip, with energy efficiency of 7.15
pJ/bit and 4.7 pJ/bit in transmitting and receiving modes, respectively. The chip is
fabricated with 65nm CMOS process, as demonstrated in Fig. 12b, where the core
area is 0.12 mm2.

Conclusion

In this chapter, a brief introduction is provided about commonly used healthy radios.
The narrowband transceivers feature a low data rate and low power, operating in the
MICS/MedRadio frequency band and the ISM bands, which can be applied in a
long-range data transmission. The UWB transceivers are suitable for applications
with a high data rate and a short communication range. Among the three UWB
schemes, the IR-UWB can achieve a high data rate and the FM-UWB features
low-power consumption, while the Chirp-UWB is a combination of IR-UWB and
FM-UWB. HBC is an emerging technique in healthy radios, including galvanic-
coupling HBC, capacitive-coupling HBC, and magnetic HBC. The galvanic-
coupling HBC is the most secure one and immune to the environmental interfer-
ences, but leading to limited communication range. The capacitive-coupling HBC
can realize a longer range than galvanic-coupling HBC, but the backward path is
susceptible to the environment and body motions. The magnetic HBC achieves the
best energy efficiency among the three HBC schemes but requires bulky coils on the
human body.

Acknowledgments This work was supported by the National Key R&D Program of China under
grant 2019YFB2204500 and the National Natural Science Foundation of China under Grant
61974130.

References
Body Area Networks, IEEE 802.15.6 Standard (2012) [Online]. Available: www.ieee.org
Bohorquez JL, Chandrakasan AP, Dawson JL (2009) A 350μW CMOS MSK transmitter and
400μW OOK super-regenerative receiver for medical implant communications. IEEE J Solid
State Circuits 44(4):1248–1259
Chen F et al (2014) A 1mW 1Mb/s 7.75-to-8.25 GHz chirp-UWB transceiver with low peak-power
transmission and fast synchronization capability. In: IEEE international solid-state circuits
conference digest of technical papers (ISSCC). San Francisco, pp 162–163
1106 Z. Chang and B. Zhao

FCC Code of Federal Register (CFR), Title 47, Part 15. United States
Huang X, Ba A, Harpe P, Dolmans G, de Groot H, Long JR (2012) A 915 MHz, ultra-low power
2-tone transceiver with enhanced interference resilience. IEEE J Solid State Circuits
47(12):3197–3207
IEEE Standard for Local and Metropolitan Area Networks—Part 15.6: Wireless Body Area
Networks, Standard 802.15.6–2012 (2013) [Online]. Available: http://www.IEEE802.org/15/
pub/TG6.html
Iphone-11 (2019) [online]. Available: www.apple.com/iphone-11
ITU-R, Radio Regulations (2012) [online]. Available: www.itu.int/en/publications/ITU-R/pages/
publications.aspx?parent¼R-REG-RR-2012&media¼electronic
Jeon Y et al (2019) A 100Mb/s Galvanically-coupled body-channel-communication transceiver
with 4.75 pJ/b TX and 26.8 pJ/b RX for Bionic Arms. In: 2019 symposium on VLSI circuits.
Kyoto, pp C292–C293
Kopta V, Enz CC (2019) A 4-GHz low-power, multi-user approximate zero-IF FM-UWB trans-
ceiver for IoT. IEEE J Solid State Circuits 54(9):2462–2474
Lee G, Park J, Jang J, Jung T, Kim TW (2019) An IR-UWB CMOS transceiver for high-data-rate,
low-power, and short-range communication. IEEE J Solid State Circuits 54(8):2163–2174
Leenaerts D et al (2009) A 65 nm CMOS inductor less triple band group WiMedia UWB PHY.
IEEE J Solid State Circuits 44(12):3499–3510
Maity S, Chatterjee B, Chang G, Sen S (2019) BodyWire: a 6.3-pJ/b 30-Mb/s 30-dB SIR-tolerant
broadband interference-robust human body communication transceiver using time domain
interference rejection. IEEE J Solid State Circuits 54(10):2892–2906
Mao J, Yang H, Lian Y, Zhao B (2017) A self-adaptive capacitive compensation technique for body
channel communication. IEEE TBioCAS 11(5):1001–1012
Medical device radio communications service-medradio (1999) [online]. Available: https://www.
fcc.gov/encyclopedia/medical-device-radiocommunications-service-medradio
MICS Band Plan Federal Commun. Comm., Part 95, FCC Rules and Regulations, Jan. 2003
Park J, Mercier PP (2015) Magnetic human body communication. In: 37th annual international
conference of the IEEE engineering in medicine and biology society (EMBC). Milan,
pp 1841–1844
Park J, Mercier PP (2019) A sub-10-pJ/bit 5-Mb/s magnetic human body communication trans-
ceiver. IEEE J Solid State Circuits 54(11):3031–3042
Rahman M, Elbadry M, Harjani R (2015) An IEEE 802.15. 6 standard compliant 2.5 nJ/bit
multiband WBAN transmitter using phase multiplexing and injection locking. IEEE J Solid
State Circuits 50(5):1126–1136
Vidojkovic M et al (2014) A 0.33 nJ/b IEEE802. 15.6/proprietary-MICS/ISM-band transceiver with
scalable data-rate from 11kb/s to 4.5 Mb/s for medical applications. In: IEEE international solid-
state circuits conference digest of technical papers (ISSCC). San Francisco, pp 170–171
Wireless Power Transfer, Recovery,
and Data Telemetry for Biomedical 53
Applications

Ashraf Bin Islam, Daniel Costinett, and Syed Kamrul Islam

Contents
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1108
Sensors in Biomedical Applications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1109
Powering of Biomedical Sensors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1110
Alternate Power Sources . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1111
Wireless Power Transfer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1111
Inductive Link . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1113
Health Issues Related to Wireless Power Transfer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1114
Implantable Sensor-Based Patient Monitoring System . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1116
Inductive Link for Biomedical Implantable Systems . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1118
Data Telemetry . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1119
Wireless Power Recovery and Energy Conversion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1120
Conclusions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1124
References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1124

Abstract
With the advancement of biomedical instrumentation technologies, sensor based
remote healthcare monitoring system is gaining more attention day by day. These
sensors can be classified as wearable and implantable. Implantable sensors
include associated circuits for signal processing and data transmission and are
placed inside the human body to acquire the information on the vital physiolog-
ical phenomena. Powering the circuit is always a crucial design issue. Batteries
cannot be used in implantable sensors which can come in contact with blood
resulting in serious health risks. An alternate approach is to supply power
wirelessly for tether-less and battery-less operation of the circuits. Inductive
power transfer is the most common method of wireless power transfer to the
implantable sensors. For good inductive coupling, the inductors should have high

A. B. Islam (*) · D. Costinett · S. K. Islam


Department of Electrical Engineering and Computer Science, The University of Tennessee,
Knoxville, TN, USA; daniel.costinett@utk.edu; sislam@utk.edu

© Springer Science+Business Media, LLC, part of Springer Nature 2022 1107


M. Sawan (ed.), Handbook of Biochips,
https://doi.org/10.1007/978-1-4614-3447-4_15
1108 A. B. Islam et al.

inductance and high quality factor. But the physical dimensions of the implanted
inductors cannot be large due to a number of biomedical constraints. Therefore,
there is a need for small sized and high quality factor inductors with high values
of inductance for implantable sensor applications. On-chip inductors present a
potential solution for further miniaturization of the implantable system. Implant-
able micro-systems require wireless transmission of the sensor data for real-time
monitoring and diagnosis. Data telemetry can be achieved using two different
schemes: forward telemetry which involves data transmission from power trans-
mitter to power receiver and backward telemetry involving data transmission
from power receiver to power transmitter. This chapter also briefly summarizes
design of power recovery unit for wireless power recovery and energy conversion
in biomedical implants. The basic design blocks of the wireless power recovery
unit include matching network, rectifier and DC-DC converter. The charge pump
based rectifier scheme can be successfully implemented to compensate for the
low peak-to-peak voltage caused by coil misalignments and lower coupling in a
practical system.

Introduction

Recent growth of biomedical applications has paved a new way for research and
development (R&D). Key factors driving R&D works for biomedical applications
are aging populations, rising healthcare costs, remote patient monitoring, and rapid
development of biotechnologies. With rapid advancement in biomedical instrumen-
tation technologies, sensor-based remote monitoring system is becoming an attrac-
tive healthcare alternative. These sensors can be classified as wearable or
implantable. While the wearable sensors are placed outside the body, the implantable
types are placed underneath the skin or inside the body cavity typically via surgical
means. Implantable sensors are placed inside the human body to acquire the infor-
mation on the vital physiological phenomena such as glucose, lactate, pH, oxygen,
etc. These sensors have associated circuits for signal processing and data transmis-
sion. Powering the circuit is always a crucial design issue. Batteries are not
recommended to be used in implantable sensors which can come in contact with
blood resulting in serious health risks. An alternate approach is to supply power
wirelessly for tetherless and batteryless operation of the circuits. Inductive power
transfer is the most common method of wireless power transfer to the implantable
sensors. For good inductive coupling, the inductors should possess high inductance
and high quality factor. But the physical dimensions of the implanted inductors
cannot be large due to a number of biomedical constraints. Therefore, there is a need
for miniaturized and high inductance, high quality factor inductors for implantable
sensor applications. Multispiral solenoidal printed circuit board (PCB) inductor with
operating frequency of 13.56 MHz, which is within the license-free industrial,
scientific, and medical (ISM) band, has been used in biomedical applications.
On-chip inductor also represents a potential solution for further miniaturization of
the implantable system. Typically on-chip inductors require very small footprint
53 Wireless Power Transfer, Recovery, and Data Telemetry for Biomedical. . . 1109

occupying a few mm2 of chip area and accordingly have very small values of
inductance around tens of nH. To accommodate the small values of inductance the
operating frequency needs to be increased to GHz range. For layered human tissue,
the optimum frequency of power transfer is 1 GHz for smaller coil size.

Sensors in Biomedical Applications

Biomedical engineering is a cross functional research area that bridges electrical


engineering with biology and medicine. Biomedical applications can be primarily
categorized into three major divisions: biotechnology, clinical engineering, and
medical devices as shown in Fig. 1. Biomedical devices are used for diagnosis,
mitigation, treatment, or prevention of disease and can be divided into two major
groups: imaging and sensors. Figure 2 illustrates a biomedical sensor system com-
prising of the following three major building blocks: (1) sensitive biological ele-
ment: tissue, microorganisms, organelles, cell receptors, enzymes, antibodies;
(2) transducer or detector element; and (3) sensor signal processing electronics.

Biomedical Applications

Biotechnology Medical
Clinical Devices
Engineering
Tissue Imaging

Pharmaceuticals
Biomedical
Sensors
Genetic

Neural

Fig. 1 Major components of an implantable biomedical sensor (Ashraf 2011)

Fig. 2 Key components of an implantable biomedical sensor


1110 A. B. Islam et al.

Based on the placement location, biomedical sensors can be categorized as:


(a) external sensor which are placed outside the human body to measure
physiological activities such as blood pressure, pulse, body temperature, etc. and
(b) implantable sensors which are placed inside the human body to detect and
measure various biologically relevant metabolites of interest such as glucose, pH,
lactate, CO2, O2, lipoprotein, etc. Implantable sensors can be implemented in two
schemes: subcutaneous/transdermal sensor which can be placed underneath the skin
or fully implanted inside the human body.
Implantable biosensors are very attractive for continuous monitoring of various
physiological phenomena of a patient. These sensors are designed to provide
metabolite level without the need for patient intervention regardless of the physio-
logical state (exercise, rest, sleep, etc.) of the patient. A diabetic patients, for
example, can monitor the blood glucose level on a regular basis depending on the
state of the disease by collecting data from test strips using blood drawn from finger
pricking. This procedure is not only painful but also incapable of reflecting the
overall direction, trends, and patterns associated with daily habits and has initiated an
extensive range of research efforts focused on developing implantable biosensors for
continuous monitoring of various biologically relevant metabolites. Glucose biosen-
sor represents a dominant sector of biosensor research and accounts for 85% of the
biosensor market. Intensive research is going on in other biomedical applications
such as sensors for detecting electric signals in the brain, sensors for nerve stimu-
lation capable of alleviating acute pain, and sensors for monitoring bioanalytes in the
brain together with implantable drug delivery systems for controlled delivery at the
site of pain and stress.

Powering of Biomedical Sensors

Signal processing and telemetry circuits constitute the electronics building


blocks of the biosensors which need power to function properly. Powering of
implantable biomedical sensors is a major concern due to various constraints.
Typically the leading source of powering involves batteries which are placed
into body cavities via surgical means and are hermetically sealed. For example,
pacemakers use batteries which have a typical lifetime of 5–7 years. Replacing
these batteries is also cumbersome requiring additional surgical procedures.
However, a battery is not recommended to be placed inside the human body
when it may come in contact with blood. For example, the following sensors
cannot use batteries as they directly come in contact with blood: glucose, pH,
lactate, lipoprotein, CO2, and O2. The main risk of putting batteries in contact
with blood is leakage which may lead to chemical burns, poisoning, and even
death. There are alternative methods to power up the implantable sensors which
are discussed below.
53 Wireless Power Transfer, Recovery, and Data Telemetry for Biomedical. . . 1111

Alternate Power Sources

A number of alternate sources of powering for biomedical implantable electronics


have been investigated. Some of the alternate sources of energy, corresponding
energy density, pros and cons are summarized in Table 1.

Wireless Power Transfer

Wireless power transfer (WPT) is the propagation of electrical energy from a power
source to an electrical load without the use of interconnecting wires. Wireless trans-
mission is useful in cases where interconnecting wires are difficult to implement,
hazardous, or nonexistent. Wireless power transfer is becoming popular for induction
heating, charging of consumer electronics (electric toothbrush, video game console
charger), biomedical implants, radio frequency identification (RFID), contactless smart
cards, and even for transmission of electrical energy from space to earth. Nicola Tesla
first demonstrated the potential of WPT using the “Tesla coil” which was a resonant
transformer for which he filed a patent in 1902 (Tesla 1902). In his design, the
secondary side of the transformer was excited by resonant inductive coupling. This
invention led to the development of number of WPT schemes for various applications.
All the methods listed in Table 1 have some positive as well as some negative
attributes. On the other hand, wireless power transfer (WPT) is clean, controllable,
does not depend on the movement of patient and can be available around the

Table 1 Comparison of some alternate sources of energy


Energy density
Method (Yildiz 2009) Pros Cons
Piezoelectric 200 μW/cm3 No need to supply energy Depends on the movement
from outside of subject
Thermoelectric 60 μW/cm3 Contains no materials that Low efficiency <5%
must be replenished Energy storage is required
Kinetic (wrist 4 μW/cm3 Contains no materials that Depends on the movement
watch) must be replenished of subject
Ambient RF 1 μW/cm2 Harvest energy from Output depends on the
energy ambient EM wave availability of the EM
harvesting wave
Visible light 100 mW/cm2 Sunlight is free Light is not present at
night and cloudy days
Temperature 10 μW/cm3 Contains no materials that Low efficiency
variation must be replenished Energy Storage is required
Airflow 1 μW/cm2 Contains no materials that Difficult to implement for
must be replenished implantable systems
Heel strike 7 W/cm2 Good source of energy Depends on the movement
of subject
1112 A. B. Islam et al.

clock. Although WPT is less efficient than battery, it is more efficient than the
other energy sources described in Table 1. Moreover, if designed properly, WPT is
harmless compared to a battery which makes it suitable for biomedical applica-
tions. Another advantage of WPT is its lifetime. A battery can last 5–7 years and
needs surgical procedures to be removed and replaced. But WPT has the same
working lifetime of the electronics (15–20 years), which makes it much cheaper
than battery.

Types of Wireless Power Transfer


Wireless power transfer can be divided into two major types: electromagnetic
induction and electromagnetic radiation. Electromagnetic induction can be catego-
rized as electrodynamic, electrostatic, and evanescent wave coupling. Electromag-
netic radiation can be classified as microwave power transfer (MPT) and optical
power transfer by means of laser. The types of wireless power transfer are illustrated
in Fig. 3.

Electromagnetic Induction
Electromagnetic induction is the production of an induced voltage in a circuit which
is excited by means of the magnetic flux. The condition for an induced current to
flow in a closed circuit is that the conductors and the magnetic field must rotate
relative to each other and can be of three different types:

(a) Electrodynamic or inductive coupling: Inductive coupling is the coupling of


energy between two inductors or coils using near field radiation. It is also known
as inductive or magnetic coupling.
(b) Electrostatic: Electrostatic or capacitive coupling is the feeding of electrical
energy through a dielectric medium. The electric field is generated by an
alternating current of high voltage and high frequency.
(c) Evanescent wave coupling: Evanescent wave coupling is a process by which
electromagnetic waves are transmitted from one medium to another by means of
evanescent, exponentially decaying electromagnetic field.

Wireless Power Transfer

Electromagnetic Electromagnetic
Induction Radiation

Electrodynamic or
Inductive Microwave
coupling Power Transfer

Electrostatic

Evanescent Wave Laser


Coupling

Fig. 3 Types of wireless power transfer


53 Wireless Power Transfer, Recovery, and Data Telemetry for Biomedical. . . 1113

Electromagnetic Radiation
Electromagnetic radiation travels through vacuum at the speed of light and propa-
gates by the interaction of time-varying electric and magnetic fields. It has a
wavelength and a frequency and can have two different forms:

(a) Microwave power transmission: Microwave signal is used to transmit directional


power to a large distance (usually in kilometers). Rectennas (rectifying antennas)
are used to convert the energy back to electricity.
(b) Laser: Power can be transmitted by converting electricity into a laser beam
which is then pointed at a solar cell receiver which can convert light to usable
electrical energy.

Limitations of Wireless Energy Transfer


The following factors limit the full implementation of wireless energy transfer:

(a) Size: The size of the transmitter or the receiver sometimes becomes too large to
implement in a miniaturized system.
(b) Range: The range of wireless energy transfer is just a few meters, which
represents a major hurdle toward its practical implementation.
(c) Efficiency: Typical efficiency of wireless energy transfer ranges between 45%
and 80% and is less efficient than conventional wire-based energy transfer
methods.

The prominent method of transferring wireless power is electrodynamic or


inductive coupling. Inductive coupling is discussed in the following section.

Inductive Link

An inductive link is formed by a loosely coupled transformer consisting of a pair of


coils that are usually placed in a coaxial arrangement as shown in Fig. 4. The external
or the primary coil is excited by an alternating current, and thus an electromagnetic
field is produced with its magnitude dependent on the dimensions of the coil, the
drive current, and the frequency of operation. A portion of the alternating flux lines
generated this way link to the internal or the secondary coil, and the change in the
flux linkage produces a voltage in the secondary coil, which is proportional to the
rate of change of the flux and the number of turns in the secondary coil (Faraday’s

Fig. 4 An inductive link Air Core


produced by alternating
electromagnetic field

Rload
AC
1114 A. B. Islam et al.

law of electromagnetic induction). If the number of turns is n and the magnetic flux
linking each turn is ψ m, then the induced voltage for the circuit can be written as:

dψ m
V¼n (1)
dt

An inductive link has a wide range of applications. A transformer is basically an


inductive link which transfers electrical energy from one circuit to another through
inductively coupled conductors. The windings are wound around a ferromagnetic
core for good power transfer efficiency. An induction current in the primary side
creates an electromagnetic field which interacts with the electromagnetic field of the
secondary side to produce a resultant torque, thereby transforming the electrical
energy into mechanical energy. Batteries of electric vehicles also can be charged via
inductive link. In some factories, inductive link is also deployed underneath the floor
to power up the tools. Radio frequency identification (RFID) readers power up the
passive RFID tags located in remote location via inductive link. Electronic article
surveillance (EAS) system powers remote RFID tags to detect product theft. Induc-
tive chargers charge batteries using inductive coupling, such as electric toothbrushes,
video game remotes, cell phones, etc. Induction cookers transfer power from the
cooker to the cooking pan using inductive link so that flame can be avoided in the
kitchen. Inductive powering is used in various biomedical applications, such as
cochlear implant, retinal prosthesis, brain-machine interface, etc. Recent works on
inductive power transfer are focused on improving the efficiency of power transfer as
well as extension of the frequency range to GHz regime for on-chip implementation
of the system. RamRakhyani et al. discussed a multicoil system which improves the
power transfer efficiency and the Q factor (RamRakhyani and Lazzi 2013). Wu et al.
proposed an external coil on the other side of Si substrate and embedding the coil on
Si using through silicon vias. The 4.5 mm  4.5 mm embedded receiving coil has
reportedly achieved a large inductance of 4 μH and a high peak quality factor of 20 at
2.8 MHz (Wu et al. 2013). Effects of misalignments between the transmitter and the
receiver coils are also discussed by Senjuti et al. (2012). Kim et al. showed that the
optimal frequency remains in the sub-GHz to the low-GHz region and the optimal
source distribution achieves the highest power transfer efficiency at the low-GHz
range (Kim et al. 2012). Su et al. described the relationship between the quality
factor (Q) the hollowness of printed spiral inductors (Su et al. 2012).

Health Issues Related to Wireless Power Transfer

It is essential to consider the associated health risks in designing wireless power


transmission system for biomedical applications. Heating of the tissue occurs due to
the exposure of the human body to RF energy. This is referred as “thermal” effect.
Lazzi summarizes the thermal effect of biomedical implants on the human body
(Lazzi 2005). It has been known for many years that the exposure to very high levels
53 Wireless Power Transfer, Recovery, and Data Telemetry for Biomedical. . . 1115

of RF radiation can be harmful due to the ability of RF energy to rapidly heat the
biological tissues. Tissue damage in humans could occur during exposure to high RF
levels because of the inability of the body to cope with or dissipate the excessive heat
that could be generated. Federal Communications Commission (FCC) regulates the
time and the amount of exposure of the electromagnetic waves to human tissues at
various frequencies (Federal Communications Commission 1996). American
National Standards Institute (ANSI) standard C95.1-1982 sets the electromagnetic
field strength limits for the general public for frequencies between 300 kHz and
100 GHz (ANSI 1982; Sawicki 2011). Below 300 MHz, the electric and the
magnetic fields must be accounted for separately. The ANSI standard C95.1-1982
is superseded by Institute of Electrical and Electronics Engineers (IEEE) standard
C95.1-1991, which sets the electric and the magnetic field strength limits for the
general public for frequencies between 3 kHz and 300 GHz (IEEE 1992). Below
100 MHz the electric and the magnetic fields must be accounted for separately.
Table 2 illustrates the IEEE standard C95.1-1991.
The quantity used to measure how much energy is actually absorbed in a body
when exposed to radio frequency (RF) electromagnetic field is called the specific
absorption rate (SAR). It is defined as the power absorbed per mass of the tissue and
has units of watts per kilogram (W/kg) or milliwatts per gram (mW/g). SAR can be
calculated as:
ð
σ ðr ÞjEðr Þj2
SAR ¼ dr (2)
ρðr Þ

where σ is the electrical conductivity of the sample, E is the RMS electric field, and ρ
is the sample density. In the case of whole-body exposure, a standing human adult
can absorb RF energy at a maximum rate when the frequency of the RF radiation is
in the range of about 80–100 MHz, meaning that the whole-body SAR is at a

Table 2 IEEE standard C95.1–1991: limit of maximum permisible exposure at controlled envi-
ronment on human body (IEEE 1992)
Power density, S Averaging
(mW/cm2) time
Frequency Electric field Magnetic field E- |E|2, |H|2
range (MHz) strength, E (V/m) strength, H (A/m) field H-field (minutes)
0.003-0.1 614 163 100 1E6 6
0.1-3.0 614 16.3/f 100 10000/f2 6
2
3-30 1842/f 16.3/f 900/f 10000/f2 6
30-100 61.4 16.3/f 1 10000/f2 6
100-300 61.4 0.163 1 6
300-3K – – f/300 6
3K-15K – – 10 6
15K-300K – – 10 616000/f1.2
f = Frequency in MHz
1116 A. B. Islam et al.

maximum under these conditions (resonance). Because of this resonance phenom-


enon, RF safety standards are generally most restrictive for these frequencies. SAR
should be within the tolerable and acceptable range for biological tissue (Gabriel
et al. 1996; Tang et al. 2005). A whole-body average SAR of 0.4 W/kg has been set
as the restriction that provides adequate protection for occupational exposure
(ICNIRP 2009). The FCC limit for public exposure from cellular telephones is an
SAR level of 1.6 W/kg (FCC). Two areas of the body, the eyes and the testes, are
particularly vulnerable to RF heating because of the relative lack of available blood
flow to dissipate the excessive heat load. A relatively low levels of exposure to RF
radiation can result in significant heating in these organs. However, the evidence
for harmful biological effects is ambiguous and unproven. Such effects have
sometimes been referred to as “nonthermal” effects. It is generally agreed that
further research is needed to determine the effects and their possible relevance, if
any, to human health.

Implantable Sensor-Based Patient Monitoring System

Recently a wireless body area network (WBAN) based patient monitoring system for
a comprehensive healthcare alternative has been proposed (Milenkovic et al. 2006),
which provides early detection of abnormal conditions and prevention of serious
consequences. This system incorporates a number of different sensors to monitor
various physiological phenomena (electrocardiography, oxygen, temperature,
motion, glucose, etc.) and the data is transmitted to a server computer which
communicates with the central database through the internet. The data is monitored
and stored in the central database and based on the acquired sensor data proactive
measures can be initiated by healthcare providers. Some of the physical phenomena
can only be monitored by placing implantable sensors inside the human body. An
overview of this system is shown in Fig. 5.
As the data is stored in a medical server, long-term and short-term patient
treatment can be optimized based on the medical history. Example of this work is
glucose monitoring of diabetes patients. Personal glucose monitoring can be inte-
grated with the WBAN-based health monitoring system. Closed loop insulin deliv-
ery is a good choice for the system level integration. This system monitors glucose in
real-time and activates/deactivates an insulin pump depending on the blood glucose
level of the body. A conceptual overview of closed loop–based glucose monitoring
and insulin pump system based on the implantable glucose capsule is illustrated in
Fig. 6. An “implanted sensor and data processing unit” is placed inside the human or
patient’s body where it measures the glucose level, processes the data, and sends the
data signal back to the remote station for monitoring and further processing. A
“glucose monitoring and data recording unit” (which can be worn like a wrist
watch) is placed outside the human body which wirelessly powers up the implant-
able unit, monitors the blood glucose, and depending on the glucose level sends the
signal wirelessly to an “Insulin pump” to inject the required dose of insulin into the
human body to control the blood glucose level. This ensures real-time patient
53 Wireless Power Transfer, Recovery, and Data Telemetry for Biomedical. . . 1117

Fig. 5 Overview of wireless body area network (WBAN) based patient monitoring system (Ashraf
2011)

Fig. 6 A conceptual overview of closed loop–based glucose monitoring and insulin pump system
based on the implantable glucose capsule (Ashraf 2011)
1118 A. B. Islam et al.

monitoring and healthcare around the clock. In the encapsulated system, “Micro-
fluidic channels” draws the sample (blood) and brings the sample in close proximity
of the sensor. The “Biosensor” block detects and measures the glucose level. “Data
acquisition and signal processing unit” stimulates the biosensor as well as collects
and processes the data. The “Data transmitter” unit wirelessly transmits the data
outside of the human body using biomedical frequency band. The “Wireless power
recovery unit” harvests the power supplied wirelessly from outside of the human
body via inductive link and delivers the power required to operate the electronic
components of the system. The entire sensor system is encapsulated in biocompat-
ible materials to prevent contamination of the body fluid.

Inductive Link for Biomedical Implantable Systems

Biomedical sensor technology has seen a rapid development in recent years. Rise of
micro- and nanofabrication facilities coupled with the availability of inexpensive
signal processing systems have led to the development of various biomedical
sensors. Silicon-based microfabrication and microelectromechanical (MEMS) tech-
niques have been successfully applied to the fabrication of a number of different
types of miniature electrochemical biomedical sensors. These advances in the
fabrication processes have enabled significant recent research focused on the inves-
tigation of continuous in vivo measurement and monitoring of various physiological
variables by means of implantable sensors. Examples include monitoring of the
blood glucose level (Jaffari and Turner 1995; Renard 2004; Zhang et al. 2007),
continuous in vitro monitoring of lactate in the bloodstream or tissues (Baker
and Gough 1995), and minimally invasive monitoring of pressure in blood vessels
and intracranial compartments (Hierold et al. 1999). A summary of emerging
and commercialized sensing technologies of the past few years is provided by
Cote et al. (2003).
For biomedical applications, implantable electronics are being increasingly used
for real-time patient monitoring, diagnosis, and in some cases for treatment. Induc-
tive link is a common method for wireless powering of implantable biomedical
electronics and data communication with the external world. Previously, transcuta-
neous power cables were used in some clinical implantable applications (Soma et al.
1987), but have been found to introduce a significant path for infection. One
alternative to the transcutaneous power cables is the use of implanted batteries,
which provide a limited supply of power and may exceed size and mass require-
ments for the implant. In addition, replacement can only be performed via surgical
procedure, and the long-term implantation introduces a potential risk of leakage.
Alternatively, inductive links do not suffer from these limitations and consequently
produce increased implant robustness and if implemented properly, provide
sufficient miniaturization. Wireless power transmission and data telemetry using
an inductive link has been demonstrated for various biomedical applications includ-
ing visual prosthesis, cochlear implants, neuromuscular and nerve stimulators,
cardiac pacemakers/defibrillators, deep-brain stimulators, spinal-cord stimulators,
53 Wireless Power Transfer, Recovery, and Data Telemetry for Biomedical. . . 1119

Table 3 Prior arts on wireless power transfer for biomedical implants


References Applications Frequency Inductor type
(Heetderks 1988) Neural prosthetic implant 2–20 MHz Ferrite core
(Zierhofer et al. 1995) Cochlear implant – –
(Kendir et al. 2005) Retinal prosthesis 1 MHz Litz wire
(Ghovanloo and Biomedical implant 5/10 MHz –
Najafi 2004)
(Sauer et al. 2005) Neural implant 4 MHz Copper magnet wire
(Lenaerts and Puers Endoscope 1.055 MHz Litz wire
2007)
(Li et al. 2006) Neural recording 4 MHz Litz wire
(Sawan et al. 2009) Implantable system 13.56 MHz On-chip
(Mandal and Neural prosthesis 25 MHz wire
Sarpeshkar n.d.)
(O'Driscoll and Meng Implantable prosthesis 1 GHz Bond wire
2010)
(Jow and Ghovanloo Neuroprosthetic 13.56 MHz PCB
2010) implantable device
(Harrison et al. 2007) Neural recording 2.64 MHz Off-chip power,
on-chip data
(Sodagar et al. 2009) Neural recording <10 MHz Wire
(Mirbozorgi et al. Animal research 13.56 MHz, Multiple (wire, PCB)
2014) 200 KHz
(Trigui et al. 2015) Implantable medical 13.56 MHz PCB
device

brain-machine interfaces, gastrointestinal microsystems, and capsule endoscopy


(Ko et al. 1977; Heetderks 1988; Zierhofer et al. 1995; Schulman 2008; Liu et al.
2000; Lenaerts and Puers 2007; Mandal et al. 2006; Sauer et al. 2005; Ghovanloo
and Najafi 2004; Kendir et al. 2005). Emerging implantable and ingestible wireless
biomedical devices are summarized by Bashirullah (Bashirullah 2010). A summary
of the prior works on inductive link for wireless power transfer is provided in
Table 3.

Data Telemetry

Another important feature of implantable microsystems is the wireless transmission


of the sensor data for real-time monitoring and diagnosis. Data telemetry can be
achieved using two different schemes: data transmission from power transmitter to
power receiver known as forward telemetry and data transmission from power
receiver to power transmitter known as backward telemetry.
Several modulation schemes such as amplitude-shift keying (ASK), frequency-
shift keying (FSK), etc. have been reported in the literature. These schemes provide
high energy efficiency by taking the benefits of low data rate and short distance
1120 A. B. Islam et al.

communication associated with biomedical telemetry (Ghovanloo and Najafi 2004;


Sacristán-Riquelme et al. 2008). For an inductively powered system, the transmitting
antenna of the data signal can be either the power link coils or a separate unit. Load-
shift keying (LSK) modulation scheme utilizing the changing of the load value of an
inductively coupled system has been reported in literature for biomedical implant
applications (Tang et al. 1995).
Since the wireless-based systems are required to avoid interference with the
existing communication systems, these stringent requirements limit medical radios
to use industrial, scientific, and medical (ISM) frequency bands with low data rate
operation. Depending on the application, medical radios use various data rates and
frequencies. For example, data bandwidths for pacemakers, cardiac defibrillators,
and analog cochlear processors are typically around 8 kbps (Wong et al. 2004;
Sarpeshkar et al. 2005), while neural recording uses 800 kbps (Wise 2004) and
retinal stimulators use 40 kbps data rate (Weiland et al. 2005). A summary of prior
works on data telemetry for biomedical applications with wireless power transfer is
given in Table 4.

Wireless Power Recovery and Energy Conversion

The wireless power recovery unit of Fig. 6 is elaborated further in Fig. 7. The general
structure of the link consists of the receiving coil, followed by an L-C matching
network which tunes the impedance of the coil and increases its drive strength.
Following this, a low-power and low-voltage rectifier circuit is used to convert the
high frequency resonant AC voltages to a DC voltage at its output. Finally, an
optional DC/DC converter, either using switched-mode power conversion or
implemented as a linear regulator, can be used to provide a well-regulated DC output
voltage to the implant circuitry.
Most commonly, the matching network consists of a single, parallel capacitor
(Lu and Ki 2014; Cha et al. 2012; Si et al. 2008). However, particularly in
applications employing frequencies above 1 GHz, more complex matching networks
may be necessary (Falkenstein et al. 2012). The optional DC/DC converter is often
implemented as a linear regulator (Lu and Ki 2014; Cha et al. 2012), or omitted
entirely if the loads supplied by the implant are not highly sensitive to supply voltage
ripple. Use of a linear regulator necessitates a rectifier output Vdc, which is greater
than the intended supply voltage Vsup to allow regulation. However, the efficiency of
the linear regulator is always less than the ratio of input and output voltages. Where
higher efficiency is necessitated, switched-mode power converters are used,
although careful design of control circuitry is required to ensure that the more
complex converter is capable of achieving better efficiency at the low power levels
present in implantable devices. As an additional benefit, a switched-mode DC/DC
can be controlled to present a controlled impedance to the rectifier circuit across a
range of power levels, which can be used to assist in maximizing harvested power
(Paing et al. 2008).
53

Table 4 Summary of prior work on data telemetry for biomedical applications with wireless power transfer
Forward telemetry Backward telemetry Application
Modulation
References type Data rate, Carrier Modulation type Data rate, Carrier
(Galbraith et al. 1987) FM 1 Mbps, 20 MHz N/A N/A Neural stimulators
(Piedade et al. 2005) FSK 100 kbps, 1 MHz DBPSK 15.625 kbps, 500 kHz Visual neuroprosthesis
(Sawan et al. 2005) ASK-BPSK 1.507 Mbps, 13.56 MHz LSK 1.13 Mbps,13.56 MHz Cortical stimulator
(Suaning and Lovell 2001) Packet detect –, 2.5 MHz Burst of RF energy –, 2.5 MHz Neurostimulation
(Akin et al. 1998) ASK –, 4 MHz PWM-ASK 125 kbps, 4 MHz Peripheral neural
recording
(Gudnason et al. 2000) OOK 100 kbps, 5 MHz N/A N/A Neural stimulator
(Smith et al. 1998) OOK –, 6.78 MHz LSK-CCM 200 kbps, 6.78 MHz Neuromuscular
stimulation
(Ghovanloo and Najafi FSK 2.5 Mbps, 5/10 MHz N/A N/A Neural stimulation
2004)
(Liu et al. 2000) PWM-ASK 25~250 kbps, 1~10 MHz N/A N/A Retinal prosthesis
(Wang et al. 2005) N/A N/A LSK-pseudo 3.3 kbps, 1 MHz Retinal prosthesis
PWM
(Sauer et al. 2005) N/A N/A LSK 10 kbps, 4 MHz Neurological monitoring
Wireless Power Transfer, Recovery, and Data Telemetry for Biomedical. . .
1121
1122 A. B. Islam et al.

Fig. 7 Functional diagram of wireless power recovery unit in biomedical implants

Fig. 8 Diode rectifier circuits including: (a) half-wave, (b) voltage doubler, and (c) full-wave
rectifier

To implement the rectifier circuit, switched-mode semiconductors are generally


used. Examples of voltage-sourced diode rectifier circuits are shown in Fig. 8. Both
Co and Cb are bulk capacitances which maintain near-DC voltages during steady-
state operation. The half-wave rectifier is primarily used in cases where simplicity
and minimum part count are required. Under unloaded operating conditions it is
capable of producing a maximum voltage Vdc = Vac,pk – VD, where Vac,pk is the peak
voltage of the AC input and VD is the forward voltage of the diode, which is assumed
to be constant. The voltage doubler rectifier uses series capacitor Cb with a
shunt diode to insert a DC offset, allowing a maximum output voltage of Vdc =
2Vac,pk – VD. Finally, the full-wave rectifier has two series diodes in any path between
Vac and Vdc, which provide a maximum output voltage of Vdc = Vac,pk – 2VD.
However, because the full-wave rectifier can supply charge to Co during both the
positive and the negative peaks of the AC input, it requires only half of the output
capacitance Co that the half-wave and the voltage doubler rectifiers require for the
same amount of ripple in Vdc.
In implantable applications, which are characterized by low power and low
voltage produced by the receiving coil, the forward voltage of a conducting diode
is often close in value to the input and the output voltage amplitudes. When this is the
case, both the voltage conversion ratio, M = Vdc/Vac,pk, and the rectifier efficiency
will be severely limited. In order to avoid this, the voltage drop across rectifier
semiconductors must be reduced. Schottky diodes can be used as direct replacement
for traditional p-n junction diodes while exhibiting half to one-third of the forward
voltage. If further reduction is required, a CMOS rectifier is used, wherein the diodes
53 Wireless Power Transfer, Recovery, and Data Telemetry for Biomedical. . . 1123

are replaced by switched-mode transistors which are controlled to approximate the


same conducting intervals as the diodes but with much smaller voltage drop.
Due to the high frequencies of the RF signal, CMOS rectifiers must be designed
with very low latency in control signals in order to prevent losses in efficiency from
transistor conducting at inappropriate times. Popular CMOS versions of the voltage
doubler rectifier are shown in Fig. 9. In Fig. 9a, diode connected transistors are used
with some bias to emulate diode behavior while maintaining low-forward voltage
drop during conduction (Umeda et al. 2006). If the bias voltage is set precisely to the
device threshold voltage, nearly zero voltage drop operation can be obtained, but
reverse leakage currents will limit overall rectifier efficiency. Dynamic voltage
biasing, wherein CMOS circuitry is used to generate a threshold-level bias that is
present only during the transistor conduction interval, but removed when the main
transistor is off, can alleviate the leakage current while maintaining the conduction
benefits (Hashemi et al. 2012). In Fig. 9b, a different approach is taken in which the
CMOS transistor gates are tied to the rails of the output voltage, producing some bias
without requiring additional voltage (Kotani et al. 2009). This implementation is
limited by reverse current conduction in cases where the output voltage is greater
than the MOS threshold. Finally, in Fig. 9c, comparators are used to provide
transistor gate voltages to create an “active diode” which very closely approximates
an ideal diode. For proper operation, the comparator needs to be designed such that it
can resolve very low voltage signals accurately and operate with low power con-
sumption and low propagation delay. Two examples of CMOS full-wave rectifiers
are shown in Fig. 10, which employ either cross-coupled devices or active diodes
(Lam et al. 2006). The specific rectifier topology for any implantable device should

Fig. 9 Example CMOS implementations of voltage doubler rectifiers

Fig. 10 Example CMOS implementations of full-wave rectifiers


1124 A. B. Islam et al.

be selected based on the application requirements for voltage and efficiency and
should consider the degree of customization and circuit design which is feasible.

Conclusions

With the recent technological developments in both healthcare and electronics


technology, sensor-based remote healthcare monitoring system is gaining more
attraction day by day. Powering of the wearable or implantable sensor circuit is
always a crucial design factor. Battery cannot be placed inside the human body due
to serious health risks such as poisoning and chemical burn. An alternate approach is
to supply power using inductive link where power is transferred via two loosely
coupled inductors. For good inductive coupling, the inductors should have high
inductance and high quality factor. But the physical dimension of the implanted
inductor cannot be large due to biomedical constraints. In addition, the frequency
cannot be increased due to FCC regulations. Therefore, there is a need for small
sized and high inductance, high quality factor inductors for implantable sensor
applications. Litz wire–based inductors have been used for biomedical sensor
applications. But these inductors are typically large in size and are thus unsuitable
for implantable sensors. In addition, reducing the size of the inductor is always a big
challenge. On-chip inductor is a potential solution to this problem. However, the
implementation of the integrated inductors has been a great challenge due to parasitic
effects, low inductance, and low quality factor. Due to very small physical dimen-
sions, on-chip inductors exhibit inductances in the nano Henry range. To accommo-
date this phenomenon, frequency of operation of inductive links needs to be
increased to GHz region. For small coil size and application with human tissue,
the optimum frequency of operation of the inductive link utilizing an on-chip
inductor is around 1 GHz. With the advancement of CMOS technology, inductive
powering using on-chip inductor is becoming a reality.

References
Akin T, Najafi K, Bradley RM (1998) A wireless implantable multichannel digital neural recording
system for a micromachined sieve electrode. IEEE J Solid State Circuits 33:109–118
ANSI (1982) American national standard safety levels with respect to human exposure to radio
frequency electromagnetic fields, 300 kHz to 100 GHz. ANSI Std C95.1-1982, p 0_1, 1982
Ashraf B (2011) Islam, “Design of wireless power ransfer and data telemetry system for biomedical
applications.” PhD Dissertation, University of Tennessee, Knoxville
Baker DA, Gough DA (1995) A continuous, implantable lactate sensor. Anal Chem 67:1536–1540
Bashirullah R (2010) Wireless implants. IEEE Micro Mag 11:S14–S23
Cha H-K, Park W-T, Je M (2012) A CMOS rectifier with a cross-coupled latched comparator for
wireless power transfer in biomedical applications. IEEE Trans Circuit Syst II Exp
59(7):409–413
Coté GL, Lec RM, Pishko MV (Jun 2003) Emerging biomedical sensing technologies and their
applications. IEEE Sensors J 3:251–266
Falkenstein E, Roberg M, Popovic Z (2012) Low-power wireless power delivery. IEEE Trans
Microwave Theory Tech 60(7):2277–2286
53 Wireless Power Transfer, Recovery, and Data Telemetry for Biomedical. . . 1125

FCC. Available online http://www.fcc.gov/cgb/sar/


Federal Communications Commission (1996) Guidelines for evaluating the environmental effects
of radio frequency radiation. F. C. Commission, 1996
Gabriel S, Lau RW, Gabriel C (1996) The dielectric properties of biological tissues.
II. Measurements in the frequency range 10 Hz to 20 GHz. Phys Med Biol 41:2251–2269
Galbraith DC, Soma M, White RL (1987) A wide-band efficient inductive transdermal power and
data link with coupling insensitive gain. IEEE Trans Biomed Eng 34:265–275
Ghovanloo M, Najafi K (2004) A wideband frequency-shift keying wireless link for inductively
powered biomedical implants. IEEE Trans Circuit Syst I 51:2374–2383
Gudnason G, Bruun E, Haugland M (2000) A chip for an implantable neural stimulator. Analog
Integr Circ Sig Process 22:81–89
Harrison RR, Watkins PT, Kier RJ, Lovejoy RO, Black DJ, Greger B, Solzbacher F (2007)
A low-power integrated circuit for a wireless 100-electrode neural recording system. IEEE
J Solid State Circ 42:123–133
Hashemi SS, Sawan M, Savaria Y (2012) A high-efficiency low-voltage CMOS rectifier for
harvesting energy in implantable devices. IEEE Trans Biomed Circ Sys 6(4):326–335
Heetderks WJ (1988) RF powering of millimeter- and submillimeter-sized neural prosthetic
implants. IEEE Trans Biomed Eng 35:323–327
Hierold C, Clasbrummel B, Behrend D, Scheiter T, Steger M, Oppermann K, Kapels H, Landgraf E,
Wenzel D, Etzrodt D (1999) Low power integrated pressure sensor system for medical appli-
cations. Sensors Actuators A Phys 73:58–67
IEEE (1992) IEEE standard for safety levels with respect to human exposure to radio frequency
electromagnetic fields, 3 kHz to 300 GHz. IEEE Std C95.1-1991, p 1, 1992
International Commission on Non-Ionizing Radiation Protection, ICNIRP (2009) Guidelines for
limiting exposure to time-varying electric, magnetic and electromagetic fields (up to 300 GHz),
Ed, 2009
Jaffari SA, Turner APF (1995) Recent advances in amperometric glucose biosensors for in vivo
monitoring. Physiol Meas 16:1–15
Jow UM, Ghovanloo M (2010) Optimization of data coils in a multiband wireless link for
neuroprosthetic implantable devices. IEEE Trans Biomed Circ Syst 4:301–310
Kendir GA, Liu W, Guoxing W, Sivaprakasam M, Bashirullah R, Humayun MS, Weiland JD (2005)
An optimal design methodology for inductive power link with class-E amplifier. IEEE Trans
Circ Syst I 52:857–866
Kim S, Ho JS, Poon ASY (2012) Wireless power transfer to miniature implants: transmitter
optimization. IEEE Trans Antennas Propag 60(10):4838–4845
Ko WH, Liang SP, Fung CDF (1977) Design of radio-frequency powered coils for implant
instruments. Med Biol Eng Comput 15:634–640
Kotani K, Sasaki A, Ito T (2009) High-efficiency differential-drive CMOS rectifier for UHF RFIDs.
IEEE J Solid State Circ 44(11):3011–3018
Lam Y-H, Ki W-H, Tsui C-Y (2006) Integrated low-loss CMOS active rectifier for wirelessly
powered devices. IEEE Trans Circ Syst II Exp 53(12):1378–1382
Lazzi G (2005) Thermal effects of bioimplants. IEEE Eng Med Biol Mag 24:75–81
Lenaerts B, Puers R (2007) An inductive power link for a wireless endoscope. Biosens Bioelectron
22:1390–1395
Li P, Principe JC, Bashirullah R (2006) A wireless power interface for rechargeable battery operated
neural recording implants. In: Engineering in medicine and biology society, EMBS '06 28th
annual international conference of the IEEE, 2006, vol 1, pp 6253–6256
Liu WT, Vichienchom K, Clements M, DeMarco SC, Hughes C, McGucken E, Humayun MS,
De Juan E, Weiland JD, Greenberg R (2000) A neuro-stimulus chip with telemetry unit for
retinal prosthetic device. IEEE J Solid State Circ 35:1487–1497
Lu Y, Ki W-H (2014) A 13.56 MHz CMOS active rectifier with switched-offset and compensated
biasing for biomedical wireless power transfer systems. IEEE Trans Biomed Circ Syst 8(3):334–344
Mandal S, Sarpeshkar R A bidirectional wireless link for neural prostheses that minimizes
implanted power consumption. In: Biomedical circuits and systems conference, 2007. BIOCAS
2007. IEEE, 2007. IEEE, Montreal, Canada, pp 45–48
1126 A. B. Islam et al.

Mandal S, Zhak SM, Sarpeshkar R (2006) Circuits for an RF Cochlea. In: Circuits and systems,
2006. ISCAS 2006. Proceedings. 2006 I.E. international symposium on, 4pp
Milenkovic A, Otto C, Jovanov E (2006) Wireless sensor networks for personal health monitoring:
issues and an implementation. Comput Commun 29:2521–2533
Mirbozorgi S, Bahrami H, Sawan M, Gosselin B (2014) A smart multicoil inductively-coupled
array for wireless power transmission. IEEE Trans Ind Electron 61(11):6061–6070
O'Driscoll S, Meng TH (2010) Adaptive signal acquisition and wireless power transfer for an
implantable pprosthesis processor. In: Circuits and systems (ISCAS), Proceedings of 2010 I.E.
International symposium on. IEEE, Paris, France, pp 3589–3592
Paing T, Shin J, Zane R, Popovic Z (2008) Resistor emulation approach to low-power RF energy
harvesting. IEEE Trans Power Electron 23(3):1494–1501
Piedade M, Gerald J, Sousa LA, Tavares G, Tomas P (2005) Visual neuroprosthesis: a non invasive
system for stimulating the cortex. IEEE Trans Circuit Syst I 52:2648–2662
RamRakhyani AK, Lazzi G (2013) On the design of efficient multi-coil telemetry system for
biomedical implants. IEEE Trans Biomed Circ Syst 7(1):11–23
Renard E (2004) Implantable glucose sensors for diabetes monitoring. Minim Invasive Ther Allied
Technol 13:78–86
Sacristán-Riquelme J, Segura F, Osés MT (2008) Simple and efficient inductive telemetry system
with data and power transmission. Microelectron J 39:103–111
Sarpeshkar R, Salthouse C, Ji-Jon S, Baker MW, Zhak SM, Lu TK-T, Turicchia L, Balster S (2005)
An ultra-low-power programmable analog bionic ear processor. IEEE Trans Biomed Eng
52:711–727
Sauer C, Stanacevic M, Cauwenberghs G, Thakor N (2005) Power harvesting and telemetry in
CMOS for implanted devices. IEEE Trans Circuit Syst I 52:2605–2613
Sawan M, Hu Y, Coulombe J (2005) Wireless smart implants dedicated to multichannel monitoring
and microstimulation. IEEE Circuit Syst Mag 5:21–39
Sawan M, Hashemi S, Sehil M, Awwad F, Hajj-Hassan M, Khouas A (2009) Multicoils-based
inductive links dedicated to power up implantable medical devices: Modeling, design and
experimental results. Biomed Microdevices 11:1059–1070
Sawicki D (2011) Police traffic SPEED RADAR handbook. CopRadar.com, Granite City, Ill
Schulman JH (2008) The feasible FES system: battery powered BION stimulator. Proc IEEE
96:1226–1239
Senjuti S, Fricke K, Dounavis A, Sobot R (2012) Misalignment analysis of resonance-based wireless
power transfer to biomedical implants. In: 25th IEEE canadian conference on electrical &
computer engineering (CCECE), 29 April 2012–2 May 2012. IEEE, Montreal, Canada, pp 1–5
Si P, Hu AP, Malpas S, Budgett D (2008) A frequency control method for regulating wireless power
to implantable devices. IEEE Trans Biomed Circ Syst 2(1):22–29
Smith B, Tang Z, Johnson MW, Pourmehdi S, Gazdik MM, Buckett JR, Peckham PH (1998)
An externally powered, multichannel, implantable stimulator-telemeter for control of paralyzed
muscle. IEEE Trans Biomed Eng 45:463–475
Sodagar AM, Wise KD, Najafi K (2009) A wireless implantable microsystem for multichannel
neural recording. IEEE Trans Microwave Theor Tech 57:2565–2573
Soma M, Galbraith DC, White RL (1987) Radio-frequency coils in implantable
devices: Misalignment analysis and design procedure. IEEE Trans Biomed Eng
BME-34:276–282
Su Y, Liu X, Lee CK, Hui SY (2012) On the relationship of quality factor and hollow winding
structure of coreless printed spiral winding (CPSW) inductor. IEEE Trans Power Electron
27(6):3050–3056
Suaning GJ, Lovell NH (2001) CMOS neurostimulation ASIC with 100 channels, scaleable output,
and bidirectional radio-frequency telemetry. IEEE Trans Biomed Eng 48:248–260
Tang Z, Smith B, Schild JH, Peckham PH (1995) Data transmission from an implantable
biotelemeter by load-shift keying using circuit configuration modulator. IEEE Trans Biomed
Eng 42:524–528
53 Wireless Power Transfer, Recovery, and Data Telemetry for Biomedical. . . 1127

Tang QH, Tummala N, Gupta SKS (2005) Communication scheduling to minimize thermal effects
of implanted biosensor networks in homogeneous tissue. IEEE Trans Biomed Eng
52:1285–1294
Tesla N (1902) Apparatus for transmitting electrical energy. U.S. Patent
Trigui A, Hached S, Mounaim F, Ammari A, Sawan M (2015) Inductive power transfer system with
self-calibrated primary resonant frequency. IEEE Trans Power Electron (99):1–10. https://doi.
org/10.1109/TPEL.2015.2399417
Umeda T, Yoshida H, Sekine S, Fujita Y, Suzuki T, Otaka S (2006) A 950-MHz rectifier circuit for
sensor network tags with 10-m distance. IEEE J Solid State Circ 41(1):35–41
Wang G, Liu W, Sivaprakasam M, Kendir GA (2005) Design and aanalysis of an adaptive
transcutaneous power telemetry for biomedical implants. IEEE Trans Circ Syst I 52:2109–2117
Weiland JD, Liu W, Humayun MS (2005) Retinal prosthesis. Annu Rev Biomed Eng 7:361–401
Wise KD, Anderson DJ, Hetke JF, Kipke DR, Najafi K (2004) Wireless implantable microsystems:
high-density electronic interfaces to the nervous system. Proc IEEE 92:76–97
Wong LSY, Hossain S, Ta A, Edvinsson J, Rivas DH, Naas H (2004) A very low power CMOS
mixed-signal IC for implantable pacemaker applications. In: Solid-state circuits conference,
2004. Digest of technical papers. ISSCC. 2004 I.E. International, vol 1. San Francisco, CA,
USA, pp 318–530
Wu R, Raju S, Mansun C, Sin JKO, Yue CP (2013) Silicon-embedded receiving coil for high-
efficiency wireless power transfer to implantable biomedical ICs. IEEE Electron Device Lett
34(1):9–11
Yildiz F (2009) Potential ambient energy-harvesting sources and techniques. J Technol Stud
35:40–48
Zhang M, Haider MR, Haque MA, Adeeb MA, Rahman S, Islam SK (2007) A low power sensor
signal processing circuit for iimplantable biosensor applications. Smart Mater Struct
16:525–530
Zierhofer CM, Hochmair-Desoyer IJ, Hochmair ES (1995) Electronic design of a cochlear implant
for multichannel high-rate pulsatile stimulation strategies. IEEE Trans Rehab Eng 3:112–116
Part V
Microstimulators
A Flexible Software-Hardware Framework
for Brain EEG Multiple Artifact 54
Identification

Mohit Khatwani, Hasib-Al Rashid, Hirenkumar Paneliya,


Mark Horton, Houman Homayoun, Nicholas Waytowich,
W. David Hairston, and Tinoosh Mohsenin

Contents
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1132
Related Work . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1133
EEG Artifacts and Visualization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1135
Theoretical Background . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1138
Traditional Convolution . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1138
Depthwise Convolution . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1138
Depthwise Separable Convolution . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1139
Software Framework and Results . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1140
EEG Artifact Identification Model Architecture . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1140
Classification Analysis and Results . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1141
Comparison of Classification Accuracy with Existing Work . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1141
Model Optimization for Embedded Low-Power Hardware . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1143
Network Parameters Optimization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1144
Model Weights Quantization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1145
Hardware Architecture Design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1146
Hardware Implementation and Results . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1148
FPGA Implementation Results and Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1148
ASIC Implementation Results and Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1149
Comparison with Existing Work . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1150

M. Khatwani · H.-A. Rashid (*) · H. Paneliya · M. Horton · T. Mohsenin


Department of Computer Science and Electrical Engineering, University of Maryland, Baltimore
County, Baltimore, MD, USA
e-mail: khatwan1@umbc.edu; hrashid1@umbc.edu; hpaneli1@umbc.edu; hmark2@umbc.edu;
tinoosh@umbc.edu
H. Homayoun
University of California, Davis, Davis, CA, USA
e-mail: hhomayoun@ucdavis.edu
N. Waytowich · W. D. Hairston
Human Research and Engineering Directorate, US Army Research Lab, Adelphi, MD, USA
e-mail: nicholas.r.waytowich.civ@mail.mil; william.d.hairston4.civ@mail.mil

© Springer Science+Business Media, LLC, part of Springer Nature 2022 1131


M. Sawan (ed.), Handbook of Biochips,
https://doi.org/10.1007/978-1-4614-3447-4_21
1132 M. Khatwani et al.

Experimental Study: Eye Blink Artifact Detection Using Emotiv EPOC+ Headset . . . . . . . . . 1152
Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1154
References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1154

Abstract
This chapter presents an energy efficient and flexible multichannel electroenceph-
alogram (EEG) artifact identification software-hardware framework using
depthwise and separable convolutional neural networks (DS-CNN). EEG signals
are recordings of the brain activities. The EEG recordings that are not originated
from cerebral activities are termed as artifacts. Our proposed model does not need
expert knowledge for feature extraction or preprocessing of EEG data and has a
very efficient architecture implementable on mobile devices. The network pre-
sented in this chapter can be reconfigured for any number of EEG channel and
artifact classes. Experiments were done with the proposed model with the goal of
maximizing the identification accuracy while minimizing the weight parameters
and required number of operations. The network presented in this chapter
achieves 93.14% classification accuracy using EEG dataset collected by a
64 channel BioSemi ActiveTwo headsets, averaged across 17 patients and 10 arti-
fact classes. The hardware architecture designed in this chapter is fully parame-
terized with number of input channels, filters, depth, and data bit-width. The
number of processing engines (PE) in the proposed hardware can vary between
1 and 16 providing different latency, throughput, power, and energy efficiency
measurements. A custom hardware architecture is implemented on Xilinx
FPGA (Artix-7) which on average consumes 1.4–4.7 mJ dynamic energy with
different PE configurations. Energy consumption is further reduced by 16.7
implementing on application-specified integrated circuit (ASIC) at the post-
layout level in 65-nm CMOS technology. The FPGA implementation is 1.7 to
5.15 higher energy efficient than some previous works. Moreover, the ASIC
implementation is also 8.47 to 25.79 higher energy efficient compared to
previous works. In this chapter, it is also demonstrated that the network is
reconfigurable to detect artifacts from another EEG dataset collected in a lab by
a 14 channel Emotiv EPOC+ headset and achieved 93.5% accuracy for eye blink
artifact detection.

Introduction

Electroencephalography is a method of recording noninvasive electrical signals of


brain through electrodes. EEG signals can be easily contaminated through noise
originating from line electrical noise, muscle movement, or ocular movements.
These distortions in the EEG signals can be referred to as artifacts. These artifacts
can lead to difficulties in extracting underlying neuro information.
Artifacts can overlap the EEG signal in spectral as well as temporal domain which
turns out to be difficult for simple signal processing to identify artifacts (Islam et al.
2016). A method involving regression which subtracts the portion of signal from
54 A Flexible Software-Hardware Framework for Brain EEG Multiple Artifact. . . 1133

reference signal was widely used. Problem with this method is that it needs one or
more reference channels. As of now, the independent component analysis technique
(ICA) is one of the most frequently used method for EEG artifact detection (Jafari
et al. 2017). The ICA is a denoising technique that involves the whitening of data and
separation of linearly mixed sources (Winkler et al. 2011). A major drawback of this
method is that it is not fully automated and still requires an expert person to label and
tag the EEG artifacts. ICA is computationally intensive (Jafari et al. 2017) which
makes it unsuitable for use in embedded hardware applications.
Convolution neural networks (CNNs) have been successfully used in computer
vision tasks such as image and audio classification (Ren et al. 2020, in press;
Hosseini et al. 2020). The advantage of using CNNs in these tasks is that it does
not need hand-crafted features from experts, it learns them automatically using raw
data. Jafari et al. (2019) have shown that time series signals from multimodal sensors
can be combined in a 2D images and passed to the convolution layers to learn the
features and then passed to multilayer perceptron (MLP) to perform final classifica-
tion. One major disadvantage of using CNNs is its high memory and computation
requirements.
In this chapter, depthwise and separable convolution layers are used to create
memory and computationally efficient CNNs which are used for multiple artifact
identification from continuous multichannel EEG signal. A scalable low-power
hardware is designed for the optimized model and is implemented both on FPGA
and with ASIC post-layout flow.
The rest of the chapter is organized as follows: section “Related Work” presents
some related works. Section “EEG Artifacts and Visualization” provides description
of EEG data, artifacts, and the information on experiments performed to collect
it. Moreover, it shows visualization of EEG artifacts as well. Background on
different types of convolution layers is given in section “Theoretical Background.”
Section “Software Framework and Results” provides details about the proposed
artifact identification architecture and classification results. Model optimization and
quantization techniques are given in section “Model Optimization for Embedded
Low-Power Hardware.” Hardware architecture design is presented in section “Hard-
ware Architecture Design.” Section “Hardware Implementation and Results”
provides detailed analysis and results for hardware implementation. Section “Com-
parison with Existing Work” provides comparison with existing works.
Section “Experimental Study: Eye Blink Artifact Detection Using Emotiv EPOC+
Headset” presents our experiments with the Emotiv EPOC+ headset to collect our
own dataset and implement our model for binary classification of eye blink artifact
detection. Section “Conclusion” concludes the chapter.

Related Work

This section contains a brief description of artifacts that can influence the analysis
and the interpretation of EEG recording. It further deals with existing ways for
artifact identification. EEG monitors the electrical activity of the brain, signals
1134 M. Khatwani et al.

generated can be used in many applications including seizure detection, and


brain-computer interfaces (BCI). Some of the electrical activity has rhythmic fea-
tures while other can be characterized as transient. The bands of frequency for the
rhythmic activity are usually alpha (8–12 Hz), beta (12–30 Hz), delta (1–4 Hz), and
theta (4–7 Hz) waves. EEG signal have very low signal to noise ratio. These signals
are usually interfered with artifacts generating from muscle, ocular movements, and
power lines.
Artifact is electrical activity with noise which occurs outside and inside of the
brain yet is still recorded by the EEG. Essentially an artifact is not of cerebral origin.
It can be physiological: originating from the patient’s body or extra physiological.
The latter can include activity from some of the equipment in the room, electrode
pop up, and cable movement. Some can be read in global channels, while others can
only be found in single channels. Some are recorded as periodic regular events,
while others in contrast are extremely irregular.
In order to detect artifact in EEG signal, the use of a straightforward signal
processing technique is not always the best method for artifact detection. This is
mainly due to the fact that artifacts can coincide with EEG signals in both spectral
and temporal domains. The main challenge is that both the existence and the actual
type of artifact will command the selected process of removal. A traditional way of
determining the former and latter is to follow an ICA-based procedure as a primary
step. The type of artifact at hand will then determine whether time or frequency
domain (or a combination of both) should be used for identification. The work in
Bhardwaj et al. (2015) proposes a real-time low-complexity and reliable system
design methodology to remove blink and muscle artifacts and noise without the need
of any extra electrode. The average value of correlation and regression lie above 80%
and 67%, respectively. Sundaram et al. (2016) implemented a moving average and
median filter to remove physiological noise from EEG data signal as part of
preprocessing. The results show that the median filter consumes slightly less
power and occupies 60% less area, while the moving average filter is 1.2 faster.
Mahabub (2018) proposed a complete filter which is a combination of integrator
filter and differentiate filter which support detection of both low and high noises. The
total FPGA utilization for complete filter is less than 1%.
Islam et al. (2017) have used feature extraction and traditional machine learning
classifiers such as KNN and SVM to build a fully automated EEG artifact
classifier. This method outperforms the ICA-based methods, exhibiting lower
computation and memory requirements. Proposed architecture is also implemented
on embedded ARM Cortex CPU. On average, it consumes 1.5 W power at
1.2 GHz frequency.
Deep neural networks require a lot of data by performing data augmentation.
Convolution neural networks have been also used by Khatwani et al. (2018) for
detecting ocular- and muscular-related artifacts. One disadvantage of using CNN is
its high memory and computation requirements. Another method which accom-
plishes desired results is that of recurrent neural networks (RNNs). The long short-
term memory (LSTM) approach was proposed in Wang et al. (2018) as a variance of
RNN-based EEG classifier in motor imaginary task. Abdelhameed et al. (2018)
54 A Flexible Software-Hardware Framework for Brain EEG Multiple Artifact. . . 1135

proposed a deep convolutional bidirectional LSTM-based classifier for epileptic


seizure detection. However, both RNN and LSTM require memory bandwidth-
bound computation which is not hardware friendly and therefore restricts the
applicability of these neural network solutions. LSTM structure comprises four
separate linear layers per unit to run at and for every time-step sequence. Those
linear layers require multiple memory units which might not be efficient for hard-
ware design. Therefore, hardware implementation of RNN/LSTM and its variances
are not good contender to be implemented as energy efficient hardware.
Depthwise and separable convolution layers can be used to reduce the weight
parameters. This can lead to increase in efficiency without decreasing performance.
Use of depthwise separable convolution was also demonstrated in the first layer of
Inception-v4 (Szegedy et al. 2017). The use of Xception model on ImageNet dataset
led to a small change in classification performance with large improvement in
computational requirements (Chollet 2017).
Our proposed model presents an energy efficient architecture with lower number
of weight parameters and computations which enables both detection and identifi-
cation of multiple artifact. Use of depthwise and separable convolution layers
decouples the mapping of cross-channel and spatial correlations, leading to reduc-
tion in number of required parameters and computation.

EEG Artifacts and Visualization

In order to assess and evaluate the accuracy of our model, a previously recorded EEG
dataset is used. The data was collected based on the experiments in which partici-
pants manually performed a series of different ocular or muscular artifacts (i.e., jaw
clenching, eye blinking, etc.). The EEG data was recorded using a 64 channel
BioSemiActiveTwo system with a sampling rate of 512 Hz and compared to the
two mastoids average. Four different channels were used to monitor eye motions by
EOG. EOG behavior was documented in order to validate the EEG instances of eye
blinks and saccades but was not included in the subsequent experiments. The usage
of EEG channels alone allows simple implementation of the model. The data were
down-sampled to 256 Hz using a discrete wavelet transform to reduce the computing
strain and also to extend the analytical frequency spectrum. The data then high-pass
filtered at 1 Hz using an eighth order IIR Butterworth filter. EEGLAB was used to
process the data and ERPLAB was used to filter the data. Participants were required
to perform a series of noise-inducing body, facial, head, or eye movements, which
were gathered as part of a larger study (Lawhern et al. 2012). The list of movements
were reviewed before starting the experiment so that every patient is familiar with it.
It was up to the participants to determine the precise choreography of each
movement and to perform movements which felt more natural to them. Each
movement was performed as a separate set of 20 repetitions. A screen was put in
place in order to remind the participants of the movement they should make. A male
voice initially counted down from 3 at a rate of every 2 s followed by a tone every
2 s. This procedure was done for each set. The participants would make the
1136 M. Khatwani et al.

movements in time with the vocal commands. They were advised to perform the
tasks in the first second of the 2 s period and to relax in the remaining 1 s.
Additionally, each participant performed a baseline recording session where they
were instructed to keep still and look straight at a blank computer screen for around
8 s at the start of every run. EEG data from this baseline session was used as “clean”
(or artifact-free) data. Artifacts considered are clenching jaw (CJ), move jaw (MJ),
blink eyes (BE), move eyes leftwards (EL), move eyes rightwards (ER), raise
eyebrows (RE), rotate head (RH), shrugging shoulders (SS), and rotate torso (RT).
Table 1 gives a brief description of nine artifacts which were performed by every
patient. Since participants were instructed to conduct the action in a normal manner,
heterogeneity was observed among subjects in the movement performance latencies.
For examples, some participants waited for the audio tone to execute the operation,
resulting in a response time interval of 300–400 ms, while other participants sought
to anticipate the audio tone, resulting in certain time periods that did not include an
artifact attributable to conducting the operation too early. As a consequence, the
particular timestamp timing details for each individual was changed such that the
time course of the artifact was present in the epoch. Around 100 samples are
generated for each artifact class as well as clean signal. EEG timestamps of size
64  512 is used as input both from artifact and artifact-free signal with different step
size.
Figure 1 shows plot for first 20 of 64 electrodes placed on the scalp to capture the
EEG signals. This can be useful to inspect which electrodes are significant in
capturing the specified artifacts. This plot shows nine artifacts for single patient.
Every artifact generates a different pattern which helps in identifying the specified
artifact. Vertical lines indicate the instant at which event has occurred. There may be
differences in signals before vertical line which may occur due to noise or external
sources. The location of vertical lines is adjusted so that it can correctly capture data
which relates to particular artifact event.
Figure 2a shows the position of 64 electrodes used for capturing the EEG
data. Figure 2b, c show the topographical plot for, respectively, the artifact
101 (clenching jaw) and artifact 103 (eye blink). Figure 2c clearly shows that
the electrodes placed in the front part of EEG are more significant in identifying
ocular-related artifacts.

Table 1 Description on Artifact code Artifact description


nine artifacts performed by
101 Clench jaw
every patient
102 Move jaw vertically
103 Blink eyes
104 Move eyes leftward
105 Move eyes rightward
106 Raise eyebrows
107 Rotate head
108 Shrug shoulders
109 Rotate torso
54 A Flexible Software-Hardware Framework for Brain EEG Multiple Artifact. . . 1137

(a) 101: Clench Jaw (b) 102: Move Jaw (c) 103: Blink Eyes

(d) 104: Eyes Leftward (e) 105: Eyes Rightward (f) 106: Raise Eyebrows

(g) 107: Rotate Head (h) 108: Shrug Shoulders (i) 109: Rotate Torso

Fig. 1 Visualization of nine artifacts performed by patients. Instructions were given to patients
every 2 s and it was advisable to perform the task in the first second. Vertical line indicates the start
of experiment
1138 M. Khatwani et al.

(a) 64 EEG signal channel


locations (b) 101: Clench Jaw (c) 103: Blink Eyes

Fig. 2 (a) Shows locations of 64 EEG electrodes, (b and c) show topographical plot for the artifact
101 (clenching jaw) and 103 (blinking eyes), respectively

Theoretical Background

Traditional Convolution

Figure 3 presents the conventions for the traditional convolution. In traditional


convolution layer, if the input is of size Df  Df  M and N is the number of filters
applied to this input of size Dk  Dk  M, then output of this layer without zero
padding applied is of size Dp  Dp  M. If the stride for the convolution is S, then Dp
is determined by the following equation:

D f  Dk
Dp ¼ þ1 ð1Þ
S

In this layer, the filter convolves over the input by performing element wise
multiplication and summing all the values. A very important note is that depth of the
filter is always same as depth of the input given to this layer. The computational cost
for traditional convolution layer is M  D2k  D2p  N.

Depthwise Convolution

Figure 4 presents the conventions for the depthwise convolution. For every input of
size Df  Df  M, we have M filters of shape Dk  Dk and depth 1. D  M filters are
used in depthwise convolution where D is the depth multiplier. As every input
channel in depthwise convolution has a separate filter, the overall computational
cost is M  D2k  D2p which is M  less than with traditional convolution.
54 A Flexible Software-Hardware Framework for Brain EEG Multiple Artifact. . . 1139

Convolution
Df Dp

N
M N
Df Filters Dp
Dk Dk
M M
Dk Dk

Fig. 3 Traditional convolution layer with the input shape of Df  Df  M and output shape of
Dp  Dp  N

DepthWise Convolution
Dp
Df M M
M Dk Dk filters Dp
Df
1 1
Dk Dk

Point wise convolution

Dp N
filters
N 1 M 1 M
Dp 1 1

Fig. 4 Depthwise separable convolution layer which is a combination of depthwise convolution


and pointwise convolution

Depthwise Separable Convolution

Depthwise separable convolution is a combination of depthwise and pointwise


convolution. In depthwise operation, convolution is applied to a single channel at
a time unlike standard CNNs in which it is done for all the M channels. So here the
filters/kernels will be of size Dk  Dk  1. Given there are M channels in the input
data, then M such filters are required. Output will be of size Dp  Dp  M. A single
convolution operation requires Dk  Dk. multiplications. Since the filter are slided by
Dp  Dp times across all the M channels. The total number of computation for one
depthwise convolution comes to be M  D2p  D2k .
1140 M. Khatwani et al.

Table 2 Number of parameters and required computation equations for different types of convo-
lution layers
Convolution layers Parameters No. of computations
Traditional M  D2k  N M  D2k  D2p  N
Depthwise M  D2k M  D2k  D2p
Depthwise separable M  D2k þ M  N M  D2p  D2k þ M  D2p  N

In pointwise operation, a 1  1 convolution is applied on the M channels. So the


filter size for this operation will be l  l  M. If we use N such filters, the output size
becomes Dp  Dp  N. A single convolution operation in this requires 1  M
multiplications. The total number of operations for one pointwise convolution
operation is M  D2p  N . Therefore, total computational cost of one depthwise
separable convolution is M  D2p  D2k þ M  D2p  N.
Table 2 summarizes the equations for parameters and number of computations for
different convolution layers. Here Dk  Dk is the size of the filter, Dp  Dp is the size
of the output, M is number the of input channels, and N is the number of output
channels.

Software Framework and Results

EEG Artifact Identification Model Architecture

Figure 5 shows the architecture of the proposed model. It consists of one


traditional convolution layer, one depthwise convolution layer, one depthwise
separable convolution layer, and one softmax layer that is equivalent in size to
the number of class labels. Average pooling is applied twice, once after
depthwise convolution, another one after depthwise separable convolution. The
complete model architecture including number of filters, filter shapes, data bit
precision level are chosen based on an extensive hyperparameter optimization
process which is discussed in section “Model Optimization for Embedded
Low-Power Hardware.”
The first CNN layer operates on the raw EEG data so that it can learn to extract the
necessary features for artifact identification. However, DC offset was removed such
that the EEG signals are centered around 0. The EEG epochs of size 64  512 is
passed to the first 2D convolution layer consisting 16 filters of size 64  4. This
ensures that adequate spatial filter is learned in the first layer. Zero padding is
avoided to avoid large computations. After traditional convolution, a depthwise
convolution is used with filter size of 1  32 and depth multiplier of 1 which
means there will be 1 filter associated with each depth. This is followed by an
average pooling layer with pool size of 1  32. A separable convolution is further
used with 1  32 filter size which is again followed by an average pooling layer with
pool size of 1  8. All layers are followed by a rectified linear unit (ReLU) activation
54 A Flexible Software-Hardware Framework for Brain EEG Multiple Artifact. . . 1141

Artifact
64

Identification

1x32 1x8
64x4 1x32 1x32 16@1x14
512 16@1x509 16@1x478 Separable Average 16@1x1 Flatten Output
Conv1 DepthWise AveragePool
Input EEG Data Conv2D Pooling 10
Conv2D

Fig. 5 Proposed architecture which uses combination of depthwise and separable convolution
layers. A total of 5546 parameters is required for this architecture

function. Once these convolution operations have been performed, the output from
the last average pooling layer is flattened into a single vector so that a fully connected
layer can be added. Only one fully connected layer is employed in the model which
has ten nodes with softmax activation for ten-class classification application. A fully
connected layer before the softmax layer is avoided to reduce the number of
parameters.
The weights for each of the layers of the network are initialized from a normal
distribution. The network is trained using the Adam optimization method and a
learning rate of 0.001. Categorical cross-entropy is used as the loss function. In total,
the network utilizes 5546 parameters and 4.69 million operations for processing the
input frame.

Classification Analysis and Results

Our model architecture is evaluated for 17 patients for 9 different artifacts. Our
model is trained and tested using intra-patient setting. The model is trained using
70% of the data, 10% is used for validation, and the remaining 20% is used for
testing. All the 10 classes are balanced for classification task.
Figure 6 shows classwise accuracy of the proposed model. It can be seen that our
proposed model identifies all nine artifacts with average accuracy of 93%. The
accuracy ranges between 87% and 99%. It can be concluded that muscle-related
artifacts such as the shrugging shoulders (108) and rotating torso (109) are more
difficult to identify as compared to other artifacts. From Fig. 1, it is clearly seen that
the EEG signals for shrugging shoulders has similarities between the both side of the
vertical line so that its identification accuracy is the lowest one among all other
artifacts. The artifact with best-in-class accuracy for all the models is the raising
eyebrows (106) and rotating head (107) which exhibit 96% accuracy.

Comparison of Classification Accuracy with Existing Work

Model comparison is done with the previous works (Lawhern et al. 2012, 2018;
Islam et al. 2017; Khatwani et al. 2018) in terms of accuracy, number of parameters,
and computation cost. In Lawhern et al. (2012), the auto-regressive (AR) model for
artifact detection can be considered as a baseline model, but it achieves 68.42%
1142 M. Khatwani et al.

100 99
94 96 96
93 91 91 91 93
87 89

80
Accuracy (%)

60

40

20

0
Plain 101 102 103 104 105 106 107 108 109 Avg
Artifact ID

Fig. 6 Classwise and average accuracy for proposed model

classification accuracy. Whereas, comparing the results reported in Islam et al.


(2017), it can be said that the simple linear machine learning approaches have less
accuracy compared to deep learning methods. Islam et al. (2017) reported that KNN
has average classification accuracy of 78.8%, logistic regression (LR) has average
classification accuracy 52.6%, and support vector machine (SVM) has 53.3%
average accuracy for detecting the artifacts from EEG signals. The extensive com-
parative results among the models mentioned in Khatwani et al. (2018) and Lawhern
et al. (2018), and ours to identify EEG artifacts are presented in Table 3. In Khatwani
et al. (2018), two convolution layers are followed by two maxpooling layers to detect
the EEG artifacts using the same dataset. The same model was run to identify
multiple EEG artifacts. This model results in the overall average accuracy 80.37%
with 24,842 parameters and 35.4 million computations. In Lawhern et al. (2018), one
convolution layer is used with one depthwise and one separable convolution layer.
The model in Lawhern et al. (2018) was run to identify multiple EEG artifacts. This
model results in the average accuracy of 95.30% with 4394 parameters and 135.31
millions of computation. The main difference between Figs. 5 and 7 is the shape of
the filters in first layer. After changing the shape from horizontal to vertical, i.e.,
1  64–64  4, the computation in the first layer is decreased significantly. The
proposed model achieves the overall average accuracy of 93.13% with 5546 param-
eters and 4.69 million computations. Although EEGNet (Lawhern et al. 2018)
outperforms the proposed model in terms of accuracy and model parameters, the
proposed model shows a significant reduction in number of computations, yielding a
more hardware-friendly solution. Since the EEGNet-like model is used to identify
multiple artifacts, a layerwise comparison is also presented in Table 4 to show the
54 A Flexible Software-Hardware Framework for Brain EEG Multiple Artifact. . . 1143

Table 3 Comparison of parameters, computations, and average accuracy (17 patients and 10 clas-
ses which includes 9 artifacts and 1 plain signal) of different model configurations. All the models
classify 9 different artifacts with test data and training data for the same patient
Model Accuracy (%) Parameter # Computations (millions)
CNN (Khatwani et al. 2018) 80.37 24,842 35.4
EEGNet(Lawhern et al. 2018) 95.30 4394 135.3
This work 93.14 5546 4.7

Artifact
64

Identification

1x16
1x256 64x1 1x32 16@1x16 1x16
512 8@64x512 16@1x512 AveragePool Average 64@1x1 Flatten Output
Conv1 DepthWise Separable
Input EEG Data Pooling 10
Conv2D Conv2D

Fig. 7 Original EEGNet architecture which uses combination of depthwise and separable convo-
lution layers. Total parameters required for this architecture is 4394

Table 4 Comparison of computation in each layer for EEGNet (Lawhern et al. 2018) and the
architecture of this proposed model
Computation in EEGNet Computation in Reduction of
Layers (Lawhern et al. 2018) this work computation
Conv1 134,217,728 4,169,728 32.18
DepthwiseConv2D 1,048,576 489,472 2.14
AveragePooling2D 16,384 14,336 1.14
SeparableConv2D 32,768 21,504 1.52 
AveragePooling2D 1024 256 4.00
Output 1280 320 4.00
Total 135,317,760 4,695,616 28.81

improvements that our model yields in terms of number of computations, justifying


model design. Based on the results shown in Table 4, the number of computations in
each layer is significantly reduce with our proposed model. In conv1 layer, EEGNet
has 134.21 million computation, while our proposed model has 4.1 million compu-
tations. Thus, the computation in that layer is reduced with the proposed method by
32.18 as compared to EEGNet. In total, our proposed model reduces the number of
computations by a factor of 28.81 as compared to EEGNet.

Model Optimization for Embedded Low-Power Hardware

From the discussion in section “Software Framework and Results,” it can be seen
that the network architecture consists of one traditional convolution layer, one
depthwise convolution layer, one separable convolution layer, and two average
1144 M. Khatwani et al.

pooling layers. In this section, the reason for choosing the network architecture
and parameters is explained. To deploy our network at low powered and small IoT
and wearable devices, multiple experiments were performed to optimize the
model. Extensive hyperparameter optimization has been executed to reduce the
memory requirements, hardware complexity, and power consumption while
maintaining high detection accuracy. In this section, the impact of changing
network parameters and quantization on the model accuracy will be specifically
explored.

Network Parameters Optimization

The number of the filters, the shape of the filters, and the size of the pooling layers
are important hyperparameters that affect the memory requirements and the num-
ber of the computations required to finish a classification task. The number of the
computations directly influences on the energy consumption. Experiments were
done with different configurations of our model including different number of
filters (F1) for the first convolution layer and the number of the spatial filters for
each temporal filter (i.e., the depth multiplier (D) of the depthwise convolution
layer). The number of the filters (F2) for the separable layer is set as F1  D.
Table 5 shows six different configurations with 8, 16, and 32 filters for the first
convolution layer and the multiplier depth of 1 and 2. Considering optimum
number of parameters and number of computations without compromising the
accuracy value much, 93.13% of average accuracy was achieved with 16 filters for
the first convolution layer and 1 as depth multiplier. Figure 8 shows six different
sets of configurations where filter height for the first layer of convolution is kept
constant at 64 and values for filter width changes to 4, 8, and 16. Experiments
were done with two different sizes of the filters for depthwise and depthwise
separable convolution layers, (1  16) and (1  32). The number of the filters for
the first convolution layer and depth multiplier fixed is kept as previous selection.
Experimenting with these different sets, Set 2 was selected for our network
configuration as it gives the optimum parameters and number of calculations
without compromising the identification accuracy. Table 6 shows the experimental
results for the different configurations mentioned earlier.

Table 5 Impact of number of filters in first convolution layer and depth multiplier on the
classification accuracy, model parameters, and number of computations
(F1, D) Accuracy (%) Parameters # Computations (millions)
(8, 1) 90.30 2714 2.34
(8, 2) 91.8 3498 2.61
(16, 1) 93.13 5546 4.69
(16, 2) 93.46 7498 5.23
(32, 1) 94.43 11,549 9.40
(32, 2) 94.73 17,034 10.52
54 A Flexible Software-Hardware Framework for Brain EEG Multiple Artifact. . . 1145

Layer 1 Layer 2 Layer 3 Layer 4

Conv D.S.
Input

Label
D. Conv AP AP Softmax
Set 1

16 Conv
1x16 1x16 1x16 10
64x4 1x16

Conv D.S.
Input

Label
D. Conv AP AP Softmax
Set 2

16 Conv
1x32 1x32 1x8 10
64x4 1x32

Conv D.S.
Input

Label
D. Conv AP AP Softmax
Set 3

16 Conv
1x16 1x16 1x16 10
64x8 1x16

Conv D.S.
Input

Label
D. Conv AP AP Softmax
Set 4

16 Conv
1x32 1x32 1x8 10
64x8 1x32

Conv D.S.
Input

Label
D. Conv AP AP Softmax
Set 5

16 Conv
1x16 1x16 1x16 10
64x16 1x16

Conv D.S.
Input

Label
D. Conv AP AP Softmax
Set 6

16 Conv
1x32 1x32 1x8 10
64x16 1x32

Fig. 8 Six different sets of configurations showing different filter shapes for different convolution
layers and size for average pooling layers

Table 6 Impact of filter sizes for different convolution layers on the classification accuracy, model
parameters, and number of computations
Sets Accuracy (%) Parameters # Computation (millions)
Set 1 92.08 5034 4.46
Set 2 93.13 5546 4.69
Set 3 92.59 9130 8.57
Set 4 93.37 9642 8.76
Set 5 92.86 17,322 16.57
Set 6 92.11 17,834 16.79

Model Weights Quantization

Quantizing model weights is a popular method to reduce the model size. Quantiza-
tion reduces the complexity of the model by reducing the precision requirements for
the weights. Cache is reused in a more efficient way with the lower precision
weights. Quantization is also power efficient since the low precision data movement
is more efficient than the higher precision data (Krishnamoorthi 2018). Therefore,
1146 M. Khatwani et al.

Full Precision
100 16 Bit
8 Bit
90

80

70

60
Accuracy (%)

50

40

30

20

10

0
Plain 101 102 103 104 105 106 107 108 109 Avg
Artifact ID

Fig. 9 Impact of model quantizations on the model accuracy. The 16-bit quantized model gives
same accurate results as the full precision model, whereas the 8-bit quantized model gets 2%
average accuracy drop from full precision model

weight quantization with 16 bits and 8 bits is performed on our model. Based on the
results shown in Figs. 8 and 9, the 16-bit precision model has nearly same average
accuracy as the full precision model. However, 8-bit precision model has only 2%
(91.53%) drop in average accuracy from the full precision model. To design our
hardware architecture, the 8-bit quantized model was chosen.

Hardware Architecture Design

Figure 10 shows the block diagram of the hardware design with implementation
details for the proposed architecture. The primary objectives for the hardware
architecture design are: consume minimal power, occupy small area, meet latency
requirements, require low memory, and need to be fully configurable. This design
can be configured for doing all type of convolution layers mentioned in section
“Software Framework and Results,” by changing in the state machine and
54 A Flexible Software-Hardware Framework for Brain EEG Multiple Artifact. . . 1147

TOP
ImageOutBuffer
ConvolutionTop
PE2^n Convolution
Convolution
PE2^1 Convolution
PE2^0 Convolution
Output
Mem
8b 16b
16b 8b

8b
0 Relu
Filter Image Max 8b Value
1
Mem Mem
S

Main Feature
Main Filter Memory
Memory

SoftMax Average Pooling


8b 8b
16b 16b
16b o
o
8b
0
1 Max 18b Value

S
SoftMaxOutBuffer
State Machine Logic

Fig. 10 Block diagram of hardware architecture used to implement the proposed model. The
hardware architecture includes a top-level state machine which controls the ConvolutionTop,
Average Pooling, and softMax blocks, as well as all memory blocks. PE refers to number of
convolution processing elements that process in parallel, and n is in the range from 0 to 3

parameters of the design such as input size, filter size, type of convolution, depth of
input and filter, number of filters and size of softmax can be design according to
prerequisites. According to Fig. 10, the architecture design comprises of one shared
filter memory, one shared feature map memory, convolution block, average pooling
block, and softmax block which are explained below.

1. Convolution performs a convolution operation with ReLU activation logic. It can


configure up to 2n processing engines (PEs).
2. Average pooling block performs average operation in a window.
1148 M. Khatwani et al.

3. SoftMax performs fully connected layer operations that includes ReLU and
softmax activation function.
4. Filter memory and feature memory stores the weights and input data of the model
architecture.

The convolution block presented in Fig. 10 is using single entity of each adder,
multiplier, small filter memory, input feature memory, output feature map, multi-
plexer, and state machine block. The 8-bit data path is used in the design, and as per
the requirements, the larger data path after multiplication and addition operation
were used. Tensor flow was used to train the model offline on a standard machine.
Then 32-bit floating point values are converted to 8-bit fixed values to increase the
computational efficiency. Floating-point arithmetic is complex in hardware and
requires more resources, execution time, and power. EEG data is then passed from
the main feature memory to the convolution and ReLU activation function through
the convolution block. The ReLU activation function output is truncated to 8-bit and
stored in the output memory of the output feature and then stored in the main
memory of the feature. This data is then passed to the average pooling containing
registers, adder and divider as input. The results are stored in the main feature
memory after average pooling. Finally, the fully connected one that is used in this
work only in the last layer of the neural network. It consists of one multiplier, one
adder, few registers, one multiplexer, and one SoftMaxOutBuffer memory to store
the neurons of the output. After finishing the computation of the softmax layer, the
results are stored in the main feature memory that overwrites previous outdated
intermediate data.

Hardware Implementation and Results

FPGA Implementation Results and Analysis

The complete proposed model is implemented on a Xilinx Artix-7 FPGA, which


includes convolution, average pooling, and fully connected layers. Verilog HDL was
used to describe the hardware architecture.
Figure 11 shows the power consumption breakdown of post-place and route
implementation on the FPGA, which is obtained by using vivado power tool. As it
can be seen from the figure, average device block ram power consumption of FPGA
is around 87% of total dynamic power which is significantly larger when compared
to the logic power. However, overall power and energy are 5.2 and 3.0
respectively smaller compared to the previous work (Khatwani et al. 2018).
Table 7 provides the implementation results for 1PE, 2PE, 4PE, and 8PE. The
result shows that the minimum amount of energy consumed by 8 PEs at operating
frequency of 21.5 MHz. Figure 12 represents the power consumption, energy, and
latency with increasing number of PEs. From Fig. 12, it is shown that increasing
number of PEs leads to the increase in power consumption and decrease in latency,
which leads to decrease in overall energy consumption.
54 A Flexible Software-Hardware Framework for Brain EEG Multiple Artifact. . . 1149

Fig. 11 Breakdown of
dynamic power consumption 1% 1%
of the design implemented 3%
on FPGA 2%
6%
Clocks
Logic
Signals
DSP
BRAM
I/O
87%

Table 7 Implementation results on Xilinx Artix-7 FPGA with different number of PEs
Config. 1 Config. 2 Config. 3 Config. 4
No. of PEs 1 2 4 8
Frequency (MHz) 37.7 35.2 34.2 30.7
Latency (ms) 86.7 47.2 25.2 15.1
Dynamic power (mW) 54 58 75 96
Dynamic energy (mJ) 4.7 2.7 1.9 1.4
No. of slices 4210 4997 6438 8412
No. of BRAM 149 165 198 264
No. of DSP 24 28 32 102

ASIC Implementation Results and Analysis

To reduce the overall power consumption, an application-specified integrated circuit for


proposed architecture is implemented at the post-layout level in 65-nm CMOS tech-
nology with 1.1-V power supply. A standard-cell register-transfer level (RTL) to
Graphic Data System (GDSII) flow using synthesis and automatic place and route is
used. The proposed model including convolution, average pooling and fully connected
with activation function is implemented using Verilog HDL to describe the architecture,
synthesized and placed and routed using RTL compiler and Encounter.
The ASIC layout of the proposed model contains three level of hierarchy as shown
in Fig. 13. The lower level of hierarchy is Convolution block which contain three
memoryImageBuffer, filterBuffer, and outputBuffer, and logic for convolution. The
size of ImageBuffer, filterBuffer, and outputBuffer are 32 K, 1 K, and 32 K bytes,
respectively. The next level of hierarchy is ConvolutionTop block which contain
8 Convolution block, 1 data memory size of 256 K bytes, and state machine logic. As
ARM library can generate maximum 32 K bytes size memory, we made 256 K bytes
1150 M. Khatwani et al.

100 0.4 10

90 9
0.35
80 8
0.3
70 7
0.25
Power (mW)

Energy (mJ)
60 6

50
Latency (S) 0.2 5

40 4
0.15
30 3
0.1
20 2
0.05
10 1

0 0 0
1 2 4 8 1 2 4 8 1 2 4 8
Number of PE Number of PE Number of PE

Fig. 12 Implementation results of power, energy, and latency with different number of PEs

size of data memory using 8 of 32 K bytes size memories. The highest level of
hierarchy is Top module which contains one ConvolutionTop block, one data mem-
ory size of 256 K bytes, one filter memory size of 9 K bytes, average pooling block,
soft-max block, and state machine logic for data transfer between each layer. The
specified filter memory is smallest available memory which is sufficient to store 9194
filter values. Because of limitation of arm memory library, we combine 8 of 32 K
bytes size memories to create one 256 K bytes size data memory.
Table 8 shows the comparison between implementations of proposed hardware
architecture on FPGA and ASIC. As it can be seen from table, ASIC implementation
achieves lowest power and energy consumption which is 3.8 and 16.7 less,
respectively, compared to the FPGA implementation.

Comparison with Existing Work

Table 9 presents a comparative results of the proposed hardware implementation


results with existing state-of-the-art implementation with same or related physiolog-
ical dataset on embedded devices. Khatwani et al. (2018) and Rashid et al. (2020)
proposed their work based on the same dataset. When our proposed hardware model
54 A Flexible Software-Hardware Framework for Brain EEG Multiple Artifact. . . 1151

Data Weight Data Data Data Data


Memory Memory Memory Memory Memory Memory

Data
Memory
3790 µm

Data
Memory
ConvolutionTop
Data
Memory

5890 µm

Convolution Convolution Data Data


Memory Memory

Data Data
Convolution Convolution Memory Memory
3010 µm

Data Data
Convolution Convolution
Memory Memory

Data Data
Convolution Convolution
Memory Memory

5110 µm
690 µm

ImageBuffer outputBuffer

filter
Buffer

1690 µm

Fig. 13 Post-layout view of proposed architecture with eight PEs ASIC implementation in 65 nm,
TSMC CMOS technology with operating frequency of 100 MHz
1152 M. Khatwani et al.

Table 8 Comparison of Hardware FPGA ASIC Improvement


different parameters
Technology 28 nm 65 nm –
between FPGA and ASIC
at the post-layout level in Voltage (V) 1.0 1.1 –
65-nm, TSMC CMOS Frequency (MHz) 30.7 100 3.3
technology Latency (ms) 15.1 4.6 3.3
Throughput (label/s) 66.2 216.2 3.3
Power at 21 MHz (mW) 234 61.2 3.8
Energy (mJ) 4.7 0.3 16.7

Table 9 Comparison of this work with previous work implemented on FPGA with Config. 4
Rashid et al. Khatwani
Fan et al. (2019) (2020) et al. (2018) This work
Human activity EEG artifact EEG artifact EEG artifact
Application recognition detection detection identification
Platform Arria 10 SX660 Artix7 100t Artix7 200t Artix7 TSMC
200t 65 nm
Frequency (MHz) 150 52.6 37.4 30.7 100
Latency (ms) 35.3 1.2 200 15.1 11.1
Power (mW) 36,000 109 194 234 61.2
Energy (mJ) 1270 0.021 35 4.7 3.1
Energy efficiency 1.47 0.5 3.47 5.87 29.41
(GOP/s/W)

is deployed at Xilinx FPGA device with a fully parallel design and running at
30.7 MHz, it consumes 4.7 mJ energy. Khatwani et al. (2018) reported that their
CNN implementation consumes 35 mJ energy with the same dataset. Our depthwise
separable CNN shows 7.44 improvement from their implementation. Rashid et al.
(2020) reported theirs consumed energy as 0.021 mJ which is very lower compared
to our implementation although same dataset was used. They have used LSTM-
based neural network model to binary classify the EEG artifacts which is the reason
of their less consumed energy. However, our depthwise separable CNN based EEG
artifact identification outperforms their LSTM-based EEG artifact detection in terms
of energy efficiency by 11.74 which is very promising. The ASIC implementation
further shows more energy efficiency with 58.82 highest improvement over the
previous implementations.

Experimental Study: Eye Blink Artifact Detection Using Emotiv


EPOC+ Headset

To demonstrate the real-time EEG artifact detection with our current model,
Emotiv EPOC+ headset was used. It is high resolution 14-channel EEG system.
The bandwidth for this system is 0.2–45 Hz. There are digital notch filters at
54 A Flexible Software-Hardware Framework for Brain EEG Multiple Artifact. . . 1153

50 and 60 Hz. A built-in digital fifth-order Sinc filter is also used. Data is collected
with sampling rate of 128 Hz. The user was instructed to blink once every 2 s.
First part of this 2-s windows was extracted and labeled as artifact. Second part of
this window is labeled as artifact-free data. The data was collected in ten different
sessions. Each session consisted of ten eye blinks. Figure 14 shows 2-s window of
EEG data captured. Data were collected from seven different subjects. Leave-one-
subject-out (LOSO) technique was used for testing purposes. The network was
trained on 90% of all the training data captured from six different subjects. Ten
percent was used for validation and remaining one subject data was used for
testing.
The EEG epochs of size 14  128 is passed to the first 2D convolution layer
consisting 16 filters of size 14  4. This ensures that adequate spatial filter is learned
in the first layer. Zero padding is avoided to avoid large computations. After
traditional convolution, a depthwise convolution is used with filter size of 1  32
and depth multiplier of 1 which means there will be 1 filter associated with each
depth. This is followed by an average pooling layer with pool size of 1  32. A
separable convolution is further used with 1  32 filter size which is again followed
by an average pooling layer with pool size of 1  8. All layers are followed by a
rectified linear unit (ReLU) activation function. Once these convolution operations
have been performed, the output from the last average pooling layer is flattened into
a single vector so that fully connected layer can be added. Only one fully connected
layer is employed in the model which has two nodes with softmax activation for this
binary classification application. The network was trained using the Adam optimi-
zation method and a learning rate of 0.001. Categorical cross-entropy was used as
the loss function. In this experiment, 93.5% was achieved accuracy for detecting eye
blink artifact.

Fig. 14 Model deployment and eye blink artifact detection experiment with the Emotiv EPOC+14
channel headset capturing EEG data. Eye blink is performed once every 2 s
1154 M. Khatwani et al.

Conclusion

In this chapter, a convolution neural network model is presented using depthwise and
separable CNN for identification of multiple EEG artifacts with average accuracy of
93.13%. The CNN does not require any manual feature extraction and works on raw
EEG signal for artifact identification. The proposed network is implemented on
Artix-7 FPGA and ASIC at post-layout level in 65 nm CMOS technology. The
FPGA implementation is 1.7 to 5.15 higher energy efficient than some previous
works. Moreover, the ASIC implementation is also 8.47 to 25.79 higher energy
efficient compared to the previous works. It is also shown that the proposed network
can be reconfigured to detect artifacts from another EEG dataset obtained by a
14-channel Emotiv EPOC+ headset in the lab and achieved an accuracy of 93.5%
for eye blink artifact detection.

References
Abdelhameed AM, Daoud HG, Bayoumi M (2018) Deep convolutional bidirectional ISTM recur-
rent neural network for epileptic seizure detection. In: 2018 16th IEEE International New
Circuits and Systems Conference (NEWCAS), pp 139–143. https://doi.org/10.1109/
NEWCAS.2018.8585542
Bhardwaj S, Jadhav P, Adapa B, Acharyya A, Naik GR (2015) Online and automated reliable
system design to remove blink and muscle artefact in EEG. In: 2015 37th annual international
conference of the IEEE Engineering in Medicine and Biology Society (EMBC), IEEE,
pp 6784–6787
Chollet F (2017) Xception: deep learning with depthwise separable convolutions. In: Proceedings
of the IEEE conference on computer vision and pattern recognition, pp. 1251–1258
Fan H, Luo C, Zeng C, Ferianc M, Que Z, Liu S, Niu X, Luk W (2019) F-E3D: FPGA-based
acceleration of an efficient 3D convolutional neural network for human action recognition. In:
2019 IEEE 30th international conference on Application-specific Systems, Architectures and
Processors (ASAP), vol 2160-052X, pp 1–8. https://doi.org/10.1109/ASAP.2019.00-44
Hosseini M, Ren H, Rashid H, Mazumder A, Prakash B, Mohsenin T (2020, in press) Neural
networks for pulmonary disease diagnosis using auditory and demographic information. In:
epiDAMIK 2020: 3rd epiDAMIK ACM SIGKDD international workshop on epidemiology
meets data mining and knowledge discovery, ACM, pp 1–5
Islam MK, Rastegarnia A, Yang Z (2016) Methods for artifact detection and removal from scalp
EEG: a review. Neurophysiol Clin 46(4):287–305
Islam R, Hairston D, Mohsenin T (2017) An EEG artifact detection and removal technique for
embedded processors. In: IEEE Signal Processing in Medicine and Biology symposium
(SPMB), IEEE. https://doi.org/10.1109/SPMB.2017.8257049
Jafari A, Gandhi S, Konuru SH, Hairston WD, Oates T, Mohsenin T (2017) An EEG artifact
identification embedded system using ICA and multi-instance learning. In: 2017 IEEE Interna-
tional Symposium on Circuits and Systems (ISCAS). pp 1–4. https://doi.org/10.1109/ISCAS.
2017.8050346
Jafari A et al (2019) SensorNet: a scalable and low-power deep convolutional neural network for
multimodal data classification. IEEE Trans Circuits Syst I Regul Pap 66(l):274–287. https://doi.org/
10.1109/TCSI.2018.2848647
Khatwani M, Hosseini M, Paneliya H, Mohsenin T, Hairston WD, Waytowich N (2018) Energy
efficient convolutional neural networks for EEG artifact detection. In: 2018 IEEE Biomedical
Circuits and Systems conference (BioCAS), IEEE, pp 1–4
54 A Flexible Software-Hardware Framework for Brain EEG Multiple Artifact. . . 1155

Krishnamoorthi R (2018) Quantizing deep convolutional networks for efficient inference: a


whitepaper. arXiv preprint arXiv:1806.08342
Lawhern V, Hairston WD, McDowell K, Westerfield M, Robbins K (2012) Detection and classi-
fication of subject-generated artifacts in EEG signals using autoregressive models. J Neurosci
Methods 208(2):181–189
Lawhern VJ, Solon AJ, Waytowich NR, Gordon SM, Hung CP, Lance BJ (2018) EEGNet: a
compact convolutional neural network for EEG-based brain-computer interfaces. J Neural Eng
15(5):056013. https://doi.org/10.1088/1741-2552/aace8c
Mahabub A (2018) Design and implementation of a novel complete filter for EEG application on
FPGA. Int J Image Graph Signal Process 10(6):22–30
Rashid HA, Manjunath NK, Paneliya H, Hosseini M, Mohsenin T (2020) A low-power ISTM
processor for multi-channel brain EEG artifact detection. In: 2020 21th International Sympo-
sium on Quality Electronic Design (ISQED), IEEE
Ren H et al (2020, in press) End-to-end scalable and low power multi-modal CNN for respiratory-
related symptoms detection. In: 2020 IEEE 33rd international System-on-Chip Conference
(SOCC)
Sundaram K et al (2016) FPGA based filters for EEG pre-processing. In: 2016 second International
Conference on Science Technology Engineering and Management (ICONSTEM), IEEE,
pp 572–576
Szegedy C. Ioffe S. Vanhoucke V, Alemi AA (2017) Inception-v4, inception-ResNet and the impact
of residual connections on learning. In: Thirty-first AAAI conference on artificial intelligence
Wang P, Jiang A, Liu X, Shang J, Zhang L (2018) LSTM-based EEG classification in motor
imagery tasks. IEEE Trans Neural Syst Rehabil Eng 26(11):2086–2095. https://doi.org/10.1109/
TNSRE.2018.2876129
Winkler I, Haufe S, Tangermann M (2011) Automatic classification of artifactual ICA-components
for artifact removal in EEG signals. Behav Brain Funct 7(1):30
Adiabatic Electrode Stimulator
55
Shawn K. Kelly

Contents
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1158
Electrical Stimulation of Neural Tissue . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1159
Electrode-Tissue Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1163
Power Consumption in Traditional Neural Stimulators . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1164
Reducing Sources of Loss . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1165
Reducing Excess Voltage Drops . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1166
Reducing Excess Voltage Drops . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1167
Approximate Voltage Waveform . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1168
Example Adiabatic Stimulator Circuit Architecture with Energy Recovery . . . . . . . . . . . . . . . . . 1169
Proof-of-Concept Experiments . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1171
Single-Coil Multivoltage Power Supply for Neural Stimulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1172
Inductive Power Transmission . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1172
Synchronous Rectifier Chip Architecture . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1173
Rectifier Reference Voltages . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1173
Clocked Comparators . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1175
Continuous Comparator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1177
System Implementation and Testing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1178
Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1182
References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1182

Abstract
This chapter discusses neural stimulator circuits, focusing on the power con-
sumed in such circuits. The basis of neural communication, the action potential,
involves the movement of ions across the nerve membrane, and externally
applied electrical currents create electric fields that can modulate that ion
movement to induce action potentials. These currents are generally applied by

S. K. Kelly (*)
VA Pittsburgh Healthcare System, Pittsburgh, PA, USA
Institute for Complex Engineered Systems, Carnegie Mellon University, Pittsburgh, PA, USA
e-mail: skkelly@cmu.edu

© Springer Science Business Media New York (outside the USA) 2022 1157
M. Sawan (ed.), Handbook of Biochips,
https://doi.org/10.1007/978-1-4614-3447-4_19
1158 S. K. Kelly

a pulsed current source circuit, but these circuits waste a large amount of
electrical power. An architecture is put forth here that uses a series of stepped
voltage sources to drive charge onto an electrode in a manner similar to that used
in adiabatic digital circuits. A sample system is described that creates five
voltage supplies on capacitors from a single secondary telemetry coil voltage.
Test results from this system show a power reduction of 53 % compared to a
current source using the same chip voltage supplies and a power reduction of
66 % compared to a current source using the lowest reported voltage supplies for
the same type of electrode.

Introduction

Neural tissue is stimulated for a number of purposes, from neuromodulators to treat


Parkinson’s disease or chronic pain (Testerman et al. 2006) to neural prostheses to
restore hearing to the deaf (Hallum et al. 2007) or sight to the blind (Kelly
et al. 2011). While the introduction of electrical current to create or modulate
neural activity can have many beneficial effects, it can also have negative effects.
Too much electrical power consumption can increase the temperature of surround-
ing tissue, causing damage (Gosalia et al. 2004). In addition, a larger power
requirement for the implant exposes the patient to larger magnetic fields from the
inductive wireless transfer used in many implantable devices. For devices with an
implanted battery, excessive stimulation power consumption will reduce the life of
the battery and increase the frequency of surgery to change the device. For a
number of reasons, it is beneficial for a designer to reduce the power consumed
by a neural stimulator circuit.
One example of a neural prosthesis is the retinal implant for the blind. This
device, shown in Fig. 1, restores some useful sight to patients blind with

Fig. 1 The retinal prosthesis for the blind. Left – A retinal prosthesis as conceptualized, showing
the small camera and coil for power and data telemetry mounted in the glass frame, as well as the
implant attached to the eye. Right – An early prototype of the retinal prosthesis attached to a
model eye
55 Adiabatic Electrode Stimulator 1159

degenerative retinal diseases. Images are captured by a camera mounted on glasses


and processed by a cell phone-sized computer. The processed image data are
wirelessly transmitted to the implanted device, which in turn stimulates retinal
ganglion cells to create a pixelated form of the image. Results with lower-channel-
count devices have shown some promise (Humayun et al. 2012), and researchers
are pushing to develop retinal prostheses with greater numbers of independent
stimulating channels (Chen et al. 2013; Kelly et al. 2013). There are several reasons
why stimulation power consumption is a concern in a retinal prosthesis. (1) The
prosthesis receives wireless inductive power transfer from an external battery, (2) it
has a very large number of independent stimulation channels, and (3) the stimulat-
ing electrode is located in the retinal tissue, which is particularly susceptible to
temperature-induced damage.
Power is consumed in the tissue and the electrode, in the circuits that create the
stimulus current, and in any coils and circuits that transfer power to the implant
from an outside battery. The power consumed in the tissue and electrode is
generally considered to be useful power to induce neural action potentials and is
influenced by parameters of the stimulus pulses, as well as a number of physical
properties of the electrodes, neurons, and surrounding tissue, as described in section
“Electrical Stimulation of Neural Tissue.” The power consumed in the coils and
power transfer circuits can be optimized in a number of ways not addressed here.
The power consumed in the circuits that generate the neural stimulus, however, is
largely waste power that contributes to tissue heating. Methods of reducing the
circuit power are explored in this chapter, including an architecture that uses the
principles of adiabatic digital circuits to minimize power consumption.

Electrical Stimulation of Neural Tissue

Minimization of stimulation power requires an understanding of the physics of


electrical neural stimulation. Neural cells create action potentials by modulating the
flow of ions, primarily sodium (Na+) and potassium (K+), into and out of the cells
along their concentration gradients (Weiss 1996). An action potential can be
externally induced by applying an electrical current.
It is well understood that a nerve’s cell membrane can be modeled by a capacitor
with several voltage-dependent ion conductance paths in parallel, as shown in
Fig. 2. At rest, the inside of a typical nerve cell is approximately 60 mV with
respect to the outside. Depolarizing the membrane voltage from rest to make it
more positive will cause a sharp increase in the sodium conductance. More sodium
ions then flow into the cell, driving the internal voltage more positive, further
increasing the sodium conductance. This positive feedback loop rapidly drives
the cell potential toward the positive sodium Nernst potential that balances the
sodium ion concentration, creating the action potential.
Membrane depolarization is caused by a current flowing out of the cell, creating
a positive charge on the inside of the membrane capacitance. This outward mem-
brane current is induced by the second spatial derivative of voltage along the axon,
1160 S. K. Kelly

called the activating function (Weiss 1996). In Eq. 1, Vm is the membrane potential,
z is the axis along the length of the nerve’s axon, Km is the membrane current per
unit length, and ro and ri are the external and internal resistances per unit length,
respectively.

@2Vm
¼ ðr o þ r i ÞK m (1)
@z2
Electrical stimulation is generally delivered by a negative pulse of constant current
through an electrode near the axon. The stimulating pulse is generally followed by a
pulse of positive current to balance the delivered charge and prevent long-term
reduction and oxidation reactions at the electrode-tissue interface. A brief delay
between the pulses reduces stimulation thresholds by delaying the hyperpolarizing
current and also allows examination of the electrode-tissue interface voltage
(Gorman and Mortimer 1983). This delay will be eliminated from the discussion
going forward to simplify the argument. Typical biphasic current pulse waveforms
are shown in Fig. 3.

Fig. 2 Nerve membrane


model showing the membrane
capacitance; ion
conductances; Nernst
potentials for sodium,
potassium, and all other ions
(leakage current); and the
membrane current and
voltage

Fig. 3 Typical balanced biphasic current stimulation pulses. The negative (cathodic) phase
induces an action potential in the nerve, while the positive (anodic) phase balances the charge
through the electrode. Biphasic pulses are repeated at a regular frequency
55 Adiabatic Electrode Stimulator 1161

The negative current flowing from the electrode creates a voltage throughout the
tissue. Imagine a small spherical stimulating electrode sitting a distance x from an
axon, with a large return electrode far away. The potential at a distance r from the
stimulating electrode is:

ρI
V ðr Þ ¼ (2)
4πr
However, for the axon sitting a distance x away from the electrode, the voltage
along its z direction is:

ρI
V ðzÞ ¼ pffiffiffiffiffiffiffiffiffiffiffiffiffiffi (3)
4π x2 þ z2
The activating function is the second derivative with respect to z along the axon:

@2V ρI 2z2  x2
¼ (4)
@z 2 4π ðx2 þ z2 Þ5=2

Though this expression appears complicated, the normalized function is visual-


ized graphically in Fig. 4 for a negative current pulse. Note that the second
derivative, the activating function, has a large positive region in the center with

V(z) ∂V/∂z
0 0.4
–10 –5 0 5 10 0.3
–0.2
0.2
–0.4 0.1
0
–0.6 –10 –5 0 5 10
–0.1
–0.2
–0.8
–0.3
–1 –0.4

∂2V/∂z2
1
0.8

0.6
0.4
0.2
0
–10 –5 0 5 10
–0.2

Fig. 4 Normalized voltage along the z direction of the axon, along with its first and second
derivatives
1162 S. K. Kelly

negative lobes. From Eq. 1, this means that an outward, depolarizing current
occurs nearest the stimulating electrode, and smaller inward, hyperpolarizing
currents occur on either side. From Eq. 4, it is clear that the activating function
is increased as x decreases. In other words, moving the electrode nearer to the
axon increases the effectiveness of electrical stimulation, decreasing the current,
and therefore the power, required.
The threshold current required to stimulate a nerve is determined experi-
mentally and is often plotted against the duration of the current pulse in a
strength-duration plot. These data have been modeled by Eq. 5, where T is the
width of the negative current phase, τ is the nerve membrane time constant, and
IRh is the rheobase current, the minimum current required to induce an action
potential at any phase width (Lapicque 1907). For a modest range of phase
duration values, the threshold can be approximately modeled as a constant
stimulus charge. For much longer phase durations, the threshold approximates
a constant current.

I Rh
I th ¼ (5)
ð1  eT=τ Þ

Figure 5 shows plots of strength-duration I(T ), charge-duration Q(T ), and power-


duration P(T ) for threshold stimulation through an electrode near an axon. The
power-duration curve shows the average power consumed in an electrode, modeled

I(T) Q(T)

2E–06
2E–10

1E–06
1E–10

0E+00 0E+00
0E+00 1E–03 2E–03 0E+00 1E–03 2E–03

P(T)

2E–11

1E–11

0E+00
0E+00 1E–03 2E–03

Fig. 5 Strength-duration curve showing threshold current vs. pulse duration, along with charge-
duration curve and power-duration curve
55 Adiabatic Electrode Stimulator 1163

here as a series resistance R and capacitance C, while driving stimulus current


through it at a typical repetition frequency f. The factor of two in Eq. 6 accounts for
the two current phases in the biphasic stimulus pulse.

PðT Þ ¼ 2I 2 RTf (6)

Note that the power-duration curve shows a phase width at which there is minimum
power consumption within the electrode. This power consumption will be explored
further in section “Electrode-Tissue Interface.”

Electrode-Tissue Interface

The interface between the electrode and the tissue will be examined for two
reasons. Our understanding of the electrode impedance can be used both to calcu-
late the amount of useful power needed within the electrode for nerve stimulation
and to design circuits that are less wasteful when delivering stimulus current to the
tissue. There are a variety of electrode impedance models used for different
purposes.
There are two portions of a typical electrode model, one modeling the resistive
current path through the tissue and any resistive paths through the electrode, and
one modeling the interface between the electrode and the tissue. Since metals
conduct electricity with electrons and tissue fluids conduct electricity with ions,
the interface must include some interaction between those carriers. The two ways
which will be discussed in this section are capacitive coupling and reduction and
oxidation reactions.
A simple electrode model, one often used to calculate electrode voltage require-
ments and power consumption, is a series resistor and capacitor. The capacitor
represents the charge boundary layer at the metal-fluid interface and the oxidation
state change of electrode metals, while the resistor represents the fluid resistance
and the access resistance of the electrode. Since the electrodes are typically driven
by a charge-balanced, biphasic constant current pulse, as was shown in Fig. 3, the
resulting voltage across the electrode resistance and capacitance is the step-ramp
waveform that is shown below in Fig. 6.
More complex electrode models generally use some form of conductance, in
parallel with the metal-fluid interface capacitor, to represent reduction and
oxidation reactions. Some models include a constant phase element or Warburg
impedance (Merrill 2010). The parallel conductance path, not shown in Fig. 6,
will curve the ramping portion of the waveform slightly. Additionally, there is
usually a soft corner on the transition from the step to the ramp due to the
distributed resistance-capacitance at the interface and nonlinearities of the
electrode impedance. Circuits that roughly approximate the electrode voltage
waveform will be designed, so a simple resistor-capacitor model is sufficient
for our purposes.
1164 S. K. Kelly

Fig. 6 Electrode voltage waveform in response to a biphasic current stimulus. The step results
from the pulse of current through the resistance, and the ramp is the integration of constant current
on the capacitance

Power Consumption in Traditional Neural Stimulators

Using the simple series RC model for an electrode, the instantaneous power
consumed within the electrode while stimulus current is flowing through it is simply
I2R. The average power consumption was given in Eq. 6 above, but can be recast as:

2Q2 Rf
Pð T Þ ¼ (7)
T
This equation assumes that, to first order, the threshold for a given electrode-tissue
configuration across a modest range of phase durations is a constant charge. Note
that the capacitance does not factor into the power consumed within the electrode,
since capacitors merely store energy and do not consume it ( fCV2 power in a digital
circuit is consumed by the resistances associated with the transistors, not by the
capacitance). One lesson to be extracted from Eq. 7 is that, for constant threshold
charge, electrode resistance, and repetition frequency, the power consumed within
the electrode depends only on pulse duration, and longer durations of stimulation at
lower currents reduce the power consumption. This duration is subject to biological
constraints, engineering constraints, and the constraint that the constant threshold
charge assumption remains valid. Furthermore, different stimulation current pulse
durations may generate qualitatively different responses in neural tissue (Fried
55 Adiabatic Electrode Stimulator 1165

et al. 2006; Jensen et al. 2005). Another lesson that can be learned from this
equation is that the power consumed within an electrode is quite low. For 400 μm
diameter thin-film planar electrodes placed relatively close to the tissue, typical
parameter values might be Q = 100 nC, R = 2 KΩ, f = 100 Hz, and T = 1 ms,
giving an average power consumption of 4 μW per electrode. As it will be soon
discovered, this is far lower than the power consumed elsewhere in a typical
stimulator circuit.
Equation 7 shows the power consumed within an electrode itself, but the
majority of power consumption for a typical neurostimulator occurs instead within
the circuitry. A typical type of current source works by connecting the electrode to a
voltage supply through a transistor, which acts as a large variable series resistor to
limit the current. The instantaneous power consumption is simply the product of the
current and the supply voltage and is otherwise independent of current source
design. Delivering the same 100 nC as described above, as 100 μA for 1 ms,
from 2.5 V supplies, results in 25 μW average system power consumption per
electrode. The difference between this system power consumption and the 4 μW
electrode power consumption is primarily attributed to the current source
transistors.
Despite the energy inefficiency, there are nonetheless a number of benefits to
this traditional current source design. The higher supply voltage leaves room for
more linear current sources or cascode circuits. It also allows for shorter duration
pulses of higher current, which may be desired in some cases, such as selectively
stimulating retinal ganglion nerve cells rather than retinal bipolar cells. In addi-
tion, the higher voltage supply allows for substantial variation in the load
impedance, as might occur with tissue growth over the electrodes. These benefits
permit the current source to maintain constant current during a variety of stim-
ulation pulses, but the quality of the constant current pulse may be less valuable
in some applications than a reduction in power consumption. In the following
section, methods of trading off current source performance for power reduction
will be explored.

Reducing Sources of Loss

Electrode current and voltage waveforms have been examined in Fig. 6; now, the
electrode power waveform, the product of the voltage and the current, will be
explored. The shaded area on the left side of Fig. 7 is the energy stored in the
electrode capacitance. Since this capacitive energy is returned in the second phase,
the average of the whole power waveform is I2R, as shown. Note that at the
beginning of the second phase, the power delivered to the electrode is negative.
During this time, the electrode in this example is sourcing power from its capac-
itance. On the right side of Fig. 7, the same waveform is shown, but with the power
supplied by the current source shown as a straight line across the top. The shaded
area represents the wasted power burned in the current source transistors.
1166 S. K. Kelly

Reducing Excess Voltage Drops

The wasted power in Fig. 7 can be reduced somewhat by simply lowering the
voltage supplies from which the current is drawn, resulting in the power shown in
Fig. 8. With lower currents delivered over longer pulse widths, as recommended in
section “Power Consumption in Traditional Neural Stimulators” above, this supply
voltage can be made quite low. The complication is that the voltage compliance
requirements vary with electrode impedance and drive current, so that the voltage
supply must be variable, in contrast to the traditional current source design. In
addition, if the voltage compliance is reduced too far, the voltage supplies may not
support the analog and digital control and communication circuitry required for the

Psource

EC

I2R I2R

t t

T T T T

Fig. 7 Electrode power consumption and current source power. Left, power delivered to the
electrode, with the capacitive stored energy shown in the shaded region. Right, power supplied by
a traditional current source, with the wasted power shown in the shaded region

Psource Psource
P P

I2R I2R

t t

T T T T

Fig. 8 Current source power consumption. Left, power supplied by a current source with reduced
voltage supplies, with the wasted power shaded. Right, power supplied by a nearly ideal source
with nearly zero voltage drop above the electrode voltage
55 Adiabatic Electrode Stimulator 1167

implant. Thus, this method of power reduction may require separate, independent
voltage supplies. The constant, higher voltage control circuitry supply may be
generated simply from the receiver coil with diodes. The variable, lower voltage
supply must be generated by some sort of efficient active rectification or power
conversion. Work has been done on efficient DC-DC converters and active
synchronous rectifiers (Pan et al. 1999; Jia et al. 2008), but most of these circuits
are designed for high-power applications. A solution that uses very little overhead
power and no additional inductors will be preferred, due to space constraints in a
biomedical application.

Reducing Excess Voltage Drops

To eliminate the remaining shaded triangles in the left portion of Fig. 8, a current
source can be conceived whose voltage tracks the voltage on the electrode. This
current source would have virtually no wasted power dissipation, as shown in the
right portion of Fig. 8. As before, note that in the beginning of the second phase in
Fig. 8, the electrode capacitance is returning power to the current source. During
this time, the stimulator is recovering power from the electrode, and, specifically,
during the second phase shown in the right portion of Fig. 8, the stimulator recovers
net energy from the electrode.
This power and energy recovery does not always take place, depending on the
ratio of the electrode RC time constant to the pulse duration. Figure 9 shows
example power waveforms. The left waveform shows power recovery at the
beginning of the second phase, but zero net second-phase energy recovery. This
occurs when the maximum voltage across the capacitor is twice the voltage across
the resistor, or:

IT
¼ 2IR
C (8)
T
RC ¼ :
2

Fig. 9 Sample power waveforms. Left, power is recovered in the first half of the second phase, but
no net energy is recovered. Right, no power is recovered during the second phase
1168 S. K. Kelly

The right side of Fig. 9 shows a case in which no power is ever recovered from the
electrode. This occurs when the maximum capacitive voltage and the resistive
voltage are equal, or:

RC ¼ T: (9)

Approximate Voltage Waveform

The step-ramp waveform created by a biphasic constant current source has been
seen in Fig. 6, and it was learned that reducing the voltage overhead in any current
supply circuits will reduce the power consumed by the circuitry. The ramping
voltage supply shown in the right side of Fig. 8 would seem to be the optimal
way to supply current to an electrode, but an alternative, and more easily realizable,
architecture is one that approximates the step-ramp electrode waveform with a
series of voltage steps (Kelly and Wyatt 2011). Figure 10 again shows the electrode
current and voltage waveforms along with the voltage step stimulator architecture.
This implementation is similar in some ways to the adiabatic circuits used to reduce
power in digital design (Dickinson and Denker 1994; Athas et al. 1994).
A stimulator with this stepped voltage waveform will deliver current with some
ripple, but that current integrates to the same stimulus charge in the electrode

Fig. 10 Electrode current and voltage waveforms, along with approximate waveforms using a
voltage step stimulator
55 Adiabatic Electrode Stimulator 1169

capacitance. While this stimulation method can reduce waste power consumption, it
requires the series of supply voltages to be generated efficiently. This is achieved by
the stimulator architecture that will be explored below.

Example Adiabatic Stimulator Circuit Architecture with Energy


Recovery

An example of the stepped, voltage-based stimulator that was described in section


“Reducing Sources of Loss” will now be examined. This system’s stimulation
parameters were informed by a set of acute human retinal stimulation experiments
(Rizzo et al. 2003a, b). These trials showed a threshold of approximately 0.8 μC for
epiretinal stimulation with pulse widths of several milliseconds. The final param-
eters implemented in the adiabatic stimulator were 0.678 μC delivered over 5 ms
(an average current of 136 μA) into an electrode with resistance 1.15 KΩ and a
capacitance of 0.98 μF. The reason for the higher threshold here than in the typical
case described in section “Power Consumption in Traditional Neural Stimulators”
is that the electrodes in these trials were epiretinal and not tacked down to the
retinal surface, so the distance to the neural tissue was greater than what would be
expected in a chronic subretinal implant.
A set of voltage steps for the type of stimulation shown in Fig. 10 may be
implemented with a bank of power supply capacitors at a range of voltages.
Figure 10 shows four voltage steps per stimulation phase, which seems to be a
large enough number to get some power reduction benefit, a small enough number
to be manageable, and a conveniently round number in binary. It is shown theoret-
ically in Kelly (2004) that using five, four, and three voltage steps per phase
consumes 9 %, 15 %, and 32 %, respectively, more than the minimum required
I2R power. A four-step system yields a reasonable power compromise that
simplifies the digital state machine design. It is important to point out that this
step voltage system is only created once, globally, and that the only circuits
repeated for each electrode are the switches to connect to the steps.
Note that the four-step system as shown in Fig. 10 uses eight different
voltages in the two phases. This can be simplified in three ways. First, any
voltage levels in the positive and negative phases that are near each other can be
combined to use only one capacitor. Second, any voltage level that is near
ground may be replaced by ground, eliminating a capacitor. Third, the number
of first- and second-phase voltage levels that are near each other may be
increased by making the capacitive ramp voltages of the two phases overlap
more. This is done by reducing the IR voltage relative to the Q/C voltage.
Since R, C, and Q are fixed, the current should be reduced and the phase duration
increased where allowable. Using these methods allows the eight voltage sources
to be simplified down to five. An additional consideration is that some electrodes
particularly those using an iridium oxide film surface (sputtered iridium oxide
film, SIROF, or activated iridium oxide film, AIROF) have increased cathodic
pulse charge capacity if a small anodic bias is used (Beebe and Rose 1988).
1170 S. K. Kelly

A DC voltage on an electrode may cause some concern, but at a modest anodic


bias, the leakage current is low enough to be supported by noninjurious reactions
with the fluid buffer. This biasing is commonly done with iridium oxide
electrodes and is generously considered to be a safe practice (McCreery
et al. 1990), though it has not been used in human trials. This anodic offset
eases the requirements on the power converter by balancing the demands
between the positive and negative phases of the power secondary coil voltage.
In other words, instead of creating four negative supplies and one positive
supply, the power converter creates three negative and two positive supplies.
One particular arrangement of power supply capacitor voltages and resulting
electrode currents is shown in Fig. 11.
The anodic bias is equal to one voltage step, so the electrodes are biased to
voltage VCp1. As shown in Fig. 11, the electrode is switched from VCp1 first to Vmid
(the electrode current return or counter electrode); then to VCn1, VCn2, and VCn3 to
generate the negative current; and then to VCn1, Vmid, VCp1, and VCp2 to generate the
positive current. Electrodes are drawn back to VCp1 between stimulus pulses by a
very weak (200 nA) current source. Notice that voltages VCn1 and Vmid are visited in
both the negative and positive directions. While Vmid is a direct connection to the
circuit midpoint (in this case, created by dual half-wave rectifiers), voltage VCn1 is a
supply capacitor, which is discharged slightly when supplying negative current to
the electrode and recharged slightly when supplying positive current. Thus, the

Phase 2
I Phase 1
Id
Electrode

T
VCp2 t
T

VCp1 Pulse
–Id Duration

Vmid V
VCn1
VCp2
VCn2 VCp1
Vmid
VCn1 t
VCn3
VCn2
VCn3

Fig. 11 Architecture of a four-step, five-capacitor voltage step stimulator with one-step anodic
bias and approximate current and voltage waveforms
55 Adiabatic Electrode Stimulator 1171

stimulation system is recovering energy from the electrodes to this supply capacitor
during the second phase of the stimulation.

Proof-of-Concept Experiments

To test the idea presented above, an AIROF-coated electrode in physiological


saline was driven by an arbitrary voltage waveform generator first in the optimal
step-ramp pattern described in section “Reducing Excess Voltage Drops” and
Fig. 8, then in the stepped pattern described in this section, and in Fig. 11. A
simple current sense amplifier served as the return path, sensing current through
the electrode. The results of these experiments are shown in Fig. 12. The left side
shows the step-ramp voltage waveform and resulting current. Note the consis-
tency in the current plot which shows overlaid data from five different measure-
ments. Note also that the current waveform is not square. The initial peak in the

x 10–4 x 10–4
4 5
3 4
3
2
2
1 1
Current

Current

0 0
–1 –1
–2
–2
–3
–3 –4
–4 –5
–2 0 2 4 6 8 10 12 –2 0 2 4 6 8 10 12
Time Time
x 10 –3 x 10–3

1
0.6
0.8
0.6 0.4

0.4 0.2
Voltage
Voltage

0.2 0
0 –0.2
–0.2
–0.4
–0.4
–0.6 –0.6

–0.8 –0.8
–1 –1
–2 0 2 4 6 8 10 12 –2 0 2 4 6 8 10 12
Time Time
x 10 –3
x 10–3

Fig. 12 Bench electrode drive test results with an arbitrary voltage waveform generator (bottom
graphs) and the resulting current through the electrodes (top graphs). The left side shows an ideal
step-ramp voltage drive, while the right side shows a four-step stimulating voltage drive
1172 S. K. Kelly

current is due to the nonidealities of the electrode impedance. The right side of
Fig. 12 shows the four-step voltage system described in this section and its
resulting current waveform.

Single-Coil Multivoltage Power Supply for Neural Stimulation

The capacitive voltage supplies described above may be created by means of a


controlled synchronous rectifier from a single alternating voltage on a secondary
coil, which receives wirelessly transmitted power via inductive coupling. Alternat-
ing current through an external coil creates an alternating magnetic field, which is in
turn coupled by an implanted coil, creating an alternating voltage. The rectification
step must be done efficiently for the stimulator system as a whole to save any
power. In addition, because of the small power budget (10 mW) and size
restrictions for a retinal implant or for some other implanted medical devices,
DC-DC switching power converters are usually not a viable option. In this system,
the overall power supply for control circuitry on the chip is generated by two simple
half-wave rectifiers, using Schottky diodes.

Inductive Power Transmission

Power is delivered to the implant chip via an inductive link operating at 125 KHz.
Primary (external) and secondary (implanted) coils are hand-wound on plastic
forms. The primary coil, shown on the left in Fig. 13, consists of 45 turns of

Fig. 13 The primary (left) and secondary (right) power telemetry coils used in the proof-of-
concept wireless adiabatic stimulation system
55 Adiabatic Electrode Stimulator 1173

30 AWG magnet wire with a mean diameter of 37 mm and an inductance of 153 μH.
The secondary coil, shown on the left in Fig. 13, consists of 60 turns of 36 AWG
wire with a mean diameter of 11 mm and an inductance of 58 μH. The primary is
driven by a class E power amplifier, and the secondary is held 15 mm from the
primary in a nonconductive plastic test jig. The primary drive current is set so
that the voltage at the secondary coil yields 1.75 V power supplies after the dual
half-wave Schottky rectifiers.

Synchronous Rectifier Chip Architecture

The controlled synchronous rectifier forms the core of this design, taking the AC
voltage from the secondary coil and creating five separate voltage supplies. The
rectifier architecture is shown in Fig. 14. On the left, a transistor threshold-based
reference is used and is buffered for the chip’s PMOS and NMOS bias voltages
(pbias and nbias). The rectifier reference voltages are then derived in the circuit
labeled Vref, which feeds a constant current through a string of on-chip resistors.
These reference voltages determine the voltage to which the supply capacitors
will be charged. The reference voltages are buffered and sent to the column of
clocked comparators near the middle of Fig. 14, where the supply capacitor
voltages are compared to the references on every cycle. Below the clocked
comparators, the clock generation circuitry is shown. A clock is extracted from
the 125 KHz coil voltage, labeled AC throughout the figure, and turned into a
sequence of clock edges for the comparators. The comparator outputs feed into
the control circuitry, which, in part, determines which supply capacitor will be
monitored by the continuous comparator. This comparator turns on the appropri-
ate rectifier switch, via the control circuitry, when the AC voltage exceeds the
monitored capacitor voltage. Finally, a power-on reset circuit ensures that all
circuits initialize to a known state.

Rectifier Reference Voltages

The controlled synchronous rectifier works by turning on a rectifier switch between


the secondary coil and one supply capacitor, charging the capacitor up to a specified
reference voltage. The reference voltages are created by driving DC current through
a string of on-chip polysilicon resistors. The current is determined by a reference
based on the transistor threshold voltage (VT reference) and three off-chip selector
switches to correct for process variation. This reference circuit is shown in Fig. 15.
The voltage steps are typically set to between 0.12 and 0.25 V, but the full range of
the supplies is from 0.07 to 0.33 V. Output voltages are shown in Fig. 16, with the
reference voltage circuit input bits being stepped up in value. This voltage
selectability allows the stimulator to account for a wide range of electrode imped-
ances or tissue stimulation thresholds. In a tissue stimulator for human use, even
more flexibility may be required.
1174

Reference Generator
Vcp2 AC
– 5
– – Vp2
+
+ +
pbias Vcp1 9 Gate
– Controls AC
– Vp1 Drivers
+
VDD Vref +
VT ref Vcn1 Vcp1 Vcp2
+ 10
Vmid – Vn1
+ –
Vcn2 Vmid
Gnd + AC +
– Vn2 Vcp2

+ – Vcp1
– nbias Vcn3 Vcn1 Switch Vcn1 Vcn2 Vcn3
+ +
– Vn3 Network Continuous

Vcn2 AC
Followers + Clocked Comparator
Vcn3
Followers Comparators
VDD
– pbiasclk pbias Power-On
AC
pbias + 6 nbias Reset
– nbiasclk Gnd
nbias +
reset Rectifier
clk1 Clock Clock AC
Generator Switches AC
Extractor Vmid
Clocked clk1
clk140
Followers
clk
Clock Circuitry

Fig. 14 Block diagram architecture of the synchronous rectifier chip. The capacitor voltages are compared to the generated reference voltages (upper left).
Any capacitor needing charge is connected to the AC supply when the AC voltage exceeds that capacitor’s voltage (center, upper right)
S. K. Kelly
55 Adiabatic Electrode Stimulator 1175

b0 b1 b2

Vp2
b0 b1 b2

Vp1
MP1 MP2 MP3 MP4

pbias
VT Vmid
Ref

nbias Vn1

MN1 MN2 MN3 MN4


Vn2

b0 b1 b2
Vn3

b0 b1 b2

Fig. 15 Reference voltage generator. A VT-based reference generates selectable currents which
run through a string of polysilicon resistors to set up voltage step references

Clocked Comparators

Since the supply capacitor voltages and the buffered reference voltages are DC
values, they are compared in a very sensitive clocked comparator, shown in
Fig. 17. The core of this circuit is the cross-coupled inverter pair formed by MN1,
MP1, MN2, and MP2. The circuit works by allowing the inputs to take control of the
weakened inverter pair, after which the inverter pair is powered to latch the result.
Specifically, each inverter has two connections to each power supply: (1) a constant
weak one, through transistors MP3, MP4, MN3, and MN4, connected to pbias and
nbias, and (2) a clocked strong one, through transistors MP5, MP6, MN5, and MN6,
connected to pCLK and nCLK. During a voltage comparison, the cross-coupled
inverters are first weakened (pCLK and nCLK turned off). Next, the dual differential
pairs made up of MN7 and MN8 and MP7 and MP8 are powered (pbiasCLK and
nbiasCLK turned on), the passgates from the differential pairs to the cross-coupled
inverters are enabled (CLK1 on), and the passgates to the output latch are disabled
(CLK2 off). The differential pairs control the inverter pair based on the capacitor and
reference voltages, and then the clocks are reset in the same sequence. The cross-
coupled pair engages first to latch the comparison (pCLK, nCLK), then the
1176 S. K. Kelly

References Voltages
2.5

2
Voltage (V)

1.5

0.5
0 1 2 3 4 5 6 7 8
Time (s)
x 10–4

Fig. 16 Reference voltage circuit test results. This plot shows the eight voltage levels of the five
reference channels. The center line is Vmid; lines above Vmid are reference voltages Vp1 and
Vp2, respectively; and lines below are Vn1, Vn2, and Vn3

pbiasCLK
MP9

weak
CLK2
pCLK pCLK
pbias pbias
MP7 MP5 MP3 MP4 MP6 MP8
CLK1 MP1 MP2 CLK2 OUT
CLK1

CLK1 CLK1 CLK2


MN10
MN11
reset
CLK1 CLK1 CLK2
MN1 MN2
OUT
MN3 MN4
MN7 MN5 nbias nbias MN6 MN8
IN+ nCLK nCLK IN–
CLK2 weak

nbiasCLK MN9

Fig. 17 Clocked comparator. The cross-coupled inverter pair formed by MP1, MP2, MN1, and
MN2 latches the difference between inputs IN+ and IN. The inverter pair is weakened during
sampling by turning off pCLK and nCLK
55 Adiabatic Electrode Stimulator 1177

differential pairs turn off, the input passgates disable, and the output passgates enable
(pbiasCLK, nbiasCLK, CLK1, CLK2). The result is a sensitive, low-power compar-
ator. In the example case, the comparator consumed 11 pC of charge from a 3.5 V
supply in one 140 ns comparison. At 125 KHz, this amounts to an average of 4.8 μW,
and there were five comparators in the design, one for each supply capacitor.

Continuous Comparator

The timing for turning on the rectifier switches to charge the supply capacitors is
determined by a continuous comparator that monitors the AC coil voltage and a
selected capacitor. This is shown in the middle of the block diagram in Fig. 14. This
comparator needs to be fast enough to follow the rising edge of the 125 KHz voltage
on the secondary power coil and turn on the rectifier switch with negligible delay, yet
consume very little power. This is accomplished by using a predictive front end,
slightly modified from that described by MeVay and Sarpeshkar in (MeVay and
Sarpeshkar 2003). This comparator architecture, shown in Fig. 18, uses a self-biased
Bazes’ very wide common-mode range differential amplifier (VCDA) (Bazes 1991)
for rail-to-rail comparator operation at only 54 μW, but adds the predictive front end
to shift the DC comparison voltage based on the slope of the AC voltage. The

MP5 MP3

MP4

MP2
MP1
C R ACin +
DCin Comp Out
ACin –

MN1 MN2

MN3

MN5 MN4

Fig. 18 Predictive comparator front end. The AC input injects current into the mirror, which is
drawn through the resistor connected to the DC input. The AC voltage is compared to the DC
voltage minus a component proportional to the slope of the AC. The mirrors created by MN3,
MP3, MN4, MP4, MN5, and MP5 form a first-order current cancellation circuit
1178 S. K. Kelly

Clock Extractor
4

3.5

3 ck

2.5 ACinput
Voltage (V)

2 Vmid

1.5

0.5

0 ACin
Vmid
Ck
–0.5
–2 0 2 4 6 8 10 12 14 16
Time (s)
x 10–7

Fig. 19 Continuous comparator with predictive front end, extracting the 125 KHz system clock
from the AC coil voltage

capacitor on the left of the figure injects into the current mirrors a current propor-
tional to the slope of the AC voltage. This current is mirrored via either MP1/MP2 or
MN1/MN2 into the resistor connected to the DC voltage (in this case the supply
capacitor), and the modified voltage is used for the comparison. For example, if the
AC voltage is rising, current is injected into MN1, and MN2 pulls current out of the
resistor. This reduces the voltage at the input of the comparator to a value lower than
the actual DC voltage, causing the comparator to begin to change state earlier. If the
predictive time shift can be matched to the comparator delay, a low-power compar-
ator can be made to have a very small delay. The other mirrors in Fig. 18, with
transistors numbered 3 through 5, are added to give first-order cancellation of the
resistive current at the DC voltage node. The predictive comparator used here in a
synchronous rectifier role has a very low power-delay product in a low-power
application where losses need to be eliminated. A faster traditional comparator
would consume too much current, and a slower comparator would cause too much
turn-on delay, increasing losses in the rectifier switches. A version of this compar-
ator is used to extract the 125 KHz clock from the AC coil voltage, and a sample
clock comparison is shown in Fig. 19.

System Implementation and Testing

The low-power stimulator chip shown in Fig. 20 was fabricated in a CMOS process
and tested in a nonconductive test jig with a wireless inductive power supply and
preprogrammed data. The system drove a set of sputtered iridium oxide (SIROF)
55 Adiabatic Electrode Stimulator 1179

Fig. 20 At the left, the adiabatic stimulator chip and, at the right, the full wireless system tested in
a nonconductive test jig, connected to SIROF electrodes (background)

electrodes in a buffered saline solution, with a large separate return electrode.


Electrode current was measured with a small series resistor and an instrumentation
amplifier. The measured electrode current and voltage waveforms are shown in
1180 S. K. Kelly

Fig. 21. Note the similarities between these waveforms, the predicted waveforms in
Fig. 11, and the measured waveforms in Fig. 12. The voltage waveform is very
close to the desired waveform, while the current has slightly higher peaks than
desired for optimal efficiency. The current in Fig. 21 integrates to 0.678 μC per
phase, close to the measured human epiretinal perceptual threshold of 0.8 μC
(Rizzo et al. 2003a). Power consumption for the entire system was calculated
directly from the secondary coil voltage and current, measured by an instrumenta-
tion amplifier. This gave the total power consumed downstream from the coil. The
dissipation of the coil was then added to that value. These values account for all of
the power delivered to the secondary coil by the magnetic field. They were taken
when driving no electrodes and again when driving 15 electrodes.
The data in Table 1 show that the total power (including secondary coil losses)
required to drive 0.678 μC into each of 15 electrodes at 100 Hz frame rate is
2.22 mW. Since the system consumes 338 μW of power with no electrodes driven,
the cost of electrode stimulation, including all system inefficiencies, is 1.88 mW or
125 μW per electrode. A very aggressive traditional current source design using the
same 1.75 V supplies created with an efficient 0.25 V Schottky diode rectifier,
delivering the same charge in the same time, will use 271 μW per electrode, not

x 10–4
4
Electrode Current (A)

–2

–4

0 1 2 3 4 5 6 7 8 9 10
time (s) x 10–3

2.2
2
Electrode Voltage

1.8
1.6
1.4
1.2
1
0.8
0 1 2 3 4 5 6 7 8 9 10
time (s) x 10–3

Fig. 21 Measured electrode current and voltage waveforms. Compare the current and voltage
waveforms to those predicted in Fig. 11. Voltage waveforms here are with respect to the chip
ground, not Vmid (1.75 V)
55 Adiabatic Electrode Stimulator 1181

including overhead control power. This design represents a 53 % power savings


over such an extremely aggressive current source design. A more typical, yet still
aggressive, current source stimulator might use 2.5 V supplies, consuming
373 μW per electrode, nearly three times the power consumption of this design.
Most current source stimulators use much higher voltages than this in an attempt to
improve current source output impedance and to hedge against future voltage
compliance requirements that arise from impedance variations or stimulus thresh-
old charge or current variations. The architecture presented here includes program-
mable voltage supply levels which can be designed with a broader range to give the
minimum voltage required to drive the threshold charge into the electrodes, while
reserving the capability to increase the voltage supplies at a later time to drive more
charge or larger electrode impedances.
For reference, it can be calculated from Eq. 7 that the theoretical minimum
power consumption within electrodes receiving this charge stimulation is 21.2 μW.
The power delivered to the electrodes by our system was calculated from the
product of the electrode voltage and current and was found to be 49 μW per
electrode. Table 2 shows a comparison of all of these values. Let us consider the
losses in this system, the difference between the theoretical 21.2 μW measured
49 μW into the electrode and measured 125 μW per electrode for the whole system.
The 49 μW measured electrode power includes several inefficiencies, including the
current peaking from using voltage steps as well as nonidealities in the electrode
impedance. The 125 μW measurement is more obvious, as it includes losses in the
rectifier switches and increased coil losses. To further reduce this power and
increase efficiency, a voltage-based stimulation system could be designed which
tracks the electrode voltage, as shown in the bench tests in Fig. 12.

Table 1 Stimulator system power consumption. Power consumed while driving 15 electrodes is
compared to power in the standby state, driving no electrodes
15 Electrodes No electrodes
Mean St. dev. Mean St. dev.
Coil power 44.4 μW 14.1 μW 2.79 μW 0.11 μW
Electronics power 2.18 mW 0.691 mW 335 μW 20.1 μW
Total power 2.22 mW 0.703 mW 338 μW 20.2 μW

Table 2 Comparison of power consumed per electrode. The most direct comparison is net power
during stimulation, shown in bold
Theoretical Minimum possible power 21.2 μW
This system Measured power into electrode 49 μW
This system Net power during stimulation (not including 125 μW
overhead)
This system Gross power during stimulation (including all 148 μW
overhead)
Aggressive current source Net power during stimulation (not including 271 μW
system overhead)
Typical current source Net power during stimulation (not including 373 μW
system overhead)
1182 S. K. Kelly

Conclusion

The stimulation system presented here realizes power savings of 53 % over an


extremely aggressive traditional current source design and 66 % or more over
commonly used designs. It does this by using a voltage-based design, which has
efficiency benefits over a current source design but is generally avoided in neural
and other tissue stimulators. This efficiency requires trade-offs, resulting in
decreased current precision and increased complexity of the power management
system. A practical implementation of this system will require careful control of the
step voltages and should include the ability to control the steps via telemetered data
or by a current feedback system on-chip. In a medical application, the architecture
described here would require additional safeguards to measure and limit total
charge delivered, but these safeguards can easily be added, and the power savings
of this design justify the increased complexity.
A number of improvements can be made to a future design. First, a stepped
voltage system such as that described here could use simple current sources instead
of switches to connect the electrodes to the steps. This would limit the peak current
to the electrodes and keep it closer to the constant current waveform. In addition, as
neural stimulators, in particular retinal prostheses, implement more and more
stimulating electrodes, the size of each electrode will likely decrease, with each
electrode targeting a smaller number of nerve cells, and greater charge-delivery
precision will be required. The simple current sources can take advantage of the
power savings of the multiple voltage step architecture while more precisely
controlling the total charge delivered to the tissue. Second, if the resistance of
electrodes embedded in tissue increases (Cogan 2006) so that the RC product
significantly exceeds the maximum desirable stimulation pulse width (5 ms in
this example design, often up to 8 ms in clinical designs), this stepped design will
prove less effective. In this case, the electrode voltage profile under constant current
stimulation looks more square, and a current source could provide this stimulus
from one supply at the minimum required voltage. A network of voltage supplies
can be used to drive different electrodes with different impedances or require
different threshold charge levels. These circuit solutions may increase device
complexity, but the power savings realized can lead to size, safety, and longevity
improvements in implanted tissue stimulators, especially those with large numbers
of electrodes, such as retinal prostheses for the blind.

References
Athas WC, Koller JG, Svensson LJ (1994) An energy-efficient CMOS line driver using adiabatic
switching. In: Proceedings of the 4th great lakes symposium. VLSI Design Automation of High
Performance VLSI Systems, pp 196–199
Bazes M (1991) Two novel fully complementary self-biased CMOS differential amplifiers. IEEE J
Solid-State Circ 26(2):165–168
Beebe X, Rose TL (1988) Charge injection limits of activated iridium oxide electrodes with 0.2 ms
pulses in bicarbonate buffered saline. IEEE Trans Biomed Eng 35(6):494–495
55 Adiabatic Electrode Stimulator 1183

Chen K, Lo Y, Yang Z, Weiland J, Humayun MS, Liu W (2013) A system verification platform for
high-density epiretinal prostheses. IEEE Trans Biomed Circ Syst 7(3):326–337
Cogan S (2006) In vivo and in vitro differences in the charge-injection and electrochemical
properties of iridium oxide electrodes. In: Proceedings of the IEEE international conference
of the Engineering in Medicine and Biology Society, pp 882–885
Dickinson AG, Denker JS (1994) Adiabatic dynamic logic. In: Proceedings of the IEEE Custom
Integrated Circuits Conference, pp 282–285
Fried SI, Hsueh HA, Werblin FS (2006) A method for generating precise temporal patterns of
retinal spiking using prosthetic stimulation. J Neurophysiol 95(2):970–978
Gorman PH, Mortimer JT (1983) The effect of stimulus parameters on the recruitment character-
istics of direct nerve stimulation. IEEE Trans Biomed Eng 30:407–414
Gosalia K, Weiland J, Humayun M, Lazzi G (2004) Thermal evaluation in the human eye and head
due to the operation of a retinal prosthesis. IEEE Trans Biomed Eng 51(8):1469–1477
Hallum LE, Dagnelie G, Suaning GJ, Lovell NH (2007) Simulating auditory and visual sensori-
neural prostheses: a comparative review. J Neural Eng 4:S58–S71
Humayun MS, Dorn JD, da Cruz L, Dagnelie G, Sahel J-A, Stanga PE, Cideciyan AV, Duncan JL,
Eliott D, Filley E, Ho AC, Santos A, Safran AB, Arditi A, Del Priore LV, Greenberg RJ (2012)
Interim results from the international trial of Second Sight’s visual prosthesis. Am Acad
Ophthalmol 119(4):779–788
Jensen RJ, Ziv OR, Rizzo JF (2005) Responses of rabbit retinal ganglion cells to electrical
stimulation with an epiretinal electrode. J Neural Eng 2(1):S16–S21
Jia H, Cheng X, Wang X, Kumar P, Shen ZJ (2008) A novel monolithic self-synchronized rectifier.
In: Proceedings of the IEEE Applied Power Electronics Conference and Exhibition, pp
907–912
Kelly SK (2004) A system for efficient neural stimulation with energy recovery. Dept. Electrical
Eng. and Computer Science, Massachusetts Institute of Technology, Cambridge, MA
Kelly SK, Wyatt JL (2011) A power-efficient neural tissue stimulator with energy recovery. IEEE
Trans Biomed Circ Syst 5(1):20–29
Kelly SK, Shire DB, Chen J, Doyle P, Gingerich MD, Cogan SF, Drohan W, Behan S,
Theogarajan L, Wyatt JL, Rizzo JF (2011) A hermetic wireless subretinal neurostimulator
for vision prostheses. IEEE Trans Biomed Eng 58(11):3197–3205
Kelly SK, Shire DB, Chen J, Gingerich MD, Cogan SF, Drohan WA, Ellersick W, Krishnan A,
Behan S, Wyatt JL, Rizzo JF (2013) Developments on the Boston 256-channel retinal implant.
In: IEEE international conference on Multimedia and Expo, MAP4VIP workshop, pp 1–6
Lapicque L (1907) Recherches quantitatives sur l’excitation electrique des nerfs traites comme une
polarization. J Physiol Paris 9:622–635
McCreery DB, Agnew WF, Yuen TGH, Bullara L (1990) Charge density and charge per phase as
cofactors in neural injury induced by electrical stimulation. IEEE Trans Biomed Eng 37
(10):996–1001
Merrill DR (2010) The electrochemistry of charge injection at the electrode/tissue interface.
Implantable Neural Prostheses 2: Techniques and Engineering Approaches. In: Zhou DD,
Greenbaum E (eds). Springer
MeVay ACH, Sarpeshkar R (2003) Predictive comparators with adaptive control. IEEE Trans Circ
Syst II Analog Digit Sig Process 50(9):579–588
Pan H, Liang YC, Oruganti R (1999) Design of a smart power synchronous rectifier. IEEE Trans
Power Electron 14(2):308–315
Rizzo JF, Wyatt JL, Loewenstein J, Kelly SK, Shire DB (2003a) Methods for acute electrical
stimulation of retina with microelectrode arrays and measurement of perceptual thresholds in
humans. Invest Ophthalmol Vis Sci 44(12):5355–5361
Rizzo JF, Wyatt JL, Loewenstein J, Kelly SK, Shire DB (2003b) Perceptual efficacy of electrical
stimulation of human retina with a microelectrode array during short-term surgical trials.
Investig Ophthalmol Vis Sci 44(12):5362–5369
Testerman RL, Rise MT, Stypulkowski PH (2006) Electrical stimulation as therapy for neurolog-
ical disorders. IEEE Eng Med Biol Mag 25:74–78
Weiss TF (1996) Cellular biophysics, vol. 2: electrical properties. MIT Press, Cambridge, MA.
Biphasic Current Stimulator for Retinal
Prosthesis 56
Jeong Hoan Park, Han Wu, Joanne Si Ying Tan, and Jerald Yoo

Contents
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1186
Considerations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1187
Design of Retinal Prosthesis Circuits . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1190
Image Processors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1190
Biphasic Current Stimulators . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1192
Power Management . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1195
Future Directions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1197
Conclusions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1198
References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1198

Abstract
Inherited retinal diseases such as retinitis pigmentosa and age-related macular
degeneration (AMD) target the retina at the back of the eyes resulting in severe
and permanent blindness in millions worldwide. Patients suffering from such
diseases experience poor quality of life due to vision loss. Fortunately, while the
photoreceptors are damaged, rendering the eyes incapable of detecting incident
light, the inner retinal networks remain intact. Recent technological advance-
ments attempt to restore vision by implanting microelectronic retinal prostheses
to detect incident light and produce visual information by electrically stimulating

J. H. Park
Samsung Electronics, Hwaseong, Republic of Korea
e-mail: jh920.park@samsung.com
H. Wu · J. S. Y. Tan
National University of Singapore, Singapore, Singapore
e-mail: wuhan@nus.edu.sg; joannetan@u.nus.edu
J. Yoo (*)
National University of Singapore, Singapore, Singapore
The N.1 Institute for Health, Singapore, Singapore
e-mail: jyoo@nus.edu.sg

© Springer Science+Business Media, LLC, part of Springer Nature 2022 1185


M. Sawan (ed.), Handbook of Biochips,
https://doi.org/10.1007/978-1-4614-3447-4_70
1186 J. H. Park et al.

the nerves in the remaining network. This chapter reviews recent works and seeks
to shine a light on effective design strategies, considerations, and future directions
for realizing efficient and safe high-spatial-resolution retinal prosthetic SoCs.

Introduction

Millions of blind patients who suffer from retinitis pigmentosa and age-related
macular degeneration have difficulty daily due to their visual sensory loss (Zrenner
2013). The blind patients with these inherited retinal diseases lost their photorecep-
tor, outer retina, and retinal pigmented epithelium. The photoreceptor converts
incident light into electrical signals, and these electrical signals are processed by
the retina and delivered to ganglion cells to be delivered to the visual cortex. Even
though photoreceptors that recognize incident light are lost, the inner retinal network
composing bipolar, ganglion, horizontal, and amacrine cells remain intact. This
remaining retinal network gives the patients a chance to restore their sight by
connecting the electrical light converter with the surviving retinal network. A retinal
prosthesis (RP) is a device that produces visual information by electrical stimulation
of those nerves, as shown in Fig. 1. By using a camera or photo-diode, the natural
light patterns are converted into electrical signals and processed into electrical
stimulus patterns to deliver visual information via the residual visual pathway
from the surviving retinal cells. Compared with other neural prosthesis devices,
the retinal prosthesis is highly challenging due to its tremendous number of channels
to achieve high resolution within the limited macular area. While the number of
channels for cochlear implants is adequate with eight, 60 channels in commercial
retinal prostheses are considerably deficient in recognizing useful visual information
(Zrenner 2013). Moreover, the small active macular area within 10 mm2 boosts
thermal issues, posing the risk of cell damage due to increased temperature.
Many researchers seek to increase the spatial resolution for enhanced
visual acuity with various approaches, as shown in Fig. 1b. Conventionally, an
external camera and concentric image processor are used. This method requires a

(a) (b) Coventional RP


Implanted
Incident Device
Light Electrical
Stimulus
Image
TX
Processor

External Device
Ganglion cells
Photo-diode based RP
Bipolar cells Incident P P P
Light P P P Electrical
Light
Signal P P P Stimulus
Direction PD
photoreceptor Direction Retinal prosthesis (RP)
Parameter TX Image Processor
Stimulus

Fig. 1 (a) inherited retinal degeneration (b) retinal prosthetic SoC operation
56 Biphasic Current Stimulator for Retinal Prosthesis 1187

high-bandwidth transmitter with an inductive link to deliver the processed data into
implanted neural stimulator, which is highly power-intensive (Lo et al. 2013).
Various multi photo-diode-based subretinal prostheses were proposed to reduce the
bandwidth. Usage of incident light needs no external cameras, which does not
restrict the patients’ natural eye movements, making it more comfortable (Zrenner
2013). Photo-diode and voltage converter proportional to light intensity achieve
area-efficient design, expanding up to 1600 channels. However, this simplified
design cannot prevent the current dispersion in the conductive human retinal envi-
ronment. Therefore, an implantable image processor is necessary to overcome this
phenomenon. 70 μm diameter photovoltaic pixels with a laser projector, an external
camera, and an image processor can reach high spatial resolution and flexible
stimulus patterns (Mathieson et al. 2012). However, it reverted to the original
problem of high power consumption and impeded natural eye movement posed by
using external devices.
Much work is still being done on improving the retinal prosthetic SoC with new
design strategies for these limitations. Area-efficient design is the primary design
consideration to increase the spatial resolution. In addition, the integration of image
processors has emerged for the suppression of current dispersion. Power manage-
ment and temperature monitoring and control are also crucial since the number of
channels increases with greater demand for higher resolution.
This chapter reviews the current retinal prosthetic SoC, primarily focusing on the
system and circuit aspects. As the spatial resolution increase is essential, retinal
prosthetic SoC needs different area, power, and safety considerations from other
neural prosthetic SoCs. Subsequently, we will explore the current stimulation strat-
egies and choose the proper stimulation strategy. Next, the image processors
published will be investigated and compared to support the optimal stimulation
strategy. Biphasic current stimulator and power management circuits are also ana-
lyzed to minimize power and area, considering its stimulus parameter. Finally, future
directions are discussed.

Considerations

The number of channels integrated into the SoC is the most prominent consideration
in retinal prostheses. This parameter can be determined with the help of pixelized
vision simulation. Based on the knowledge that at least 25  25 pixels is required to
read a letter and more than 1000 pixels is necessary for recognizing the human face
in the normal visual field (10  10 or 3 mm  3 mm) (Palanker et al. 2005), the
electrode pitch required can be calculated and is found to be at most 100 μm for the
active pixel information to be delivered into retinal cells.
Even though technical development has shrunk the pixel size, there is still a
limitation in delivering a maximum number of stimulus patterns. The retinal envi-
ronment is fluent with electrically conductive ions, which blurs the electrical field.
Such current dispersion and crosstalk between electrodes reduce visual acuity
depending on the distance between the retinal cells and the electrodes. Mathematical
1188 J. H. Park et al.

analysis on crosstalk, maximum electrode charge density, and target cell separation
is well-established to determine the electrode pitch in the monopolar concurrent
stimulation due to the simplicity of considering one adjacent electrode (Palanker
et al. 2005). To keep crosstalk less than 10%, the electrode pitch must be 3 times the
target cell distance. In other words, the target cell separation from the electrode
should be less than 33 μm with an electrode pitch of 100 μm. The finite element
method (FEM) study has much higher crosstalk at the same condition due to the
effect from multiple electrodes, and results from clinical trials also indicate that
crosstalk estimation can increase above 10% (Wilke et al. 2011b). The physiological
study of the photo-diode-based subretinal prosthesis shows that the distinguished
electrode size pitch is 280 μm for measurement with concurrent monopolar stimu-
lation (Wilke et al. 2011a), which is less than the theoretical value. This gap can be
relieved by decreasing the distance between the electrode and the target cell. Also,
changing stimulus parameters can reduce the gap, considering another physiological
measurement of up to 150 μm pitch with 40 μm cell distance, 75 μm electrode
diameter, sinusoidal stimulation, and 25 ms long duration (Weitz et al. 2015).
On top of the maximum number of channels, choosing a proper stimulation
strategy, selecting suitable parameters, and optimizing the conductive environment’s
stimulus patterns are critical. Commercial stimulation strategy is quite flexible as
they deliver individual stimulus parameters directly from the external device, which
is advantageous for adapting to each patient’s condition. In this case, the stimulation
strategy focuses on compensating the confined number of channels in ARGUS II
(Humayun et al. 2012), establishing an effective data protocol to cover the stimulus
parameter of the 1024 channels (Lo et al. 2013). In the case of using a multi photo-
diode array (MPDA), there are mainly two strategies. The first strategy is stimulus
generation proportional to light intensity using incident light without additional
image processing (Rothermel et al. 2009). The pixel size can be achieved to be
very small of 75  75 μm2 due to its simplicity. Despite its small size, this strategy is
susceptible to blur in the conductive environment, which needs to be supplemented
with other strategies. Multiplexing of active channel or continuous interleaved
strategy is an alternative to minimizing crosstalk. The feature extraction or outline
extraction strategy also tries to increase the immunity to the blurred stimulus by
having high contrast and smaller stimulus area (only activates outline of image)
(Park et al. 2020). Even though the stimulus is blurred, the key message is intact, and
patients can still recognize the visual information.
The optimization of stimulus parameters such as duration, phase, and pulse rate
are also crucial for the designers to increase the spatial resolution. Depending on the
target cells, the duration setup can be different. In the case of the epiretinal approach,
the target cell would be retinal ganglion cell (RGC) due to the closer distance than
presynaptic cells such as bipolar, horizontal, amacrine cells, whereas the subretinal
one would be the opposite case. Fried, Hsueh, and Werblin (2006) measured elicited
retinal response by light and electrical stimulation with synaptic blocker, and they
found that 0.15 ms duration is more effective to activate the single RGC spike
response, whereas over 1 ms duration is much more effective for the presynaptic
cells. Thus, designers have to choose the proper duration depending on their target
56 Biphasic Current Stimulator for Retinal Prosthesis 1189

cells and approaches. Secondly, designers should determine the pulse shape. While
the rectangular pulse is popular due to its compatibility with digital circuit operation,
high compliance voltage and large area consumption are trade-offs for generating
accurate current levels. Researches (Taschwer et al. 2018) have been done to
determine better pulse shapes to increase spatial resolution due to the mismatch
between pixel size and visual acuity (Zrenner 2013). Recently, sinusoidal waves
have been found to be much more effective than rectangular shapes (Freeman et al.
2010), increasing interest in solving the area and power budget problems in gener-
ating sinusoidal stimulus. The third is phase order, which has many differing
opinions. Most neural stimulation uses the cathodic phase as the first phase to
depolarize the membrane potential and the anodic phase to cancellate the residual
charge (Humayun et al. 1999). Furthermore, the Interval phase between the cathodic
and anodic phases is practical to wait for the neural response (Humayun et al. 1999).
Sometimes, the anodic-first phase is more attractive to decrease the threshold current
while stimulating OFF RGC (Jensen and Rizzo 2006). The last parameter is the
charge amount and its modulation. In order to set the modulation curve, the threshold
is essential. Palanker et al. (2005) calculated that the normalized threshold charge is
similar to 0.6 mC/cm2 at a distance of 25 μm. The stimulus level modulation is
classified into amplitude modulation and frequency modulation. Conventional reti-
nal prostheses use amplitude modulation. Recent research found that frequency
modulation can change brightness perception by minimizing phosphene size
(Nanduri et al. 2012). Pulse rate determines the temporal resolution, primarily
related to safety from vehicles. Many retinal prostheses use pulse rates range from
5 to 50 Hz to variant patients. The temporal resolution has a trade-off relationship
with an increase of spatial resolution with a longer duration, which needs a balance
point.
The designers also have to consider power consumption and safety carefully.
Compared with other neural prosthetic SoCs, power consumption is much larger due
to its tremendous number of channels. Such a significant power consumption
increases the temperature, which results in cell damage. For that reason, properly
defining the power budget is essential. It is easy to start from the human’s physio-
logical power consumption of 3.4 mW to determine the power budget (Sarpeshkar
2011). Alternatively, another paper describes a total power budget of 7 mW in
10 mm2 to limit the increase body temperature below 1°C, which has more margin.
FEM simulation results let us have more margin depending on the device position
(Gosalia et al. 2004).
In addition to a safety issue regarding temperature increase, residual charge
also affects safety – accumulation of residual charge results in DC voltage
between electrodes. If the voltage is out of range of the water window, corrosion
of the electrode will result, which is toxic to the human body. For that reason,
high CSC material and the proper scheme would be used to keep within the
safety limit and remove the residual charge. Table 1 summarizes the specifica-
tions for high-resolution retinal prosthetic stimulator design. In the following
sections, we would discuss practical circuit design for the considerations men-
tioned above.
1190 J. H. Park et al.

Table 1 Summarized high resolution retinal prosthetic stimulator specifications considered


Category Value
Pixel Area 100 μm  100 μm
Electrode Diameter <25 μm
Pitch <100 μm
Cell separations <25 μm
Materials CSC IrOx (4 mC/cm2) > TiN > Pt (0.4 mC/cm2)
Stimulation Flexible By Extrinsic Image processor
strategy Light intensity Difference with reference (Average)
Feature Outline extraction with high contrast
extraction
Stimulus parameter Threshold 0.6 mC/cm2 (at a distance of 25 μm)
Charge
Duration RGC or < 1 ms < bipolar cells
Phase Cathodic first (most case), anodic first (for threshold)
Interval phase is widely used.
Pulse rate 10 Hz  50 Hz
Shape Pulse type, sinusoidal type
Power <3.4 mW (physiological power of human eye)
Safety <1  C increase

Design of Retinal Prosthesis Circuits

Image Processors

Most conventional retinal prostheses use external cameras and image processors to
deliver the processed stimulus patterns into the inner body (Fig. 2a). It can help to
minimize the gap between the image resolution and the number of channels. Also,
the parameter is flexible to fit various patients (Humayun et al. 2012). However,
high-resolution retinal prostheses need a large bandwidth, elevating the power
consumption level. The device also impedes the natural eye movement of patients
that brings discomfort (Zrenner 2013).
A multi photo-diode array (MPDA) with the integrated image processors is
proposed to overcome such obstacles. The conventional concentric image processor
is inadequate due to two reasons (Fig. 2b). First, it needs many global routing lines to
communicate the local pixel (P), including photo-sensor and current stimulator.
Second, the processing should be fast to obtain the optical input data, extract its
feature, and deliver current stimulus information to each pixel, which causes large
power consumption, resulting in the risk of increasing temperature beyond the safe
range.
For those reasons, several groups propose distributed image processors (Park
et al. 2020; Schütz et al. 2017) (Fig. 2c). Inspired by the neural network, the output is
calculated with its pixel input and neighboring inputs. The calculation method
widely used is the simple high-pass matrix filter to extract the image outline, immune
56 Biphasic Current Stimulator for Retinal Prosthesis 1191

(a) Image Implanted


Processor
TX Device
Incident External Device Electrical
Light Stimulus
(b) P P P P P P

Image Processor
P P P P P P

Concentric
Incident Pixel (P)
P P P P P P
Light
P P Photo-diode
P P P P
P P Stimulator
P P P P
Parameter TX P P P P P P
Global routing
(c) P P P P P P
Pixel (P)
P P P P P P
Incident Photo-diode
P P P P P P
Light
P P P PImage
P Processor
P
P P P P P P
P P P P P Stimulator
P
Parameter TX

Fig. 2 (a) conventional image processor (b) concentric integrated image processor (c) distributed
image processor

to current dispersion by their high contrast output and lower stimulus power.
Furthermore, a higher-order filter is also investigated to consider electrode and
retinal cells properties. Each pixel adopting the distributed image processor contains
the photo-diode, distributed image processor, and stimulator, which reduces the
global routing budget and local hotspot. There are many ways to implement the
circuits. Schütz et al. (2017) propose the current mirror scheme with circuit simplic-
ity and distributed layout for area-efficient and safe thermal design. Although it is
simple and has an area-efficient design, it has several disadvantages. The power
consumption, which is proportional to the photo-diode current, is significant as
power consumption increases dramatically when the average light intensity
increases. The second reason is that supply voltage reduction is challenging as the
drain-source voltage needs to be retained to operate as a linear current mirror. The
third is processing distortion due to the channel length modulation effect and on-chip
variation, which needs an additional calibration circuit.
The spike-based fully digital image processor can solve such problems (Park et al.
2020). An asynchronous spike that has a linear spike rate with the light intensity is
used as a clock signal and is counted. When the value is reached at a certain level, the
counting value compares with neighboring pixels’ values. Since the number of clock
transitions is fixed to calculate incoming light patterns, processing power can be
fixed in the variable spike rate. Distributed image processor layout decreases oper-
ational frequency for ultra-low-power consumption since it can save the transferring
optical and stimulus information between the image processor and pixels. The only
1192 J. H. Park et al.

disadvantage is output stimulus level is digital. In other words, the current level is
finite, which needs to scale down the process to get enough stimulus level resolution.
To solve this problem, HDL-based design needs to be easily done (Park et al. 2020).

Biphasic Current Stimulators

Most retinal prosthetic SoCs use mainly two types of stimuli: monophasic voltage
pulse and biphasic current pulse. A monophasic voltage stimulator was proposed
based on the electrophysiological measurements of the chicken retina, as shown in
Fig. 3a, b (Graf et al. 2009). The difference between local photo-diode voltage
and average distributed photo-diode voltage is amplified by the pulse-supplied
amplifier. Post-fitting can extract the stimulus current, and voltage set up which is
kept within 2 V to be safe. Despite those advantages, control of actual stimulus charge
is challenging in different human eyes and varying electrode impedances, which
generates a uncontrollable charge. A highly simplified photovoltaic stimulator is also
proposed to stimulate retinal nerves with the generated voltage directly and reduce the
pixel area (3-stack structure); it needs an additional light source to compensate for
insufficient stimulus charge, as shown in Fig. 3c (Mathieson et al. 2012).
The current stimulator is widely used in the epiretinal prosthetic SoCs. The main
advantage of the current stimulator is its ability to control the amount of stimulus
charge. The control of the stimulus charge amount guarantees that the electrode does
not exceed the maximum charge density that generates corrosion. A biphasic current
pulse is popular due to its little residual charge, which is safe. However, the circuit is
more complex compared with the voltage stimulator. The supply voltage is also
higher than the voltage stimulator to apply the proper current level. That is why
power consumption is also more prominent than the voltage stimulator.
Depending on the current source and switches, there are mainly two types of the
current stimulator, as shown in Fig. 4 (Iniewski 2008). Figure 4a shows the dual
current supplies with the switch to generate a biphasic current pulse, which

(a) (c)
Local Photodiode (PD)
IR laser patterns
+
Amp
-

(b)
Local Light Intensity
Local PD Monophasic
DC Voltage voltage pulse
Amplifier
Ref PD Avg. Light Intensity

Reference Photodiode (PD)

Fig. 3 circuit schematic of monophasic voltage stimulator (a) schematic of monophasic voltage
stimulator (b) signal diagram of monophasic voltage stimulator (c) 3-stacked photovoltaic
stimulator
56 Biphasic Current Stimulator for Retinal Prosthesis 1193

VDD VDD
(a) (b)
ANB
AN ANB CAB
CAB
CH REF CH REF
CA CA
AN CA CA AN AN

CH CH

VSS VSS
Fig. 4 Current Stimulator schematic (a) dual supply type (b) single supply with H-bridge switch

individually controls anodic and cathodic current pulse. Figure 4b shows the single
current source with an H-bridge switch structure. Since the current source area is big,
the single current source type is very area efficient. Depending on the number of the
active current electrodes, we mainly classify them into monopolar and bipolar
stimulation. Monopolar stimulation uses active current channels with a voltage
reference electrode. Since each channel has a current source, the monopolar stimu-
lation with dual current supply supports simultaneous multi-channel stimulation to
modulate the stimulus field. When using monopolar with a single current supply
(Fig. 5a), each channel’s anodic currents are determined by the impedance of each
channel, not by a current source (Fig. 5b). Thus, in the simultaneous monopolar
stimulation, a dual current supply should be used. Bipolar stimulation can have two
active electrodes in each channel. Pushing the current electrode and pulling the
current electrode are close to each other, which generates a more specific and closer
stimulus field than monopolar stimulation. If a single current source with a H-bridge
structure is used, the area is also saved with the help of continuous interleaved
stimulation. Nowadays, tripolar and even multi-polar stimulation are considered to
steer E-filed to generate a more complex and specific electrical field to stimulate
retinal cells efficiently (Shim et al. 2020b).
The current source designs used in retinal prosthesis are considered with the area.
The most popular design is two series binary-weighted current transistors, as shown in
Fig. 6a (Lo et al. 2013). It helps to increase dynamic range (IOUT ¼ K1  K2  IREF)
and achieve area efficiency. Another type is voltage-controlled current source with
MOSFET in the linear region, as shown in Fig. 6b (Iniewski 2008). Upper
MOSFET fixes the drain voltage of Bottom MOSFET, which can operate in the
linear region. Thus, IOUT is changed by the VIN linearly. Moreover, OTA boosts
output impedance, which can have large compliance voltage as well. Combining
the analog output of the photo-diode can have an unlimited stimulus level resolu-
tion with area efficiency.
Various residual charge removal techniques are considered. Active charge can-
cellation is widespread due to its speed; however, the area occupied is quite critical
1194 J. H. Park et al.

(a) VDD VDD (b) VDD VDD

ANB CAB CAB ANB ANB CAB CAB ANB

CHA REF REF CHB CHA REF REF CHB

CA AN AN CA CA AN AN CA

VSS VSS VSS VSS

Fig. 5 (a) Multi-channel monopolar stimulation with single supply with H-bridge (b) The anodic
phase of monopolar stimulation with single supply with H-bridge

(a) (b)
×1 ×2 ×4 ×8
×1
Vsink IOU T = K × VIN
K1 × IREF IOU T = K1 ×K2
+ Boosting output
× IREF
- impedance
+ Vsink
-
IREF ×1 Linear
×1 ×2 ×4 ×8 VIN region

Fig. 6 Current source designs (a) two-series binary-weighted transistor (b) Voltage controlled
current sources

due to the necessity of a comparator and additional current sources in each channel.
That is why many retinal prosthetic SoCs use shorting techniques due to their
simplicity (Lo et al. 2013; Park et al. 2020; Rothermel et al. 2009). Thanks to the
prolonged pulse rate compared with other neural prostheses, charge removals by
passively connecting with reference voltage are enough.
The main disadvantage of the current stimulator is compliance voltage to keep
the current stimulus level. Epiretinal SoC needs high compliance voltage, which
is quite power-consuming. To solve this problem, several groups proposed
adiabatic supply voltage control depending on the current stimulus level. This
technique is quite proper; however, it occupies an additional area of each pixel or
colonies of pixels, which is critical in retinal prosthetic SoC. Another solution is
to reduce the electrode impedance. Using porous electrodes such as Pt black or
Iridium Oxide, the geometrical surface can be vastly increased, resulting in
decreasing impedance.
Sinusoidal wave stimulator is rising based on practical electrophysiological
measurements. The easiest way is to combine a pseudo digital sinusoidal level
generator with a digital current source (Taschwer et al. 2018). The internal memory
or look-up table (LUT) generates sinusoidal patterns, and output goes to the current
source. The current source level resolution should be high to generate accurate
sinusoidal stimulus, consuming a large area.
56 Biphasic Current Stimulator for Retinal Prosthesis 1195

Power Management

Currently, there are two mainstream power supply schemes for the retinal prosthetic
SoC, e.g., inductive link (Lo et al. 2013) and photovoltaic power transfer (Wu et al.
2020). The inductive link typically could provide high input power (>100 mW) and
high compliance voltage (>10 V) for the retinal nerve stimulator circuit. Meanwhile,
it can support the 20 Mb/s data transmission link wireless for programming the
implanted SoC. However, the coil would increase the form factor of the implanted
SoC. The photovoltaic cells are implemented together with the retinal SoC for
harvesting infrared light to avoid the bulky coil. The photovoltaic power transfer
scheme is short of a data transmission path for programming the implanted SoC.
Typically, many retinal prosthetic SoC is powered by the inductive link. The
current commercially available Argus II is using the inductive link. The carrier
frequency of conventional retinal prosthetic SoC is 10–40 MHz due to its high
data rate. Furthermore, FSK or PSK are commonly used. Since epi-retinal SoC
supports high compliance voltage, high voltage CMOS is extensively used. There-
fore, On-chip LDO is the proper choice; there is no room in the package. The
following Fig. 7 is an inductive link diagram (Lo et al. 2013; Monge et al. 2013).
The inductive link in Fig. 7 has used dual-band telemetry to avoid the crosstalk
between the power and data links. The data link can achieve 2 Mbps while the power
link can support 3 to 500 μA stimulation current with 10 V compliance voltage.
The power Rx coil is connected with a high-voltage rectifier with off-chip storage
capacitors and subsequently cascaded by the linear dropout regulators to generate
multiple level power domains for the SoC.
Multi-photo-diode array subretinal SoC has another strategy since compliance
voltage is smaller than conventional epiretinal prosthetic SoC. Alpha IMS uses this
advantage by AC power supply as well as achieving safety from the
interconnection line.
Lo et al. (2013) propose the photo-diode-based energy harvesting scheme. The
photo-diode has two functions: one is to input the visual information, and another is
to collect the energy with different near-infrared light. A typical photovoltaic
(PV) powered subretinal SoC diagram is shown in Fig. 8.

Fig. 7 A typical inductive link for epiretinal SoC power delivery


1196 J. H. Park et al.

Fig. 8 A typical diagram of photovoltaic powered subretinal SoC

Table 2 Power management key metrics summary of retinal SoC


Lo et al. M. Monge et al. Chung-Yu Wu et al.
Location Epiretinal Epiretinal Subretinal
# of Ch. 1024 512 256
Powering Method Inductive link Inductive link Photovoltaic
Compliance Voltage (V) 10 2.4 0.49
a
Stimulus Power/Ch. 35.1 μW a
72 μW c
0.197 μW
Incoming Power >100 mW 31.2 mW 0.256 mW
PMU Efficiency 83% 65% 68%
Datalink supportive Yes, DPSK Yes, PSK No
2 Mbps 20 Mbps
Process 0.18 um HV 65 nm LP 0.18 um
a
The Maximum Stimulus Power/Ch. ¼ Istimulus, maximum  Vcompliance  Duration  Pulse Rate
(Repetition Rate)
b
50 μA  4.8 V  5 ms  60 Hz
c
7.1 μA  0.49 V  2 ms  28.3 Hz

As the PV voltage generated by the Infrared (IR) light usually is less than 1 V, a
circuit to boost the voltage-charge pump is needed for the stimulator circuit. PV
boost circuits can support a 1.75 V stimulation voltage and 0–7.1 μA stimulation
current. Although the PV power method has avoided the bulky coil of the inductive
link method, an obvious disadvantage of this method is that it needs a continuous
100 mW/cm2 infrared light directly focused on the SoC to deliver the power. This
requirement is highly constrained as the relative motion between the IR light source
and subretinal SoC is unavoidable, especially when the patient is moving. In
addition, the optical apparatus complexity has hindered its actual application. The
following Table 2 summarizes the power management key metrics of recent retinal
SoCs, including inductive link and photovoltaic.
Power management also considers the safety issue. If the metal routing breaks
down or exposes to the body environment, DC voltage can cause corrosion, which is
very harmful. Moreover, retinal prosthetic SoC has a lot of metal routing layer
between electrode and SoC (Rothermel et al. 2009), used an AC-powered scheme
to prevent corrosion by DC voltage.
56 Biphasic Current Stimulator for Retinal Prosthesis 1197

Temperature increases concerning power consumption should also be considered


carefully. The stimulus power is the most dominant power consumption in the neural
prosthetic SoC, critical for retinal prosthetic SoCs as the number of channels is over
1000, compared with SoCs in other applications such as neural prosthetics requiring
less than 20 channels. Thus, many previous works include calculations of the
expected temperature increase or FEM simulation results (Lo et al. 2013). However,
we think that the more direct and immediate solution is to reduce the dominant
stimulus power contribution. Feature extraction-based stimulus can dramatically
reduce the stimulus power, keeping temperatures low (Park et al. 2020). Another
effective method is to use stimulus scheduling, a potential research topic, to maxi-
mize the stimulus efficiency while keeping temperatures within the safe range.
Temperature monitoring is also crucial in increasing temperature, even though we
control power consumption efficiency. In the worst case, the power consumption of
each pixel can reach up to 500 μW. For the active number of channels above 25, the
temperature will increase over 1 degree, posing the risk of cell damage. Therefore, a
temperature monitoring system or temperature control system is necessary. (Park
et al. 2020) uses a localized temperature control system with body fluidics as a
natural cooling system. Relative temperature increases can help with automatic
temperature control.

Future Directions

On top of present retinal prosthetic SoC design trends, there are many studies to
improve the retinal prosthetic SoC. The first is to increase the stimulus channels by
modulating the electrical field with multi-electrode. Due to the current dispersion,
electrode size cannot be smaller than 50 μm in diameter. Virtual channels are an
excellent alternative to increase the number of effective stimulus channels. Studies
show that the two-dimensional current steering technique can increase with a limited
number of physical electrodes (Shim et al. 2020b). On top of present retinal
prosthetic SoC design trends, there are many studies to improve the retinal prosthetic
SoC. The first is to increase the stimulus channels by modulating the electrical field
with multi-electrode. Due to the current dispersion, electrode size cannot be smaller
than 50 μm in diameter. Virtual channels are an excellent alternative to increase the
number of effective stimulus channels. Studies show that the two-dimensional
current steering technique can increase with a limited number of physical electrodes.
A more delicate image processor is necessary. Schütz et al. (2017) proposed the
higher-order image processing filter to minimize current dispersion effects. They
compared various filters and used 6th order Gaussian kernel high-pass filter with the
current mirror scheme. From the view of this research, a more delicate image
processor is essential, and various approaches for the area and low power SoC
design should have proceeded.
Curvature consideration of the human eye is also essential. As the current
dispersion is affected by the distance between cell and electrode, a curved electrode
is more advantageous in reducing the distance to retinal cells. Ohta et al. (2006)
1198 J. H. Park et al.

proposed the distributed structure to make the SoC chip be curved structure. The
flexible substrate and multiple chips are connected, and optical communication can
deliver the stimulus parameter to each chip. Still, there is a limitation of chip wire
bonding into the substrate, which increases size significantly. A flip-chip bonding
can minimize two-dimensional size. Also, Transfer printing is an excellent technique
to make the chip flexible and thin (Viventi et al. 2011). Finally, heterogeneous chip
fabrication can enhance both characteristics of the high voltage and photo efficiency
characteristics. As the hydro-bump size shrinks up to 3.4 μm diameter¸ flexible 3-D
multi-chip can be expected in the future.
Also, the integration of the recording circuit in retinal prosthetic SoC can help set
up the proper parameters for each patient in the conventional approach. The minimal
area is challenging to integrate the neural recording circuits in each pixel, and
sparsity between neural activities is an excellent candidate to integrate into the
SoC (Muratore et al. 2019).
Various stimulation beyond the electrical way is also merged. Shim et al. (2020a)
reviewed ultrasound stimulation and optical stimulation as emerged technologies
with non-invasiveness and spatial resolution, and both stimulation strategies would
develop with the bits of help from their unique circuit topologies.
Lastly, for powering the retinal prosthesis SoC, body-coupled powering (Li et al.
2021) could potentially be applied to directly provide power to the SoC, or even
better, harvest energy from omni-present radio frequency (RF) waves in everyday
environments.

Conclusions

Retinal prosthetic SoCs can potentially restore the vision of blind patients with
residual inner retinal networks by serving as the bridge between the external
environment and the network. While there is significant and encouraging progress
in the field, existing works experience limitations in area, power, safety, and patient
comfort. Adopting these design considerations, we can realize higher resolution,
more comfortable, and safer retinal prosthetics, bringing illumination to the patients’
vision and lives.

References
Freeman DK, Eddington DK, Rizzo JF, Fried SI (2010) Selective activation of neuronal targets with
sinusoidal electric stimulation. J Neurophysiol 104(5):2778–2791
Fried SI, Hsueh HA, Werblin FS (2006) A method for generating precise temporal patterns of retinal
spiking using prosthetic stimulation. J Neurophysiol 95(2):970–978
Gosalia K, Weiland J, Humayun M, Lazzi G (2004) Thermal elevation in the human eye and head
due to the operation of a retinal prosthesis. IEEE Trans Biomed Eng 51(8):1469–1477
Graf HG et al (2009) High dynamic range CMOS imager technologies for biomedical applications.
IEEE J Solid State Circuits 44(1):281–289
56 Biphasic Current Stimulator for Retinal Prosthesis 1199

Humayun MS et al (1999) Pattern electrical stimulation of the human retina. Vis Res 39(15):2569–
2576
Humayun MS et al (2012) Interim results from the international trial of second sight’s visual
prosthesis. Ophthalmology 119(24):779–788
Iniewski K (2008) VLSI circuits for biomedical applications. Artech House, Inc., Boston, pp 207–240
Jensen RJ, Rizzo JF (2006) Thresholds for activation of rabbit retinal ganglion cells with a
subretinal electrode. Exp Eye Res 83(2):367–373
Li J, Dong Y, Park JH et al (2021) Body-coupled power transmission and energy harvesting. Nat
Electron 4:530–538. https://doi.org/10.1038/s41928-021-00592-y
Lo YK, Chen K, Gad P, Liu W (2013) A fully-integrated high-compliance voltage SoC for
epi-retinal and neural prostheses. IEEE Trans Biomed Circuits Syst 7(6):761–772
Mathieson K et al (2012) Photovoltaic retinal prosthesis with high pixel density. Nat Photonics 6(6):
391–397
Monge M et al (2013) A fully intraocular high-density self-calibrating Epiretinal prosthesis. IEEE
Trans Biomed Circuits Syst 7(6):747–760
Muratore DG et al (2019) A data-compressive wired-OR readout for massively parallel neural
recording. IEEE Trans Biomed Circuits Syst 13(6):1128–1140
Nanduri D et al (2012) Frequency and amplitude modulation have different effects on the percepts
elicited by retinal stimulation. Investig Ophthalmol Vis Sci 53(1):205–214
Ohta J et al (2006) Silicon LSI-based smart stimulators for retinal prosthesis: a flexible and
extendable microchip-based stimulator. IEEE Eng Med Biol Mag 25(5):47–59
Palanker D, Vankov A, Huie P, Baccus S (2005) Design of a high-resolution optoelectronic retinal
prosthesis. J Neural Eng 2(1):S105
Park JH et al (2020) 1225-channel neuromorphic retinal-prosthesis SoC with localized temperature-
regulation. IEEE Trans Biomed Circuits Syst 14(6):1230–1240
Rothermel A et al (2009) A CMOS chip with active pixel array and specific test features for
subretinal implantation. IEEE J Solid State Circuits 44(1):290–300
Sarpeshkar R (2011) Ultra low power bioelectronics neuromorphic electronics. Cambridge Uni-
versity Press
Schütz H, Gambach S, Kaim H, Rothermel A (2017) Pixel array with 55 spatial highpass filter
for a retinal implant. ESSCIRC 2017 – 43rd IEEE European solid state circuits conference,
pp 63–66
Shim S, Eom K, Jeong J, Kim SJ (2020a) Retinal prosthetic approaches to enhance visual
perception for blind patients. Micromachines 11(5):1–26
Shim S, Park JH, Kim SJ (2020b) Virtual electrodes generated by focused penta-polar current
stimulation for neuromodulation. Micro Nano Lett 15(6):374–377
Taschwer A et al (2018) A charge balanced neural stimulator with 3.3 v to 49 v supply compliance
and arbitrary programmable current pulse shapes. In: 2018 IEEE biomedical circuits and
systems conference, BioCAS 2018 – proceedings, pp 0–3
Viventi J et al (2011) Flexible, foldable, actively multiplexed, high-density electrode array for
mapping brain activity in vivo. Nat Neurosci 14(12):1599–1605
Weitz AC et al (2015) Improving the spatial resolution of epiretinal implants by increasing stimulus
pulse duration. Sci Transl Med 7(318):1–12
Wilke R et al (2011a) Spatial resolution and perception of patterns mediated by a subretinal
16-electrode array in patients blinded by hereditary retinal dystrophies. Investig Ophthalmol
Vis Sci 52(8):5995–6003
Wilke RGH et al (2011b) Electric crosstalk impairs spatial resolution of multi-electrode arrays in
retinal implants. J Neural Eng 8(4):046016. http://stacks.iop.org/1741-2552/8/i¼4/a¼046016
Wu CY et al (2020) CMOS 256-pixel/480-pixel photovoltaic-powered subretinal prosthetic chips
with wide image dynamic range and bi/four-directional sharing electrodes and their ex vivo
experimental validations with mice. IEEE Trans Circuits Syst I: Regular Papers 67(10):3273–
3283
Zrenner E (2013) Fighting blindness with microelectronics. Sci Transl Med 5(210):210ps16
Electronic Platforms and Signal Processing
for Magnetoresistive-Based Biochips 57
José Germano, Tiago Costa, Filipe A. Cardoso, José Amaral,
Susana Cardoso, Paulo P. Freitas, and Moisés S. Piedade

Contents
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1202
Magnetoresistive Biochip-Based Systems . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1204
Magnetoresistive Sensors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1204
Biomolecular Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1207
Neuronal Magnetic Reading . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1210
Readout Architectures for Magnetoresistive Biochips . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1211
Simple Linear and Matrix Sensors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1212
High-Density Monolithic Matrix Sensors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1215
Measuring the Biosignals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1216
Signals Due to Nanoparticles . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1216
Detecting Small Resistance Variations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1218
Signal Conditioning . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1220
Neuronal Signals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1221
Biosensing Platform Electronic Systems . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1223
Biomolecular Analysis Platform . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1223
Neuronal Magnetic Recording . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1233
References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1237

J. Germano (*)
INESC-Investigação e Desenvolvimento, Lisboa, Portugal
e-mail: jahg@inesc-id.pt
T. Costa
Columbia University, New York, NY, USA
INESC-Investigação e Desenvolvimento, Lisboa, NY, Portugal
e-mail: tiago.costa@columbia.edu
F. A. Cardoso · J. Amaral
INESC-Microsistemas e Nanotecnologias, Lisboa, Portugal
e-mail: fcardoso@inesc-mn.pt; jamaral@inesc-mn.pt

© Springer Science+Business Media, LLC, part of Springer Nature 2022 1201


M. Sawan (ed.), Handbook of Biochips,
https://doi.org/10.1007/978-1-4614-3447-4_20
1202 J. Germano et al.

Abstract
Due to nanotechnology advancements, very high sensitivity and low-noise mag-
netoresistive (MR) sensors have been fabricated at the nanoscale, thus allowing a
high spatial resolution for magnetic field measurements. Due to such character-
istics, they have been used in the last decade for biochip applications, such as
biomolecular recognition, and, more recently, neuronal magnetic recording. In the
biomolecular recognition application, MR sensors provide a much higher perfor-
mance solution when compared to common fluorescence-based systems, decreas-
ing the limit of detection in several orders of magnitude, into the femtomolar
range. In the neuronal recording field, MR sensors are capable of detecting the
magnetic field generated by the flow of ionic currents in the neurons with
excellent spatial resolution, which can bring new and valuable information
about the way neurons communicate with each other, complementing the typical
microelectrode arrays, which measure the extracellular voltage of neurons. In
addition, MR sensors are galvanically isolated from the brain, thus avoiding
problems related to cicatrization that results from electrode implantation. In
order to take advantage of the MR sensor characteristics described above, very
high-performance electronics must be developed in order to extract the informa-
tion from the MR sensors. In addition, proper software tools should also be
engaged, with the purpose of providing further signal processing and allowing
signal visualization adapted to the respective application. This chapter describes
examples of the integration of MR sensors in biochip platforms and the specific
interface electronics for these platforms.

Introduction

In the past years, magnetoresistive (MR) sensors have been used in biological
applications, more specifically in biomolecular recognition applications (Cardoso
et al. 2012; Germano et al. 2009; Hall et al. 2013), where they replace fluorescent/

S. Cardoso
INESC-Microsistemas e Nanotecnologias, Lisboa, Portugal
Instituto Superior Técnico (IST), Universidade de Lisboa, Lisboa, NY, Portugal
e-mail: scardoso@inesc-mn.pt
P. P. Freitas
INESC-Microsistemas e Nanotecnologias, Lisboa, Portugal
INL- International Iberian Nanotechnology Laboratory, Braga, Portugal
e-mail: pfreitas@inesc-mn.pt
M. S. Piedade
INESC-Investigação e Desenvolvimento, Lisboa, Portugal
Instituto Superior Técnico (IST), Universidade de Lisboa, Lisboa, NY, Portugal
e-mail: msp@inesc-id.pt
57 Electronic Platforms and Signal Processing for Magnetoresistive-Based. . . 1203

optical-based systems, providing improved performance, cost, and portability. In


biomolecular recognition applications, the MR sensors are used as sensing elements
to detect magnetized biomolecule targets that are disease specific. The target bio-
molecules are pre-attached to nanometer-sized magnetic nanoparticles and flow
through a microfluidic chamber where the MR sensors, with probe molecules
complementary to target biomolecules attached to its surface, are embedded. An
external magnetic field is applied in the vicinity of each MR sensor, and, if detection
occurred, the MR sensors detect the magnetic field variation due to the presence of
the magnetic nanoparticles and, therefore, detects the biomolecules. Since MR
sensors are capable of detecting such small magnetized biomolecules, they have
been recently used to read neuronal signals (Amaral et al. 2011). The recording of
brain signals provides valuable information for physiologists and neuroscientists to
understand the brain. Until now, such recording has been done using dense micro-
electrode systems, either on the brain surface or deep within the brain (Wise et al.
2008), or MOS transistors, which have also been successfully used to record
neuronal signals (Eversmann et al. 2005). Both these technologies measure the
voltage generated by the flow of ionic currents due to neuronal interactions (Wise
et al. 2008). On the other hand, MR sensors (Amaral et al. 2011; Freitas et al. 2007)
detect the magnetic field produced by the ionic currents generated at each neuronal
interaction, by converting it into a resistance variation. The direct measurement of
such ionic currents can bring new and valuable information regarding how neurons
interact. The MR sensors are fabricated at nanoscale size, either in planar substrates
(Amaral et al. 2011) or at the tip of microfabricated needles (Amaral et al. 2013),
which are completely passivated by an oxide and can be used in similar applications
as microelectrode arrays. Furthermore, MR sensors do not require a galvanic contact
with the brain, which mitigates contact problems between metal and brain tissue as
in the case of microelectrode arrays (Freire et al. 2011).
MR sensors have proved to be a promising technology in the biochip field, since
they show a very high sensitivity together with low noise. To take advantage of such
characteristics, the development of high-performance electronics to interface the MR
sensors, along with software tools for signal post-processing, is still underway;
nevertheless, promising results have been achieved arisen from new state-of-the-art
architectures. For biomolecular recognition applications, an ultralow-noise interface
platform implemented with discrete electronics (Germano et al. 2009), comprising
MR sensor interface, magnetic field generation, and a software interface for signal
acquisition, achieved a limit of detection of 40 femtomolar (fM), which is below the
limit of detections of fluorescent/optical systems. In (Hall et al. 2013), an integrated
circuit interface for MR sensors, including an analog front-end, ADCs, and temperature
drift digital correction, successfully detected biomolecule concentrations as low as
10 fM, providing a much cheaper and portable interface. As for the neuronal recording
based on MR sensors, the first results with this new technology (Amaral et al. 2011,
2013) were obtained using commercial desktop instrumentation equipment. With a
70 Hz bandwidth and a DC biasing current of 1 mA, the total noise observed in the
measurement was approximately 1 μ VRMS, allowing the detection of neuronal signals
of 20 μV (Amaral et al. 2011). Firstly, it should be noted that this setup has a limited
1204 J. Germano et al.

bandwidth of 70 Hz, which prevents the measurement of higher-frequency neuronal


signals, such as spikes. Additionally, wires among the sensors, the biasing circuit, and
the pre-amplification instrumentation equipment introduced extra noise and interference,
which made the electronic’s noise the dominant noise source in the system, thus further
limiting the minimum number of neurons whose action potentials can be measured. An
electronic front-end along with a software interface has been developed in order to
improve the measurement resolution and bandwidth (Costa et al. 2014). The front-end
comprises ultralow-noise current source and pre-amplification and a modulation scheme
to reduce to separate the neuronal magnetic signal from capacitive coupling between the
brain and the MR sensors, and the software interface performs signal demodulation and
averaging to further reduce the noise.
This chapter provides insight regarding MR sensors and their applications in the
biochip field, along with the state-of-the-art electronic architectures, and software
tools required for interfacing the MR sensors. In spite of the development of the MR
sensors and interfacing electronics being underway, encouraging results have
already been achieved, making the MR sensors a promising technology for biochip
applications.

Magnetoresistive Biochip-Based Systems

Magnetoresistive Sensors

A linear magnetoresistive sensor is a magnetic field transducer that, when properly


biased, presents a change in its electrical resistance that is linearly proportional to the
external magnetic field applied to the sensor (Freitas et al. 2007). The more com-
monly used magnetoresistive sensor for biochip applications are spin valves (SVs),
due to their high signal-to-noise ratios (SNRs) and their simpler fabrication process
(Baselt et al. 1998; Freitas et al. 2007). Other applications, like the direct analysis of
unamplified biological samples, require an increased sensitivity which has led to
research in biochips based on magnetic tunnel junction (MTJ) sensors, with a larger
magnetic sensitivity than the one of the SV sensors (Cardoso et al. 2006).

Spin Valve
A SV is a device that consists of two magnetic materials separated by a non-magnetic
conducting layer, which changes its electrical resistance depending on the relative
alignment of the magnetic layers. The spin-valve sensors were first introduced in
1991 by Dieny et al. (Dieny et al. 1991), and 2 years later, in 1993–1994, the first
sensors were designed and tested (Freitas et al. 1994). Due to their good performance,
these new devices rapidly replaced anisotropic magnetoresistance-based sensors in
hard disk drive reading heads. Spin valves are based on the giant magnetoresistance
(GMR) effect. This effect arises from the asymmetry in the spin-dependent scattering
at the nonmagnetic/ magnetic interfaces for spin-up and spin-down electrons (Freitas
et al. 2007). When the magnetic layers have parallel magnetization directions, spin-up
conduction electrons will be weakly scattered, and spin-down electrons will be
strongly scattered. The magnetic layers of the device align up or down depending
57 Electronic Platforms and Signal Processing for Magnetoresistive-Based. . . 1205

on an external magnetic field. One layer changes polarity at small magnetic fields,
while the other (pinned layer) changes polarity at a much higher magnetic field. In the
SV, one of the ferromagnetic layers is engineered to have its magnetization pinned
(pinned layer) via exchange bias interface when in contact to an antiferromagnet
(called exchange pinning or antiferromagnetic layer), while the other is free to rotate
( free layer) (Freitas et al. 2007). Figure 1 shows the structure of a simple SV
consisting of three magnetic layers separated by a Cu spacer. The bias current, I,
flows through the longitudinal direction (Y-axis).
The SV magnetic response is characterized by a given magnetoresistive ratio
(MR)max (or simply MR) that represents the maximum resistance variation achieved
by a given device. This is a figure of merit of the device being its desired value as
high as possible. The MR(H) is defined as:

Rð H Þ  RL
MRðHÞ ¼ ½%, (1)
RL
where R(H ) is the sensor electrical resistance for a given applied field and RL is the
minimum electrical resistance, when pinned and free layers are aligned. The max-
imum value of the MR(H) is for an applied field sufficiently strong to rotate the free
layer in the opposite direction of the pinned layer (180 rotation). Biosensor
applications require linear sensors which implies that the free and pinned layer of
SVs must be set orthogonal and that the sensor output must be also linearized. A SV
sensor with pinned and free layers at 90 is shown in Fig. 1. In this figure the angles
Θf and Θp are also represented.
Equation 2 can be used to calculate the sensor output voltage:

1 w   
ΔV SV ¼  Rsq :I:MR: : cos Θf  Θp , (2)
2 h
where Rsq is the sensor square resistance (Rsq = ρ/t  Ω/sq), I the sensor current,
MR is the maximum MR signal of the SV sensor, w is the width of the sensor

Contact Pad Z X
Exchange Painning Layer Y
Painned layer
Spacer
Free layer
h

p
I f
I
t

Fig. 1 Schematic representation of a simple SV (picture adapted from Freitas et al. 2007)
1206 J. Germano et al.

(distance between leads), h is the sensor height, Θf is the angle between the free-layer
magnetization and the longitudinal direction, Θp is the angle between the pinned-
layer magnetization and the longitudinal direction, ρ is the sample resistivity, and
t its thickness. The average, 〈. . .〉, is calculated considering the active area of the
sensor being related to the average rotation of the free layer (Freitas et al. 2007).
When the output is linearized, free and pinned layers are orthogonal, and Θp = π/2,
leading to:

1 w  
ΔV SV ¼ :Rsq :I:MR: : sin Θf (3)
2 h
Notice that for small values of Θf, this results in a linear variation with Θf since for
Θf  0, sin Θf = Θf. For w  h, and assuming uniform magnetization for the free and
pinned layers, 〈sinΘf〉 = (Ha + Hbias + Hcoupling)/Hkeff, where Ha is the external
applied field and Hkeff = Hk + Hdemag (Freitas et al. 2007); the Hkeff is the effective
anisotropy field incorporating the free-layer shape anisotropy field (Hdemag) and its
uniaxial anisotropy field (Hk) (Freitas et al. 2007); the bias field, Hbias, is of great
importance as it is used to center the transfer curve (Freitas et al. 2007). Finally,
Hcoupling is the sum of the ferromagnetic Néel coupling between the free and pinned
layers, with the magnetostatic field coupling between the pinned and free layers
(Freitas et al. 2007). Thereby, Eq. 3, for sin Θf = Θf, can be rewritten as:

1 w H a þ H bias þ H coupling
ΔV SV ¼ :Rsq :I:MR (4)
2 h H keff

Magnetic Tunnel Junction


The magnetic tunnel junction (MTJ) sensor is based in the spin-dependent tunneling
effect, where electrons tunnel across an insulating barrier between two ferromagnetic
electrodes. The tunneling can be either incoherent (e.g., across an amorphous AIOx
barrier) (Julliere 1975) or coherent (across a crystalline MgO barrier) (Parkin et al.
2004). A typical MTJ has a structure similar to a SV, but with the Cu replaced by an
insulating barrier, and the current, I, flows vertically from one electrode, across the
barrier, into the other electrode. A schematic representation of a MTJ layer stack is
presented in Fig. 2.
Magnetoresistive sensors based on linear MTJ have a magnetic behavior similar
to SV sensors, but with quite higher resistance variations. On the other hand, they
have a slightly higher noise levels, as it will be shown, and its hysteresis is more
difficult to control. The minimum resistance of the MTJ, RL, is inversely propor-
tional to the junction area, A = w.h. This occurs because the number of tunneling
electrons is directly proportional to the total electrode area. Therefore, the higher the
area is, the higher the tunneling current will be, which lowers the effective resistance.
The R  A product (commonly known as resistance-area product) is a characteristic
that only depends on the barrier thickness. In a MTJ designed for sensor applica-
tions, the output voltage variation is given by:
57 Electronic Platforms and Signal Processing for Magnetoresistive-Based. . . 1207

Fig. 2 Schematic Top contact


I Z
representation of a MTJ layer
X
stack (picture adapted from
Freitas et al. 2007) Top electrode w Y
Insulating barrier 1
Bottom electrode
Bottom contact 2 t
I
h

1 RA   
ΔV MTJ ¼ :I: :TMR: cos Θf  Θp , (5)
2 w:h
where R  A is the sensor resistance-area product; I the bias current; TMR the sensor
maximum tunneling magnetoresistance ratio (TMR); w the electrode width; h the
electrode height; A the electrode area (w.h); Θf is the angle between the free-layer,
top electrode magnetization and the longitudinal direction (Y-axis); and Θp is the
angle between the pinned-layer, bottom electrode magnetization and the longitudinal
direction (Y-axis) (Freitas et al. 2007). Again the average, 〈. . .〉, is calculated
considering the active area of the sensor being related to the average rotation of
the free layer (see Fig. 2) (Freitas et al. 2007).
It is important to notice that the maximum TMR depends on the bias voltage
applied to the junction which means that bias voltage must be optimized in order to
obtain high TMRs (Freitas et al. 2007). For a given sensor bias voltage, considering
the particular case where the output is linearized, free and pinned layer orthogonal,
and Θp = π/2, the MTJ voltage output variation due to an applied field is (Freitas
et al. 2007):

1 R  A  
ΔV MTJ ¼ :I:TMR: cos Θf  Θp
2 w:h
1 R  A  
¼ :I:TMR: sin Θf (6)
2 w:h
1 R  A Ha þ Hbias þ H coupling
¼ :I:TMR: : ,
2 w:h H keff
in which, as for SV sensors, 〈sin(Θf)〉 is expressed in terms of Ha, Hcoupling, and Hkeff
(Freitas et al. 2007).

Biomolecular Analysis

Magnetoresistive biochips provide a good alternative to traditionally used fluores-


cent marker devices in the detection of biomolecular recognition (Schotter et al.
1208 J. Germano et al.

2004). The fluorescence-based devices use an expensive optical or laser-based


fluorescence scanner system to detect fluorescent-labeled biomolecules that recog-
nize a known biomolecule previously immobilized on the sensor surface. In
spintronic (or magnetoresistive) biochips, the fluorescent markers are replaced by
magnetic particles (MPs), and, in each probe, a magnetic sensor detects the stray
field produced by the label changing its electrical resistance value (Freitas et al.
2007; Wang and Guanxiong 2008; Martins et al. 2010). A typical magnetoresistive
biochip comprises several components, namely, (i) a set of magnetoresistive
sensors, on top of each of these sensors, a known biomolecule has to be
immobilized to be used as probe; this probe will recognize the target elements;
(ii) a target arraying mechanism that is used to attract the target elements, which
are bound to MPs, on the probe sites; and (iii) a fluid delivery system and a
hybridization chamber where the target elements bind to the immobilized probes;
in the more recent devices, this is accomplished using microfluidic channels
(Martins et al. 2010). The main advantages of this biochip technology are its
fast response, high sensitivity, low background signal (since usually biological
samples are nonmagnetic and will not change the sensor resistance), and integra-
tion and automation potential (Schotter et al. 2004; Freitas et al. 2007; Wang
and Guanxiong 2008; Martins et al. 2010). The targets are the biomolecules
(deoxyribonucleic acid (DNA) strand or antigens) that have to be detected. They
are incubated in the chip for the biomolecular recognition to occur. The labeling of
the targets can be executed before or after the recognition step (Martins et al.
2009). The magnetoresistive sensors that are used can be either the SVs or the
MTJs presented in the first section of this chapter.
The biochemistry principles used to perform biorecognition assays using mag-
netoresistive sensors are similar to the ones used by the fluorescence biorecognition
method (Schotter et al. 2004). The main difference lies in markers that are used;
instead of a fluorescence label, a MP is attached to the DNA targets (see Fig. 3). The
biorecognition assay consists on a biological reaction that allows the detection of a
priori unknown biomolecules (e.g., human DNA strand for genetic disease detection
or bacteria/cell detection) (Freitas et al. 2007; Martins et al. 2010).
Pre-labeled targets can be used to perform a biorecognition assay (Martins et al.
2009, 2010). First, using a cross-linker, a DNA strand with a known sequence (probe
DNA) is immobilized on the biochip surface. The biorecognition assay starts with
the introduction of an unknown DNA sample (target DNA) previously labeled with a
MP. This target DNA corresponds to the DNA to be analyzed. If the probe and target
strands are complementary, a biomolecular reaction (hybridization) occurs binding
the two strands together. A washing step removes all DNA strands which were not
recognized. Finally, an external magnetic field, H, is applied in the X direction to
magnetize the particles. The fringe field created by the immobilized MPs is
detected by the magnetoresistive sensor, being the sensors’ electrical resistance
variation proportional to the number of biomolecular recognition events (Freitas
et al. 2007). Furthermore, using a different biochemistry, different immobilization
and biorecognition strategies can be achieved. However, no significant changes are
required in the sensors or in the reading electronics; the same set of magnetoresistive
57

3 -Streptavidin
modified MP
H
Z Y
5 -An external
2 -Biotin modified X
single strand DNA magnetic field (H)
target is applied M

1 -Immobilized 4 -Hybridization
single strand with complementary
DNA probe DNA strand
crosslinker crosslinker crosslinker

biosensor biosensor 6 -The sensor


biosensor
surface surface surface detects the fringe
field due to MP
magnetization (M)
magnetoresistive sensor magnetoresistive sensor magnetoresistive sensor

Fig. 3 Detection of complementary single-strand DNA using magnetoresistive sensors


Electronic Platforms and Signal Processing for Magnetoresistive-Based. . .
1209
1210 J. Germano et al.

sensors can perform biorecognition assays using DNA hybridization with post-
labeled DNA targets or antibody-antigen interaction (Martins et al. 2009, 2010).
In some biomolecular analysis applications, a scalable sensor array is of great
importance as it can be used to measure in parallel magnetic field variations in
different locations (sites). Such array could, for instance, be used to develop a
biochip for clinical diagnostics of genetic diseases, characterized by a large number
of mutations (over 100), like in cystic fibrosis (Ferreira et al. 2005), or in gene
expression profiling (Blohm and Guiseppi-Elie 2001). Even for applications that
require less sensors, it could be used to analyze multiple biological samples in
parallel, decreasing the time and the analysis costs (Cardoso et al. 2006).

Neuronal Magnetic Reading

By recording neuronal signals, neuroscientists and neurologists can gather valuable


information regarding how neurons interact. The typical neuron is composed by a
cell body, dendrites, and the axon. The dendrites act as the neuron receiver of
electrochemical stimulations generated by other neurons and pass that information
to the cell body. The cell body gives rise to a number of dendrites and to one axon,
which is responsible for conducting the electrochemical impulses generated at the
cell body, by the flow of K+ and Na+ ions. These pulses, denominated action
potentials, are generated when the gradient of ion concentration across the cell
membrane, which corresponds to an extracellular-intracellular voltage difference,
goes above a given threshold. The axon terminal then releases the neurotransmitters
through synapses that are then received by the dendrites of other neurons. Tradi-
tionally, the recording of neuronal signals is performed by dense microelectrodes
(Wise et al. 2008), whether at the surface or deep within the brain. These microelec-
trodes sense the cell voltage fluctuations caused by action potentials and require a
galvanic contact with the brain tissue. This galvanic contact makes the measurement
to be highly dependent on the impedance of the brain tissue/microelectrode interface,
which, due to cicatrization caused by the insertion of the invasive microelectrode
arrays, can suffer high variations, and, in addition, the cicatrization can lead to an
absence of contact between the microelectrodes and the extracellular environment,
causing the measured signal to suffer a decrease in amplitude over time and even to
prevent the signals from being recorded (Fig. 4) (Freire et al. 2011).
An alternative for the microelectrode arrays is magnetoresistive (MR) sensors.
Complementing the microelectrode arrays, the MR sensors record the neuronal
signals by taking advantage of the flow of ionic currents in the neuron during an
action potential, rather than the voltage at the neuron cell. Since the ionic currents
flowing through the neuron produce a magnetic field, MR sensors can convert it into
a resistance variation that is then processed by specifically designed electronic front
end. An advantage of MR sensors comparing to microelectrodes is that, since MR
sensors detect a magnetic field, they are galvanically isolated from the brain by
means of an oxide, and, despite also suffering from the variation of the neuronal
current amplitude due to extracellular impedance variations, and, therefore, leading
57 Electronic Platforms and Signal Processing for Magnetoresistive-Based. . . 1211

MR MR MR MR MR MR MR MR

Fig. 4 Neuronal magnetic recording working principle

to variations in the detected magnetic field, the problem of lack of contact is


mitigated. However, due to the oxide separating two conducting materials, the
brain tissue and the MR sensors, capacitive coupling between them can induce a
voltage at the MR sensor terminals, not from a magnetic field origin. This fact
requires specially designed front-end electronics in order to obtain only the signals
obtained by the magnetic field generated during action potentials. Furthermore, MR
sensors also require a bias voltage, or current, to translate the resistance variation into
a current, or voltage, respectively, which is not necessary in the microelectrode array
case. On the other hand, due to the very high impedance of brain tissue/microelec-
trode interface, the front-end electronics for microelectrode arrays need to have
extremely high input impedance in order not to lead to signal attenuation. This is
not a requirement for the MR sensor case, since the MR sensor resistance can be as
low as hundreds of Ω, up to a few k Ω, which is perfectly adequate for common input
stages of amplifiers.
In summary, MR sensors can bring new and valuable information regarding how
neurons communicate by exploring the magnetic field generated by the flow of ionic
currents in the neuron during action potentials and can provide a more robust
interface with the brain when compared with microelectrode arrays, since it does
not require a galvanic contact with the brain.

Readout Architectures for Magnetoresistive Biochips

The presented biochip applications rely on using a set of sensors to measure one or
more biosignals, thus requiring the acquisition of multiple signals. In particular, the
biorecognition assay is a complex and time-consuming biochemical process in
which the time required to perform a complete biorecognition assay is mostly related
to the time required for the biorecogniton biochemical process, which leads to a slow
change in the sensor resistance. Therefore, sensor reading can be multiplexed in
time, and the same bias current generator can be multiplexed by the several
1212 J. Germano et al.

biosensors in the biochip. For sensing it is required to provide a DC current to


polarize the biochip; the optimal DC current depends on the sensor characteristics
and must be adjusted for each biochip. Furthermore, a possible reading method
consists in driving the sensor structure with a DC current superimposed on an AC
component. This implies that the current must be programmed in order to ensure the
flexibility of allowing the generation of arbitrary amplitudes and waveforms. In the
neuronal recording application, the biosignals have a higher bandwidth, which can
limit the usage of time multiplexing.

Simple Linear and Matrix Sensors

In the most simple matrix-type biochips, the basic sensing cell consists of a diode
connected in series with the magnetoresistive sensor. Sensor addressing is
implemented by using a commutating matrix of integrated diodes. Actually each
diode has two main functions: (i) it acts as a switching device enabling matrix
column-row selection, which allows a unique current path through the matrix node
(in a similar way as it happens in memory structure column-row connections), and
(ii) it acts as a temperature sensor of each biosensor site. As depicted in Fig. 5a, each
magnetoresistive sensor, whose electrical resistance value is represented by Si,j, is
associated with a diode Di,j at matrix node (i, j). Additionally, a pair of row and
column multiplexers, Sw1 and Sw2, is required to individually address each matrix
line and column. In Fig. 5a, it depicted a simplified schematic representation of the
sensor addressing and current generator required for the matrix-type biochips. For
the linear array-type biochips, a simple addressing scheme, illustrated in Fig. 5b, is
enough to address the biosensors with only one switch. In both biochips, it can also
be included one or more reference sensors SRef and/or DRef pair in the figures.

a
iRef biochip
b
i Ref SRef DRef
iRef biochip
R16
i Sens
...

S16,1 D16,1 S 16,16 D16,16


iRef SRef DRef

Sw 1 iSens
R1 S1 S2 SN
S1,1 D1,1 S1,16 D1,16
row C1 C16
address ... column sensor ...
address address
Sw2 i Sens Sw1 iSens
Matrix Array

Fig. 5 Addressing for matrix and array biochips


57 Electronic Platforms and Signal Processing for Magnetoresistive-Based. . . 1213

The design of the circuits for addressing and current generation must take into
consideration the electric characteristics of the typical biosensors introduced in
section “Magnetoresistive Sensors.” For the matrix-type biochips with MTJs in series
with thin-film diodes (TFDs), the biosensor electrical resistance is usually in the
range of 10–40 kΩ due to the high value of the TFD resistance (Cardoso et al. 2006).
This value decreases to about 750–1300 Ω for the array biochips based on SVs
(Cardoso et al. 2008; Ferreira et al. 2005; Martins et al. 2009). Due to sensor heating
in the case of the SVs used in the array-type biochips, the current is limited to a
maximum of about 1 mA, and due to ultralow thickness of the isolation barrier in the
MTJs, the voltage across the MTJ sensor is usually below 0.5 V, leading to a
minimum current in the order of tens of μA. Considering a safety margin, this implies
that the applied current will be in the range of 10 μA–1.3 mA.

Sensor Electrical Models


The most complex type of magnetoresistive biosensor arises from the matrix
arrangement. In these biochips, each sensing site comprises a diode in series with
a magnetoresistive sensor, while in the simpler array type, only the magnetoresistive
sensor is required. When each basic biosensor element (each matrix node) is driven
with a measuring current iM, small changes on the magnetoresistive sensor resistance
due to magnetic field variations are read as small voltage changes at the input driving
port. Each biosensor element (diode in series with the magnetoresistive sensor) may
then be characterized by a current-driven model (Fig. 6a).
The diode is susceptible to absolute temperature T, while the magnetoresistive
sensor is responsive to the magnetic field H and only slightly sensitive to temperature T.
In a complete model, taking into account these stimuli (i.e., H and T), the biosensor
measured voltage vM has a nonlinear relationship with the measuring current iM, the
external magnetic field and site absolute temperature throughout the diodes and
magnetoresistive sensor developed voltages (vD and vS, respectively), i.e.,

vM ¼ vD ðiM , TÞ þ vS ðiM , T, HÞ, (7)

Notice that since it is expected that the current source presents very high internal
resistance, this leads to a measuring current that flows almost entirely through the
biosensor, making to iM  iD.
A small variation on each biosensor element voltage (dvM = vm), resulting from
small changes or perturbations in the main variables, diM = im, dT, or dH, may be

a D b
T
id rd rs
vD S ro S Tv D Δ T
v
S HvS ΔH ST S ΔT
vM vS vm
iM H im

Fig. 6 Biosensor element current-driven model. (a) Large signal. (b) Small signal
1214 J. Germano et al.

characterized through the incremental model represented in Fig. 6b. This model is
valid near a quiescent point (IM, T, H ) being described by:

@vD @vD @vS @vS @vS


dvM ¼ diM þ dT þ diM þ dH þ dT (8)
@iM @T @iM @H @T
|{z} |{z} |{z} |{z} |{z}
rd SvD
T
rS SvS
H SvS
T

with r d ¼ SvD
iM ¼ @vD = @iM and r s ¼ SiM ¼ @vS = @iM. The main objective is then to
vS

be able to measure theexternal magnetic  field variations through the magnetoresis-


tive sensor sensitivity, i:e:, SH ¼ SH iM at constant IM and T as well as temperature
vS RS

variations via the diode sensitivity, since it is expected that SvDT  ST . Biosensor
vS

characteristics and quiescent operating points assure a low contribution due to


the first term, i.e., (rd + rs) diM, through low-enough incremental resistance values
(i.e., rd and rs).
The MTJ I-V characteristic may be seen as having an almost linear characteristic,
meaning it may be locally modeled by a straight line as follows (Almeida et al.
2010):

v
i ¼ i0 þ (9)
RMTJ
0  Δ RMTJ ðH Þ

being this model valid in the vicinity of i0. In this equation, it is assumed that the
sensor is operating in the linear region and presents a resistance RMTJ
0 at zero applied
field and resistance variation ΔRMTJ(H ) due to the sensed magnetic field H applied in
the transverse direction (X-axis). The resistance variation is given by Eq. 6 for I = i0
(ΔRMTJ(H ) = ΔVMTJ(H )/i0).
Although the TMR decreases with the bias voltage increase, if a very small
current is applied to the MTJ in order to maximize TMR, the MTJ voltage will
also be very small, and the voltage variation (voltage at high MTJ resistance minus
voltage at low MTJ resistance) will also be reduced. This means that there is a trade-
off between these two phenomena and a maximum voltage variation is observed at a
given current. This current depends on the MTJ resistance: the higher the resistance,
the lower will be the current at which the maximum is observed. Its value may be
derived taking into account that:
9
@vS >
SvHS ¼ ¼ SRHS  iM = RS
@H SvHS ¼ TMRV  iM (10)
@RS RS > ; ΔH max
SRHS ¼ ¼ TMRV
@H ΔH max
and may be estimated as V1/2/RS. The decrease of ΔV for higher bias currents is
caused by the TMR decrease at increasing bias voltage.
The thermal behavior for both R0(T ) and i0(T ) can be described using a linear
model (Almeida et al. 2010). The following equations can be considered:
57 Electronic Platforms and Signal Processing for Magnetoresistive-Based. . . 1215

RMTJ ¼ Rx þ βx T, (11)

i0 ¼ ix þ γ x T (12)

The MTJ SvS T may be determined from the considered linear models using
experimental data.
In the linear region and for small values of ΔRSV, the I–V characteristic of biosensors
based on SVs may be seen as linear response (Freitas et al. 2007), with a resistance
RSV
0 at zero applied field and resistance variation ΔRSV (H ) due to the sensed
magnetic field H applied in the transverse direction (X-axis). The value of ΔRSV
(H ) follows Eq. 4, being the relation between the sensor electrical resistance and
sensor output voltage modeled by a straight line:

v
i¼ (13)
RSV
0  ΔR SV
ðH Þ

The thermal behavior of the SV sensor can present a significant resistance


variation with temperature (Han et al. 2006). The same temperature model can be
applied to the sensor resistance (Eq. 11), only the coefficient β will be higher.

High-Density Monolithic Matrix Sensors

Using CMOS technology, the biosensor matrix addressing circuits (both switches
and diodes), signal conditioning circuits, and current drive and magnetic field
generation circuits have been integrated together with magnetoresistive sensors
on the same chip (Cardoso et al. 2012; Costa et al. 2013). In this device, the area
of the matrix commutating device (diode or a MOSFET) associated to each
biosensor cell is reduced by a factor of 100, leading to substantial reduction of
the chip area (and chip cost). The CMOS biochip matrix is digitally addressed
enabling the use of simpler chip carrier and reduced number of connector lines to
the reading platform. Following this approach, it is possible to develop biochips
with a much higher sensor count while having the additional advantages of
increasing electromagnetic noise immunity, requiring a much smaller chip area
and having less noise added by the on-chip commutating devices. As an example,
a CMOS chip comprising a current source and column and row decoders for a
4 by 4 matrix, including CMOS diodes and contacts for MR sensors, is presented
in Fig. 7. To reduce the system cost and complexity, the 256 biochip sensors are
still measured in time-division multiplexing (TDM). Since biomolecular recogni-
tion occurs at a low speed, this strategy is acceptable and poses no limitation to the
applicability of the system. However, it does not scale when the number of sensors
grows to 1000+. To circumvent this, newer biochips are organized in groups of at
most 256 elements, and time multiplexed within each group. With this approach,
the total reading time is constrained to the number of sensors within each group.
Thus, biochip size can be scaled without increasing the overall reading time.
1216 J. Germano et al.

Fig. 7 CMOS for interfacing


a 4 by 4 matrix of MR sensors

Nevertheless, this also imposes significant changes in the readout electronics. Each
group must be serviced by a dedicated acquisition channel comprising signal
conditioning circuits and an analog to digital converter (ADC). Furthermore, the
data from each channel needs to be processed in real time and in parallel, to allow
the observation of the assay evolution in real time.

Measuring the Biosignals

Although relying on similar magnetoresistive sensors, as introduced in the previous


section, the actual signal that must be measured in the considered biosensing
application is significantly different. The next subsection presents in detail the signal
characteristics and discusses the possible reading strategies.

Signals Due to Nanoparticles

The biosignal level depends of the MP concentration in the suspension that is being
analyzed. To illustrate the typical variations observed during a bioassay, an
experiment performed using complementary target DNA in a concentration of
1  1010 M labeled with 250 nm diameter MPs (Nanomag ® -D, Micromod) is
described. The acquired signal was then filtered with a band-pass filter at 211 Hz
with a 1 Hz bandwidth. The root mean square (RMS) value of the voltage drop in the
sensor is plotted in Fig. 8.
A complete experiment can be split into five main phases as illustrated in Fig. 8:

(I) Initially the baseline signal (vbase) of the sensor is acquired during 5–15 min
until the signal stabilizes; at this phase, a 20 μ1 fluid droplet that does not
contain MPs is added over the chip.
57 Electronic Platforms and Signal Processing for Magnetoresistive-Based. . . 1217

Fig. 8 Voltage signal


acquired from a single SV
sensor during a bioassay

(II) The droplet is replaced by a sample of MPs carrying, or not, the target
biomolecules.
(III) Particles are left to settle down (passively or actively attracted) and interact with
the immobilized probes/targets during 30 min, causing a decrease in the voltage
signal.
(IV) Signal saturation is attained when it stabilizes, which means that most of the
MPs are already over the sensor surface and have hybridized with complemen-
tary probes.
(V) The sensor is double or triple washed with a cleaning solution to remove
unspecific, weakly bound MPs; at this final stage, the signal from a reference
sensor, which is comprised in the biochip, is observed by the platform operator
to ensure that the wash was successful, having the reference signal returned to
its initial baseline, and the final signal (vpart) stabilized.

Since a DC current is used to bias the sensor, the change in the measured voltage
is proportional to the change of the SV sensor electrical resistance, which means it is
proportional to the concentration of the MPs (Martins et al. 2009). To identify the
signal component due to the MP presence, the value of the SV sensor output voltage
needs to be compared with and without MPs. Considering the five phases of the
experiment, this corresponds to: in phase (I), measure a baseline voltage signal
(vbase) without MPs; and then, the MPs are placed on the top of the biochip, and
the required time is respected to allow the biomolecular recognition to occur
(15–25 min); and at the end of phase (V), after removing all the particles that were
not immobilized by biomolecular recognition, the signal is again evaluated (vpart).
The number of MPs above the sensor is proportional to Δvbind = vbase — vpart
(Martins et al. 2009).
The SV sensor output voltage due to MPs depends on several factors, namely,
particle magnetic properties, particle size, and sensor bias current. In this experi-
ment, a single particle induces a voltage signal variation Δvbind  50 nVrms. While
1218 J. Germano et al.

the target of the biochip was not the detection of a single particle, this value is used as
a reference for the design of the acquisition system. Another important factor that
must be considered is the time required to perform a complete biorecognition assay.
As it can be seen in Fig. 8, the experiment requires about 40 min to be completed. In
such a long period of time, the temperature can significantly change. Since the
magnetoresistive sensors are sensitive to temperature changes (as described in
section “Magnetoresistive Sensors”), this can result in variations of the sensor output
voltage that are not caused by MPs, which may lead to an erroneous estimate of the
number of MPs. A reading method more resilient to temperature variations and drift
compensation methods must be applied to avoid these errors.
Regarding the characteristics of the biosignal, another challenge is the high
resolution required for the acquisition system. It must be able to measure a small
variation in a signal with a level in the order of 7 mVrms. This will also limit the
maximum gain used at the conditioning electronics.

Detecting Small Resistance Variations

Figure 9 depicts the circuit diagram of the current generator and multiplexing
circuits, the blue rectangles represent active sensors, and the shaded green rectangle
is a reference sensor.
The sensor voltage drop depends on several variables, namely, (i) the number of
particles on top of the sensor Nparts; (ii) the electric current flowing through the
sensor iF; (iii) the multiplexer series resistance RS1; (iv) the demultiplexer series
resistance RS2; (v) the TFD resistance (only in the matrix type biochips); (vi) the DC
component of the sensor resistance, RDCS , which depends on the applied DC magnetic
field, HDC, and on the number of particles, Nparts; and (vii) the AC component of the
sensor resistance, f AC
S , which depends on both the DC and AC component of the
applied magnetic field, H = HDC + HAC, and on the number of particles. Based on
these values, the sensor voltage drop, vS – vF, can be calculated as:

Fig. 9 Circuit for bias current 5V


generation and multiplexing
RE1 RE2 RE3
QS QR
QM1
vS iS iR
... Sw1
vDI
+ Sw2 ... vR
QF ...
-
...
...

...
...
...

Ccp1 Rcp1 ...


vF ...

Ccp2 iF Biochip
RF RFs
57 Electronic Platforms and Signal Processing for Magnetoresistive-Based. . . 1219

  DC   
vSF ¼ vS  vF ¼ iF RS1 þ RS2 þ RD þ RDC
S H , N parts þ r AC
S H, N parts (14)

Analyzing this equation, various reading procedures may be envisaged to mea-


sure the variation of vSF due to MPs.
The resistance variation (ΔRbind) can be determined by driving the sensor with a
DC magnetic field. This resistance variation can be determined by driving the sensor
with a DC electric current and measuring the difference in the voltage drop.
Although, the sensors have 1/f noise, being its noise higher in DC and thus requiring
averaging to reach lower noise levels. Hence, this method can only achieve good
results when high integration times are used, which increases to prohibitive values
the time required to measure a complete biochip. Furthermore, in DC the sensors
have a temperature sensitivity in the order of mV/ oC, which leads to significative
changes in the sensor signal level during the time of the experiment.
In order to decrease the 1/f the noise contribution in the sensor total noise and
have lower sensitivity to temperature changes, an AC measurement must be
performed (Freitas et al. 2007). By only applying the alternate component of the
voltage at the input terminals of the amplifier, the gain can be increased, increasing
the resolution without saturating the ADC. Using an amplifier with a high common-
mode rejection ratio (CMRR), the feedback signal, vF, can be placed in the negative
input terminal providing the reference for the resistance variation by amplifying the
difference of the input values.
The sensor resistance can be evaluated by only using DC measurements or AC
measurements, with the AC component introduced in the electrical current; it is more
advantageous to use a magnetic field with AC and DC component and a DC bias
current. When these drive signals are applied and the amplifier
 isin AC mode, the
value of the differential voltage becomes vSF ¼ I F r AC S H, N parts , since only the
signal caused by the variation of the sensor resistance due to the applied AC magnetic
field has an AC component. When compared to the measurement in DC, the noise
component of the measured signal is reduced, and less resolution is required.
The sensor voltage signal highly depends on the value of the applied DC
magnetic signal. This dependence was previously studied, and its detailed analysis
is presented in Ferreira et al. (2005). The change depends on several factors, namely,
the drive signal amplitude and offset and the average MP field. There is an optimal
value for this DC value for a given AC magnetic drive. When the AC component is
large, which might be useful to increase the SNR, the signal variation due to particles
(vbind) can even be zero if the DC magnetic field is not well chosen. Additionally, the
nonlinear behavior of the sensor will result in a sensor signal composed by a DC
component and an AC signal that can be decomposed in the fundamental frequency
and in its harmonics. This implies that, even without harmonics in the drive
signal and neglecting the sensor noise, the sensor output signal is not bandwidth
limited and that the signal bandwidth must be limited by a low-pass filter, set to half
the sampling frequency or less, to prevent aliasing in the sampling process. How-
ever, the system complexity was not increased due to the sensor behavior as, due to
noise and other interferences, it is always advisable to include a low-pass filter before
sampling.
1220 J. Germano et al.

Another possibility could be to make AC measurements with the AC component


introduced by the current. Although it would lead to a lower noise contribution of the
sensor, it has several inconveniences. Considering only the AC signal component,
these drive signals lead to vSF = iF(RSw1 + RSw2 + RD + R{HDC, Nparts}). Even
considering that the multiplexer and the demultiplexer resistance can be neglected,
the measured signal does not depend only of the sensor resistance. The voltage drop
due to TFD can be significant, which lowers not only the gain that can be applied but
also increases the required resolution. Furthermore, since RD may change due to
temperature variations, it also results in a higher measurement drift due to
temperature.

Signal Conditioning

As it was shown, it is advantageous to measure the sensor signal using a DC bias


current and a DC + AC magnetic drive. Withthese drive  signals, an ACAC measure-
ment of the sensor signal results in vS ¼ I S r AC
s H, N parts , where the r S depends on
the applied external fields, H, of the number of particles on top of the sensor, Nparts,
and of the sensor technology parameters that define the sensor magnetic sensitivity,
δH
S : Furthermore, the sensors are also sensitive to temperature changes. In a differ-
ential measurement between an active sensor, vsa, and a reference sensor, vsr, the
difference signal at the amplifier output is:

vo A ¼ vAC sa  vsr
AC
     
¼ GfT g I S fT gr sa T, Hp  I R fT gr AC
AC
sr T, H p (15)
    
¼ G I S r AC
sa T, H p  I R fT gr sr T, H p
AC
,

where T is the temperature; Hp is the magnetic field sensed by the sensor free
layer, that depends on HDC, HAC, Nparts (the signal from the reference sensor does
not depend on the number of particles); G is the amplifier gain that is considered to
be stable with temperature; IS is the bias current of the active sensor, which is also
stable with temperature (due to the feedback structure of the current source); and
IR is the bias current of the active reference, which may also present some
variations with temperature (since this current source has no feedback correction).
Analyzing this equation, it can be concluded that the error in the measurement will
mainly depend on the reference bias current and on variations of the magnetic
sensitivity. Notice that since a subtraction is performed, considering that the noise
from the two sensors is uncorrelated, the total measurement noise power also
doubles.
Another method is to measure vAC AC
sa and vsr using the same conditioning and
acquisition electronics (at different time steps) and correct the active sensor using the
information collected from the reference sensor by applying digital signal processing
techniques.
57 Electronic Platforms and Signal Processing for Magnetoresistive-Based. . . 1221

Neuronal Signals

Neuronal signals have a bandwidth up to 10 kHz, which can be divided in local field
potentials that can go from sub-Hz to 200 Hz, and in action potentials, or spikes,
spanning from about 800 Hz up to 10 kHz. By using MR sensors to record neuronal
signals, the MR sensors need to be biased with a current or voltage, so that the field
dependent resultant resistance variation can be translated into a voltage or current
variation, respectively. The following analysis is performed considering current
biasing; however, an analogous analysis can be performed for voltage biasing. The
voltage at the current-biased MR sensor terminals can be given by:

ΔV ðHÞ ¼ I  ðR þ ΔRðH ÞÞ ½H  (16)

where I is the biasing current, R is the MR sensor nominal resistance, and ΔR(H) is
the MR sensor field-dependent resistance variation. The biasing can be divided in
two methods: if the sensor is biased with a DC current, the voltage at its terminals is
given by:

ΔV ðH Þ ¼ I DC  R þ I DC  ΔRðHÞ ½H  (17)

The resultant spectrum can be found in Fig. 10. Along with the spectrum of the
neuronal signal, the noise is also presented. It includes both the 1/f noise and white
noise, generated by both the MR sensor and the reading electronics. In this case, by
low-pass filtering the spectrum at 10 kHz, the resultant band-limited signal can be
sampled with a sampling frequency that respects the Nyquist low-pass sampling
criteria, for a given number of channels:

FS > 2  10  103  N ch ½Hz (18)

Due to the possible existence of capacitive coupling between the brain tissue and
the MR sensor, the magnetic information can be separated from the capacitive by

Lowpass Filter
Neuronal Signal
Magnitude

1/f noise

White noise

10K 20K
Frequency (Hz)

Fig. 10 Spectrum of a neuronal signal detected by a magnetoresistive sensor biased by a DC


current and the respective noise
1222 J. Germano et al.

biasing the MR sensor with a DC + AC current. The resultant voltage at the MR


sensor terminals is given by:

ΔV ðH Þ ¼ ½I DC þ I AC sin ð2πf c tÞ  ½R þ ΔRðhÞ þ V cap ½V  (19)

where Vcap is the signal due to capacitive coupling. The previous relation can be
simplified in the following:
 
ΔV ðH Þ ¼ I DC  R þ V cap þ I DC  ΔRðH Þ þ I AC R sin ð2πf c tÞ
þ I AC sin ð2πf c tÞ þ ΔRðH Þ ½H  (20)

The previous equation is a sum of four terms: the first is the DC voltage
originated by the biasing current DC component and the nominal MR sensor
resistance; the second term detected neuronal signal at the baseband, which
includes both a possible capacitive coupling (Vcap) and the unmodulated magnetic
signal; the third is the carrier signal at frequency fc, which corresponds to the
biasing current AC component frequency; and the last term is the modulated
neuronal magnetic signal. These components can be emphasized in the spectrum
of Fig. 11, where it can be seen that, in fact, the signal due to capacitive coupling
remains at the baseband, while the neuronal magnetic signal appears both at the
baseband and around the carrier frequency fc, in this case, 25 kHz. By band-pass
filtering only the modulated signal, it is possible to remove the capacitive coupling
and guaranteeing that the measured signal is, in fact, of magnetic nature. In terms
of noise, since the MR sensor 1/f noise also depends on the biasing current, it is
also modulated to the carrier frequency, while the reading electronics 1/f noise
remains at the baseband, thus leading to a noise reduction at the bandwidth of
interest around the carrier frequency. Since with modulation the signal is band-pass
filter, the sampling process needs to respect the Nyquist sampling criteria for band-
pass signals, which is the following:

Neuronal Signal
(capacitive+magnetic) Bandpass filter
Magnitude

Neuronal Signal 1/f noise (sensor


(magnetic)

1/f noise
(electronics) White noise
10K 15K 20K 25K 35K
Frequency (Hz)

Fig. 11 Spectrum of a neuronal signal detected by a magnetoresistive sensor biased by a DC


current and the respective noise
57 Electronic Platforms and Signal Processing for Magnetoresistive-Based. . . 1223

2f H 2f L fH
<¼ f S <¼ ; 1 <¼ n <¼j j (21)
n n1 fH  fL

In the example of Fig. 11, the band-pass filter has a low-frequency pole (fL) at
15 kHz and a high-frequency pole (fH) at 35 kHz. In this case, the lowest sampling
frequency that respects the above criteria is equal to 7/4, which leads to a minimum fS
of 40 kHz. This value consists, in fact, in an undersampling of the modulated
neuronal signal, since the sampling frequency of 40 kHz is below the low-pass
Nyquist criteria of 2  fH, in this case, 70 kHz. If a multiple channel system is
considered, the minimum sampling frequency is then given by:

fS 40  103  N ch ½Hz (22)

Biosensing Platform Electronic Systems

Biomolecular Analysis Platform

The block diagram of the platform prototype for biomolecular analysis is shown in
Fig. 12. The diagram identifies the signal type using different colors: white for digital
bus, green for communication interfaces with the analyzer module (AnM), red for
analog, yellow for magnetic, and finally blue for fluids. All electric signals that drive
the biochip and that individually address and readout the signals provided by each of
the sensors are controlled by the 16-bit digital signal controller (DSC),
dsPIC30F6014, located in the sensing and processing module (SPM). Using one
of the universal asynchronous receiver transmitter (UART) peripherals available in
the processor, this device communicates with the autonomous communication
module (ACoM) to receive commands from the AnM and to send measurement data.
The platform was tested to demonstrate its capabilities in MP detection and in
biorecognition assays. The implemented prototype system also includes an AnM
with two implementations designed for personal digital assistants (PDAs) and
personal computers (PCs) and a web server for data management.

Assembling and Evaluating Electromagnetic Compatibility


To implement the platform prototype, the blocks presented in the diagram of Fig. 12
were split between three conventional two-layer printed circuit boards (PCBs): two
with the biochip interface and the electronics to drive and read the sensors (SPM)
and another with the communications module and the power management (ACoM).
With the goal of portability, the size of the boards was minimized using highly
integrated components and by carefully placing and routing the components. To
attain low temperature drift and high precision in the measurements, thin-film
resistors were employed in the critical parts of the circuits. Each of the designed
1224

Biochip platform
Autonomous communication
DSC Sensing and
module (ACoM)
Parser and com
MC processing module fluid
manager
container
Parser and UART
(SPM)
Cryptographic com manager
engine SPI Pump controller micropump
Power SPI Current line drive
manager Signal Magnetic field

UART
UART
SPI
processing generator
Bluetooth USB
Power
hip

adapter converter Current Sensor


c

...

supplies SPI
o

generator
Switch
Bi

Reference
Row address ...
Column address Switch
or
Control and drive signal synthesis

(AnM)
Measure control Conditioning and Feedback

module
Analyzer
high resolution

ADC
SPI
analog acquisition
digital fluid Amplified signal
comm. magnetic

Fig. 12 Architecture of the biochip platform prototype


J. Germano et al.
57 Electronic Platforms and Signal Processing for Magnetoresistive-Based. . . 1225

PCBs is smaller than a credit card and has equal size, only 32 cm2, allowing its
stacking when required. The division into several boards allows to electrically
decouple the communication from the SPM, in order to reduce electrical noise in
the acquisition circuits. All data and control signals are electrically isolated from the
remaining signals of the board. Additionally, to avoid the usage of an external
antenna, the ACoM bluetooth module cannot be placed inside a noise-shielding
enclosure. Figure 13 presents pictures of different views of the platform.
The arrangement of the several modules that comprise the platform can be seen in
Fig. 13a. To allow an easier assembling process, the modules are mounted in a fiber
glass subframe. On the top left is the ACoM PCB with the battery underneath; this
allows to have the universal serial bus (USB) connector in the rear and to have the
bluetooth module in the left side located far from the noise-shielding enclosure. On
the top right of Fig. 13a are the two SPM PCBs which are stacked in order to save
space. The ACoM provides two separate power rails to each of these boards and a
communication interface through an UART peripheral. In the middle right of the
picture in Fig. 13a, the edged connector (biochip connector) that is used by the SPM
to interface with the biochip can be seen. The biochip encapsulated in a PCB chip
carrier, its holder structure, the fluid chamber, and the electromagnet that provides
the magnetic field to the biochip are located in the bottom right of the picture. On the
middle left is a syringe that is used to push the fluids to the biochip fluid chamber,
and in the bottom left is the fluid waste container that receives the fluid that exits the
biochip and the electromagnet holder that, combined with the lever system, allows to
lift the electromagnet and the insertion of the biochip from outside the platform box
by sliding it in the chip carrier holder.
Figure 13b depicts a top view of the platform prototype mounted in a custom-
made box. The picture shows that the final size of the prototype is 13  15 cm2,
which makes the device portable. To prevent electromagnetic interferences from
compromising the measurements, the SPM boards, 3 and 6, are stacked inside a steel

Fig. 13 Platform prototype modules and assemble. (a) Arrangement of the platform main modules.
(b) Mounted in the box, topview
1226 J. Germano et al.

noise-shielding enclosure 1. The SPM edge connector 2c, chip carrier holder struc-
ture 3, and the electromagnet lever system 5 allow an easy insertion of the biochip
4 from outside the noise-shielding enclosure. In this figure are also identified the
main modules of the platform and also the several circuits that are comprised in the
SPM and in the ACoM: 2a DSC and static random access memory (SRAM); 2b
signal conditioning and acquisition; 2c current generator, addressing circuits, and
biochip connector; 2d current line driver; 2e interface with the secondary SPM board
6 and with the ACoM 8; 6a magnetic field generator; 6b pump controller; 6c
interface with the primary SPM board 2; 7 electromagnet; 8a microcontroller; 8b
bluetooth adapter; 8c USB to serial converter; 8d power supply circuits; and 8e
interface with the SPM board 2.

Experimental Autonomy and Noise Performance


The autonomy of the platform was evaluated for the typical operating modes of the
system. The SPM DSC was configured to perform 7 MIPS when active. This low
clock cycle is possible due to low-level optimizations performed in the digital filter,
which was programmed in assembly. Furthermore, the remaining of the SPM code
was also optimized, namely, the sine wave generation routine and the interface with
on-board peripherals, and the DSC is put in idle state whenever is possible. The data
is transmitted to the ACoM using an UART peripheral configured to 9600 baud,
8-bit data, 1 stop bit, and no parity. The ACoM is then responsible for packing the
data into 128-bit data blocks, each data block contains five 24-bit sensor samples.
Finally, the data is encrypted using the advanced encryption standard (AES) algo-
rithm with a 128-bit size key. The ACoM microcontroller (MC) is configured to
perform 4 MIPS allowing the block to be encrypted in 0.7 ms. The data block is then
sent to a PDA using the bluetooth adapter configured with the same parameters of the
link between the SPM and the acACoM and to the maximum transmission range.
This measurement mode provides real-time monitoring of the experience allowing
the user to abort the experience if required. In these conditions, using a one-cell
3.7 V Li-ion battery with a rated capacity of 1300 mAh, the system is able to operate
during about 5 h and 30 min.

Platform Electric Noise Level


The electric noise of the measurement system was experimentally evaluated for a
biochip based on SV sensors and for a test load in which the biochip was replaced
with a set of precision metal film resistors. The prototype boards were placed inside a
steel box in order to block interference from external noise sources (see Fig. 13b1).
The SV average resistance is 735 Ω for a DC field of 25 Oe, and the metal resistors
have a nominal value of 1 kΩ. All the measurements were performed using a 1 mA
bias current and a DC field of 25 Oe. Four different tests were performed in which
the driving signals and the measured load were changed, namely, the signal was
measured in the following conditions: (i) resistor load without AC drive, (ii) SV load
without AC drive, (iii) SV load with an AC current drive of 65 μArms amplitude, and
(iv) SV load with a magnetic drive of 15 Oerms AC amplitude. For both electric
57 Electronic Platforms and Signal Processing for Magnetoresistive-Based. . . 1227

current and magnetic drive, a 211 Hz sine wave with 16 quantization levels per
period, based on a lookup table stored in the DSC internal memory, was synthesized.
The signals were acquired with the amplifier configured in AC mode with a gain of
39.2 and were digitized at a rate of 844 samples/s. The signal’s power spectrum for
the different conditions is displayed in Fig. 14. Matlab was used to estimate the
power spectrum of the signal. The estimation was performed by using the Whech
and method considering a segment length of 2048, 50% overlap, and a Blackman
windowing function.
The SV signals with electric/magnetic drive are overlapped and have a large peak
of 99 and 88 dB above the noise floor, respectively; without drive, no signal is
noticeable. The signal level with the magnetic drive is lower due to the maximum
allowed AC field and due to the fact that the sensor is used not far from the saturation
region for the applied DC field. Analyzing the results, one can conclude that the
external interferences are successfully blocked as the only visible peak occurs at the
excitation frequency. For example, no signal is noticeable at the power line fre-
quency or at multiple frequencies (harmonics). The noise floor is similar, about 150
pffiffiffiffiffiffi
nV= Hz, in p allffiffiffiffiffiffi
measurements, and only in the magnetic drive, a slight increase of
about 30 nV= Hz was observed. This increase is due to the fact that the magnetic
drive circuit represents an additional noise source which also contributes to the
system’s noise floor. The noise floor level observed in Fig. 14 is not surprising since,
for the configured gain ofp39.2ffiffiffiffiffiffi , the amplifier alone has an experimental input
referred noise of 53 nV= Hz: The acquired signal includes not only this noise
source but also the noise introduced by the circuits used to generate the magnetic and
electric driving signals and by all the other components of the platform. When using
an AC driving signal, an increase of the noise level around the driving signal’s

5
10
resistor no drive
4 SV no drive
10
SV electric drive
SV magnetic drive
3
10
vSensor (µV/Hz 0.5)

99 dB

2
10

88 dB
1
10

0
10
Noise floor: 150 nV/Hz 0.5
-1
10
50 100 150 200 250 300 350 400
Frequency (Hz)

Fig. 14 Power spectral density of the acquired signals for different loads and driving signals
1228 J. Germano et al.

frequency can also be noticed. Finally, the signal range available for the measure-
ments is almost 100 dB, which is suitable even for the detection of low concentra-
tions of MPs (that correspond to small variations in the sensor signal).

CMOS Biochip
When designing MR sensor biochips with hundreds or thousands of sensors, the
number of interconnections for addressing the sensors in a 1D array fashion is equal
to the number of sensors, which becomes a severe constraint, thus limiting the
scalability of the biochips. To overcome this limitation, 2D MR sensor arrays or
MR sensor matrices have been pursued (Cardoso et al. 2006; Costa et al. 2013; Hall
et al. 2013). The MR sensor matrix organization is similar to a memory organization,
where the MR sensors are addressed by column and row decoders. In this way,
the number of addressing interconnections is proportional to the logarithm of the
number of sensors, which presents a major improvement when compared to the 1D
array addressing, thus enabling the scalability of the number of the MR sensors in a
biochip. This is emphasized in the diagrams of Fig. 15a, b, where the architecture for
current-biased and voltage-biased MR sensor matrices are shown. In Fig. 15a, a
biasing current is steered to the desired MR sensor by means of a column and a row
decoder, and the resultant voltage at the selected MR sensor terminal is then
amplified. In Fig. 15b, a voltage source biases the selected MR sensor by the same
process, and the resultant current is amplified by a transimpedance amplifier.
In Fig. 15, it can be noticed that the MR sensors that compose the matrix cells are
floating; therefore, as mentioned in section “Simple Linear and Matrix Sensors,” a
switching device has to be used to prevent the biasing current from passing trough
unselected cells. This problem is depicted in the diagram of Fig. 16a, which

VB

(a) (b)
Fig. 15 (a) Matrix organization of current-biased MR sensors. (b) Matrix organization of voltage-
biased MR sensors
57 Electronic Platforms and Signal Processing for Magnetoresistive-Based. . . 1229

a b
IB IB

SWc1 SWc2 SWc1 SWc2

IB IB

SWr1 SWr1

SWr2 SWr2

Fig. 16 (a) 4 by 4 matrix of MR sensors and (b) 4 by 4 matrix of diodes in series with MR sensors

represents a simple current-biased 4 by 4 MR sensor matrix, where the column and


row decoders are implemented by switches SWc1,c2 and SWr1,r2, respectively. It can
be seen that, by selecting the element in the first column and first row, a portion of the
biasing current is lost to the remaining MR sensors due to an undesired current path.
If the MR sensors have the same value, for a 4 by 4 matrix, 1/4 of the biasing current
will flow through the unselected MR sensors. To overcome this issue, a diode is
connected in series with each MR sensor, thus forming the matrix cell. This is
illustrated in the diagram of Fig. 16b. Since in unselected cells there is always at
least one inversely polarized diode, they force the current to flow only through the
selected cell. The diodes can be implemented in two different technologies: firstly,
thin-film diodes are fabricated in series with the MR sensors (Cardoso et al. 2007), in
the same chip, and they are then wire -bonded to the CMOS circuit which contains
all the front-end electronics responsible for driving, addressing, and amplifying the
MR sensor signals. Since thermal drifts during the measurements are import, the
thin-film diodes can also be used as temperature sensors, in order to maintain the MR
sensor chip temperature as constant as possible. As a drawback, the thin-film diodes
occupy a much greater area than an MR sensor and generate a higher noise than the
MR sensor, which increases the minimum signal that the MR sensor can detect. To
improve the diode performance and reduce the area, the diodes can also be
implemented in CMOS technology (Costa et al. 2013). As an example, AMS
0.35 μm technology includes lateral PNP transistors, which, if connected in diode
(base and collector connected) can implement the desired function as a switching
device for the matrix cells. Furthermore, the lateral PNP transistors have a negligible
noise when compared to the MR sensors (Costa et al. 2013).
The switches that implement the column and row decoders are implemented with
MOS transistors, which present a nonlinear relation with respect to the input and
bulk voltages, which can highly degrade the measurements, both in terms of
1230 J. Germano et al.

distortion and inaccuracy. This is emphasized by (23), where it can be seen that the
switches ON-resistance variation can mask the MR sensor variation due to a detected
magnetic field, thus decreasing the measurement accuracy. Furthermore, since the
MOS switches ON-resistance variation is nonlinear, the measurement distortion also
increases. It is therefore necessary to linearize the switch so that the “ON”- resistance
(RSW) variation can be minimized:

ΔVo ¼ I B  ðΔMR þ ΔRSW c þ ΔRswr Þ þ V D (23)

Such linearization circuit is presented in Fig. 17a. The switch is implemented by a


PMOS transistor, and the linearization circuit is an opamp with a DC source at the
non-inverting input (VE). The amplifier establishes a negative feedback to determine
the point, in its input-output characteristic, in which, for the same current (IS),
the drain-source voltage (VSW) of the switch is equal to VE, leading to a RSW given
by (24).

V SW V 1  V 2 V E
RSW ¼ ¼ ¼ (24)
IS IS IS

For the condition in (24), if the current that is flowing through the sensor is
constant, it is ensured that, theoretically, RSW is constant, and consequently, only the
sensor’s resistance variation will be read. In practice, there is a minor RSW variation
due to circuit non-idealities. The previous analysis can also be made for a PMOS or a
CMOS switch. Although CMOS switches allow full-swing operation, the proposed
system uses PMOS transistors for the column switches and NMOS transistors from
the row switches. This is because the column switches are operating near the power
supply level and the row switches near the ground level. Furthermore, the proposed
topology linearizes the switch without changing the current that flows through the
sensors, since the switch terminals are connected to the opamp inputs. In Fig. 17b,
the column addressing scheme of the proposed circuit is present. If the signal SELci is
high, the transistor M3ci will connect the switch SWci to the positive input of the

a b
IB
V1 IB
- VDD VDD
-
SWci
M1c1 M1cn
+ SWc1 SWcn
VE SELc1 SELcn +
V2 SELc1 SELcn
VE
M2c1 M2cn
Dij M3c1 M3cn

MRij
To Cell11 To Cell1n

Fig. 17 (a) Proposed switch linearization technique and (b) column addressing scheme for
matrices of MR sensors
57 Electronic Platforms and Signal Processing for Magnetoresistive-Based. . . 1231

Fig. 18 RSW variation experimental results

opamp, and transistors M1ci and M2ci connect the output of the opamp to the switch
SWci gate. In this way, the switch SWci is ON, and its RSW is controlled by the
opamp, while all the other switches are OFF. If SELci is low, transistors M1ci and
M2ci connect the gate of the switch to VDD, putting it in the OFF state, regardless of
the opamp. The same procedure is applied for the row switches. By arranging the
multiplexing scheme in this manner, only one amplifier is needed to linearize all the
column switches and another amplifier for the row switches, which leads to die area
and power consumption minimization.
Results from the linearization circuit are presented in Fig. 18, where it can be seen
that, with linearization, the ON resistance of the switches becomes approximately
constant for a given range, which are in line with the range requirements for both
column and row switches. With these results, the measured signal from a matrixial
MR sensor architecture becomes solely dependent on the magnetic field detected by
the MR sensors, as desired.

High-Throughput Platform
Currently, to tackle the scalability limitation of the previously presented platform
and fully exploit the novel matrixial biochips, a new configurable acquisition and
processing architecture has been developed (Leitao et al. 2013). By combining
multichannel acquisition electronics with dedicated coprocessors to perform signal
filtering and other computational demanding tasks, along with a central processor for
controlling the whole system, the design provides the scalability and computational
resources to cope with new biochip designs featuring more than 1000 sensors.
The architecture developed to fulfill the requirements of the high-throughput
biosensing platform is depicted in Fig. 19. A high-speed serial interface is used to
provide a fast communication between the ADC and the set of dedicated cores where
the most computational intensive tasks are handled. All components, including the
generator of the stimuli signals, are configured by a general purpose processor (GPP)
which, aside from acting as the main system controller, can also perform auxiliary
computations on the acquired data. This processor shares its main memory with a set
of I/O devices, such as the input peripherals used to control the experiment and the
1232

Time-Multiplexed Drive Signals


Sensor Reading
User I/O
hB Central Main Memory
s1 s2 ... s16
Biochip
μProcessor
iB
s17 s18 ... s32 v+bind 1 Block 1
Co-Processors

16:1
Channel 1

...
?
vbind 1
SPI
s241 s242 ... s256 ADC Pipelined FFT
Controller
Block n
16:1
Channel N

?
v-bind 1 vbind n Network
SPI
ADC Pipelined FFT
vbind 1 = v+bind 1 - v - bind 1 Controller Interface

Fig. 19 Scalable and high-throughput biosensing platform


J. Germano et al.
57 Electronic Platforms and Signal Processing for Magnetoresistive-Based. . . 1233

display on which the results are presented to the user. A network interface is also
included, allowing the processed data to be transferred to an external database, easily
available to other users. This architecture was mapped to a Xilinx Zynq-7000
Extensible Processing Platform, demonstrating its ability to support eight times
more sensors, while ensuring a sampling frequency 1000+ times higher than the
previous platform. Each acquisition channel is linked to one biochip sensor group
and directly feeds one specialized coprocessor with the data sampled by the ADC.
The ADCs have a 24-bit resolution and can operate at a maximum rate of 5 Msps.

Neuronal Magnetic Recording

In order to successfully record neuronal magnetic signals with MR sensors, a


carefully designed electronic front end must be implemented. An architecture of
the electronic instrumentation system for MR sensor interface is presented in Fig. 20
(Costa et al. 2014), and the resultant system is presented in Fig. 21.
The system hardware is implemented with discrete electronics and provides MR
sensors biasing, signal amplification, and filtering. Since the neuronal magnetic
fields are expected to be very weak, as well as the resultant MR sensor resistance
variations, the noise of the electronic front-end is of most importance. Furthermore,
due to the very low signal amplitudes and limited bandwidth, a high-gain amplifier
and sharp band-pass filter should also be implemented, to bring the neuronal signal
up to the hundreds of mV range and remove out-of-band noise before converting the

Hardware
Gain and Filtering
Front-End
2nd 5th
LNA VGA order order
HPF LPF
MR1 MR2 MR15

Multi-channel
Analog-to-Digital
Converter

PC
Software

50 Hz
Signal Signal Noise Data
removal and
Demodulation Visualization Measurement Storing
averaging

Fig. 20 Electronic instrumentation architecture for neuronal magnetic reading


1234 J. Germano et al.

Fig. 21 Electronic
instrumentation system for
neuronal magnetic reading

Control
Board

Variable gain Current


amplifier + Filters source+Pre-
amplifier

signal to the digital domain. Furthermore, experiments performed in Amaral et al.


(2011, 2013) revealed that the measured signal is higher than predicted, mainly as a
result of capacitive coupling between the brain tissue and the MR sensors, which
induces a voltage at the sensor terminals that is capable of masking the signal
generated by the magnetic fields in the brain. A DC + AC current source to bias
the MR sensors enables an amplitude modulation scheme. The system herein
described was designed to separate the biasing independent capacitive signal from
the magnetic signal detected by the MR sensor, in which the central frequency
depends on the AC biasing current frequency. The software part of the system is
implemented in Matlab, by using the Data Acquisition Toolbox to transfer the data
acquired by the analog to digital converter (ADC) DT9836 to the PC. The developed
software includes the driver to get the data from the ADC and allows demodulation
and real-time visualization of the signals, both in the time and frequency domains.
Furthermore, it also includes real-time visualization of the calculated system noise; it
has a 50 Hz notch filter to remove power grid interference, and it is possible to use
averaging to further decrease the measurement noise. Finally, it allows both time
and frequency domain measurements to be stored in the hard drive. Each subblock of
the system is discussed with more detail in the following subsections.

Current Source
All the biasing current source noise is propagated to the output; therefore, it has a
high impact on the measurement resolution. Hence, a low-noise current source is
required. The biasing current source architecture is presented in Fig. 22, which
achieved a negligible noise contribution when compared to MR sensors for neuronal
magnetic recording (Costa et al. 2014). It is based on a typical voltage regulator
structure with negative feedback, but with a current output: a voltage vB, which can
be DC or DC + AC, is applied to an amplifier (ampC) that, due to the negative
feedback, ensures a current iB, so that the voltage drop on RREF equals vB. The
biasing current (iB) is given by (25), where GC is the gain of ampC, implemented by
an ultralow-noise amplifier (LT6230), and vB can be either a DC or a DC + AC
57 Electronic Platforms and Signal Processing for Magnetoresistive-Based. . . 1235

Fig. 22 MR sensor biasing


current source
R2 R3

Q2 Q3 IB
vREF

ampC Q1
C1 VMR
R1
C2 R4
MR
Sensors

RREF

voltage. When the desired biasing current is DC, a DC voltage is generated by an


ultralow-noise 1.25 V voltage reference circuit (LTC6655). In order to separate the
capacitive coupling from the magnetic signal measurement, the biasing current must
have an AC component.

GC vB
iB ¼  vB ’ ½ A (25)
1 þ GC  RREF RREF

The RC network, composed of C1, C2, and R4, ensures the loop stability;
transistor Q1 and resistor R1 perform voltage to current conversion, and the matched
transistor pair Q2 and Q3, with resistors R2 and R3, mirrors the current to the output
branch, to bias the sensor, which makes ampC output excursion independent from the
sensor voltage drop.

Amplification and Filtering


The amplification and filtering block diagram is presented in Fig. 23. The amplifi-
cation is performed by two different amplifiers: AD8429 is an ultralow-noise pffiffiffiffiffiffi
instrumentation amplifier, having a noise spectral density less than 2nV= Hz for
f > 10 Hz, thus, adding negligible noise at the sensor terminals. The voltage gain
was set to 100 for the DC measurements and to 20 for the DC + AC measurements,
in order to decrease the next stage’s noise impact on the signal-to-noise ratio (SNR)
of the measurement; AD8231 is a variable gain instrumentation amplifier, with
digitally controlled voltage gain from 1 to 128. In spite of the neuronal signal
being typically in the tens of μV range, stimulation artifact can have higher
1236 J. Germano et al.

Gain
C
Selector CLKHP CLKLP

VCM R 3
VMR AD8429 LMF100 LTC1062 V
R o
AD8231 2nd order 5th order
VCM HPF LPF
C

Fig. 23 Variable gain amplifier and band-pass filter

amplitude, and, thereby, amplification gain should be configurable in order to avoid


system saturation.
After the signal is properly amplified, a second-order switched-capacitor high-
pass filter (LMF100) is used to reduce the flicker noise, generated mainly by the MR
sensor, and a fifth-order switched-capacitor low-pass filter (LTC1062) is used to
reduce high-frequency noise. Both filter cutoff frequencies are set by the, respec-
tively, clocks CKLHP and CLKLP, which can be adjusted.

Software Interface
The DT9836 ADC driver was implemented in Matlab, using the Data Acquisition
Toolbox. The software summary is given by the block diagram of Fig. 24. After
configuring the ADC with sampling frequency FS and number of samples per
acquisition N, the data is retrieved. The ADC analog input signals are the sensor
signal, at the output of the hardware part of the instrumentation system, the
stimulus applied to the tissue, and the signal obtained from a recording electrode,
for comparison purposes. If the MR sensor biasing current is DC + AC, demod-
ulation is necessary to retrieve the MR sensor variation with the magnetic field
independently from the capacitive coupling signal Vc. The MR sensor signal is
demodulated as follows: the signal is band-pass filtered to remove Vc and the
unmodulated magnetic component IBDCΔR(H(f)). Then, the carrier signal vB with
frequency fi is multiplied by the modulated signal, thus bringing the MR sensor
signal back to baseband. Finally, the remaining higher-frequency components are
low-pass filtered.
Although the proposed instrumentation system, the ADC, and the PC are battery
powered, 50 Hz magnetic interference from the power grid can be picked up by the
MR sensors. For this reason, a 50 Hz notch filter with 5 Hz bandwidth is
implemented in the software. Another procedure to remove noise from the measure-
ment is averaging. Considering that the sensor response always occurs after a precise
delay from the stimulus (Amaral et al. 2011), averaging attenuates single-occurrence
deviations from the expected responses. The software also computes the system
noise level without stimulus, allowing to observe the impact of the contact with the
brain tissue on the system overall noise level. All signals are displayed, both in time
and frequency domains, and can be stored in the PC hard drive for further
processing.
57 Electronic Platforms and Signal Processing for Magnetoresistive-Based. . . 1237

Fig. 24 Data acquisition FS


software block diagram N Configure ADC

Carrier
signal Acquire

Multiply and Yes


Demodulation?
filter

No

Apply Yes
50 Hz removal?
Notch filter

No

Average with Yes


previous Averaging?
acquisitions
No

Noise visualization Time domain plot FFT plot

Yes
No
Store data Store Data?

Acknowledgments This work was partially supported by national funds through Fundação para a
Ciência e a Tecnologia (FCT) under projects EXPL/EEI-ELC/1029/2012, PTDC/EEI-PRO/3219/
2012, UID/CEC/50021/2013, EXCL/CTMNAN/0441/2012, Pest-OE/CTM/LA0024/2011, the
PhD scholarships SFRH/BD/45488/2008 and SFRH/BD/61569/2009, and research grant SFRH/
BPD/85455/2012. INESC-MN acknowledges support from MAGNETRODES EU-FP7-ICT
project nº 600730.

References
Almeida TM, Piedade MS, Sousa L, Germano J, Lopes PAC, Cardoso FA, Freitas PP (2010) On the
modelling of new tunnel junction magnetoresistive biosensors. IEEE Trans Instrum Meas 59
(1):92–100
Amaral J, Cardoso S, Freitas PP, Sebastiao AM (2011) Toward a system to measure action potential
on mice brain slices with local magnetoresistive probes. J Appl Phys 109(7):07B308
1238 J. Germano et al.

Amaral J, Pinto V, Costa T, Gaspar J, Ferreira R, Paz E, Cardoso S, Freitas P (2013) Integration of
tmr sensors in silicon microneedles for magnetic measurements of neurons. IEEE Trans Magn
49 (7):3512–3515
Baselt DR, Lee GU, Natesan M, Metzger SW, Sheehan PE, Colton RJ (1998) A biosensor based on
magnetoresistance technology. Biosens Bioelectron 13(7–8):731–739
Blohm D, Guiseppi-Elie A (2001) New developments in microarray technology. Curr Opin
Biotechnol 12(1):41–47
Cardoso FA, Ferreira HA, Conde JP, Chu V, Freitas PP, Vidal D, Germano J, Sousa L, Piedade MS,
Costa BA, Lemos JM (2006) Diode/magnetic tunnel junction cell for fully scalable matrix-based
biochip. J Appl Phys 99(8):08B307
Cardoso F, Ferreira R, Cardoso S, Conde J, Chu V, Freitas P, Germano J, Almeida T, Sousa L,
Piedade M (2007) Noise characteristics and particle detection limits in diode+MTJ matrix
elements for biochip applications. IEEE Trans Magn 43(6):2403–2405
Cardoso FA, Germano J, Ferreira R, Cardoso S, Martins VC, Freitas PP, Piedade MS, Sousa L
(2008) Detection of 130 nm magnetic particles by a portable electronic platform using spin
valve and magnetic tunnel junction sensors. J Appl Phys 103(7):07A310
Cardoso FA, Costa T, Germano J, Borme J, Gaspar J, Fernandes JR, Piedade MS, Freitas PP (2012)
Integration of magnetoresistive biochips on a CMOS circuit. IEEE Trans Magn 48
(11):3784–3787
Costa T, Piedade MS, Cardoso F, Freitas PP (2013) CMOS instrumentation system for matrix-based
magnetoresistive biosensors. In: IEEE international instrumentation and measurement technol-
ogy conference. I2MTC 2013, pp. 1315–1318
Costa T, Piedade MS, Germano J, Amaral J, Freitas PP (2014) A neuronal signal detector for
biologically generated magnetic fields. IEEE Trans Instrum Meas 63(5):1171–1180
Dieny B, Speriosu VS, Parkin SSP, Gurney BA, Wilhoit DR, Mauri D (1991) Giant magnetoresis-
tive in soft ferromagnetic multilayers. Phys Rev B 43(1):1297–1300
Eversmann B, Jenkner M, Hofmann F, Paulus C, Holzapfl B, Thewes R, SchmittLandsiedel D,
Lambacher A, Kaul A, Zeitler R, Merz M, Junze A, Fromhrz P (2005) CMOS sensor array for
electrical imaging of neuronal activity. In: IEEE international symposium on circuits and
systems. ISCAS 2005, vol 4, pp 3479–3482
Ferreira H, Graham D, Feliciano N, Clarke L, Amaral M, Freitas P (2005) Detection of cystic
fibrosis related DNA targets using AC field focusing of magnetic labels and spin-valve sensors.
IEEE Trans Magn 41(10):4140–4142
Freire MAM, Morya E, Faber J, Santos JR, Guimaraes JS, Lemos NAM, Sameshima K, Pereira A,
Ribeiro S, Nicolelis MAL (2011) Comprehensive analysis of tissue preservation and recording
quality from chronic multielectrode implants. PLoS One 6(11):e27,554
Freitas PP, Leal JL, Melo LV, Oliveira NJ, Rodrigues L, Sousa AT (1994) Spin-valve sensors
exchange-biased by ultrathin TbCo films. Appl Phys Lett 65(4):493–495
Freitas PP, Ferreira R, Cardoso S, Cardoso F (2007) Magnetoresistive sensors. J Phys Condens
Matter 19(16):165–221
Germano J, Martins VC, Cardoso FA, Almeida TM, Sousa L, Freitas PP, Piedade MS (2009) A
portable and autonomous magnetic detection platform for biosensing. Sensors 9(6):4119–4137
Hall D, Gaster R, Makinwa K, Wang S, Murmann B (2013) A 256 pixel magnetoresistive biosensor
microarray in 0.18μm CMOS. IEEE J Solid-State Circuits 48(5):1290–1301
Han S, Xu L, Wilson R, Wang S (2006) A novel zero-drift detection method for highly sensitive
GMR biochips. IEEE Trans Magn 42(10):3560–3562
Julliere M (1975) Tunneling between ferromagnetic films. Phys Lett A 54(3):225–226
Leitao J, Germano J, Roma N, Chaves R, Tomas P (2013) Scalable and high throughput biosensing
platform. In: 23rd international conference on field programmable logic and applications (FPL),
pp 1–6
Martins VC, Cardoso FA, Germano J, Cardoso S, Sousa L, Piedade MS, Freitas PP, Fonseca LP
(2009) Femtomolar limit of detection with a magnetoresistive biochip. Biosens Bioelectron 24
(8):2690–2695
57 Electronic Platforms and Signal Processing for Magnetoresistive-Based. . . 1239

Martins VC, Germano J, Cardoso FA, Loureiro J, Cardoso S, Sousa L, Piedade MS, Fonseca LP,
Freitas PP (2010) Challenges and trends in the development of a magnetoresistive biochip
portable platform. J Magn Magn Mater 322(9–12):1655–1663
Parkin SSP, Kaiser C, Panchula A, Rice PM, Hughes B, Samant M, Yang SH (2004) Giant
tunnelling magnetoresistance at room temperature with MgO 〈100〉 tunnel barriers. Nat
Mater 3(12):862–867
Schotter J, Kamp PB, Becker A, Pühler A, Reiss G, Brückl H (2004) Comparison of a prototype
magnetoresistive biosensor to standard fluorescent DNA detection. Biosens Bioelectron 19
(10):1149–1156
Wang SX, Guanxiong L (2008) Advances in Giant Magnetoresistance biosensors with magnetic
nanoparticle tags: review and outlook. IEEE Trans Magn 44(7):1687–1702
Wise K, Sodagar A, Yao Y, Gulari M, Perlin G, Najafi K (2008) Microelectrodes, microelectronics,
and implantable neural microsystems. Proc IEEE 96(7):1184–1202
Foot Drop Stimulator
58
Dejan B. Popović

Contents
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1242
Foot Drop Syndrome . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1245
Foot Drop Systems with Surface Electrodes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1247
Hardware for the Foot Drop Stimulator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1249
Implantable Foot Drop Systems . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1250
Take-Home Message . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1253
References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1254

Abstract
A foot drop stimulator is a neuroprosthesis which directly or reflexively activates
motor systems in the leg in order to restore foot dorsiflexion, thereby facilitating
the gait in humans with compromised ankle joint control. The activation is
achieved by bursts of low-intensity electrical charge pulses of peripheral nerves
via surface electrodes or implantable electrodes. The bursts of stimulation pulses
need to be timed to generate dorsiflexion during the swing phase of the leg. With
more complexity, the stimulation can be added to control dorsiflexion during the
early stance phase and plantar extension during push-off phase of the gait cycle.
The main difficulty in application of the surface electrode-based foot drop
systems is insufficient stimulation selectivity that results with nondesired ankle
rotations and the habituation (no response to stimulation). The implantable
systems typically provide good selectivity, and so far habituation was not
reported. The differences in the gait pattern when surface and implantable
systems are applied are not significant if the surface electrodes are correctly
positioned. Foot drop stimulators in some patients have therapeutic effects,
especially if they were applied early after the impairment occurred. The

D. B. Popović (*)
Biomedical Engineering, Faculty of Electrical Engineering, University of Belgrade, Belgrade,
Serbia
e-mail: dbp@etf.bg.ac.rs

© Springer Science+Business Media, LLC, part of Springer Nature 2022 1241


M. Sawan (ed.), Handbook of Biochips,
https://doi.org/10.1007/978-1-4614-3447-4_22
1242 D. B. Popović

therapeutic (carry-over) effect follows the changes at the upper motor neuron
level (cortical plasticity) due to electrical stimulation and intensive gait exercise.
Many clinical and home applications of the foot drop systems indicated that there
are no side effects nor other problems. We present here the anatomy and physi-
ology responsible for ankle movement, describe the operation principle of a foot
drop system, and review current systems with surface electrodes and implantable
cuff electrodes.

Introduction

The gait is an important daily activity which allows independent mobility in free
space. There is no standard definition for the “normal” gait since substantial differ-
ences exist in patterns between male and female, young and elderly, different races,
and even within the same group of humans depending on the fatigue, emotional
status, etc. However, there are common features of the gait for all able-bodied
subjects. The elementary sequence is gait cycle which starts with the heel of the
ipsilateral leg contacting the ground (heel contact – HC) and ends just before the
same heel contacts the ground again. The gait cycle can be divided into two distinct
phases: the stance phase (SP), when the foot is on the ground, and the swing phase,
when the foot is off the ground. The stance phase for normal, self-paced, level
walking lasts for 60–65 % of the gait cycle, while the swing phase lasts for the
remaining 35–40 % (Fig. 1).
The patterns of walking are different for various environmental conditions (e.g.,
level, slope, stairs), directions of walking (forward, backward, sideways, walking in
circle), and different speeds of walking (slow, average, and fast).
The period during which both legs have ground contact is termed double support
phase (DSP), and the period during which only one leg is contacting the ground is
called single support phase (SSP). The gait cycle is composed of two DSPs and two
SSPs. The first DSP starts simultaneously with the stance phase of the ipsilateral leg
and lasts up to the moment when the contralateral leg starts the swing being between

Fig. 1 Phases of the gait cycle. DSP double support phase, SSP single support phase, IHC
ipsilateral heel contact, CTO contralateral toe off, IFF ipsilateral foot flat, CHC contralateral heel
contact, IHO ipsilateral heel off, ITO ipsilateral toe off, CFF contralateral foot flat, CHO contra-
lateral heel off
58 Foot Drop Stimulator 1243

16 % and 20 % of the gait cycle. The SSP is equivalent with the swing phase, and it
lasts for 30–34 %. The duration of the swing phase changes very little, in absolute
terms, when the speed of walking changes; hence, the variation of the stance phase
determines the duration of the gait cycle.
The stance phase is sequenced to several subphases: heel contact, foot flat, heel
off, and toe off. Some use a terminology that distinguishes the stance phase to only
three subphases: early-, mid-, and late-stance phase. The swing phase is sequenced to
initial and terminal swing and initial and terminal extension or using a different
terminology to early-, mid-, and late swing.
The described normal gait is characterized by smooth, regular, and repeating
movements of bodily segments. The sequence of descending commands within the
motor systems during the gait is the following: registration and activation of the
gait command by the central nervous system, distribution and transmission of
signals to the spinal cord after being processed in the appropriate cortical struc-
tures, distribution and transmission of signals to the peripheral nervous system
from the spinal cord, contraction of muscles that generate forces and joint torques,
and movements of the segments as a response to muscle activity in the presence of
gravity (Vaughan et al. 1999). Simultaneously, the sensory systems transmit
signals to the spinal cord which are processed and sent to the appropriate cortical
zones. This information plays an important role in the control of gait since it
comprises information about the state of the system and interaction with the
environment.
We review next the anatomy of the leg for the purposes of understanding the
complexity of control of the foot movement being important for the treatment of foot
drop. The peripheral nerves of leg are connected to the spinal cord at several lumbar
and sacral segments. Both sensory and motor nerves come into the vertebral column
through lumbar plexus (T12–L3), sacral plexus (L4–S3), and pudendal plexus
(S2–S4) as shown in Fig. 2. The main nerves for movement are sciatic and femoral
nerve that divides into common peroneal and tibial nerve. Common peroneal nerve
divides into superficial and deep branches. All these nerves are mixed; they contain
both sensory and motor neurons.
The muscles contributing to movement at the ankle joint can be clustered to the
following groups (most of them innervated from the branches of the sciatic common
peroneal nerve): (1) plantar flexion – peroneus brevis m, peroneus longus m, flexor
digitorum longus m, triceps surae m (gastrocnemius m, plantaris m, soleus m), and
tibialis posterior m; (2) dorsiflexion – tibialis anterior m, extensor digitorum longus
m, extensor hallucis longus m, and peroneus tertius m; (3) inversion – triceps surae
m, tibialis posterior m, flexor hallucis longus m, flexor digitorum longus m, and
tibialis anterior m; and (4) eversion – peroneus tertius m, peroneus brevis m,
peroneus longus m, and extensor digitorum longus m. In addition, it is important
to consider the actuation system of the toes (digits): (1) big toe extension – extensor
hallucis longus m; (2) big toe flexion – abductor hallucis m and flexor hallucis
longus m; (3) toe extension – extensor digitorum longus m and extensor digitorum
brevis m; (4) toe flexion – flexor digitorum brevis m, quadratus plantae m,
lumbricalis m, and flexor digiti minimi brevis m; (5) abducting toes – abductor digiti
1244 D. B. Popović

T2
T3
vertebrate
THORACIC number
T4 PERIPHERAL NERVES
T5
T6
T7 trunk movement
T8

T9

T10

T11
LUMBAR T12 FEMORAL N.
L1
L1
hip movement SCIATIC N.
SACRAL
L2

L3
knee extension
L4 COMMON
PERONEAL N.
S1 L5 knee flexion &
S1 foot movements
S2
S3
S4
DEEP
S5 PERONEAL N.
TIBIAL N.
SPINAL
LATERAL SUPERFICIAL
CORD
SURAL PERONEAL N.
CUTANEOUS N.

MUSLCES
ANTERIOR VIEW POSTERIOR VIEW

GASTROCNEMIUS
TIBIALIS LATERALIS (cut)
ANTERIOR
POPLITEUS
FIBUL RIS GASTROCNEMIUS
LONGUS MEDIALIS (cut)
SOLEUS (cut)
EXTENSOR PLATARIS FIBULARIS
FIBUL RIS LONGUS
LONGUS
TIBIALIS
EXTENSOR POSTERIOR
HALLUCIS SOLEUS FLEXOR
LONGUS DIGITORIUM
FIBULARIS LONGUS
TERTIUS
SUPERIOR FLEXOR
EXTENSOR HALLICUS
RETINACULUM LONGUS
INTERIOR Achilles tendon FIBULARIS
EXTENSOR BREVIS
RETINACULUM

superficial muscles deep muscles

Fig. 2 The motor systems responsible for the movement of the leg. Spinal nerves connected to the
lower end of the thoracic region and lumbar segment connect the sensory and motor systems of the
leg with the upper motor neuron. The major nerves are femoral nerve and sciatic nerve that branch
into many mixed nerves (afferent and efferent pathways). These branches innervate plurality of
muscles responsible for joint rotations in the hip, knee, and ankle as well as movement of toes
58 Foot Drop Stimulator 1245

Foot movement Normalized EMG

DORSIFLEXION Biceps Femoris m.

Rectus femoris m.

Vastus medialis m.

Vastus lateralis m.

Medial gastroc. m.

PLANTAR FLEXION Lateral gastroc. m.


Kinematics Peroneus Longus m.
ANKLE JOINT [°]

STANCE SWING
20 DORSI Soleus m.
10
0 Flexor digit.brevis m.
−10 PLANTAR
−20
0 10 20 30 40 50 60 70 80 90 100 Tibilais anterior m.
% OF GAIT CYCLE STANCE SWING

Fig. 3 Foot movement, ankle kinematics, and selected muscle activities during a single gait cycle
of normal gait

minimi m, abductor ossis metatarsi quinti m, and dorsal interossei m; and


(6) adducting toes – plantar interossei m.
Finally, we present the foot motion (ankle joint rotations) during the normal gait
cycle and muscular synergies that are responsible for this motion (Fig. 3).
It is important to remember that ankle rotation is not a consequence of a single
pair of muscles but a synergistic activity of many muscles as well as inertial forces
and ground reaction force (gravity). The muscle plays a role in generation of motion
but also in control of the joint stiffness (cocontraction). An example of the muscle
activity where there is no movement is the tibialis anterior m in the early stance
phase which prevents plantar flexion due to gravity and inertia.
The lack of the activity of tibialis anterior may be the reason for the occurrence of
clonus characteristic of spastic paraplegic patients (oscillations at about 7–10 Hz of
the foot after heel contact).

Foot Drop Syndrome

The foot drop (the inability to volitionally dorsiflex the foot) follows a peripheral
lesion (peripheral nerves or muscle disease) or a lesion at the upper motor neuron
(cerebrovascular accident or spinal cord injury).
The upper motor neuron lesion causes foot drop because the appropriate
descending signals to the spinal cord are missing. According to Lyons et al.
(2001), several functional deficits are expressed in patients with an upper motor
neuron lesion to varying degrees: (1) spasticity, (2) selective control of leg muscles,
(3) emergence of modified compensatory gait patterns, (4) compromised modulation
1246 D. B. Popović

of muscles, (5) altered proprioception, (6) alteration of limb positions and body
alignment, and (7) progressive changes in the mechanical properties of the muscle.
This foot drop syndrome is manifested during both stance and swing phases of the
gait cycle. The syndrome is more visible during the swing phase since the muscles
contributing to hip and knee flexion are not generating sufficient power to provide
the required hip and knee flexion; thereby, the foot is not raised to the same level as it
would be in normal gait. Humans with foot drop syndrome often walk in so-called
crouched position; thereby, the “normal” contralateral hip extension is reduced, and
the space for the swinging leg is reduced. Finally, the most visible deficit is in the
trajectory of the foot which led to the term foot drop.
The foot drop is traditionally assisted with an ankle-foot orthosis (AFO) which
mechanically locks the ankle joint. The AFO prevents from plantar flexion, forces
the ankle joint to assume a neutral or slightly flexed position (e.g., 5 ), and allows
limited dorsiflexion during stance while rolling over the foot. The AFO allows the
ambulation, yet causes a modified gait pattern compared with the normal walking
(e.g., circumduction of the paretic leg). The AFO is the ultimate solution for patients
with a peripheral lesion (flaccid paralysis).
The alternative to the passive assistance (AFO) is the activation of the motor
systems by electrical stimulation. The activation of the motor systems must consider
the complexity of the anatomy presented earlier. The essential problem is that
electrical stimulation cannot replace the natural flow of neural information. Since
the paralysis is not complete, the artificial activation of motor systems must be
integrated into the preserved neural systems. It is important to consider that peripheral
nerves are mixed; thus, electrical stimulation activates both the afferent and efferent
systems. When the stimulation activates afferent pathways, then a reflex response will
dominate, while when the stimulation activates mostly motor pathways, then the
response of the muscles innervated by the stimulated nerve will be dominant. The
reflex response is “desired” in patients with the spinal cord injury (spastic paraplegia).
The direct motor response is desired as the assistance to stroke patients.
Liberson and colleagues (1961) used electrical stimulation system which acti-
vated the common peroneal nerve and prevented foot drop. The system (Fig. 4) used
in the study was patented by Offner and Liberson (1967).
The method where the stimulation was delivered via surface electrodes received
attention by several clinical researchers, and the instrumentation has been developed
for clinical and home usage (Gračanin et al. 1967; Mortimer and Bhadra 2002). In
parallel, the foot drop problem was approached with the system which used spiral
cuff-like nerve electrodes (Mortimer and Bhadra 2002). Waters with colleagues
implanted a series of 31 devices and reported effective operation and good longevity
in 17 patients (McNeal et al. 1977). In both applications (surface and implantable
electrodes), electrical stimulation bursts were applied to ankle dorsiflexors by acti-
vating the appropriate neural systems. The results indicated that, in addition to the
immediate orthotic effect, foot drop stimulation had a short carry-over effect, yet
limited long-lasting therapeutic effect (Waters et al. 1975).
The fully implantable single-channel stimulator developed by Rozman in Lju-
bljana has been tested in about 20 subjects after they had already used the surface
58 Foot Drop Stimulator 1247

Fig. 4 The principle for using electrical stimulation to treat foot drop (Modified from Liberson
et al. 1961)

stimulation system. A single-channel stimulator received power via a radio fre-


quency (RF) link. The receiver with electrodes was positioned to make contact
with the peroneal nerve just below the knee joint and, when active, generate
dorsiflexion. The stimulation sequence was triggered by a switch worn in the shoe
of the paretic leg. Rozman and colleagues (1994) developed also a two-channel
implantable stimulator for correcting foot drop called IPPO. The second channel was
used for control of eversion/inversion of the foot.
Clinical comparisons of the walking performance when the foot drop was assisted
by surface or implantable systems indicated that the more invasive technology is not
significantly better. The walking pattern did not change with the implanted stimula-
tor compared with the surface electrode-based device; however, the ease of applica-
tion, reproducibility, and cosmesis improved greatly. The implantable technology
resulted with a muscle response that was not changing from day to day.

Foot Drop Systems with Surface Electrodes

The surface electrode-based stimulation systems received a lot of attention during


the last 50 years, e.g., the Odstock Dropped Foot Stimulator (ODFS Pace ®, Fig. 5)
has been developed and used in a large population of hemiplegic patients (Odstock
2014).
1248 D. B. Popović

Fig. 5 ODFS Pace ® foot drop system. The electrodes are positioned by the skilled therapist or
patient over the corresponding points at the skin to activate dorsiflexors. The foot switch is mounted
in the shoe insole

Stimulation is applied by means of surface electrodes placed over the common


peroneal nerve as it passes over the head of the fibula bone and over the motor point
of tibialis anterior muscle. The onset of simulation is triggered after the heel of the
paretic leg rises from the ground, mimicking the pattern of muscle synergies
characteristics for the beginning of the swing phase of the gait. Stimulation can
also be triggered by other sensors (e.g., tilt sensors) or the same sensor yet positioned
differently (heel switch positioned at the contralateral sole). The stimulation directly
activates motor nerves resulting with dorsiflexion and some eversion.
The stimulation could activate sensory nerves resulting with a flexion synergy of
the stimulated leg (Burridge et al. 1997). The activation of the reflex is the approach
used for paraplegic and tetraplegic population since they benefit from the activation
of knee and hip flexors. The externally elicited reflex leads to ankle dorsiflexion, hip
and knee flexion, and limited external rotation of the hip joint. The specific joint
contribution may be adjusted by varying the electrode position and stimulation
parameters. The paraplegic and tetraplegic population require additional stimulation
to support the posture (extension). The typical parameters used with surface elec-
trodes are frequency between 20 and 50 pulses per second, current pulse amplitude
up to 50 mA, pulse duration in the range of 200–500 μs, and rise and fall time of the
current amplitude in the range of 10 pulses.
An improvement of the function was suggested with the use of two stimulation
channels (Taylor et al. 1999) applied to the anterior tibialis and either the hamstrings
or posterior tibial (calf) muscle groups. The stimulation is initiated and terminated
using foot switches. It was reported that stimulating the hamstring and the calf
muscles, in addition to the anterior tibialis muscle, leads to a better walking pattern
(Taylor et al. 1999).
Other systems (e.g., Bioness L300 ® (Bioness 2014), WalkAide ® (Walkaide
2014), MyGait ® (http://www.ottobock.com/cps/rde/xchg/ob_com_en/hs.xsl/52132.
58 Foot Drop Stimulator 1249

Electrodes Electrodes Electrodes


MyGait
Bioness L300
WalkAide

Foot switch Foot switch

Setup device Setup device

Fig. 6 MyGait ® (middle panel), Bioness L300 ® (left panel), and WalkAide ® (right panel) for
treating the foot drop. All systems use surface electrodes housed in the size-adaptable thigh cuff.
The ActiGait system integrated the switching tilt sensor, while the other two systems use the foot
switch with wireless communication to the stimulator

html)) with surface electrodes (Fig. 6), available on the market and approved by
regulatory bodies, use the same principle of activation of the dorsiflexors, yet the
packaging and application methodology incorporates somewhat different technol-
ogy which simplifies their use.
The difference between the WalkAide ® and other systems is the sensor used to
control the onset and end of stimulation. The WalkAide ® uses the tilt sensor which
based on the estimated position of the thigh with respect to the gravity during which
the gait cycle starts and stops the stimulation (Dai et al. 1996; Wieler et al. 1999).
The tilt sensor replaces “the second gadget” (switch); thus, a patient does not have to
wear shoes or socks. The other advantage of the WalkAide ® is the minimization of
problems related to walking patterns which do not have a “healthy-like” stance.
The use of surface electrodes is completely noninvasive. The surface electrode
systems have limited selectivity, and this limits the efficiency of the stimulation. The
second problem with the surface electrodes is the change of the muscle response
during the use of the system and possible habituation which requires a change of the
stimulation parameters during the use.
This problem has been addressed in current work that follows the advanced
technology of matrix electrodes and effective sensors (Malešević 2014) and has
been initiated by the company Tecnalia, San Sebastian, Spain.
The solution that is being clinically tested allows distributed stimulation of
variable stimulation geometry (Fig. 7). The stimulation of array elements is asyn-
chronous which minimizes the fatigue and accommodation (Malešević et al. 2010,
2012; Popović Maneski et al. 2013). The design allows for the stimulation of various
muscle groups including control of plantar flexion, inversion, eversion, etc.

Hardware for the Foot Drop Stimulator

We present an example of the hardware that is applicable for the foot drop system
(Fig. 8). This design belongs to the company UNA Sistemi, Belgrade, Serbia, and is
used in various forms by the company Tecnalia, San Sebastian, Spain, and others.
1250 D. B. Popović

Fig. 7 The cuff with the array electrode (cathode) stimulator that asynchronously activates a subset
of array elements and the touchpad controller (wireless communication) for automatic selection and
adaptation of stimulation sites and stimulation intensity. The system integrates inertial measurement
units with accelerometers and gyroscopes for setup and closed-loop control (Modified from
Malešević 2014). Patent pending

The system supports sequential stimulation of several stimulation channels (array


electrode) which is convenient for postponing stimulation caused muscle fatigue and
selective activation of muscles of interest.
The system supports the feedback from inertial sensors, has several digital inputs,
and communicates with the Windows platform during the setup wirelessly
(Bluetooth).
The system is powered by rechargeable batteries allowing up to 8 h of continuous
operation. The system has a sleep mode; thereby, the operation between recharging
is longer than one full day. The unit allows control of stimulation frequency between
1 and 100 pulses per second, pulse duration on each of the channels from 10 to
800 μs, pulse amplitude ramping up and down, and maximum current amplitude up
to 50 mA (impedance of the electrodes needs to be smaller than Z ¼ 2 MΩ). The
stimulation profiles are event driven from the sensors and digital inputs.

Implantable Foot Drop Systems

The STIMuSTEP® has been developed by the University of Twente and Roessingh
Research and Development in Holland in collaboration with the UK-based company,
Finetech Medical Ltd (Stimustep 2014). Implantation of the device requires an
operation that takes about 1 h and is performed using a spinal block. The electrodes
are positioned in the two motor nerves: the deep branch and the superficial branch of
the peroneal nerve. This setup is selected with the intention to control dorsiflexion
and inversion/eversion.
58
Foot Drop Stimulator

Fig. 8 INTFES stimulator. Top left panel shows a possible design of a DC/DC converter. Top right panel shows the front panel of the stimulator. The letters
indicate the interfaces that are available to the user of the stimulator: (a) status LED; (b) battery charger LED; (c) battery charger connector; (d ) analog input
1251
1252 D. B. Popović

RF
LINK

EXTERNAL UNIT

ANTENNA & PERONEAL


RECEIVER NERVE
CUFF

HEEL SWITCH

RF LINK

Fig. 9 Components of the ActiGait ® system (implantable drop foot stimulator) (Modified from
Actigait 2014)

ActiGait® is a system with cuff electrodes introduced by the group from the Aalborg
University, Denmark (Actigait 2014), and translated to the company Neurodan, Aal-
borg, Denmark, part of Ottobock medical systems. ActiGait® uses implantable elec-
trodes and stimulator for selective stimulation of the peroneal nerve (Fig. 9).
The control unit can be comfortably worn on a belt or on the waistband and allows
control of the stimulation intensity based on the instant needs of the patient. The
lightweight antenna is used to transmit control signals and energy required for the
stimulation to the implant. The implanted receiver unit receives control signals and
converts them into a stimulation burst of electrical charge delivered to the nerve. The
cuff comprises four electrodes, and it interfaces the common peroneal nerve. The
configuration of the electrodes that are active and stimulation pattern are programmed
during the setup sessions. Excellent surgical skills are required for the appropriate
installation of the cuff electrodes. Once the cuff is appropriately positioned and the
pattern is determined, the dorsal/plantar flexion and inversion/eversion are controlled.
The timing of stimulation is triggered based on the signal coming from the heel
switch. The heel switch can be used without shoes with the ActiGait ® heel sock.
The Neurostep ® is a fully implantable foot drop assistant (Fig. 10). Two cuff
electrodes are used for sensing from the sensory nerve (tibial nerve) and stimulation

Fig. 8 (continued) connector; (e) Esc key; ( f ) electrode cable connectors; (g) 2-line LCD display;
(h, j) arrow keys for amplitude adjustments; (i) enter and on/off button; and (k) trigger button. The
bottom left panel shows the block diagram of the system with the microcomputer. The right bottom
panel shows one possible solution for the output circuit that is made to ensure current-controlled
biphasic compensated stimulation (Modified from Malešević 2012)
58 Foot Drop Stimulator 1253

SCIATIC
NERVE
SENSING
TIBIAL NERVE
MODULE
PERONEAL NERVE
CONTROLLER

STIMULATOR
MODULE

TIBIAL COMMON
NERVE PERONEAL
CUFF NERVE
ELECTRODES

ELECTRODES

Fig. 10 Components of the Neurostep ®. Neurostep is a fully implantable system for correction of
foot drop (Modified from Neurostep 2014)

of the motor nerve (peroneal nerve) (Neurostep 2014). The development of


the system is directly related to the long research of A. J. Hoffer who was involved
in the design of the first cuff electrodes in early 1970s with R. B. Stein. The first tests
of the closed-loop control of walking with cuff electrodes used for sensing of the gait
events were presented in animal experiments by Popović et al. (1993). The natural
sensing system replaces the artificial sensor (heel switch, tilt sensor, or similar). The
stimulator with batteries is also being implanted.
Once implanted, Neurostep ® senses key physical events and stimulates the
appropriate neural pathways to flexing the ankle at the right time without any
other instrumentation. The Neurostep ® does not have any external parts; thereby,
there is no daily donning and doffing. The key feature of the system is multi-chamber
electrodes. The cuffs comprise multiple electrodes separated by isolation chambers.
The isolation chambers (longitudinal protrusions) separate each set of electrodes
from the other and from the environment outside the cuff.
During the stimulation cycle, the chambers allow selective stimulation to small
discrete areas. The other feature is the “piano hinge” closure system designed to
create a high-integrity seal around the targeted nerve fibers.

Take-Home Message

Foot drop stimulators reached maturity and are available with surface electrodes and
implantable electrodes/stimulator. Foot drop stimulators have been tested, approved
by the regulatory bodies, and supplied to patients by some healthcare providers. The
best effects of foot drop stimulators are expected in poststroke hemiplegic patients
1254 D. B. Popović

and some patients with incomplete paraplegia. Foot drop stimulators have orthotic
effects and short-time carry-over effects in some patients. Clinical studies in the
therapeutic use of foot drop stimulators failed to show significant long-term carry-
over effect of the system.
The surface foot drop stimulation systems should always be the first phase in the
use of FES for the restoration of gait in hemiplegic patients (before implants are
suggested and implemented). The main reason is to demonstrate to the patient what
are the benefits of functional electrical stimulation and what is the expected gait with
the foot drop assistance. The treatment with surface needs to be performed for some
time (>3 weeks, 5 days a week, 30 min daily) since the patient needs to learn how to
optimally use the foot drop assistance and adapt to the changes that electrical
stimulation will introduce.
The use of implantable systems is recommended for patients who require assis-
tance for prolonged time, and the therapeutic results are not significant. Implantable
technology provides better selectivity and thereby better control of dorsi-/plantar
flexion and inversion/eversion. Implantable systems are much more simple to use on
a daily basis compared with the surface systems. The use of implantable systems is
more comfortable compared with surface systems, and there is no pain or unpleasant
sensation. Furthermore, the cosmesis of implantable systems is more favorable
compared with surface systems.
The methods for implantation need still to be improved in order to guaranty safe
usage and effective outcome. The longevity of the implantable system needs further
research (the replacement of the stimulator is doable without problems, but the
replacement of the electrode could be very complex).

References
Actigait (2014) http://www.ottobock.com/cps/rde/xchg/ob_com_en/hs.xsl/1457.html. Accessed
10 Jan 2014
Bioness (2014) http://www.bioness.com/Resources.php. Accessed 10 Jan 2014
Burridge J, Taylor P, Hagan S, Swain I (1997) Experience of clinical use of the Odstock dropped
foot stimulator. Artif Organs 21:254–260
Dai R, Stein RB, Andrews BJ, James KB, Wieler M (1996) Application of tilt sensors in functional
electrical stimulation. IEEE Trans Rehab Eng 4:63–72
Gračanin F, Prevec T, Trontelj J (1967) Evaluation of use of functional peroneal electronic brace in
hemiparetic patients. In: Proceedings of the 2nd international symposium on External Control of
Human Extremities (ECHE), Dubrovnik, pp 198–206
Liberson WT, Holmquest HJ, Scott D, Dow M (1961) Functional electrotherapy in stimulation of
the peroneal nerve synchronized with the swing phase of gait in hemiparetic patients. Arch Phys
Med Rehabil 42:101–105
Lyons GM, Sinkjær T, Burridge JH, Wilcox DJ (2001) A review of portable FES-based neural
orthoses for the correction of drop foot. IEEE Trans Neural Syst Rehab Eng 10(4):260–279
Malešević N (2014) System for functional electrical stimulation based on multi-pad electrodes. PhD
thesis, Faculty of Electrical Engineering, University of Belgrade, Serbia. http://bmit.etf.rs/teze/
malesevic_nebojsa.pdf
Malešević NM, Popović LZ, Schwirtlich L, Popović DB (2010) Distributed low frequency func-
tional electrical stimulation delays muscle fatigue compared to conventional stimulation. Mus-
cle Nerve 42:556–562
58 Foot Drop Stimulator 1255

Malešević NM, Popović Maneski LZ, Ilić V, Jorgovanović N, Bijelić G, Keller T et al (2012) A
multi-pad electrode based functional electrical stimulation system for restoration of grasp.
J Neuroeng Rehab 9:66. doi:10.1186/1743-0003-9-66
McNeal DR, Waters R, Reswick J (1977) Experience with implanted electrodes. Neurosurgery 1(2):
228–229
Mortimer JT, Bhadra N (2002) Peripheral nerve and muscle stimulation. In: Horch K, Dhillon GS
(eds) Neuroprosthetics: theory and practice. World Scientific, New Jersey, pp 638–682
Neurostep (2014) http://www.neurostream-technologies.com/en/products/neurostep.php. Accessed
10 Jan 2014
Odstock (2014) http://www.odstockmedical.com. Accessed 10 Jan 2014
Offner FF, Liberson WT (1967) Method for muscular stimulation in human beings to aid in
walking. US Patent 3,344,792, 3 Oct 1967 (filed: 13 Jan 1965)
Popović Maneski LZ, Malešević NM, Savić AM, Keller T, Popović DB (2013) Surface distributed
low-frequency asynchronous stimulation (sDLFAS) delays fatigue of stimulated muscles.
Muscle Nerve 48:930–937
Popović DB, Stein RB, Jovanović K, Dai R, Kostov A, Armstrong WW (1993) Sensory nerve
recording for closed-loop control to restore motor functions. IEEE Trans Biomed Eng BME-40:
1024–1031
Rozman J, Aćimovic-Janežič R, Tekavčić I, Kljajić M, Trlep M (1994) Implantable stimulator for
selective stimulation of the common peroneal nerve: a preliminary report. J Med Eng Tech 18:
47–53
Stimustep (2014) www.gb/products/stimustep%C2%AEdroppedfootsystem.aspx. Accessed 10 Jan
2014
Taylor PN, Burridge JH, Dunkerley AL, Lamb A, Wood DE, Norton JA, Swain ID (1999) Patient’s
perceptions of the Odstock Dropped Foot Stimulator (ODFS). Clin Rehab 13:439–446
Vaughan CL, Davis BL, O’Connor JC (1999) Dynamics of human gait, 2nd edn. Kiboho Pub-
lishers, Cape Town, p 155
Walkaide (2014) http://www.walkaide.com/en-US/Pages/default.aspx. Accessed 10 Jan 2014
Waters RL, McNeal D, Perry J (1975) Experimental correction of footdrop by electrical stimulation
of the peroneal nerve. J Bone Joint Surg [A] 57-A:1047–1054
Wieler M, Stein RB, Ladouceur M, Whittaker M, Smith AW, Naaman S, Barbeau H, Bugaresti J,
Aimone E (1999) Multicenter evaluation of electrical stimulation systems. Arch Phys Med
Rehab 80:495–500
Microstimulator for Endocardial
Stimulation 59
Shuenn-Yuh Lee and Mario Yucheng Su

Contents
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1258
Motivation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1258
Endocardial Stimulation System Architecture . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1259
Design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1260
Sensing Channel . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1260
Digital Circuitry . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1263
Pacing Channel . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1266
Power Interface with RF Front-End Circuits . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1267
Experimental Results . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1271
Power Interface with RF Front-End Circuits . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1271
Two-Battery-Based Power Management System . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1272
Digital Circuitry and Programmable Pacing Channel . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1276
Experimental Procedure and Result . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1278
Conclusions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1281
References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1282

Abstract
This chapter presents a programmable real-time detection and stimulation sys-
tem-on-a-chip with smart powering management, immediate neural signal acqui-
sition, and wireless rechargeable circuits. The power management unit, which
includes a rectifier, battery charging and detection, and a regulator, is utilized for
the power and supply control of the implanted circuits. The stimulation data and
required clock are extracted by a phase-locked loop (PLL)-based phase shift
keying (PSK) demodulator from an inductive AC signal. The full implantable
chip that consumes only 48 μW is fabricated in a TSMC 0.35 μm 2P4M standard
CMOS process to perform the monitoring and pacing functions with inductively
powered communication. The rat’s intracardiac electrogram is employed as the

S.-Y. Lee (*) · M. Y. Su


Department of Electrical Engineering, National Cheng Kung University, Tainan, Taiwan
e-mail: ieesyl@mail.ncku.edu.tw; sylee@ee.ncku.edu.tw

© Springer Science+Business Media, LLC, part of Springer Nature 2022 1257


M. Sawan (ed.), Handbook of Biochips,
https://doi.org/10.1007/978-1-4614-3447-4_36
1258 S.-Y. Lee and M. Y. Su

stimulated model in the animal study. Therefore, an in vivo experimentation using


Sprague-Dawley (SD) rats is studied to demonstrate the performance of proposed
system.

Introduction

Functional electrical stimulation is applied to cure many clinical diseases from late
twentieth century, as shown in Fig. 1, such as deep brain stimulation for epilepsy,
endocardial stimulation for heart diseases, transcutaneous electrical nerve stimula-
tion (TENS) for chronic pain, bladder controlled stimulation (BCS) for spinal cord
injury, and gastric electrical stimulation (GES) for digestive system. To provide a
proper stimulation, a real-time detection is required to monitor electrograms (EGMs)
and indicate the timing of stimulation. For patients with different situations, the
required stimulation might not always identical. Hence, a design of programmable
stimulator is a better solution to save the developing cost than customized one. The
most important factors of stimulations are frequency, amplitude, and duration. Most
of modern electrical stimulators are designed with these programmable items for the
symptoms of most patients.

Motivation

According to the report of Ministry of Health and Welfare in Taiwan (2016), the ten
leading causes of death for 2016 were, in rank order, (1) malignant neoplasms
(cancers); (2) diseases of heart; (3) pneumonia; (4) cerebrovascular diseases;

Deep Brain Stimulation


(DBS)

Endocardial
Stimulation

Transcutaneous Electrical Nerve


Stimulation (TENS)

Gastric
Bladder Electrical
Controlled Stimulation
Stimulation (GES)
(BCS)

Fig. 1 Applications of functional electrical stimulation


59 Microstimulator for Endocardial Stimulation 1259

Deaths (millions)

0 2 4 6 8 10

Ischaemic heart disease

Stroke

Chronic obstructive pulmonary disease

Lower respiratory infections

Alzheimer disease and other dementias

Trachea, bronchus, lung cancers Cause Group


Communicable, matemal, neonatal
Diabetes mellitus and nutritional conditions

Road injury Noncommunicable diseases

Diarrhoeal diseases Injuries

Tuberculosis

Source: Global Health Estimates 2016: Deaths by Cause, Age, Sex, by Country and by Region,
2000-2016. Geneva, World Health Organization; 2018.

Fig. 2 Ten leading causes of death in 2016 in the world (2016)

(5) diabetes mellitus; (6) accidents; (7) chronic lower respiratory diseases; (8) hyper-
tensive diseases; (9) nephritis, nephrotic syndrome, and nephrosis; and (10) chronic
liver diseases and cirrhosis. They accounted for 76.8% of all deaths in Taiwan. The
heart diseases occupy the second position being only minor to all malignant neo-
plasms. In the statistics from the World Health Organization (WHO) as shown in
Fig. 2, which sorts malignant neoplasms in organs, the ischemic heart disease
becomes even the first major cause of death. The ischemic heart disease and stroke
are the world’s biggest killers, accounting for a combined 15.2 million deaths in
2016 (2016). For this consideration, the proposed system in this chapter takes
endocardial stimulation as an example to demonstrate the performance, that is, the
behavior of implanted device can be regarded as a pacemaker and is expected being
adopted to other functional electrical stimulation applications in the future.
The most critical drawback of nowadays pacemakers is the big volume and the
requirement of replacement resulting in one more surgery after specific utilization
period. Therefore the implantable device in proposed system is designed focusing on
these two problems and simultaneously provides programmable functions for dif-
ferent situations of patients.

Endocardial Stimulation System Architecture

The block diagram of the proposed detection and stimulation system with an external
device is presented in Fig. 3 (Lee and Su et al. 2011b). There are four sub-blocks,
namely, sensing channel, digital circuitry, pacing channel, and powering interface
integrated in the proposed implantable microstimulator system-on-a-chip (IMSoC)
to carry out the wireless bidirectional communication. The sensing channel is
1260 S.-Y. Lee and M. Y. Su

Supercutaneous
Subcutaneous
Powering Interface

Chest Skin
Charge

Coil (L2)

Coil (L1)
Rectifier charger Regulator
Pump
Low-Voltage
Charging Supplying Supply
battery Detector
Detector High-Voltage
osc
Supply
Digital Circuitry Pacing Channel
Vrf+
Battery- PSK System D/A
Based L1 Dem Controller
PG
L2 Cres Controller
DSP Vrf–
d
Sensing Channel
8-b serial
External digitized data Lead
Power / Data
Transmitter LSK Anode
Detection
Mod flag

A/D Converter 2nd-stage BP or LP Filter Preamplifier


Threshold Detector Amplifier with Buffer
Cathode Battery-based
Implantable Micro-stimulation System on Chip (IMSoC) DSP in pocket

Fig. 3 Block diagram of the programmable endocardial stimulation system

adopted to detect the stimulated signal from the paced neuron. The potentials of the
stimulated objective (such as atrioventricular node (AV node) or sinoatrial node
(SA node)) are detected and amplified by a programmable differential difference
amplifier (DDA) to achieve a variable gain. Through a biquad low-pass (LP)/band-
pass (BP) filter and an analog-to-digital converter (ADC), the cardiac waveform of
stimulated objectives can be obtained. The digital circuitry not only controls reli-
ability in communication but also provides programmable stimulation pulse ampli-
tude, pulse duration, and stimulation frequency in according to the sensed real-time
ECG signal from the sensing channel. The phase-locked loop (PLL) with phase shift
keying (PSK) demodulation is employed to recover the clock and data from the
received 256 kHz carriers. In the pacing channel, a digital-to-analog converter
(DAC) combined with a 3.2 V pulse generator is employed to provide the stimula-
tion functions, including pulse amplitude, pulse duration, and stimulation frequency.
The 3.2 V pulse generator is provided by a proposed charge pump circuit with a less
capacitor number (Lee and Su et al. 2011c). The charge capacity of batteries achieves
less volume due to the implementation of the powering interface with charging
ability. The interface can provide low- and high-supply voltages for digital and
analog circuits in IMSoC. The external device is also implemented, which includes
a battery-based digital signal processor (DSP) in the out-of-body pocket, and a coil
L1 to transmit encoded data and charging energy to the in-body circuit through the
mutual coils. The modulated digital data from the in-body circuit are also transmitted
out of the body through the same coils to save on coil area.

Design

Sensing Channel

The sensing channel includes an analog front-end (AFE) and a load shift keying
(LSK) modulator. The main components inside an AFE, as shown Fig. 4, are a
programmable-gain differential difference amplifier (DDA), a second-order filter
59 Microstimulator for Endocardial Stimulation 1261

with an optional LP/BP response, and a successive approximation analog-to-digital


converter (SAADC).
Referring to the circuit diagram of the programmable-gain DDA in Fig. 5, the
transfer function of this amplifier is derived as
 
1
Gm s þ R C þC
fð f dÞ
Að s Þ ¼ Gma C f
ð1Þ
CC s þ C f þCd s þ R CGmaþC
2
fð f dÞ

with mid-band gain


 
Amidband ¼ Gm C f þ Cd =Gma C f ð2Þ

Blanking
Buffer

Preamplifier Biquad LP/BP Filter


(Programmable 20/30dB) (Bandwidth:10~15Hz/15~80Hz)

fS =800Hz

01001001

SC Compensation
A/D Converter Amplifier
(Real-time detection) (Gain & S/H)

Fig. 4 Block diagram of the analog front-end

Fig. 5 Circuit diagram of the


programmable-gain
differential difference Vin Vout
amplifier DDA
blank

S1 Cd1

MR1 MR2
S2 Cd2 Cf
1262 S.-Y. Lee and M. Y. Su

and the upper 3-dB frequency in the feedback path close to


 
Gma C f = C f þ Cd Cc ð3Þ

where Gm and Gma represent the transconductance of the input stage with M1,2 and
M1a,2a, respectively. Therefore, the capacitance ratios between Cd1/Cd2 and Cf
determine the amplification, and the compensation capacitors Cc aids this preampli-
fier against aliasing to reduce the out-of-band noise, such as the vibration from body
or other interference. Preventing the saturation of the AFE from large stimulus pulses
(Lentola et al. 2003) induced by the pacing channel, blanking switches are
implemented in the DDA amplifier (Lee et al. 2011a) to prevent the saturation of
the AFE. Moreover, to avoid the different DC levels of input electrodes, this
programmable-gain DDA is designed under a band-pass function with a low high-
pass corner frequency to eliminate the large DC shift at output.
Figure 6 shows the simulation results of proposed preamplifier including gain and
power spectrum density. Two switchable mid-band gains, which are 20/28 dB, are
designed for the patients with different heart beating strength, and the linearity is
about 10 bit.
An operational transconductance amplifier-C (OTA-C) structure is employed to
implement a second-order filter with an low-pass/band-pass (LP/BP) response,
which is operated in two modes including a LP filter to acquire the ECG full
waveform and a BP filter to detect the R-wave magnitude. The LP/BP outputs
(Vout_LP/Vout_BP) provide required function ad use only 4 OTAs to save power
consumption and area (Lee and Su et al. 2011b). To achieve low transconductance
(Gm), the techniques of source degeneration and current cancellation are employed in
the design of OTA, and the OTA is also operated in the sub-threshold region to
reduce the power consumption (Lee et al. 2011a).
The proposed real-time detection ADC provides two functions, including thresh-
old detection and A/D conversion. A typical SAADC for A-to-D conversion sche-
matic as shown in Fig. 7a includes a digital-to-analog converter (DAC), a sample and
hold (S/H) circuit, and a comparator and a digital successive approximation register

30 0
28dB
Power Spectrum Density (dB)

20dB THD=0.05%
Gain(dB)

-30
Input:1mVPP
Output:30mVPP
-60

0
-90

-120

-150
-20 20 60 100 140 180 220 260 300 340 380 420
100u 1m 10m 100m 1 10 100 1k 10k
Log Frequency (Hz) Frequency (Hz)
(a) (b)

Fig. 6 Simulated results of DDA in (a) mid-band gain and (b) output power spectrum density
59 Microstimulator for Endocardial Stimulation 1263

Digital
Vin SHA Output
Sample & Hold (Serial-out)
Amplifier
Comparator

DAC SAR

Digital-to-Analog Successive-Approxiamtion
Converter Register

(a)

Vin Threshold
S/H
Detection
Sample & Hold
Amplifier
Output
Comparator
Threshold 8
DAC
Data
Digital-to-Analog
Converter

(b)
Fig. 7 Block diagrams of (a) A-to-D conversion and (b) threshold detection mode

(SAR). The comparator successively compares the sampled input signal with current
digitized value. According to the comparison results, the digitized output is approx-
imated to the sampled input signal time by time until the end of cycle. In order to
save the area and power consumption, the proposed SAADC consumes only 41 nW
by sharing most of the circuits in A-to-D conversion mode to implement the function
of threshold detection with block diagram as shown in Fig. 7b. Important analog
specifications, including power consumption, bandwidth, and linearity, of all circuits
in this analog front-end sensing channel are listed in Table 1.

Digital Circuitry

In order to capture and restore the required clock and data, a PLL-based phase shift
keying (PSK) is employed to transmit the data for updating the stimulation param-
eters according to system controller. The circuit and operation of the PSK demod-
ulation are shown in Fig. 8, where a 1-bit ADC is used to convert the analog signal
from the coil coupling to digital codes (Facen and Boni 2006). The digital PLL
1264 S.-Y. Lee and M. Y. Su

Table 1 Specifications of circuits in sensing channel


Components Preamp Filter SCAMP ADC
Power supply 1V
Power 40 nW 15 nW 30 nW 41 nW
Bandwidth 2 m ~140 Hz 0~15 Hz 400 Hz 400 Hz ( fs ¼ 800 Hz)
15~80 Hz
Linearity (THD) 0.05% 0.1% 0.5% 0.5%

Vrf+ PSK signal


PD Off-Chip LP filter VCO
+
Vref 1-b ADC CP

MX2 ½

1/4 rClk
CP reset

Q
DFF
MX3

D
Dini
set

DFF
D Q rData

(a)

Data 1 0

PSK
signal

½ VCO
MX3
output
VCO
output
rData 1 0

rClk 1 0 1

(b)

Fig. 8 PSK demodulator with the PLL and (b) timing diagram of critical nodes
59 Microstimulator for Endocardial Stimulation 1265

circuit detects the phase difference between PSK signal and feedback signal from
voltage-controlled oscillator (VCO) to extract the clock first and further recover the
PKS data (Xu et al. 2009).
To recover the clock and data, the frequency of VCO’s output signal is double of
the PSK carrier frequency in locked phase. According to the operation in Fig. 8b, the
final recovered data (rData) can be acquired correctly according to the logical
calculation by exclusive-NOR gate MX3. However, the error pulses resulting from
the phase difference of two transition edges between the PSK signal and the output
signal of MX2 will destroy the lock of the PLL and result in a clock recovery error.
Therefore, during the phase transition, a signal with “CP reset” is produced by an
exclusive-NOR gate to maintain constant voltage at the output of the charge pump
(CP). By this way, the output voltage of the charge pump can be ensured being stable
when the phase transitions of the PSK signal occur. The simulation results of this
PLL-based PSK demodulator are shown in Fig. 9, which presents the original data
was recovered by the proposed demodulator with an asynchronous clock.
Recovered data and synchronized clock are simultaneously delivered to the
controller, while a finite state machine (FSM) performs the cyclical checking of
head bits, as depicted in Fig. 10. The right-side block indicates the timing control of
the pacing operation. If the 9 start bits were identified, the controller starts to
pass the following 25 parameter bits and 4 parity bits into the shift register
(29 bits). As the FSM detects the final 3 tail bits, controller will execute the parity
check and await the timeout signal coming from the pacing period (PP) timer. After
receiving the correct frame, an acknowledgment (Ack) signal is generated to notify
the complete reception. On the contrary, the data frame will be ignored if the Ack

Data
VRF(in)

Spsk

Dini

VCO
Vcp

rData

rClk

Fig. 9 Simulation results of proposed PLL-based PSK demodulator


1266 S.-Y. Lee and M. Y. Su

FSM start
(data_r and clk_sync)
Frequency 3DULW\&KHFN
Timing  Monitor

WLPHಬVXS 'DWD /RDG
Parameters UHDG\ VHULDOLQ
6KLIW5HJLVWHU ELWV
Access IODJ Sync


R-wave/ $FTXLUH Data
Threshold 3DUDPHWHU
3DUDPHWHU5HJLVWHU ELWV $FN Transmission
WLPHRXW
*DLQ '76GDWD 33 3' '$GDWD
Pulse End Code &
Generation Parity Check
33 3'
WLPHU WLPHU
Pulse Duration
Timing / Amplitude / $QDORJXH&LUFXLWU\ Parameter Acquire
Blanking 6HQVLQJ3DFLQJ&KDQQHO Listening

Fig. 10 Signal flowchart of the system controller

signal is missing. These verifications including start/end bit sync, parity check, and
acknowledgment help to guarantee the correction of the control data.
As the timeout signal of PP arrives, the data located in the shift registers is loaded
into the parameter memories. Gain parameters are utilized to select the gain mode of
the preamplifier and second-stage amplifier. In the meantime, the detection threshold
and the pacing pulse magnitude are presented by the detection-threshold-storage
(DTS) and digit-to-analog (DA) data, respectively. The contents of the PP registers
determine the stimulation period, and the pulse duration (PD) registers define the
stimulus width. At the end of the pacing period, the timeout signal is generated for
the parameter register as mentioned earlier. Meanwhile, the pacing pulse will be
delivered according to the specific cardiac signal presented.

Pacing Channel

The pacing channel is shown in Fig. 11, which includes a low-voltage D/A converter
and a high-voltage pulse generator (PG) (Cheng et al. 2008). The low-voltage D/A
converter is composed of a high-to-low conversion circuit, an offset DAC, and a
comparator. A low-power level shift buffer and three transistors at the output stage of
D/A converter comprise the high-voltage interface. The supply voltage of high-
voltage PG, which is generated by the charge pump (Lee et al. 2011a) from the
power management, is 3.2 V that is also the limitation on amplitude of the stimu-
lation. Current amplitude of pacing pulse is real-time scaled and compared with the
input of the offset DAC. With the work of following circuits, the pulse amplitude can
be approximated to the required value.
According to the different cases of patients, the required strength of stimulation
should be adjusted by controlling the logic parameters, which are stored in system
controller. Caused by the different supply voltages between the PG of up to 3.2 V and
59 Microstimulator for Endocardial Stimulation 1267

D/A controller ( Vrtf ) PG ( Vcp )


Vbias Vcp=3.2V
˳ ck clock rate: 8kHz ˳ pre
Vda Vso ˳ ck

Vda D Q ˳ck Level Shift 3.3µF


Offset DFF
Q
Buffer
DAC
Vso
Hign-to-Low
precharging Conversion
˳ pace
˳ pre
˳ pace pacing enable

Level Shift Buffer (965nW) Lead and Heart Model


Lead Cardiac Tissue
400µF
25 35k
out
43k 50nF
600
in 2mF
25
35k
43k

Fig. 11 Pacing channel with a heart model (Xu et al. 2009)

the D/A controller with a 1.4 V supply, the charge-redistribution circuit (Cheng et al.
2008) is utilized to serve as a bridge. Furthermore, an equivalent model (Facen and
Boni 2006) used in circuit level is required to simulate the behavior of the human
heart before the actual in vivo study. To obtain the corresponding value of each
component, the cathode and anode are assumed 10 and 50 mm2, respectively. In
addition, the faradic resistance (43 kΩ) is assumed that the electrode for pacing is
made of 5-mm stainless steel (Geddes and Roeder 2001).

Power Interface with RF Front-End Circuits

Powering interface in the endocardial stimulation system manages the systematic


energy supply. As shown in Fig. 12, the input stage following the implanted mutual
1268 S.-Y. Lee and M. Y. Su

Fig. 12 Powering interface Vrf– Vrf+


with RF front-end Pacing channel
Rectifier charger
D/A
/
Regulator PG
Controller
Ichg.
Charging Supplying Charge
Battery
Detector Detector Pump

coil L2 is a rectifier with back-telemetry mechanism for wireless energy/data trans-


mission. The kernel of this interface is a two-battery-based power management
system composed of charging/supplying detectors, a 2-battery network, a charger,
and a regulator for 1-V supply voltage. A charge pump pumping the regulated
voltage efficiently raises the maximum pacing amplitude produced by pacing chan-
nel to achieve the shorter pacing duration. The circuits of RF front-end and powering
interface will be disclosed in following subchapters.

External Power/Data Transmitter


The external power/data transmitter, connecting an off-chip class-E transmitter and a
LSK demodulator, transmits parameter data and charging energy to the in-body
IMSoC through a set of mutual coils (L1L2). On the contrary, the modulated
electrograms data acquired from the sensing channel are transmitted out of
the body through the opposite way (L2L1) to save on coil area. According to the
recovered EGMs, physicians can decide and send corresponding orders into
the system controller in IMSoC via same path. The schematic of external power/
data transmitter, as shown in Fig. 13a, consists of a modulating XOR gate, a power
MOS switch used to control the signal on the coil, an RF choke (Lchoke) providing
the transistor M0 a more stable DC current, two capacitances Cs/Cp that produce
different resonance frequencies (Wang et al. 2005), and a primary coil for the
coupling that can be modeled as the equivalent series of an inductance L1 and
specific resistance.
The class-E amplifier (Facen and Boni 2006) combined with the PSK modulator
was implemented in the transmitter. The transistor M0 can be controlled by the signal
(Vg) at the output of XOR gate along with the input of the data and clock to generate
a PSK signal at the drain of the transistor. Figure 13b shows the detailed modulation
scheme. Moreover, the required power dissipation can be reduced to make the phase
changes with 180 within two carrier cycles to be independent of the Q of the LC
tank. As M0 is turned on, the resonance frequency ωs with Cp shorted on ground can
be derived as

1
ωs ¼ pffiffiffiffiffiffiffiffiffiffi ð4Þ
L1 Cs
Otherwise, the resonance frequency under the turned-off status is revised as
59 Microstimulator for Endocardial Stimulation 1269

VDD

iL Lchoke
Vd L1 Vrf+
L2
Data Cs

Cres
M0
Clock Vg Cp

Vrf–

DLT
Encoder LSK Dem

(a)
0 1 0 1 1 0 0
Data

Clock

PSK control (Vg)

Modulated Signal
(Vd)

: phase change

(b)
Fig. 13 (a) Schematic of external transmitter and (b) PSK modulation scheme

1
ωp ¼ qffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi ð5Þ
Cs Cp
L1 Cs þC p

Rectifier for the Rechargeable Device


For the conversion of the AC coupling carrier to DC supply voltage and
the consideration of the integration, there is a demand for implementing an
integrated rectifier in the powering interface. Integrated full-wave rectifiers consist
1270 S.-Y. Lee and M. Y. Su

VRFin+ MP3
MP1 MN3 0
1
C0 oc
MP4 ADC
Vrtf output
MP5
oc
1
0
MN4
MP2
VRFin- MP6

Mode ‘oc’ / function Operation


Mode 0 Rectification
Mode 1 Sensed Data Transmission

Fig. 14 Self-driven bridge rectifier with a rechargeable device

of diode-connected devices usually used in radio-frequency identification (RFID)


and implantable systems (Ghovanloo and Najafi 2004). However, the available
rectified output current at the small Vace ( ¼ Vrf + Vrf-) is reduced by the threshold
voltage associated with the pMOS transistor of the diode-connected pMOS, posing a
headroom limitation in low-voltage design. Although enlarging the induced voltage
in the implanted coil can solve this problem, it in turn increases the required power of
the transmitter. As a result, Fig. 14 shows a self-driven synchronous rectifier to avoid
the additional voltage drop, where the devices Mp1–2 and Mn3–4 make up the
conventional four-transistor cell. To eliminate the substrate leakage, the four auxil-
iary pMOS transistors Mp3–p6 are added in the separated N-well to prevent the risk of
latch-up (Ghovanloo and Najafi 2004). The n + guard rings surround on the pMOS
complexes and connect to higher potential. Morevoer, the p + guard rings surround
on the nMOST transistors and connected to ground. Therefore, the n-well and
substrate resistances are reduced to prevent latch-up.

Rectifier for the Rechargeable Device


Figure 15 illustrates the architecture of the two-battery-based power management
system, including two detectors switching the paths of charging/supplying, a
two-battery network with path switches, and a battery charger offering charging
current. Figures 16 and 17 show the supplying and charging detectors, which is
controlled by the switches “SC1,2” and “S1,2,” respectively. It can provide a nonstop
energy source for IMSoC according to the two-battery network. As shown in Fig. 16,
the supplying detector can compare the output voltage of the working battery with a
reference value to decide the operation. The switches exchange their logic, if the
comparison indicates that the output voltage of the working battery is less than the
reference, in the meantime, the other battery starts being the energy source. The logic
and simulation result are shown in Fig. 16.
Simultaneously, the output voltage of the idle battery with two reference values is
compared by the charging detector to decide if there is a charging request in battery.
The schematic and simulation of the charging detector are shown in Fig. 17.
59 Microstimulator for Endocardial Stimulation 1271

charger Regulator
Icharging

Battery
Charging Supplying
Detector Detector

Vrf+ SC1 S1
VBAT1
L2
Cres

Vrf– Icharging
Regulator

: External Components

: Ground (GND) VBAT2


SC2 S2

Fig. 15 Block diagram of a two-battery-based power management system

Figure 18 shows the control circuit of the charger (Li and Bashirullah 2007),
which is employed to provide a stable current Icharging. The power management
system can guarantee the working battery holds at least 72% charge capacity
according to automatic control. The charger circuit consists of a differential pair
with a current source, a current mirror, and a comparator to provide a constant
current (Icharging) of about 2 mA for charging the batteries (2 pieces of Vatra ® V6HR:
NiMH rechargeable battery is used).

Experimental Results

The proposed IMSoC was fabricated using the TSMC 0.35 μm 2P4M process with
an area of 1.5  1.6 mm2. The microphotography of the chip is shown in Fig. 19.
Electrical testing results of several components in proposed IMSoC are reported in
this section. As well an in vivo study with a conscious rodent model is presented in
following subchapter to demonstrate the performance of proposed detection and
stimulation system.

Power Interface with RF Front-End Circuits

The mode of CMOS bridge rectifiers with leakage current reduction and back
telemetry as shown in Fig. 14 is controlled by the logic in ‘oc’. When ‘oc’ ¼ 0, it
rectifies a 2.5Vp-p sin-wave pair (RFin+/RFin-) to a 2 V DC voltage. On the contrary,
1272 S.-Y. Lee and M. Y. Su

Vref
VBAT1 D Q S1
DFF

VBAT2 Vsignal
(from charger)

D Q S2
DFF

Voltage
(V)
1
Vsystem

1.4
V Battery2
V Battery1
0

S1
logic

S2

0 Time (ms) 240

Fig. 16 Supplying detector and simulation result

as ‘oc’ ¼ 1, the sensed EGMs signal of implanted IMSoC is modulated and


transmitted to external coil. An external envelope detector further distinguishes the
amplitude of the coil voltage to recover the data. Figure 20 is the measurement setup
for LSK transmission. The measurement results of reification and LSK transmission
are respectively shown in Fig. 21.

Two-Battery-Based Power Management System

A two-battery-based power is adopted in powering interface for a nonstop power


supply. The typical output voltage of used Vatra ® V6HR NiMH rechargeable battery
is 1.4 V. Most circuits in proposed IMSoC are operated in 1-V voltage supply; thus,
59 Microstimulator for Endocardial Stimulation 1273

VBAT 1
Vref_L
D Q SC1
DFF

Vref_H

VBAT 2
Vref_L D Q SC2
DFF

Vref_H Vref_L : High reference voltage


Vref_H : Low reference voltage

Sc1
Logic

Sc2

Voltage (V)
1.4
Vbattery1

0
1.4
Vbattery2
0
0 Time (ms) 90

Fig. 17 Charging detector and simulation result

this value is set as the standard output voltage of batteries to tell if any batteries need
to be charged. A charging algorithm as shown in Fig. 22 is proposed to consider all
of the possible cases happening on the two-battery network. An interesting case is
worthily mentioned while the capacity of battery 1 is full and battery 2 is empty. At
this moment, invisible switches are turned on to exchange the characters of two
batteries, and the algorithm returns to the third execution from beginning.
The testing for charging path switching is measured from the battery behavior
model as shown in Fig. 23a. Identically consider the initial condition with no energy
in both batteries, the charging path for battery 1 is switched on (Sc1) until the output
1274 S.-Y. Lee and M. Y. Su

VIN

VB1 P4 P3 P2 P1

VBAT -
Icharging VREF +
(1.4 V)

VB2 P6

Fig. 18 Control circuit of the charger

Fig. 19 Chip
microphotograph of proposed
IMSoC

LSK
ABTR
LSK_mod Demodulator Data
(AFG 3022) (MSO4054) Recovery

Fig. 20 Measurement environment for LSK data transmission

voltage more than 1 V, while the other empty battery is connected with the charging
path. The measurement of supplying path, as shown in Fig. 23b, presents that the
output of the network (Vreg), which is connected to a regulator, supplies a stable
voltage during battery 1 or 2 holding electricity by turning the supplying switches
properly.
59 Microstimulator for Endocardial Stimulation 1275

Fig. 21 Measurement results of CMOS bridge rectifier with LSK transmission. (a) Rectification
mode. (b) LSK transmission mode
1276 S.-Y. Lee and M. Y. Su

SC1 S1
B1 B2
SC2 S2

SC1 S1
B1
B2
SC2 S2

Battery 1 Battery 2
SC1 S1
B1 B2
B1 B2 B1 B2
SC2 S2

Charge
Batery 1

SC1 S1 SC1 S1
B1 B2 B1
B2
SC2 S2 SC2 S2

SC1 S1 SC1 S1
B1
B2 B1 B2
SC2 S2 SC2 S2

SC1 S1 Charge
B1 B2 Batery 1
SC2 S2

SC1 S1 SC1 S1
B1 B2 B1 B2
SC2 S2 SC2 S2

SC1 S1 SC1 S1
B1
B2 B1 B2
SC2 S2 SC2 S2

Fig. 22 Charging algorithm with two-battery network

Digital Circuitry and Programmable Pacing Channel

Figure 24 shows the measurement results of the PSK demodulator. The top panel
shows the PSK modulation data which is connected with the input node (Vrf+) in
Fig. 8a, and then it is quantized by 1b-ADC as shown on second panel. The signals
probed on third and fourth panels demonstrate that the data (rData) and 32-kHz clock
(rClk) can be accurately recovered.
59 Microstimulator for Endocardial Stimulation 1277

Fig. 23 Measurement results of charging/supplying paths switching. (a) Charging path. (b)
Supplying path

The experimentation of dual-voltage programmable pacing channel is based on


an off-chip heart model (Lentola et al. 2003) composed of resistance and capaci-
tance. The target is verifying the programmable specifications assuming that all other
1278 S.-Y. Lee and M. Y. Su

Fig. 24 Measurement results of PLL-based PSK demodulator

circuits connected with pacing channel are operated normally. By programming the
logically parameters in system controller, some measurement results of specific
programmed pulse amplitude and stimulation frequency are shown in Fig. 25.
From the measurement, the ranges of pulse amplitude and stimulation frequency
are, respectively, tested as 1.2–3.2 V and 0.5–31.25 Hz.
The specification summary of the entire IMSoC is presented in Table 2, including
the digital blocks, powering interface, pacing channel, and AFE.

Experimental Procedure and Result

By proper arrangement, a specific set of stimulus parameters, which define ampli-


tude of 3.2 V, pulse duration of 0.5 ms, and stimulation frequency of 6.4 Hz, were
modulated on the load lined by a parameter controller. Then, the internal IMSoC
received the input RF power and the configuration of the stimulus parameters from
the battery-based DSP placed on the rat’s back. As shown in Fig. 26, the completion
of parameter transmission illuminated the red light-emitting diode (LED) while the
first stimulation occurred. The maximum operation distance of the IMSoC is
approximately 25–45 mm in the animal experiment.
59 Microstimulator for Endocardial Stimulation 1279

Fig. 25 Measurement results of programmable specifications in pacing channel. (a) Pacing pulse
amplitude. (b) Stimulation frequency

Figure 27 demonstrates the in vivo experiments conducted by implanting the


IMSoC into the rats to present the measured closed-loop behaviors including trigger/
inhibition pulses. The AFE amplifies the EGMs measured from the implanted
catheter and subsequently recognizes the R-beat at an acquisition rate of 1 kHz.
1280 S.-Y. Lee and M. Y. Su

Table 2 Specifications of circuits in proposed IMSoC


General
Technology TSMC 0.35 μm 2P4M
Chip area 1.25  1.85 mm2
Carrier frequency 256 kHz
Digital blocks
PSK demodulator Power 1.76 μW @ 1 V
Sampling frequency 4 MHz
System controller Power 260 nW @ 1 V
Operation frequency 32 kHz
Programmable ranges Stimulation frequency 0.5–31.25 Hz
Stimulus amplitude 1.2–3.2 V
Stimulus duration 62.5 μs –
1.94 ms
Power interface and pacing channel
Rectifier 2 V output with induced voltage of 2.5 Vpp
Regulator Power 7 μW @ 1 V
Charge pump (@ 1 V input voltage) Power 10 μW
Output voltage 3.2 V
Pumping clock 16 kHz
frequency
Power management (supplying/charging Power 20 μW
detectors and charger) Maximum charging 2 mA
current
Battery 2 x Vatra ®
V6HR
D/A controller Power 42 nW @ 1 V
Operation frequency 8 kHz
Pulse generator Power 15.5 μW @
3.2 V
Analog front-end @ 1.4 V
Preamplifier Power 40 nW
Input referred noise 1.1 μVrms
3-dB bandwidth 0.002–140 Hz
DC gain 21/31 dB
Biquad low-pass/band-pass filter Power 126 nW (w/i
buffer)
3-dB Low- 0–15 Hz
Bandwidth pass
Band- 15–80 Hz
pass
Real-time detection ADC Power 71 nW (with
SCAMP)
ENOB 7.3 bit
59 Microstimulator for Endocardial Stimulation 1281

Fig. 26 Before and after the parameters transmission

When one R-beat presents within a stimulation period of 156.2 ms (or, frequency of
6.4 Hz), the stimulus is inhibited by the system controller of IMSoC. Conversely,
intracardiac stimulation with specific amplitude and pulse duration mentioned pre-
viously is triggered if the detected R-R beat interval is longer than 156.2 ms in the
conscious rodent experiment. Simultaneously, the stimulation is visually indicated
by illuminating a LED on implanted device. Furthermore, a stimulus artifact appears
after 20 ms of the trigged intracardiac stimulation. From this in vivo experimenta-
tion, thus, the practicability and functions of proposed chapter and stimulation
system was verified.

Conclusions

A low-power programmable real-time detection and stimulation system with smart


powering management, immediate signal acquisition, and wireless telemetry system
is developed. In the presented detection and stimulation system, a 237 nW analog
front-end sensing channel can detect the bio-signal and feedback to a system controller
if the stimulation is required. A programmable pacing channel can generate the required
stimulation pulses decided by user-defined parameters. The system employing the
endocardial as the stimulated model can be regarded as a pacemaker. Compared with
the recent pacemakers in the market, the proposed system features its low-power
consumption, ultra-small size, and rechargeable mechanism. The chip was fabricated
in a TSMC 0.35 μm 2P4M standard CMOS process with an area of 2.4 mm2.
The user-defined parameters would be transmitted wirelessly from an external
battery-based in-pocket DSP into the implanted device and can be carried out by the
digital system controller in the implanted system. Simultaneously, the sensed EGMs
can also be propagated from inside to outside via opposite path. An in vivo exper-
imentation using four SD rats is studied at the end of this chapter to demonstrate the
closed-loop behaviors and its reliability.
1282 S.-Y. Lee and M. Y. Su

Stimulation
Timing

< Threshold Threshold


Value
Value Stimulus
Artifact

Inhibited
Pulses Triggered 1/fstimulation
Pulse

Stimulation
@ DSP Removal

Fig. 27 In vivo experiment: inhibited pulse and triggered pulse illuminating LED with stimulus
artifact

References
Cheng CJ, Wu CJ, Lee SY (2008) Programmable pacing channel with a fully on-chip LDO
regulator for cardiac pacemaker. IEEE international conference on Asian solid-state circuits
(A-SSCC), pp 285–288
Facen A, Boni A (2006) A CMOS analog frontend for a passive UHF RFID tag. In: ACM
international low power electronics and design symposium, pp 280–285
59 Microstimulator for Endocardial Stimulation 1283

Geddes LA, Roeder R (2001) Measurement of the direct-current (faradic) resistance of the
electrode-electrolyte interface for commonly used electrode materials. Ann Biomed Eng
29:181–186
Ghovanloo M, Najafi K (2004) Fully integrated wideband high-current rectifiers for inductively
powered devices. IEEE J Solid State Circuits 39(11):1976–1984
Lee SY, Cheng CJ et al (2011a) A low-power bidirectional telemetry device with a near-field
charging feature for a cardiac microstimulator. IEEE Trans Biomed Circuits Syst 5(4):357–367
Lee SY, Su MYC et al (2011b) A programmable implantable microstimulator SoC with wireless
telemetry: application in closed-loop endocardial stimulation for cardiac pacemaker. IEEE Trans
Biomed Circuits Syst 5(6):511–522
Lee SY, Su MYC et al (2011c) A programmable implantable micro-stimulator SoC with wireless
telemetry: application in close-loop endocardial stimulation for cardiac pacemaker. In: Pro-
ceedings of IEEE international solid-state circuits conference (ISSCC), pp 44–45
Lentola L, Mozzi A, Neviani A, Baschirotto A (2003) A 1-μA front end for pacemaker atrial
sensing channels with early sensing capability. IEEE Trans Circuits Syst II Analog Digit Signal
Process 50(8):397–403
Li P, Bashirullah R (2007) A wireless power interface for rechargeable battery operated medical
implants. IEEE Trans Circuits Syst II Exp Briefs 54(10):912–916
Ministry of Health and Welfare (2016) Statistics of causes of death 2016, Ministry of Health and
Welfare. https://www.mohw.gov.tw/cp-115-33347-2.html
Wang G, Liu W, Sivaprakasam M, Kendir GA (2005) Design and analysis of an adaptive
transcutaneous power telemetry for biomedical implants. IEEE Trans Circuits Syst I Reg
Paper 52(10):2109–2117
World Health Organization (2016) The top 10 causes of death, World Health Organization, 2016.
https://www.who.int/news-room/fact-sheets/detail/the-top-10-causes-of-death
Xu W, Luo Z, Sonkusale S (2009) Fully digital BPSK demodulator and multilevel LSK back
telemetry for biomedical implant transceivers. IEEE Trans Circuits Syst II Exp Briefs
56(9):714–718
Multichannel Microstimulating SoC
60
Emilia Noorsal, Hongcheng Xu, Kriangkrai Sooksood, and
Maurits Ortmanns

Contents
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1286
Example of Multichannel Neural Prosthesis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1287
Retinal Stimulator Implant . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1289
State of the Art in Multichannel Stimulators . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1291
System Architectures with Flexible Waveform Generation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1291
Balancing Techniques for Safe Stimulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1294
Power Management Requirements in Multichannel Microstimulators . . . . . . . . . . . . . . . . . . . 1295
Flexible Protocol and Waveform Generation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1296
System Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1296
Stimulation Protocol and Waveform Generation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1299
Power- and Area-Efficient Stimulator Front Ends . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1302
HV Current Driver . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1303
Compliance Monitor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1305
Charge Balancing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1306

E. Noorsal (*)
Faculty of Electrical Engineering, Universiti Teknology MARA, Pulau Pinang, Malaysia
e-mail: emilia.noorsal@ppinang.uitm.edu.my
H. Xu
Advanced Low Power Solutions, Texas Instruments Deutschland GmbH, Freising, Germany
e-mail: h-xu1@ti.com
K. Sooksood
Department of Electronic Engineering, Faculty of Engineering, King Mongkut’s Institute of
Technology Ladkrabang, Bangkok, Thailand
e-mail: kskriang@kmitl.ac.th
M. Ortmanns
Institute of Microelectronics, University of Ulm, Ulm, Germany
e-mail: maurits.ortmanns@uni-ulm.de

© Springer Science+Business Media, LLC, part of Springer Nature 2022 1285


M. Sawan (ed.), Handbook of Biochips,
https://doi.org/10.1007/978-1-4614-3447-4_18
1286 E. Noorsal et al.

Effective Power Management for Multichannel Stimulators . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1309


Overview of System Architecture . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1309
Inductive Receiver with Secondary Resonance Compensation . . . . . . . . . . . . . . . . . . . . . . . . . . . 1310
Closed-Loop Power Control and Dynamic Supply Adaptation . . . . . . . . . . . . . . . . . . . . . . . . . . . 1311
Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1313
References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1313

Abstract
In recent years, limited research was focused on designing a multichannel
microstimulator that could demonstrate high flexibility in terms of pulse param-
eters, waveshapes, stimulation strategy, number of electrodes, high-voltage com-
pliance, and variety of charge-balancing techniques to optimize the use of an
implant chip for various implementations, changing operating conditions, or
research on stimulation efficiency. The reason for this is that designing a highly
flexible multichannel stimulator that could fulfill all the different neural
applications while concurrently maintaining low power and area consumptions
is not a trivial task. Normally, there is a trade-off between high flexibility and
hardware complexity. For neural applications, including neuromuscular, cochlear
implant, and deep brain stimulators, which require a small number of electrodes,
high flexibility of waveform pattern at each stimulation site is not an issue.
However, especially for a large number of electrodes, such as a retinal implant,
having high flexibility in the waveform pattern is not easy to implement. There-
fore, this chapter presents an overview of design and implementation of flexible
multichannel microstimulator in system on chip (SoC). Firstly, the importance of
having high flexibility in neural stimulator application and the trade-off between
high flexibility and hardware complexity are discussed. Secondly, the state of the
art of flexible waveform generation, charge-balancing techniques for safe stim-
ulation, and power management requirements in multichannel microstimulators
are reviewed. Thereafter, the examples of overall design architecture, stimulation
protocols, flexible stimulation, and functionality for a multichannel epiretinal
stimulator ASIC with 1024 electrodes are provided. In addition, an area- and
power-efficient stimulator front-end circuit which covers the HV current driver,
compliance monitor, and several types of charge-balancing techniques are further
elucidated. Finally, a power management circuit with closed-loop power control
and dynamic supply adaptation for multichannel epiretinal stimulator is
explained in detail. A 16-channel epiretinal microstimulator has been developed
and successfully tested in a 0.35 μm AMS HVCMOS technology.

Introduction

Over the last decades, functional electrical stimulation (FES) has been increasingly
adopted to restore functions to neurologically impaired individuals. FES can be
divided into three categories: the restoration of sensor functions, the restoration
of skeletal motor functions, and the restoration of autonomic functions
(Rushton 1997). FES triggers an action potential in the neural axons by transferring
60 Multichannel Microstimulating SoC 1287

an electrical stimulation charge into neural tissue. The applied stimulus charge
depolarizes the nerve membrane and generates the action potential. The efficiency
and safety of the applied stimulus depend on several factors, including the wave-
form type, the stimulation frequency, and the excitation threshold of the
corresponding cells, as well as on the location of the stimulatory electrodes, their
geometry, their surface coating, and their configuration (Ortmanns et al. 2007;
Merrill et al. 2005). The choice of stimulation waveshapes and patterns has a direct
effect on the physiological response generated by the stimulus (Rushton 1997;
Grill and Mortimer 1995). Therefore, in order to achieve a desired effect of the
stimulation, an optimum waveform is required.
To generate electrical stimulation in nerve tissue, an electrical stimulator is an
essential apparatus. The real-time electrical stimulator, which we also call the
neural prosthesis or neural stimulator, interfaces between the external world and
the human neural system to restore sensory and motor functions which are lost
through injuries or diseases. Due to advances in microelectronic system design, to
date, numerous implantable microstimulators have been designed for various FES
applications, ranging from low-density stimulators with a small number of elec-
trodes, such as the cardiac pacemaker (Wong et al. 2004), cochlear implants (Bhatti
and Wise 2006), bladder controllers (Arabi and Sawan 1999; Boyer et al. 2000;
Nadeau and Sawan 2006), and deep brain stimulators (Kuncel et al. 2007), to high-
density stimulators with a large number of electrodes, such as cortical stimulators
(Coulombe et al. 2007; Thurgood et al. 2009) and retinal implants (Ortmanns
et al. 2007; Chen et al. 2013).
Transferring the electrical charge for FES has historically been achieved by
applying a constant voltage for a certain amount of time, but where stimulation
tables had to be used to keep safe limits. In contrast, microstimulators have mostly
employed constant current stimulation, which provides a much higher safety level
since the applied charge is under almost perfect control, but whose power efficiency
is worse. Another approach is using constant charge stimulation by using switched
capacitor charge delivery – even though the applied exponential decaying wave-
form is preferable, the power efficiency is high, the approach needs large and thus
external capacitors in state-of-the-art implementations (Lee et al. 2014), and the
charge transfer is not well controlled.

Example of Multichannel Neural Prosthesis

Table 1 lists exemplary state-of-the-art implementations of three prominent


applications of neural prostheses. Retinal prosthesis requires hundreds of electrodes
for basic artificial vision restoration, with the highest number of 4096 reported by
Lee and Hsieh (2013). The commonly used wave pattern is a rectangular biphasic
shape due to its easy generation, while the advantage of more complex shapes has
been shown. Stimulation currents have been reported up to 1 mA (Ortmanns
et al. 2007) and as low as 50 μA (Monge et al. 2013).
In contrast, the cochlear prosthesis requires a much lower electrode count,
where the highest number is 32 reported by Bhatti and Wise (2006), which is
1288 E. Noorsal et al.

Table 1 Examples of multichannel neural stimulator applications


Multiplexed Full-scale Stim. frequency for
Reference electrodes current (μA) Waveshape all channels
Retinal prosthesis
(Chen et al. 2010) 256:1 500 Rect. –
(Sivaprakasam 60:1 600 Rect. 50 Hz
et al. 2005b)
(Ortmanns et al. 2007) 231:2 992 Rect. 50–60 Hz
(Lee and Hsieh 2013) 4096:1 50 Rect. 50–60 Hz
(Rothermel 1600:1 200 Rect. –
et al. 2009)
(Monge et al. 2013) 512:1 50 Flexible –
(Chen et al. 2013) 1024:4 500 Rect.a –
(Noorsal et al. 2012) 1024:4b 992 Flexible 50–60 Hz
Cochlear prosthesis
(Bhatti and Wise 32:4 500 Rect. CIS –
2006)
(An et al. 2007) 8:1 1800 Rect. CIS 1 KHz
(Georgiou and 16:2 700 Rect. CIS –
Toumazou 2005)
(Talukder 2007) 25:1 500 Rect. CIS 20–2.5 kHz
(Loiselle 2000) 10:1 2500 Rect. CIS 3.250 kHz
Neuromuscular prosthesis (bladder and peripheral nerve)
(Arabi and Sawan 8:1 6300 Flexible 2–1500 Hz
1999)
(Lee and Lee 2005) 2:2 1000 Pulse train LF = 20 Hz
HF = 2 kHz
(Thurgood et al. 2009) 100:1 255 Pulse train 0.66–168 Hz
(Boyer et al. 2000) 1:1 1300 Pulse train LF = 30 Hz
HF = 600 Hz
(Sacristan-Riquelme 16:1 1500 Flexible 7–350 Hz
and Oses 2007)
(Ba and Sawan 2003) 16:1 – Flexible LF = 4.6–1.2 kHz
HF = 294–75 kHz
a
Rectangular pulse trains
b
Prototype with 16ch implemented

1–2 orders of magnitude lower than the highest in retinal prosthesis. In contrast,
higher stimulation currents are usually provided in the lower mA range
(Loiselle 2000), and also the stimulation strategy is more complex, where
mostly a rectangular biphasic waveshape with continuous interleaved sampling
(CIS) strategy is employed. Similar to the cochlear prosthesis, the neuromuscu-
lar stimulator also typically requires very few electrodes. The stimulation
current required is quite high in the lower mA range, depending on its applica-
tion (Arabi and Sawan 1999). The reported stimulation patterns achieved good
flexibility in shape.
60 Multichannel Microstimulating SoC 1289

In summary, the state of the art clearly indicates that:

– Flexibility in stimulation waveshape is advantageously used, e.g., in neuromus-


cular prosthesis, achieving selective stimulation, threshold reduction, etc.
– Dynamic range requirements vary tremendously over various applications and
also among the reported values of different groups.
– Flexibility in waveshape, electrode count, and dynamic range has to be traded
against space and power.
– The currently highest demand in electrode count are retinal implants, which con-
currently provide the smallest amount of space and thus are reduced in flexibility.

Therefore, next the retinal stimulator is exemplarily explained in more detail.

Retinal Stimulator Implant

The human retina is composed of several tissue layers, including the pigment
epithelium, the photoreceptors (rod and cones), the horizontal cells, the bipolar
cells, the amacrine cells, the ganglion cells, and the nerve fibers or the axons of the
ganglion cells. It is estimated that approximately 130 million photoreceptors are
present in the healthy human retina, which convert the projected light into electro-
chemical signals. These electrochemical signals are carried by 1.2 million ganglion
neurons, whose axons form the optic nerve.
It is estimated that over 30 million people worldwide suffer from retinal degen-
erative diseases, which lead to partial or total blindness. The two most common
retinal degenerative diseases are retinitis pigmentosa (RP) and age-related macular
degeneration (AMD). These diseases are caused by the progressive degeneration of
the retinal photoreceptors. Fortunately, a large number of neuronal cells in the inner
part of the retina, such as bipolar and ganglion cells, remain intact in contrast to the
outer retinal cells. Clinical trials on animals and human have proven decades ago
that vision perception can be restored by electrical stimulation of the remaining
retinal neuron cells and bypassing the damaged photoreceptors to create visual
perception (Mahadevappa et al. 2005).
Since then, many research groups continuously worked on retinal prostheses to
restore vision in patients who suffer from retinal degenerative diseases. The retinal
prosthesis uses FES to stimulate the remaining retinal neuron cells, which mimics
the function of the lost photoreceptors. These spatially resolved electrical stimula-
tion pulses produce a sensation of vision in the brain, which is also known as
phosphene. In recent years, two retinal prostheses can be distinguished, namely, the
epiretinal implant (Ortmanns et al. 2007; Chen et al. 2010; Loudin et al. 2011) and
the subretinal implant (Rothermel et al. 2009; Shire et al. 2009). The epiretinal
implant stimulation electrodes are attached at the inner retina surface, whereas the
subretinal implant stimulation electrodes are placed in a tissue slit between the
bipolar cell layer and the retinal pigment epithelium (Ortmanns et al. 2007;
Rothermel et al. 2009). While the epiretinal stimulator comes with less invasive
1290 E. Noorsal et al.

surgery, it typically needs higher stimulation thresholds and the stimulation reso-
lution is assumed to be worse. These retinal implants can then be distinguished in
pure stimulators, where the data is captured and preprocessed externally by a
camera and DSP and those where the “camera” in the form of a photodiode array
is implanted to principally replace the photoreceptors in situ (Zrenner 2002). Both
approaches have their own advantages and disadvantages, which are summarized in
Zrenner (2002) and Shire et al. (2009).
An overview of an exemplary epiretinal implant system with its components is
illustrated in Fig. 1 (Ortmanns et al. 2007; Noorsal et al. 2012). The external system
consists of a camera to capture the visual image and a processor to perform complex
digital image processing and to transmit the encoded stimulation data wirelessly to
the implant. The implanted system is powered using an inductive link, and it
consists of the stimulation electrodes and the stimulator electronics. The stimulator
consists of an application-specific integrated circuit (ASIC) together with several
passive components for the power management. The advantage of having the
complex electronic system outside the eyeball is twofold: firstly, it requires less
hardware to be implanted into the eyeball. Secondly, it enables the upgrading of the
processing electronics based on postoperative performance of the patient without
the need for surgery (Sivaprakasam et al. 2005b; Zrenner 2002).
It is important to note that among the existing implantable microstimulators, the
retinal implant needs to overcome several major obstacles due to limited implan-
tation space and large electrode count: this includes how to achieve high flexibility
with minimal hardware complexity to realize a flexible stimulator, how to obtain a
large number of electrodes on a very limited die size, how to provide high-output
voltage compliance for the potentially high-impedance electrodes, and finally how
to assure safe operation for the highly parallel stimulation (Ortmanns et al. 2007;
Chen et al. 2010). This makes it a prominent example to explain various approaches

Fig. 1 System overview of


an epiretinal implant (Noorsal
et al. 2012)
60 Multichannel Microstimulating SoC 1291

for multichannel stimulator design, which can obviously be adopted then to other
applications, too.
Although most state-of-the-art retinal stimulators feature tens or even
some hundreds of electrodes (Ortmanns et al. 2007; Chen et al. 2010), basic research
revealed that approximately 600–1000 stimulation pixels are actually required to
restore basic visual perception, such as recognizing faces, reading large letters, and
independently navigating (Sivaprakasam et al. 2005b). Even though not all elec-
trodes can be simultaneously stimulated in order to keep the total charge transfer into
the tissue within safe limits, still a massively parallel stimulation is needed: for
continuous perception and flicker-free vision, a high-density epiretinal implant
needs to be stimulated at a frame rate of 40–60 Hz (Ortmanns et al. 2007; Rothermel
et al. 2009; Sivaprakasam et al. 2005a). A stimulation strategy using parallel to serial
electrode activation is usually employed, whereby a fraction of the electrodes are
activated in parallel (Ortmanns et al. 2007) and all sequentially within the required
period. Thus, if hundreds of electrodes are activated every 20 ms, this yields dozens
being activated simultaneously.
Thus, the area and power consumption for each stimulator electrode becomes
the main critical issue for an ASIC design in multichannel applications. For this
reason, the architecture at each stimulator site was usually kept rudimentary
simple, e.g., providing biphasic, rectangular waveshape constant current stimula-
tion, while more flexible stimulation had to be traded against number of electrodes.
In addition, using a large number of electrodes in the small fovea region requires a
small electrode, which results in high electrode impedances (Ortmanns et al. 2007;
Chen et al. 2010). Thus, high-voltage compliance is required at the stimulation
output driver, which directly increases the power consumption of each stimulator.
Thus, in order to achieve good power efficiency during the stimulation process, the
stimulation voltage should therefore be kept to the minimum required voltage
compliance. Additionally, for the non-active stimulation electrodes, all
corresponding circuitry must be effectively shut off to minimize its power contri-
bution. Furthermore, efficient charge-balancing techniques are required in order to
prevent any residual charge accumulation at the electrodes, which might cause
electrolysis and tissue damage (Ortmanns et al. 2007; Sooksood et al. 2010).
Based on these findings from the prominent examples of a multichannel neural
stimulators such as the retinal implant, we summarize in the following several
techniques from the state of the art on how to fulfill these – partially contradicting –
requirements.

State of the Art in Multichannel Stimulators

System Architectures with Flexible Waveform Generation

Typically, most implantable microstimulators for multichannel applications


allowed only basic flexibility in the generation of their rectangular stimulation
pulses where the stimulus parameters (pulse width, interphase, amplitude, and
1292 E. Noorsal et al.

starting polarity) were programmable (Ortmanns et al. 2007; Arabi and Sawan
1999; Coulombe et al. 2007; Chen et al. 2010; Rothermel et al. 2009). Even though
flexible waveform generation provides major advantages, the additional circuit
effort could not be spent due to area and power limitations in the corresponding
ASICs and application.
In contrast, many multichannel stimulators for neuromuscular applications used
commercially available digital controllers such as microcontrollers (Sawan
et al. 2005; Salmons et al. 2001), DSP (Wu et al. 2002), and FPGA (Sawan
et al. 2005). The obvious advantage is the high degree of flexibility and software
programmability (Salmons et al. 2001; Hong et al. 2005). However, the drawback is
the very large area and power consumption. For example, the size of the
multichannel stimulator printed circuit board reported by Hong et al. (2005) and
Salmons et al. (2001) was 200–400 mm2.
In contrast, multichannel microstimulators usually offer small size but reduced
flexibility and programmability. This is because the application-specific microsti-
mulator is optimized in area, power, and speed in order to perform a dedicated task in
one application, without a priori built-in capability of large dynamic range in
amplitude or timing, flexibility in waveshapes, etc. To overcome this lack of
flexibility, customized digital controllers (RISC&DSP) were used in prior ASIC
designs (Boyer et al. 2000). Additionally, SRAM-based memory was also used to
store stimulation profiles (Arabi and Sawan 1999; Nadeau and Sawan 2006; Ba and
Sawan 2003; Sacristan-Riquelme and Oses 2007). Finally, state machine-based
designs are the most common with more or less externally programmable flexibility.
In the following, the state-of-the-art review is restricted to those techniques
applicable to multichannel microstimulators being available for ASIC integration.
Furthermore, the review is divided into firstly the timing and amplitude control and
secondly the flexible pulse-shape control.

1. Timing and amplitude control: Finite state machines (FSM) with a limited
number of registers for stimulation profile storage are commonly employed for
the stimulation pulse generation in microstimulator ASICs. Thereby, the wave
patterns are mostly limited to symmetric and asymmetric rectangular shapes,
with flexibility restricted to the timing and the amplitude level (Ortmanns
et al. 2007; Chen et al. 2010; Rothermel et al. 2009; Sivaprakasam et al. 2005b;
Theogarajan 2008). Thus, only the amplitude level and the pulse-width duration
can be changed during the stimulation. In order to reduce the hardware design
complexity, a global timing control was proposed and employed, where the
timing profile and execution of the stimulation are controlled by a central digital
control, while the actual analog stimulation generators are distributed. In
Sivaprakasam et al. (2005b), eight timing profiles were generated globally, and
two timing multiplexers were used at each local stimulation site to have eight
different timing selections for the anodic and cathodic pulses. Therefore, at each
stimulation site, some flexibility was achieved by having different pulse-width
durations. In Ortmanns et al. (2007), the timing complexity was simplified by
using global control commands to set the pulse-width duration of all concurrently
running stimulations. In contrast, a local timing controller was implemented at
60 Multichannel Microstimulating SoC 1293

every local stimulation unit (Thurgood et al. 2009; Chen et al. 2010; Tran
et al. 2011); this allowed to precisely control the stimulation refresh rates and
to generate pulse trains as well as to control the pulse-width duration. Advanta-
geously, each stimulation site could thereby produce different pulse-width dura-
tion and different frequencies of pulse trains. However, this method increased the
area and power consumption because of the presence of a timing generator at
each stimulation site. This is also the reason why Chen et al. (2010) and Tran
et al. (2011) realized the system in a 0.18 μm HVCMOS and even in a highly
scaled 65 nm CMOS technology, respectively.
To further circumvent such area and power overhead, in alternative designs,
the timing generator was implemented externally (Rothermel et al. 2009;
Theogarajan 2008). Thereby, the pulse timing was controlled by a sequence of
successive command frames, which were sent wirelessly from an external
source. The obvious drawbacks of this method are the high risk of a potentially
harmful operation in case of a link interruption, as well as the difficulty in
simultaneously controlling two stimulation sites (Coulombe et al. 2007).
2. Flexible pulse-shape control: The most commonly employed method to imple-
ment arbitrary pulse shapes or bursts of pulse trains is by using a memory unit
(SRAM) at each local stimulator. With this, the relevant amplitudes, pulse
durations, and pulse repetition rate are stored at each local stimulation site
(Arabi and Sawan 1999; Nadeau and Sawan 2006; Ba and Sawan 2003;
Sacristan-Riquelme and Oses 2007). The technique provides high flexibility
because any desired pattern can be stored at each stimulation site. But it also
yields high area and power consumption, especially with a large number of
current generators in multichannel applications.

A dedicated microprocessor (RISC) was alternatively used to generate the


stimulation pulse and to control the stimulation operation (Mouine 2000). But
this comes with increased power consumption and turns infeasible for multichannel
microstimulators with hundreds of electrodes.
In Thurgood et al. (2009), a digital control unit using an FSM with a local timing
controller and data storage registers was proposed to achieve flexibility for 100 elec-
trodes. However, the implementation was restricted to rectangular pulse shapes and
pulse trains.
An alternative technique to generate a flexible stimulation pulse was proposed
by using pulse-width modulation (PWM) (Chang et al. 2006), which avoided the
time-consuming task of designing and programming the digital control units. The
PWM technique requires a saw-wave generator, a voltage reference, and a
comparator. The saw wave and the voltage reference are used as input signals
to the comparator to generate the square-wave output, which is then used to
trigger the current stimulation. The stimulus pulse width and the pause width are
determined using the selection of the saw-wave frequency and the selected
reference voltage level. However, the PWM method is only suitable for microsti-
mulators that do not need complicated signal processing, that require only simple
rectangular pulse shapes, and that seldom need a change in the stimulus
parameters.
1294 E. Noorsal et al.

Recently, Ethier and Sawan (2011) proposed an energy-efficient stimulus gen-


erator for an intracortical microstimulator. The proposed design could produce
three types of stimulation waveforms, namely, rectangular pulse, half sine wave,
and rising exponential. Additionally, instead of using a digital control unit, this
method employs an analog circuit for the generation of these pulse shapes, namely,
a current mode DAC, a MOS transistor operating in weak inversion region, and a
capacitive current integrator. Although this method could provide high-resolution
pulse shapes, it is limited to only two types of arbitrary pulse shapes and lacks major
reconfigurability due to the analog circuitry.

Balancing Techniques for Safe Stimulation

To avoid damage in the electrode and surrounding tissue, any DC net charge
transfer over an electrode-tissue interface needs to be circumvented. Since decades,
charge-balanced biphasic stimulation pulses are used (Merrill et al. 2005; Grill and
Mortimer 1995), which assures first-order DC cancellation. Due to unavoidable
imperfections in the fabrication process, an intrinsic mismatch in the range of
several percentages has to be taken into account, which leads to a DC net
charge being transferred within every stimulation cycle; periodically repetitive
mismatched biphasic stimulation leads to a DC current. Therefore, countermea-
sures have to be implemented. DC-blocking capacitors are not applicable for
multichannel microstimulators because of space limitation.
Charge balancing based on shorting the stimulation electrodes to the counter
electrode is commonly employed (Chen et al. 2010; Rothermel et al. 2009;
Sivaprakasam et al. 2005b). The required discharging time depends on the electrode
time constant and its discharging impedance. In particular, small-size, high-imped-
ance electrodes are only inefficiently discharged in this way (Sooksood et al. 2010).
Keeping the electrodes grounded continuously while neighboring electrodes are
stimulated is no solution since the stimulation current can be conducted over the
tissue surface to the grounded neighboring electrodes without the wanted stimula-
tion effect. A low discharge impedance for fast balancing can only be reduced by
using an active charge-recovery circuit (Thurgood et al. 2009). Such low imped-
ance can cause high discharge peak current, which needs to be avoided by using a
limited current discharge circuit in order to avoid unwanted second stimulation
(Sivaprakasam et al. 2005a). A general disadvantage of such shorting-based elec-
trode discharge is that successful balancing is neither guaranteed nor monitored.
This can be enhanced by employing active (closed-loop) charge balancers, such as
pulse insertion (Ortmanns et al. 2007; Noorsal et al. 2012) and offset regulation
(Sooksood et al. 2010; Noorsal et al. 2012). Those implementations check the
electrode voltage after stimulation and execute the necessary balancing.
Together with charge-balanced stimulation, surveillance of the implant opera-
tion and status by the external control unit are essential for the complete safety
closure of the implantable prosthesis. Some of the important safety features are the
status of the internal supply voltage or the power condition of the implant,
60 Multichannel Microstimulating SoC 1295

erroneously received data, electrode impedance, charge-balancing problems, or any


other erroneous functionality (Ortmanns et al. 2007; Liu et al. 2005). Therefore, a
bidirectional communication link, which consists of forward and backward data
communication, is vital for the implantable microstimulator system (Ortmanns
et al. 2007; Liu et al. 2005; Sivaprakasam et al. 2005b; Sawan et al. 2005; Lee
and Lee 2005).

Power Management Requirements in Multichannel


Microstimulators

For fully implantable multichannel neural microstimulators, the most common


approach for wireless power transfer is the inductive link. The reason is easily
found in the typically significant power consumption of the multichannel
microstimulator in the mW range, the lack of batteries on thus the need for large,
short-range, wireless power transfer, where the inductive link is the best solution
(Harrison 2007; Ghovanloo and Atluri 2007).
The concept is easily understood as a loosely coupled transformer, but instead of
a magnetic core, a skin layer exists in between. Since the inductive link delivers
only AC power in nature and the stimulator requires a stable DC supply, AC-DC
rectifiers as well as voltage regulators are generally employed following the power
receiver.
Power efficiency of inductive links has been studied manifold before
(Schuylenbergh and Puers 2009; Lenaerts and Puers 2009), and our discussion
should be limited to what the challenges are for implantable, multichannel microsti-
mulators. The implantation yields a not well-defined coupling of the two coils,
which can even change during operation. For example, the epiretinal stimulator
shown in Fig. 1 has a transmitting coil integrated beside the glass frame. But for
wearing comfort, the glass position can be adjusted by the patient from time to time,
leading to both significant alignment and separation errors. In addition, the loading
varies as well. To ensure proper operation, a traditional open-loop inductive link
typically transmits a large amount of excessive power to the implant, resulting in
not only decreased external battery lifetime but also increased regulator dissipation
inside the body. The latter must be avoided to circumvent excessive tissue heating.
Secondly, multichannel microstimulators typically interface small high-
impedance electrodes; thus, high-voltage compliance is needed for the stimula-
tion, while the normal analog and digital electronics must be supplied at much
lower voltage. Thus, multisupply generation is needed. The most common
approaches for this are a combination of shunt and series regulators, multi-coil
inductive links with strong coupling (Ortmanns et al. 2007; Noorsal et al. 2012),
using intermediate taps on the same coil to generate different AC input
voltages to a set of rectifiers (Noorsal et al. 2012) or using on-chip charge
pumps (Dong et al. 2013). The availability of multisupply can additionally be
used for power optimization: for example, the supply for the digital circuitry can
be reduced to achieve lowest power consumption there, while the supply for high
1296 E. Noorsal et al.

dynamic range analog circuitry is kept higher, which allows more noise and
again lower power. Thereby, even while taking the not 100 % efficiency of
DC-DC converters into account, overall power savings can be achieved
(Dong et al. 2013).
Thirdly, due to various stimulation conditions and many electrodes with poten-
tially large different and time-varying electrode impedance, more or less supply
voltage is theoretically required spatially and over time. Traditionally, current-
controlled stimulators operating from a constant supply voltage have shown
low-power efficiency due to the drop-out voltage across the current source, which
result in significant power loss if the supply voltage is excessively large. One
possible approach to achieve power-efficient stimulation is to detect the site
potential and dynamically adjust the supply voltage to near the required minimum.
This has been proposed by using a stimulator compliance monitor together with an
adjustable shunt regulator in Ortmanns et al. (2007) and Noorsal et al. (2012);
another approach was realized in Hyung-Min et al. (2013) by using an integrated
rectifier. There the rectified supply voltage was adapted by controlling the turn-on
phase of the rectification device.
In the following, the abovementioned requirements and shortcomings of the
state of the art are taken as background to review a power-efficient, voltage
adaptable multichannel microstimulator with flexible programmable waveshapes,
which was built for an epiretinal implant, but whose concepts are of course useful
for any kind of multichannel microstimulator.

Flexible Protocol and Waveform Generation

This section briefly reviews the overall design architecture and functionality of a
multichannel epiretinal stimulator ASIC with up to 1024 electrodes – determined
by an address bus of 10-bit, which could of course be easily extended. The overall
system overview is based on the system in Ortmanns et al. (2007) and Noorsal
et al. (2012). Firstly, the system overview is being explained, which is mainly
divided in a global analog part, a global digital part, and a distributed array of
stimulation front ends; next, the functionality of the stimulation front end is
reviewed, and finally the global digital part is focused on since it is responsible
for the power- and area-efficient waveform generation.

System Overview

The overall system architecture of the multichannel implant is shown in Fig. 2. The
implant ASIC consists mainly of a reconfigurable HV power management unit
(Noorsal et al. 2012), an AC-coupled optical receiver for the downlink (Lange
et al. 2011), a clock recovery, an ADC, a load modulator for the uplink (Ortmanns
et al. 2007), a digital global stimulation control unit (GSU), and an extendable array
of distributed local stimulation units (LSUs). Power is powered by a 13.56 MHz RF
60
Multichannel Microstimulating SoC

Fig. 2 Multichannel microstimulator architecture with globally and locally distributed functions (Noorsal et al. 2012)
1297
1298 E. Noorsal et al.

inductive link. The stimulation data are sent to the implant ASIC via an optical link
using a Manchester code at approximately 1 Mb/s. The power management unit
rectifies the telemetric RF input by using integrated rectifiers (Noorsal et al. 2012;
Lange et al. 2011). Thereafter, all supply voltages and bias currents are generated,
including a 3.3 V supply for all low-voltage operations (VDD) and a programmable
high-voltage supply (VDDP) of up to 15 V, which is used for the stimulator outputs.
VDDP and the chip ground (VSS) are centered around the body potential (VCM).
The GSU is the main control unit; it receives the serial downlink data from the
optical receiver and controls the whole implant chip operation. Stimulation com-
mands (stim_cmd), the address bus, and the local stimulation data are distributed by
the GSU to all LSUs. Area and power efficiency at each local stimulator unit is
achieved by having a global timing control over a bus from the GSU, while locally
only programming the amplitude of the timing profile. The LSU, which is addressed
and digitally programmed by the GSU, mainly provides a current stimulation pulse
to the attached electrodes.
Each LSU consists of a digital pixel control unit (PCU), a 5-bit current steering
DAC, and a 1:4 demultiplexed HV current driver into the electrodes; it also features
a voltage compliance monitor, which checks on sufficient voltage compliance at
each electrode; charge balancers, which assure safe stimulation; and a sample and
hold (S&H) circuit for electrode impedance measurements. The modular LSU
designs are arranged in an array and are addressed via busses and row/column
decoders. With the exemplarily employed 10-bit electrode addressing, a maximum
number of 256 LSUs with a total number of 1024 electrodes are supported.
The global charge-balancing circuit (Global Balance in Fig. 2) receives a signal
from each LSU, which indicates if electrode is balanced successfully after stimu-
lation. This serves as a safe operation signal for continuous stimulation. The global
compliance monitor (Global Compl in Fig. 2) receives a signal from each LSU,
which indicates if the supply voltage is sufficient for the currently running stimu-
lation. This serves as a request signal for more or less supply voltage and is
communicated to the external unit.
The status of the epiretinal implant, including erroneous behavior of the link, the
data, the charge balancing, the internal power, the supply condition, and the ADC
output are back communicated to the external control unit through the load mod-
ulator uplink.
The local stimulation unit (LSU) serves as the front end to the electrodes;
thus, it is the most important contributor to power and area efficiency, because
it is potentially placed several hundred times for multichannel stimulators. There-
fore, the design architecture of the LSU needs to be simplified. Figure 2 also
illustrates the schematic detail of the chosen internal architecture of each LSU.
The digital PCU, which resides in every LSU, acts as an interface between the GSU
and the analog stimulator front end. It stores the local amplitude during program-
ming by the GSU and thereafter decodes stimulation commands, which the GSU
uses during stimulation to generate the timing waveform. It also decodes the
commands for charge balancing or sampling the electrode voltage. Each PCU is
programmed with a 7-bit amplitude data, with which the timing profile is –
illustratively spoken – multiplied: there is a 5-bit current steering DAC, as well
60 Multichannel Microstimulating SoC 1299

as an additional 2-bit current gain. This yields four stimulation current ranges with
full-scale levels of 124, 248, 496, and 992 μA, each having 5-bit resolution.
Stimulation commands stim_cmds from the GSU can then modify the 5-bit value
of the DAC, thereby increasing, decreasing, or inverting the output current of all
currently running stimulations, but on different current levels. The HV current
driver is demultiplexed to four stimulation electrodes; thus, all circuitry connecting
to the electrodes is used four times per LSU, which majorly reduces area consump-
tion. The compliance monitor is used to perform a level detection of the electrode
output voltage. When the electrode output voltage is approaching the VDDP or VSS,
the compliance monitor is activated. In the proposed front end, three types of charge
balancers are implemented, namely, the commonly used switched passive dis-
charge, an active charge balancer based on pulse insertion (Ortmanns et al. 2007),
and an active charge balancer based on offset regulation (Sooksood et al. 2010).

Stimulation Protocol and Waveform Generation

Since the generation of flexible waveshapes in the presence of many hundred


stimulation front ends is one of the main challenging tasks, in the following, we
present our implementation of this. The architecture relies on the basic idea that once
an optimal waveshape has been found, this can be used for all electrodes, while the
stimulation strength is controlled by the actual current gain following the global
profile. Thus, the timing data is first programmed and stored in the GSU, then the
local amplitude data is distributed and stored in the LSUs, and then the stimulation is
executed by providing stimulation commands from the GSU to all LSUs.
Each data packet sent to the implant starts with a unique Manchester code
violation such as to indicate the start of a new data frame. Thereafter, “Mode”
bits determine if the following data is timing or amplitude data for stimulation, or
setup data programming global implant functions. Then the actual data content is
sent of predetermined length, and the whole data packet is followed by a 16-bit
CRC to check the data integrity. In case any error in the received data is detected,
the whole sequence will be discarded and no stimulation is executed.

Timing Data Packet


The GSU data packet is exemplarily shown in Fig. 3. It consists of a 3-bit
LSB, which defines the selection of the LSB clock period (4, 8, 16, 32,
64, 128, 256, or 512 μs). Therewith, stimulation commands can be programmed
over a huge timing range for different applications. Then, a selectable number of up
to 64 stimulation commands are sent, each containing a 6-bit value defining the
duration until the command execution and another 5-bit for the actual stimulation
command.

Amplitude Data Packet


The amplitude data is thereafter provided in a sequence of single or up to 256 data
packets of 19-bit length. Thereby the first 10-bit are the PCU address of up to 1024
electrodes and additionally 9-bit amplitude data for the addressed electrode. All
1300 E. Noorsal et al.

Start Mode GSU_Data Stop CRC

LSB Cmmd_0 Cmmd_1 Cmmd_2 Cmmd_n


3b 11 b 11 b 11 b 11 b

MSB LSB

6-bit Command duration 5-bit Command

Fig. 3 GSU data packet, n < 64

amplitude data for the upcoming stimulation is sent in one sequence; every time
another 19-bit is received, the corresponding electrode is addressed and the ampli-
tude information is stored in the PCU. Thus, no global memory for the electrode’s
amplitudes is needed. The 9-bit amplitude data programs the local stimulation
strength, such as amplitude level, output current range, and starting polarity of a
stimulation pulse.

Stimulation Generation Principle


The principle idea of the proposed architecture for stimulation waveform genera-
tion is based on global timing control by the GSU and local amplitude control by the
PCU. Figure 4 illustrates the basic functionality of the stimulation state machine
within the GSU. The stimulation waveform is stored in a 64  11-bit register
allowing up to 64 individual commands. These commands are distributed sequen-
tially over a bus to all PCUs. The PCUs, which have been programmed with
amplitude data, execute these commands upon their own programmed amplitude.
The GSU commands can, e.g., start and stop the current, invert the polarity, and
increase or decrease the currently set amplitude by factor of 2 (bit shift) or an LSB
(counting); this is done within each PCU working upon its own 5-bit DAC.
The sequential execution of the commands is achieved most simply as shown in
Fig. 4. Each 5-bit command stim_cmdi has been stored with a corresponding 6-bit
time ΔTi. Before the command is executed, a counter is loaded with its
corresponding timing value.
The 6-bit counter value ΔTi is decremented to zero in multiples of the initially
programmed timing LSB. Since the 3-bit LSB clock is programmable from 4 to
512 μs, the ΔTi duration can largely vary from 4 μs to 32.25 ms. Once the time has
elapsed, the corresponding 5-bit stim_cmd is globally distributed to all LSUs, where
it is executed accordingly. Then, the next command line in the register file is read.
This process is repeated until the end command is read, which deactivates all LSUs,
as shown in Fig. 4. Then, the state machine returns to its Idle state and waits for the
next stimulation to start.
Using this approach, with only limited hardware overhead in the stimulation
front ends, and reasonable hardware overhead in the global digital control, a
multichannel stimulator can be realized with a large number of electrodes achieving
60

11-b cmd clk_1MHz

6-b 5-b
active cur_on cnt_up cur_off end
time ctrl_word 0 0 double 0 0
COUNT stim_cmd PCU
tim Activate
_s PCUs ∆Ti ∆T1 = 0 cur_on [4:0]
t
ar PCU
st
Multichannel Microstimulating SoC

∆T2 = 3 double dac_reg 0 2 4 5


[4:0]
timer !=0 ∆T3 = 4 cnt_up
IDLE
1 DAC LSB
∆T4 = 7 cur_off
end_ double
stim EXEC
stim_cmd Output Initial DAC
∆Tn = 0 end current setting
GSU Register File ∆T1 ∆T2 ∆T3 ∆T4

Fig. 4 Simplified stimulation state machine with register file in the GSU and an example of programmable waveform generation using several 5-bit
stim_cmds (Noorsal et al. 2012)
1301
1302 E. Noorsal et al.

very high flexibility of waveshapes, timing, and amplitudes. When employing clock
gating to the local parts, inactive electrodes are completely powered down. Both
techniques circumvent the common linear increase of power and area with
increased number of electrodes in multichannel stimulators.

Power- and Area-Efficient Stimulator Front Ends

Besides the overall stimulator architecture and the protocol of how to achieve
flexible stimulation, the actual circuit implementation in the stimulator front end
is a determining factor in the implementation of an area- and power-efficient
multichannel microstimulator. For reasons of reliably safe charge injection, current
mode stimulation is preferred. Since in multichannel microstimulators the corre-
spondingly used electrode arrays feature smaller single electrode area and thus
higher impedance, while the required stimulation thresholds do not scale propor-
tionally, almost all implementations of such stimulators – due to Ohm’s law –
require high-voltage compliance.
This is also one of the reasons why most multichannel stimulator designs are
realized in less scaled technologies featuring high-voltage transistors – commonly
known as automotive or HV CMOS technologies (Ortmanns et al. 2007; Chen
et al. 2010; Liu and Humayun 2004). The few designs featuring only low, standard
CMOS voltage compliance and thereby being able to employ heavily scaled CMOS
technologies provide only small stimulation currents or claim to work with much
smaller electrode impedances (Monge et al. 2013).
By employing HV technology, the HV interface and thereby the output current
driver are usually one of the major area and power consumers in the stimulator front
end, and thus its design is of utmost importance – especially if many channels are
required in a microstimulator. Two challenges were focused on in our approach:
firstly, the constant current drivers need headroom voltage (VHR) across their
output transistors operated in saturation in order to provide constant currents; for
instance, approximately 2 V headroom voltage was required in the current driver in
Ortmanns et al. (2007). As shown in Fig. 5, this headroom voltage reduces the
voltage compliance with respect to the supply voltage and thus reduces the power
efficiency of the stimulator. This becomes even more of a problem for lower supply
voltages, since the headroom remains large, and the percentage compliance
reduces. Secondly, a stimulator front end is typically supplied with a constant
supply voltage, which is calculated for worst-case assumptions of electrode imped-
ance and largest required stimulation current. In case the electrode impedance is
smaller than expected or the stimulus threshold is smaller than expected, a major
part of the power dissipation of the stimulator is wasted for too large compliance.
Consequently, an efficient stimulator should reduce the voltage headroom as
well be able to adapt the voltage compliance to the actual needed value.
A schematic implementation of a highly efficient biphasic current driver for a
multichannel microstimulator front end is shown in Fig. 6. It consists of a 5-bit
current steering DAC with two bias points (Ortmanns et al. 2007), digital level
60 Multichannel Microstimulating SoC 1303

Fig. 5 Biphasic current driver and its voltage-current relationship (Source: Sooksood and
Ortmanns (2012) © The Institution of Engineering and Technology)

shifters, a high-voltage compliance biphasic current source, and a compliance


monitor. In this implementation, the driver was demultiplexed to four stimulation
electrodes sharing the HV front end among four electrodes, thus reducing area. The
digital control (PCU) provides 7-bit stimulation current data, in which 5 bits are
used for the DAC, 1 bit is used to select the DAC LSB (0.8 or 3.2 μA), and the last
bit is used to set the current mirror gain of the output stage to either five or ten.
Thus, the output current can be adjusted in four different current ranges from 4 to
992 μA. The 5-bit DAC can be adjusted during the stimulation via globally
distributed commands, thus allowing more complex stimulation waveforms.

HV Current Driver

The output current driver in Fig. 6 is based on the voltage-controlled resistor


topology in Ghovanloo and Najafi (2005). Here, the resistors of a Widlar current
source were realized as transistors biased in deep triode region, allowing to adjust
the current by controlling the gate voltage. However, in Ghovanloo and Najafi
(2005), the gate voltage was generated from a voltage-mode DAC using
quite complex current compensation circuits for linearization. In our design from
Noorsal et al. (2012), this is very much simplified by using a regulated cascode
current mirror, where both the diode and current mirror transistors are biased in
deep triode region. This yields high output impedance with small headroom volt-
age. Good current matching is achieved by adopting gain boosting to both transis-
tors, thus regulating their VDS to the same value. Therefore, no extra compensation
circuit is required which drastically reduces the area and power consumption
compared to Ghovanloo and Najafi (2005).
Two major disadvantages of commonly employed current mirror-based stimu-
lator front ends are the static power consumption in the biasing branch (especially
during anodic stimulation) and the usage of a DAC for every current driver. To
overcome both drawbacks, based on Shulyzki et al. (2010), a symmetrical regulated
1304

Fig. 6 HV current driver (Noorsal et al. 2012)


E. Noorsal et al.
60 Multichannel Microstimulating SoC 1305

Fig. 7 Symmetrical regulated cascade-based current copying stimulator (Source: Sooksood and
Ortmanns (2012) © The Institution of Engineering and Technology)

cascade-based current copying stimulator has recently been shown to be advanta-


geously inserted into Fig. 6 (Sooksood and Ortmanns 2012). The circuit is illus-
trated in Fig. 7 and its operation is separated into two phases: during storage phase
(Φ1), the circuit is configured as the biasing part of the symmetrical regulated
cascode with an additional storage capacitor CMEM, which can also be just Cgs of
transistor M1. The storage capacitor is charged to store the gate voltage of transistor
M1 corresponding to the DAC current. Moreover, the drain voltage of transistor M1
is regulated to the bias voltage VDN. In the stimulation phase (Φ2), the circuit is
configured as the output part of the symmetrical regulated cascode. The capacitor
voltage sets the stimulus current, and the amplifier loop regulates the drain voltage
of transistor M1, and the gate voltage of the output transistor M3 is adjusted
accordingly. The advantages of this stimulator are, firstly, the biasing voltage
VDN can be small which increases the voltage compliance. Secondly, the power
in the biasing branch is almost eliminated, since it is only needed during “program-
ming.” Thirdly, the area is decreased because the DAC can be reused among
different output stages (Shulyzki et al. 2010).

Compliance Monitor

An instantaneous adaptation of the stimulator’s supply voltage to the required


compliance would be most power efficient, especially when considering varying
stimulation thresholds and electrode impedances over time. For this adaption, a
monitoring circuit is required which surveys the actual available against required
output compliance. In the proposed output stage in Fig. 5, the compliance check is
most easily achieved by monitoring the feedback mechanism of the regulated
cascade (VOA2/4). If the headroom voltage of one of the output current drivers is
1306 E. Noorsal et al.

Fig. 8 Compliance monitor


(Noorsal et al. 2012)

reduced by having the electrode voltage approaching one of the supply rails, the
feedback amplifier starts to counteract by steering its output voltage toward VDD
(M2) or VSSP (M4). The compliance monitor then simply performs a level detection
of these output voltages by, e.g., employing a few CMOS gates as illustrated in
Fig. 8. The level detection inverters are designed with a switching point of 1 V
below for the cathodic driver and 1 V above for the anodic driver. Both signals are
then combined in an OR gate, which indicates when either driver is running out of
compliance. This again can be used for supply adaptation.

Charge Balancing

Charge balancing is a major concern for electrical tissue stimulation, since any
excess charge which is repeatedly inserted into an electrode leads to unwanted DC
current leads and thus electrolysis with electrode dissolution, gazing, and tissue
destruction. For first-order charge-balanced stimulation, almost all microsti-
mulators use biphasic stimulation with equal charge transfer during the cathodic
and anodic stimulation (Grill and Mortimer 1995). But due to unavoidable
mismatch of the current generators, and the unavailability of blocking capacitors
in multichannel microstimulators, explicit charge-balancing techniques have to be
employed.

Electrode Shorting or Passive Discharge


Mostly due to space limitations, many multichannel microstimulators used
charge-balanced stimulation by relying on electrode shorting (Ghovanloo and
Najafi 2007; Rothermel et al. 2009). An illustrative circuit is given in Fig. 9.
Using passive electrode shorting, the required discharge time depends on the
impedance of the discharge path, the time constant of the electrode, the worst-
case charge mismatch, and the allowed DC voltage buildup at the electrode. If a
small-sized electrode with high impedance is used, then a long discharge period is
needed (Sooksood et al. 2010). In order to allow a low discharge path, but to
60 Multichannel Microstimulating SoC 1307

Fig. 9 Passive charge VDD


balancing by electrode
shorting (Sooksood
I stim +
et al. 2010) VE

pos C HW RS VCM
Stim Ctrl
neg
Electrode

Istim −
Discharging
Φ DIS Circuit
VSS

prevent the large initial current spike during the discharge, a current-limiting
discharge circuit has been proposed and improved for a high-voltage application
(Sivaprakasam et al. 2005a).
In addition to the trade-off of discharge time, sufficient charge balancing, and
maximum allowed electrode impedance, a general and critical disadvantage of all
passive discharge techniques is that their success is not controlled. After every
stimulation period, the discharge process is initiated for a certain amount of time.
But especially since the current mismatch and the electrode impedance indepen-
dently vary and even change over time, the required discharge time is mostly
unknown, based on experiments, and thus set to a worst-case scenario. Thus, the
electrode potential is not known before or after the discharge period, and a safe
electrode condition is not monitored or guaranteed.

Pulse Insertion Active Charge Balancing


In contrast to the passive discharging, active charge balancers have been proposed.
They actively control the electrode voltage into a safe operating region. The
simplified concept of the active charge balancer using pulse insertion is illustrated
in Fig. 10 (Ortmanns et al. 2007). After each stimulation pulse, the switch is closed
shortly to monitor the electrode voltage. If the voltage exceeds a safe value well
below the water window (e.g., 100 mV), a short-current pulse, which can be
programmed in amplitude and duration, delivers a fixed amount of charge in order
to balance the electrode voltage. This process is repeated until the electrode voltage
is within the safe window. This technique has been proven to be reliable, of low
power, and applied to multichannel microstimulators such as a 231 channel retinal
implant (Ortmanns et al. 2007). The huge advantage is that the successful balancing
becomes a controlled process, and unsuccessful balancing can be monitored and
communicated via the uplink.
Disadvantageously, the effect of the inserted short pulses on an unwanted neural
stimulation is unclear. In addition, the required number of balancing current pulses
and, therewith, the duration of the charge balancing depend on the actual charge
imbalance after each stimulation. Vice versa, the maximum amount of mismatch
1308 E. Noorsal et al.

VDD

I stim + Istim
VE
0
Stim + pos
Ctrl − neg
Electrode VCM
Balance Ctrl

Istim− Φmeas VE

VSS Ctrl
pos
Vin VCM

neg
ΔV safe

Fig. 10 Pulse insertion active charge balancing (Sooksood et al. 2010)

charge, which can be compensated, depends on the adjusted charge per pulse and
the number of pulses allowed over time (Ortmanns et al. 2007).

Offset Regulation Active Charge Balancing


In order to maintain the feedback control, but getting rid of the instantaneous, pulse
insertion-based charge balancing, a long-term current matching technique has been
proposed: for this offset regulation-based active charge balancer, the electrode
resting potential is briefly monitored after each stimulation by using a HV window
comparator (Ortmanns et al. 2007). Thereby, it is again determined whether the
potential exceeds the predefined “safe” window of approximately 100 mV above
or below the body potential. If the electrode residual voltage is within the chosen
limits, the stimulator front end will remain the same for the next stimulation cycles.
If the electrode resting potential is above or below the predefined window, this
indicates that an anodic or a cathodic mismatch occurred during the stimulation.
Thus, the output current source is adjusted on the anodic or cathodic side, respec-
tively, such as to counteract that balance with the next stimulation. Thus, a control
loop regulates the output current mismatch to a long-term balanced condition.
As opposed to the concept proposed in Sooksood et al. (2010) using a
continuous-time integrator, in the multichannel microstimulator, a digital controller
using a 3-bit Moore state machine R(z) was employed, which is indicated in Fig. 11,
where the offset adjustable output current sources are redrawn schematically from
Fig. 6. If the electrode residual voltage is monitored within the safety level, the
offset state machine will remain at its current state. If an anodic or cathodic
mismatch is monitored, the offset state machine moves one state up or down,
which accordingly changes the outputs a1, a2, c1, and c2 in Fig. 11. These controls
set the offset anodic (IOano) and cathodic (IOcath) current at the output stage in
Fig. 11 and similarly in the schematic in Fig. 6. Thus, this procedure will either keep
the current setting for the biphasic output current or increase or decrease the offset
current by 1 LSB and thus try to balance the anodic and cathodic mismatch. In the
60 Multichannel Microstimulating SoC 1309

Fig. 11 Offset regulation active charge balancing (Sooksood et al. 2010)

implemented circuit (Noorsal et al. 2012), the LSB is set to be 5 % of the DAC
current, which allows the offset regulation to correct for 15 % biphasic current
mismatch.

Effective Power Management for Multichannel Stimulators

Overview of System Architecture

The system architecture of the energy-efficient multichannel microstimulator is


already shown in Fig. 2, and the general power management for wireless supply
generation works as follows: the implant is inductively powered at 13.56 MHz, and
the primary coil is driven by a switch-mode class-E power amplifier. On the implant
side, three supply voltages are generated utilizing two separate coil sets. An off-chip
parallel LC resonator induces a HV AC signal, which is rectified by an integrated,
efficiency-enhanced symmetrical voltage rectifier (Xu et al. 2012), providing VDDP/
VSSA and VCM. VDDP and VSSA are used as the HV supply driving the stimulator
output stage, while the centered VCM is connected as counter electrode and body
potential. A HV shunt regulator is employed to keep VDDP constant at a program-
mable level; programmability is achieved by adapting the voltage reference over a
reconfigurable diode string. Since the input amplitude of the HV AC signal is
sensitive to the coupling of the inductive link, the shunt regulator also serves as an
AC limiter clamping the input amplitude and protecting the rectification devices. The
1310 E. Noorsal et al.

low supply voltage VDDA is generated from a second receiving coil, an LV rectifier,
and a low drop-out regulator. The low supply VDDA is used to supply the standard
CMOS digital controller as well as analog blocks. Since the LV coil is strongly
coupled to the HV one, no resonance capacitor is required in this path.
The overall power consumption is reduced on the architectural level by
employing the global timing control, by deactivating all front ends while not
needed, by using local and global clock gating, and by realizing a power-efficient
front-end design, as was outlined in the previous sections. On the power manage-
ment level, four major methods have been employed to make the system power
efficient, namely, the integrated rectifiers employ efficiency-enhanced MOS diodes
with Vth cancellation, the inductive link employs resonance compensation, the
power in the inductive link is closed-loop controlled, and the stimulator supply
voltage is adapted to the minimum required compliance value for the currently
running stimulation. These methods are outlined in more detail in the following.

Inductive Receiver with Secondary Resonance Compensation

Due to coil deformation, process variation, stray caps, or conductive material near
the coil, the link can hardly work at the optimal efficiency, and the link power must
typically be increased to tolerate the uncertainties, deteriorating further the already
small power efficiency.
An alternative is to calibrate the LC mismatch of the resonance tank on the
secondary side (Xu et al. 2013). As schematically shown in Fig. 12, a capacitor
bank is included on chip put in parallel to the external LC network; the resonance
frequency of the secondary side is digitally trimmed after start-up to give optimal
link gain. For this purpose, an internal tuning loop is activated after the power on
reset. During the calibration, the capacitance of the capacitor bank is monotonously
incremented, and the current variation induced in the shunt regulator is monitored.
The optimum operating point of the link is obtained when the shunt current is
maximum, and the optimum link gain is established for further operation. This

VDD Shunt
VRF+
Reg.

Resonance
Capacitor Compensator
Bank
VC VB VSS

Varactor
Single bit
VRF- Driver
varactor

Fig. 12 Automatic secondary tuning of inductive link (Xu et al. 2013)


60 Multichannel Microstimulating SoC 1311

procedure can either be done after start-up or even in regular intervals during
operation.
It should be noted that in this approach, trimming cannot be employed using a
simple switched capacitor array. This is because the high AC voltage induced by the
LC tank is close to the absolute maximum rating of the MOS transistor; thus, the
large gate overdrive required for maintaining a low switch equivalent serial resis-
tance cannot be obtained. Thus, differentially driven PMOS varactors working in
inversion mode were employed in Xu et al. (2013). By this secondary resonance
compensation technique, the overall power transmission efficiency was shown to be
improved by a factor of 2 with an initial TX/RX mismatch as small as 4.5 %,
showing the large potential of this approach.

Closed-Loop Power Control and Dynamic Supply Adaptation

In the practical application of a multichannel microstimulator, the electrode load


varies over time and between stimulation sites, the stimulation threshold varies over
patients, and the stimulation strength varies among subsequent stimulation frames
and the power link varies dynamically due to changing coupling in the inductive
link. Thus, obviously, the required stimulator voltage compliance, supply voltage,
and available power from that supply significantly vary over time, location, and
application. But most commonly, both power in the inductive link and supply
voltage are predetermined under worst-case assumptions and then not controlled
nor changed during operation. Obviously, this is extremely power inefficient.
In the proposed multichannel microstimulator, efficient power management is
accomplished with dynamic power and voltage adaptation (Xu et al. 2012). First, a
global power monitor is employed to sense the power availability in the implant.
Therefore, a subscaled copy of the shunt current is compared with a programmable
reference. The result of this comparison is communicated via the downlink to the
primary side at 10 kS/s. This information is then used to adapt the class-E output
power. Thus, by keeping the shunt current at a small but constant level, this method
keeps the power in the link closed-loop controlled at the minimum level to maintain
the internal supply voltage at the shunt regulator reference value.
Furthermore, in the proposed microstimulator in Fig. 2, this shunt reference
value is programmable, allowing to adapt the HV supply VDDP. The design of the
adaptive shunt regulator is shown in Fig. 13.
The reference voltage of the regulator is generated by an adjustable string of
diodes from VCM to VSSA. VDDP is regulated at a level of roughly 2*VCM which is
set by the coil tapping ratio. The shunt adjustment works by selectively shorting the
reference diode string.
Uniquely, the proposed output stage in Fig. 6 allows to check on voltage
compliance errors during stimulation. Thus, if any output stage runs out of com-
pliance during a running stimulation, this information is used to extend the refer-
ence diode string which in turn yields a larger VDDP. If, for a long time, no
compliance error has been detected, the diode string is tentatively shortened, and
1312 E. Noorsal et al.

the resulting smaller supply is tested of being sufficient for the stimulations. If
subsequently any of the local voltage compliance monitors again detects a too small
VDDP, the shunt reference is immediately readjusted to step up the supply.
Through the combination of these dynamic adaption techniques, the multi-
channel microstimulator always works at a supply voltage, which is just sufficient
for all currently running stimulations, while the inductive link is always adjusted to
deliver the minimum power to maintain the currently required supply with the
actual load current. Thus, minimum operating voltage with minimum power con-
sumption and heat generation are obtained. It is important to mention that since the
LV coil is directly coupled to the HV one, the supply adaptation will proportionally
change the input amplitude of the LV rectifier. Thus, an adaptive LV rectifier is
implemented here to generate sufficient unregulated LV supply for the serial
regulator (Xu et al. 2012).
Figure 14 shows a 16-channel microstimulator prototype, which was presented
in Xu et al. (2012). The circuit is easily extendable to provide between 16 and 1024

Fig. 13 Circuit schematic of


the adaptive HV shunt
regulator with power monitor
(Xu et al. 2012)

Fig. 14 16-channel prototype of the power-efficient, flexible microstimulator (Xu et al. 2012)
60 Multichannel Microstimulating SoC 1313

output electrodes, where only the front-end stimulation array is copied in a chess-
board style, since only few global analog wires and a digital bus structure are used
for their connection.

Conclusion

Implantable multichannel microstimulators have classically been built with as low


as possible flexibility and reusability because power and area could not be
compromised. Thus, stimulators with mostly biphasic rectangular waveshapes,
little or no overhead circuitry for safety and monitoring, as well as little adaption
were realized and shown in the last two decades. Nonetheless, where the implan-
tation space or the available power was large or where the number of required
channels was small, the clear advantages of flexibility and adaptability were
employed.
Over the recent years, this has changed by architectural and circuit innovation.
Integrated safety features like charge balancing are implemented as requested for
long-term operation. Monitoring of implant behavior is used both for safety and
operational to adapt the system functionality. Adaption of supply and power has
been increasingly employed since the power budget is fixed due to implantation
space and heating, while the number of functional channels has been increased
tremendously.
In this chapter, we have reviewed the state of the art of implantable multichannel
microstimulators and discussed the major obstacles in their implementation. Also,
one architectural approach has been shown in detail, which combines high pro-
grammability and adaptability with high voltage and power efficiency.

References
An SK, Park S-I, Jun SB et al (2007) Design for a simplified cochlear implant system. J IEEE
Trans Biomed Eng 54:973–982
Arabi K, Sawan MA (1999) Electronic design of a multichannel programmable implant for
neuromuscular electrical stimulation. J IEEE Trans Rehabil Eng 7:204–214
Ba A, Sawan M (2003) Multiwaveforms generator dedicated to selective and continuous stimu-
lations of the bladder. In: 25th annual international conference of the IEEE EMBS 2003, vol
2, 17–21 Sept 2003, pp 1569–1572
Bhatti PT, Wise KD (2006) A 32-site 4-channel high-density electrode array for a cochlear
prosthesis. J IEEE J Solid State Circuits 41:2965–2973
Boyer S, Sawan M, Abdel-Gawad M et al (2000) Implantable selective stimulator to improve
bladder voiding: design and chronic experiments in dogs. J IEEE Trans Rehabil Eng 8:464–470
Chang S-J, Chung W-Y, Chuang C-C (2006) System design of implantable micro-stimulator for
medical treatments. In: IEEE Asia Pacific conference on circuits and systems, APCCAS 2006,
Dec 2006, pp 478–481
Chen K, Yang Z, Hoang L et al (2010) An integrated 256-channel epiretinal prosthesis. J IEEE J
Solid State Circuits 45:1946–1956
Chen K, Lo Y-K, Liu W (2013) A 37.6mm2 1024-channel high-compliance-voltage SoC for
epiretinal prostheses. In: IEEE international solid state circuit conference, ISSCC 2013, 17–21
Feb 2013, pp 294–295
1314 E. Noorsal et al.

Coulombe J, Sawan M, Gervais JF (2007) A highly flexible system for microstimulation of the
visual cortex: design and implementation. J IEEE Trans Biomed Circuits Syst 1:258–269
Dong H, Yuanjin Z, Rajkumar R et al (2013) A 0.45V 100-channel neural-recording IC with
sub-μW/channel consumption in 0.18μm CMOS. In: IEEE international solid state circuit
conference, ISSCC 2013, 17–21 Feb 2013, pp 290–291
Ethier S, Sawan M (2011) Exponential current pulse generation for efficient very high-impedance
multisite stimulation. J IEEE Trans Biomed Circuits Syst 5:30–38
Georgiou J, Toumazou C (2005) A 126-μW cochlear chip for a totally implantable system. J IEEE
J Solid State Circuits 40:430–443
Ghovanloo M, Atluri S (2007) A wide-band power-efficient inductive wireless link for implant-
able microelectronic devices using multiple carriers. J IEEE Trans Circuits Syst I Regul Pap
54:2211–2221
Ghovanloo M, Najafi K (2005) A compact large voltage-compliance high output-impedance
programmable current source for implantable microstimulators. J IEEE Trans Biomed Circuits
Syst 52:97–105
Ghovanloo M, Najafi K (2007) A wireless implantable multichannel microstimulating system-on-
a-chip with modular architecture. J IEEE Trans Neural Syst Rehabil Eng 15:449–457
Grill WM, Mortimer JT (1995) Stimulus waveforms for selective neural stimulation. J IEEE Eng
Med Biol Mag 14:375–385
Harrison RR (2007) Designing efficient inductive power links for implantable devices. In: IEEE
international symposium on circuits and systems, ISCAS 2007, 27–30 May 2007, pp 2080–2083
Hong S, Yan W, Chaoshi R (2005) Implantable neuromuscular stimulators used in animal
behavior experiments. In: First international conference on neural interface and control,
2005. Proceedings, May 2005, pp 182–184
Hyung-Min L, Hangue P, Ghovanloo M (2013) A power-efficient wireless system with adaptive
supply control for deep brain stimulation. J IEEE J Solid State Circuits 48:2203–2216
Kuncel AM, Cooper SE, Wolgamuth BR et al (2007) Amplitude- and frequency-dependent
changes in neuronal regularity parallel changes in tremor with thalamic deep brain stimulation.
J IEEE Trans Neural Syst Rehabil Eng 15:190–197
Lange S, Xu H, Lang C et al (2011) An AC-powered optical receiver consuming 270 μW for
transcutaneous 2Mb/s data transfer. In: IEEE international solid state circuit conference,
ISSCC 2011, 20–24 Feb 2011, pp 304–305
Lee C-L, Hsieh C-C (2013) A 0.8-V 4096-pixel CMOS sense-and-stimulus imager for retinal
prosthesis. J IEEE Trans Electron Devices 60:1162–1168
Lee S-Y, Lee S-C (2005) An implantable wireless bidirectional communication micro-
stimulator for neuromuscular stimulation. J IEEE Trans Circuits Syst I Regul Pap
52:2526–2538
Lee H-M, Kwon K-Y, Li W et al (2014) A power-efficient switched-capacitor stimulating system
for electrical/optical deep-brain stimulation. In: IEEE international solid-state circuits confer-
ence, ISSCC 2014, 9–13 Feb 2014, pp 414–415
Lenaerts B, Puers R (2009) Omnidirectional inductive powering for biomedical implants. Analog
circuits and signal processing. Springer Netherlands, Dordrecht, pp 39–80
Liu W, Humayun MS (2004) Retinal prosthesis. In: IEEE international solid-state circuits confer-
ence, ISSCC 2004, 17 Feb 2004, pp 218–219
Liu W, Sivaprakasam M, Wang G et al (2005) Implantable biomimetic microelectronic systems
design. J IEEE Eng Med Biol Mag 24:66–74
Loiselle BEC (2000) A stimulation controller microcircuit for use in a cochlear implant system.
Dissertation University of Ottawa, Canada
Loudin JD, Cogan SF, Mathieson K et al (2011) Photodiode circuits for retinal prostheses. J IEEE
Trans Biomed Circuits Syst 5:468–480
Mahadevappa M, Weiland JD, Yanai D et al (2005) Perceptual thresholds and electrode
impedance in three retinal prosthesis subjects. J IEEE Trans Neural Syst Rehabil Eng
13:201–206
60 Multichannel Microstimulating SoC 1315

Merrill DR, Bikson M, Jefferys JGR (2005) Electrical stimulation of excitable tissue: design of
efficacious and safe protocols. J Neurosci Methods 141:171–198
Monge M, Raj M, Honarvar-Nazari M et al (2013) A fully intraocular 0.0169mm2/pixel
512-channel self-calibrating epiretinal prosthesis in 65nm CMOS. In: IEEE international
solid-state circuits conference, ISSCC 2013, 17–21 Feb 2013, pp 296–297
Mouine J (2000) Low power mixed signal microprocessor for programmable cell powered urinary
incontinence stimulator. IEEE Electron Device Lett 36:1913–1915
Nadeau P, Sawan M (2006) A flexible high voltage biphasic current-controlled stimulator. In:
IEEE biomedical circuits system conference, BioCAS 2006, 1 Dec 2006, pp 206–209
Noorsal E, Sooksood K, Xu H et al (2012) A neural stimulator frontend with high-voltage
compliance and programmable pulse shape for epiretinal implants. J IEEE J Solid State
Circuits 47:244–256
Ortmanns M, Rocke A, Gehrke M et al (2007) A 232-channel epiretinal stimulator ASIC. J IEEE J
Solid State Circuits 42:2946–2959
Rothermel A, Liu L, Pour-Aryan N et al (2009) A CMOS chip with active pixel array and specific
test features for subretinal implantation. J IEEE J Solid State Circuits 44:290–300
Rushton DN (1997) Functional electrical stimulation. J Physiol Meas 18:241
Sacristan-Riquelme J, Oses MT (2007) Implantable stimulator and recording device for artificial
prosthesis control. J Microelectron 38:1135–1149
Salmons S, Gunning GT, Taylor I et al (2001) ASIC or PIC? Implantable stimulators based on
semi-custom CMOS technology or low-power microcontroller architecture. J Med Eng Phys
23:37–43
Sawan M, Hu Y, Coulombe J (2005) Wireless smart implants dedicated to multichannel monitor-
ing and microstimulation. IEEE Circuits Syst Mag 5:21–39
Schuylenbergh KV, Puers R (2009) Inductive powering: basic theory and application to biomed-
ical systems. Analog circuits and signal processing. Springer Netherlands, Dordrecht,
pp 77–102
Shire DB, Kelly SK, Chen J et al (2009) Development and implantation of a minimally invasive
wireless subretinal neurostimulator. J IEEE Trans Biomed Eng 56:2502–2511
Shulyzki R, Abdelhalim K, Genov R (2010) CMOS current-copying neural stimulator with
OTA-sharing. In: IEEE international symposium on circuits and systems, ISCAS 2010,
2 June 2010, pp 1232–1235
Sivaprakasam M, Liu W, Humayun MS et al (2005a) A variable range bi-phasic current stimulus
driver circuitry for an implantable retinal prosthetic device. J IEEE J Solid State Circuits
40:763–771
Sivaprakasam M, Liu W, Wang G et al (2005b) Architecture tradeoffs in high-density microsti-
mulators for retinal prosthesis. J IEEE Trans Circuits Syst I Regul Pap 52:2629–2641
Sooksood K, Ortmanns M (2012) Power efficient output stage for high density implantable
stimulators. Electron Device Lett 48:551–552
Sooksood K, Stieglitz T, Ortmanns M (2010) An active approach for charge balancing in
functional electrical stimulation. J IEEE Trans Biomed Circuits Syst 4:162–170
Talukder MI (2007) Implantable neural stimulation and neural response reading microsystem
design for wireless retinal and auditory prostheses. PhD thesis, Wayne State University,
Detroit
Theogarajan LS (2008) A low-power fully implantable 15-channel retinal stimulator chip. J IEEE
J Solid State Circuits 43:2322–2337
Thurgood BK, Warren DJ, Ledbetter NM et al (2009) A wireless integrated circuit for 100-channel
charge-balanced neural stimulation. J IEEE Trans Biomed Circuits Syst 3:405–414
Tran N, Skafidas E, Yang J et al (2011) A prototype 64-electrode stimulator in 65 nm CMOS
process towards a high density epi-retinal prosthesis. In: Annual international conference of the
IEEE EMBS 2011, 3 Sept 2011, pp 6729–6732
Wong LSY, Hossain S, Ta A et al (2004) A very low-power CMOS mixed-signal IC for
implantable pacemaker applications. J IEEE J Solid State Circuits 39:2446–2456
1316 E. Noorsal et al.

Wu H-C, Young S-T, Kuo T-S (2002) A versatile multichannel direct-synthesized electrical
stimulator for FES applications. J IEEE Trans Instrum Meas 51:2–9
Xu H, Noorsal E, Sooksood K et al (2012) A multichannel neurostimulator with transcutaneous
closed-loop power control and self-adaptive supply. In: 38th European solid-state circuits
conference, ESSCIRC 2012, Proceedings, 17–21 Sept 2012, pp 309–312
Xu H, Bihr U, Becker J et al (2013) A multi-channel neural stimulator with resonance compen-
sated inductive receiver and closed-loop smart power management. In: IEEE international
symposium on circuits and systems, ISCAS 2013, 19–23 May 2013, pp 638–641
Zrenner E (2002) Will retinal implants restore vision? J Sci 295:1022–1025
Subretinal Neurostimulator for Vision
61
Naser Pour Aryan and Albrecht Rothermel

Contents
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1318
Surgical Procedure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1321
System Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1322
Output Driver and Electrode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1324
Water Window and Different Electrode Materials . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1325
Electrode Modeling . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1329
Necessary Properties for the Output Driver Circuit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1330
Photo-Sensor Structure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1330
Retinal Illuminance . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1332
Input Trans-impedance Converters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1332
Future Device Generation: Spatial Filtering . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1334
References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1335

Abstract
The following chapter investigates a subretinal neural stimulator used for restor-
ing vision to a specific group of blind people. These people suffer from diseases
in which the photoreceptors of the retina cease to exist. The chip is implanted
under the retina and replaces the functionality of rods and cones, i.e., it is
stimulated by light and transforms the received optical image information into
electrical signals. The optical signals are received by photodiodes and amplified
and processed. The corresponding electrical signals are delivered to the bipolar
cells which convey the information to the ganglion cells and the optic nerve.
Image processing for contrast enhancement is an option. The approach has been

N. Pour Aryan (*)


Continental Engineering Services GmbH, Ingolstadt, Germany
A. Rothermel
Institute of Microelectronics, University of Ulm, Ulm, Germany
e-mail: info@albrecht-rothermel.de

© Springer Science+Business Media, LLC, part of Springer Nature 2022 1317


M. Sawan (ed.), Handbook of Biochips,
https://doi.org/10.1007/978-1-4614-3447-4_27
1318 N. Pour Aryan and A. Rothermel

tested and proved to be successful in blind patients suffering lack of vision for
many years.

Introduction

In diseases like retinitis pigmentosa (RP) or age-related macular degeneration


(AMD), the photoreceptors of the retinal layer of the eye degenerate, while the
rest of the eye remains intact. Figure 1 illustrates the structure of the eye and the
different retinal layers. There are various methods to restore a limited visual
capability to the patients in the above cases.
In March 2011 the company Second Sight based in California introduced a
product called Argus II to restore vision to RP patients. In this method an
extraocular stimulator device is placed on the side of the eyeball. This module is
connected to the stimulation electrodes positioned on the retina through a lead wire
flex. The stimulator is coupled wirelessly through receiver and transmitter coils to
an external patient-worn video processing unit (VPU) connected to a camera and
receives the stimulation instructions from it. The miniature camera is installed on a
pair of glasses and scans the surrounding environment in real time (Zhou
et al. 2013). This system is called epiretinal implant. Here, the ganglion cells,
whose axons build the optic nerve, are directly stimulated by the device. In a
healthy retina, the horizontal and amacrine cells perform lateral inhibition, thus
enhancing the perceived image contrast. They also enable the eye to see well in both
bright and dim ambient illumination. Therefore, the additional external hardware is
required to implement the missing image and data processing as the retinal image
processing by the abovementioned cells is bypassed. The thin-film polymer-based
electrode array is 5  5 mm2 large and contains 60 electrodes. The stimulator
device drives the electrode array by proper biphasic charge-balanced current pulses.
Argus II is the first epiretinal implant introduced on the market. It has been
approved for clinical and commercial use in Europe and the United States.

Fig. 1 (a) Eye structure, (b) the profile of the retina; light is incident from the left (Picture from
Stingl et al. (2013), with permission)
61 Subretinal Neurostimulator for Vision 1319

The electrode array can cover a diagonal visual angle of approximately 20
(Zhou et al. 2013). The approach resembles the basic functionality of a cochlear
implant, in which some external audio data processing is done.
In contrast to the epiretinal implants, in the subretinal approach, it is possible to
place a single electronic device under the retina in the space where originally rods
and cones used to be (see Figs. 1 and 2). In this approach, the natural optical
pathway through the cornea and the lens is operative, and the neural pathway from
the bipolar cells up to the visual cortex must be intact. The device replaces the
functionality of rods and cones.
Basic vision was demonstrated to be possible by this method (Zrenner
et al. 2010). The implant used there has 1,500 stimulation units, which are orga-
nized similar to picture elements (pixels). Each stimulation unit includes its own
photodiode, an amplifier with some analog signal processing, and an output elec-
trode. The size of the pixel array is 3.0  3.1 mm2 in total. Each unit produces a
monophasic anodic voltage pulse at its electrode driver, which results in a biphasic
anodic first charge-balanced current pulse. The amplitude of the charge transferred
depends on the brightness at the corresponding photodiode. In this way, those
bipolar cells which are located close are stimulated. A large return electrode was
used, building a monopolar working and counter-electrode structure, with a large
distance between working and counter-electrodes. The benefit is a deep penetration

Fig. 2 The space where a subretinal implant is placed (Picture from Zrenner et al. (2010), with
permission)
1320 N. Pour Aryan and A. Rothermel

of the current into the tissue and therefore a decrease in stimulation threshold, as
opposed to using a local return electrode for every stimulation electrode. With this
common return electrode approach, a visual acuity of 20/550 according to Stingl
et al. (2013) could be achieved.
The chip could cover a visual angle of approximately 11  11 . One patient
could distinguish knife and fork and different kinds of fruits and read large letters.
One advantage of subretinal devices is the benefit they acquire from the continuous
movements of the eye, namely, slow drifts and microsaccades. These refresh the
image continuously by changing the activated photoreceptor population. Therefore,
fading is avoided to some extent (Zrenner et al. 2010).
Other major groups working in the area of subretinal implants include the
Boston (MIT) group (Kelly et al. 2013) and the Stanford University group (Mandel
et al. 2013). In the Boston group, similar to the Argus II approach, a camera is
installed externally on a pair of glasses. An internal stimulator chip is attached to
the outside of the eye, sutured to the sclera. The stimulator chip is connected
through independent wiring channels to a subretinal electrode array. The drawback
here is that a higher number of electrodes require a higher number of lead wires,
making the interfacing costlier and more complicated.
The Stanford group combines photocells for power supply and the stimulating
electrodes on the same subretinal chip. A camera captures the scene and projects it
through video goggles onto the subretinal stimulator using pulsed near-IR rays. The
photodiodes are directly coupled with the stimulating electrodes. Therefore, the
optical energy is used directly for stimulation. The chip does not require any
connection wiring for powering or any kind of control, making the surgical process
a lot easier. The disk electrodes are encompassed by quasi-ring-shaped return
electrodes. This structure avoids stimulation electrode cross talk and promises a
high spatial resolution. The disadvantage here is that if the bipolar cells have more
distance from the electrodes than expected, the stimulation current threshold
increases a lot.
To this date, the MIT and Stanford University teams have tested their hardware
only on animals. The German group based in T€ubingen (Zrenner et al. 2010) is the
only one having successfully tested the subretinal approach on humans so far.
Due to the direct stimulation of the bipolar cells, the stimulation threshold in
terms of the amount of necessary injected charge is less than the epiretinal implants.
In the epiretinal implants, as the chip is positioned on top of the nerve fiber layer,
unwanted axonal stimulation is hard to avoid. More complicated stimulation pro-
tocols are proposed to avoid this through selective targeting of ganglion cells
(Mandel et al. 2013).
The major drawback of the subretinal approach is the limited space available for
the stimulator, compared to the epiretinal implants. While in the epiretinal case the
whole vitreous chamber is available for the device, the subretinal implant must even
be thinned down to thicknesses lower than 100 μm to fit the confined subretinal
space. The subretinal implant must also receive light directly, making it impossible
to cover its photodiodes by nontransparent packaging materials like ceramics.
Therefore, only thin layers of transparent polymers like polyimide or parylene are
61 Subretinal Neurostimulator for Vision 1321

applicable. For long lifetime, it is advantageous to let the subretinal implant run
with low voltage levels not only at the electrodes but also at all other terminals.

Surgical Procedure

The surgical procedure for subretinal implants is more complicated compared to


other kinds of visual prostheses as the retina has a very delicate structure. Figure 3
illustrates the different surgical steps to implant the subretinal stimulator device.
The vitreous body is first removed from the vitreous chamber. In the second step,
the retina is detached from the background of the eyeball by injecting saline into the
upper temporal quadrant where the scleral and choroidal incision area is planned.
Next, the implant is advanced transchoroidally along a guiding foil into the
subretinal space until it reaches the proper position. The optimal position is as
close as possible to the foveola (Zrenner et al. 2010). After the device is located in
the correct position, the retina is attached to the background of the eyeball again.

Fig. 3 Surgical steps of implanting the subretinal stimulator device (Picture from Wilke and
Zrenner (2008), with permission)
1322 N. Pour Aryan and A. Rothermel

The ribbon supplying the subretinal stimulator with electrical power is fixed to the
outer eyeball layer to avoid any mechanical stress.

System Overview

The complete implant system used in Zrenner et al. (2010) is shown in Fig. 4. The
ceramic housing contains an inductive receiver, a magnet, and electronic circuitry
to generate the necessary signals for the stimulator chip. The ceramic box is
implanted subdermally behind the ear. The silicone cable and the wirings embed-
ded in the thin polyimide foil (20 μm thick) provide the chip with power and control
signals. The stimulator chip is located on the tip of the polyimide foil. The chip is
inserted into the silicone tube for protection before surgery. An external coil which
is magnetically held in position transmits power to the internal receiver coil through
a transcutaneous near-field inductive link. The external coil is connected to a power
supply module via a coaxial cable. The module has knobs and an LED display to
adjust and control the signal values and an RS-232 interface for connection to a PC
for programming the various stimulation parameters.
A stimulator “application-specific integrated circuit” (ASIC) has been designed
and developed at the University of Ulm in Germany in cooperation with the
Tuebingen group led by Professor Zrenner. It has different features and character-
istics which are investigated in the following. A micrograph of the stimulator chip
die on a wafer is shown in Fig. 5. A block diagram of the stimulator device is
illustrated in Fig. 6. VH and VL are two rectangular complementary signals sup-
plying the chip with power and providing an input clock signal for triggering the
various on-chip digital components. The rectifier produces the on-chip DC supply
voltages out of these input signals. There are two positive supply voltages VDD and
VDDA. VDDA is buffered by an on-chip capacitor and is thus more stable. It is used to
power the more sensitive elements like the bulks of the PMOS transistors and the
digital circuitry.

Fig. 4 Subretinal implant system


61 Subretinal Neurostimulator for Vision 1323

Fig. 5 The subretinal stimulator designed at the University of Ulm, the die area is marked by the
red rectangle

Fig. 6 Block diagram of the subretinal stimulator chip

The chip functionality is controlled by three input current signals, IGL, IIMP, and
ISEL. The input control stage includes three trans-impedance amplifiers, converting
these currents into internal control voltages. The signal IGL adjusts the chip
1324 N. Pour Aryan and A. Rothermel

Fig. 7 Probe card, chip, and


the microscope

operation to the average environmental illuminance, IIMP sets the maximum ampli-
tude and timing of the electrode output pulses, and ISEL is sampled by the digital
control logic to activate the stimulation units, called pixels, in four different
patterns. In one of these four patterns, the so-called synchronous mode, all the
pixels are active simultaneously. There are 1,600 pixels on the chip, each compris-
ing one photo-sensor, photo-sensor signal amplifying circuitry, and one output
driver connected to an electrode. The driver injects biphasic voltage pulses into
the electrode. The amplitude of the pulse depends on the incident light illuminance.
The electrode driver limits the maximum current conveyed to the electrodes.
Please note that biphasic voltage pulses result in triphasic current pulses.
A negative current pulse charges the electrode capacitance to a negative voltage
value; a following positive current pulse discharges the electrode and charges it again
with opposite polarity. Finally, a negative current pulse discharges the electrode
again to finish the stimulation cycle with a perfectly balanced charge. This pattern is
assumed to result in the lowest threshold of visual sensations in the patients.
The chip was fabricated in a standard 0.35 μm CMOS Opto technology and
measured and verified by means of a wafer prober, probe card, and passive probes.
Figure 7 illustrates a part of the wafer with several dies on it together with the probe
card and a microscope used to position the probe-card needles on the chip input pads.
Some critical modules and concepts of the subretinal stimulator are discussed in
more detail in the following.

Output Driver and Electrode

The photo-sensor output is fed into a processing and amplification circuit which
injects triphasic current pulses into every output electrode. The basic structure of
the pixel is shown in Fig. 8. The pulse amplitude depends on the relative values of
the ambient illumination reference VGL (converted from IGL) and the photo-sensor
61 Subretinal Neurostimulator for Vision 1325

Fig. 8 Pixel circuit in the current stimulator version (This is a modified version of the original
picture from Rothermel et al. (2009) © IEEE)

output. It also depends on IIMP, whose amplitude is mirrored to every pixel through
an input stage transistor connected to transistor M7 in every pixel. Two addressing
signals representing the pixel array in rows and columns are connected to the gates
of M5 and M6 and activate the pixel if set high.
The output driver is directly connected to a square-shaped electrode. The
electrodes cover the chip surface. The polarity of the output current depends on
the polarity of VH and VL. If VH is negative and VL is positive, the solid lines
represent the current flow paths. Therefore, a negative current pulse is injected into
the output electrode. In contrast, if VH is positive and VL is negative, the currents
flow as shown by the dotted arrows, injecting a positive current into the output
electrode. The third phase of the stimulation cycle again injects a negative current
by the transistor M15, ensuring charge balance at the end of the stimulation.
The electrode is modeled here as a network of three linear elements, i.e., two
resistors and one capacitor. This will be discussed in more detail later in this
chapter.
Acute experiments with patients have confirmed that stimulation voltages below
2 V elicit visual perceptions reliably (Zrenner et al. 2009). So VH and VL amplitude
can be limited to this range.
The electrode size used by the Zrenner team is 50 μm. The surface of the chip
after electrode deposition is shown in Fig. 9. Different materials can be used,
including titanium nitride (TiN), iridium, and iridium oxide.

Water Window and Different Electrode Materials

For a well-conducting electrolyte, the interface between the electrode surface and
the electrolyte can be modeled by a capacitor which is built by two consecutive
water molecule layers, the so-called Helmholtz double layer. These two layers are
1326 N. Pour Aryan and A. Rothermel

Fig. 9 Iridium electrodes


on-chip surface, electrode
area is approximately
50  50 μm

the molecules adsorbed on the electrode surface and the hydration envelope of the
ions in the vicinity of the electrode-electrolyte phase boundary.
Depending on the interface capacitance voltage drop, different redox reactions
become thermodynamically possible at the phase boundary. Every redox reaction
comprises two half reactions, a reduction and an oxidation. These two occur on
different electrodes of the electrochemical cell, namely, the working and the
counter-electrodes. The spontaneity of the reactions depends on the corresponding
half reaction standard reduction potentials (E0 ). Many of the redox reactions are
reversible, i.e., because the reaction products are immobilized on the electrode
surface, they can be reversed, and the products are turned into the original reactants
as soon as the electrode potential is inverted. This is one of the reasons why biphasic
charge-balanced current signals are essential to drive electrodes.
As soon as the interface capacitance voltage drop exceeds the voltage range
known as water window, the electrolysis of water becomes thermodynamically
favorable. This reaction is not reversible, as the products are gases (hydrogen and
oxygen, the elements building up water) which escape the electrode surface imme-
diately after being produced. The limits of charge injection capacity are determined
by the water window. Charge injection should be limited in order to prevent the
electrode-electrolyte voltage drop from exceeding this range. The water window is
material dependent and is about 1 V for TiN (Pour Aryan et al. 2011) and 0.6 V
to +0.8 V for iridium and iridium oxide (Weiland et al. 2002).
Platinum is the material traditionally used in stimulator devices, but its charge
injection capacity is not sufficient for use in a subretinal stimulator. Better options are
iridium, iridium oxide, and titanium nitride. Generally, iridium and iridium oxide
have a larger charge injection capacity compared to TiN, because the current injection
mechanism there is not only given by charging and discharging of the Helmholtz
capacitor but also by faradic reactions, in which the electrons cross the phase
boundary. Iridium oxide is currently used in our group, although TiN is still an option.
61 Subretinal Neurostimulator for Vision 1327

TiN has the advantage of a purely capacitive charge injection into the tissue
(Weiland et al. 2002), as long as certain limits are not exceeded. Furthermore, it is
also mechanically stable.
In order to enhance the charge injection capacity of TiN, the microelectrode
surface can be made porous through sputter deposition. In this way the interfacing
area of the electrode material and the electrolyte through which charge can be
injected is increased. Figure 10 illustrates the porous surface of a TiN electrode
produced in NMI (www.nmi.de) in Reutlingen, Germany.
It was shown in Rubinstein (1987) and Behrend et al. (2008) that flat electrodes
that are in the same level as the surrounding isolating material (i.e., are not
recessed) have a nonuniform current density for higher signal frequencies. Only a
hemispherical metal electrode on the surface of a flat insulator of very large extent
has a uniform current distribution for all signal frequencies (Ksienski 1992).
Therefore, as in practice the electrodes are usually flat, and the boundaries of
charge injection capacity are smaller with respect to the theoretical values deter-
mined by limiting the electrode-electrolyte potential difference to the water win-
dow. Irreversible reactions may still occur even for charge injections smaller than
the theoretical charge injection capacity.
One way to avoid the irreversible reactions is to use limited currents, resulting in
voltage ramps with a limited slew rate. Another way is to use special geometries for
the electrodes. Recessing the electrodes or adding smooth curvature to them to

Fig. 10 A TiN electrode


surface, the fractal structure is
visible at the bottom (The
picture is from Multi Channel
Systems GmbH, with
permission)
1328 N. Pour Aryan and A. Rothermel

make them resemble more a hemispherical surface results in a more uniform high-
frequency current density profile.
A third and most conservative approach to avoid any unwanted irreversible redox
reactions due to high-frequency signal components is to always confine the electrode
drive signal to the water window and not to take into account voltage drops by
spreading resistance or similar. Using this approach, a charge injection capacity of
0.2 mC/cm2 was measured at the University of Ulm for TiN. The measured value for
iridium was 0.5 mC/cm2. Activated iridium (iridium oxide) was found to have about
ten times more charge injection capacity. The pulses used in the measurements were
biphasic voltage, 0.3 ms long cathodic and 0.5 ms anodic. It was shown in Zrenner
et al. (2010) that the charge injection threshold to elicit visual perceptions by
subretinal implants lies between 0.2 and 0.6 mC/cm2 depending on the patient.
Iridium electrodes are capable of eliciting perceptions in most cases, while operating
inside the water window. However, to cover all the patients and to ensure perception
of higher brightness levels, activated iridium may be advantageous.
In cases where the return electrode is small and local, like the structure proposed
in Mandel et al. (2013), the return electrode has a capacitance comparable to that of
the stimulating electrode. The working versus counter-electrode voltage drop is
then shared by the two series capacitances in comparable proportions. Therefore,
the applicable voltage levels at the electrode driver are higher compared to
monopolar electrode design with a large return electrode, where the whole voltage
drop is effective on the stimulation electrode capacitor.
There are other materials of interest as replacements for TiN and iridium. The
most prominent one is poly(3,4ethylenedioxythiophene) called PEDOT. It has a
charge injection capacity of about 2.3 mC/cm2 which is comparable to iridium oxide
(Wilks 2011). Figure 11 shows the microscopic structure of PEDOT on an electrode.

Fig. 11 Carbon nanotube


structure of a PEDOT
electrode (The picture is from
Multi Channel Systems
GmbH, with permission)
61 Subretinal Neurostimulator for Vision 1329

Electrode Modeling

Electrodes are not standard linear electrical elements. Electrode properties depend
on the electrode potential, making it a nonlinear element. Modeling electrodes
through linear elements like resistors and capacitors is interesting in designing
output drivers for neural stimulator devices. However, the models produced have
limited application because in practice the electrode potential fluctuates over a wide
range, therefore highlighting the nonlinear nature of the electrode-electrolyte inter-
face phase boundary.
In order to extract the electrode model parameters, impedance spectroscopy
is a prevalent method used in practice. Here, a low-amplitude sine voltage is
put on the working electrode, while the counter-electrode is grounded. The
sine signal frequency is swept from a low frequency, for example, 0.01 Hz, to
frequencies as high as 100 kHz. The instrument potentiostat is used to
measure the corresponding resulting current flowing into the electrode under
test. From this information, impedance amplitude and phase are determined
versus frequency. Curve fitting programs like ZView 2 (© 2005 Scribner
Associates, Inc.) are used to approximate the electrode model. A well-
known model used in the literature is the Randles model shown in Fig. 12a.
Here, RS is the solution spreading resistance, CHW is the double-layer capac-
itor, and RFW is the resistance modeling the faradic reactions occurring at the
electrode surface. Clearly, RFW depends on the electrode potential. Studies at
the University of Ulm have shown also a vivid dependence of the interface
capacitance CHW on the electrode DC potential. If the value of the DC
potential increases, CHW increases too. The reason is probably a larger
attracting electrostatic force between the electrode surface and the hydrated
floating ions in the solution for higher electrode potentials. This is due to a
higher resulting electric field at larger potentials. The ions drift nearer to the
electrode surface in this case, increasing the capacitance of the Helmholtz
double layer explained above.
In many studies an even simpler model is used shown in Fig. 12b. This simplified
model is especially applicable when the electrode-electrolyte phase boundary
voltage drop remains near zero, and therefore, RFW is very large and thus
negligible.

Fig. 12 (a) Randles model; (b) simplified Randles model


1330 N. Pour Aryan and A. Rothermel

Necessary Properties for the Output Driver Circuit

As mentioned above, each pixel has a photo-sensor, a signal processing part, and an
output electrode driver. As we saw in the last section, in order to exploit the
reversible reactions, the driver should be designed to deliver biphasic voltage
signals, i.e., pairs of cathodic and anodic pulses. Biphasic signals benefit from the
reversible redox reactions. Reactions taking place during one pulse can be reversed
to acquire the original electrode material status through the consecutive pulse
having the opposite polarity. Furthermore, the output driver must be designed so
that its output potential does not exceed the water window of the applied electrode
material under typical electrode loads.
It has been shown in Krishnan and Kelly (2012) that even completely symmet-
rical biphasic current waveforms would not result in perfect charge balance and a
residual voltage and charge buildup develop after the stimulation pulse on the
electrodes even in this case. The charge accumulated on the electrodes must
hence be eliminated after every pair of biphasic pulses. The output driver should
have additional circuitry to accomplish this. In the stimulator circuit of the Uni-
versity of Ulm, every output electrode is discharged by a current source after the
biphasic voltage pulse injection, removing the built-up charge as long as the output
electrode voltage is nonzero. The final result is a triphasic stimulation current
waveform.
The use of a current source in the electrode driver is preferred compared to a
simple switch connecting the output to the ground, because it provides a definite
controlled current flow out of the electrode avoiding undesired stimulation of the
neural tissue through current spikes.
Another way to achieve charge balance and avoid the electrode potential from
exceeding the water window boundaries is active charge balancing. Here, the
residual electrode voltage after the stimulation pulses is dynamically monitored
by the hardware, and the charge injection is controlled in a way to avoid this
potential exceeding a certain range in the static case. This method has been
implemented in Ortmanns et al. (2006).

Photo-Sensor Structure

Photodiodes are used to receive the optical data and convert them into electrical
current signals which can be further processed by an electrical circuit. The structure
of the photo-sensor used in the design is illustrated in Fig. 13. The pixel output
current amplitude stimulating the bipolar cells depends on the photo-sensor output.
The photodiode is reverse biased. The current source in the figure models the light-
induced current, which is proportional to the incident illuminance. The photodiode
itself is visible on the chip surface and is shown in Fig. 14. The photodiode area is
15  48 μm. For this area and under normal brightness levels, the light-induced
current is small (10 nA at relatively high illuminance of 10 klux), and the two
NMOS transistors M0 and M1 operate in a subthreshold (or weak inversion) region.
61 Subretinal Neurostimulator for Vision 1331

Fig. 13 Photo-sensor circuit

Fig. 14 A view of several


adjacent pixels, 1 pixel is
marked by the green square,
the photodiode is shown in
the red oval, and the
octagonal electrode
connection metal layer is
marked in the dashed circle

Therefore, the voltage drop on the diode-connected transistors depends logarithmi-


cally on the photodiode current. This results in a logarithmic dependence of the
photo-sensor output on the incident illuminance which mimics the logarithmic
behavior of the photoreceptors in human retina.
Like every diode, the photodiode has a saturation current. This current flows
when the diode is reverse biased. So there is some current even if no light is
incident, the so-called dark current, which is of major concern to obtain a good
sensitivity of the sensor. While the photodiode is in general a simple pn-junction,
1332 N. Pour Aryan and A. Rothermel

one could reduce the doping level of the n-type semiconductor in the pn-junction in
order to reduce the dark current. This can be done, for example, by an additional
low-doped epitaxial n-type layer between the n- and p-type layers.

Retinal Illuminance

In order to determine the proper illuminance operating range for the photo-sensor,
the retinal illuminance was calculated depending on the environmental illuminance
I in Pour Aryan and Rothermel (2013) as:

d2
Retinal illuminance ½lux ¼ T  I  n02 
8a2

In this calculation, the eye was approximated as a transparent sphere with


uniform refraction index. Here, n0 is the average refraction index of transparent
eye organs like the cornea, lens, and the vitreous humor which is about 1.34. T is the
transmittance of ocular media and is 0.75 on average (Atchinson and Smith 2000),
d is the pupil diameter, and a is the eye diameter (i.e., retinal image distance from
the cornea, where most of the refraction occurs).
In the calculation it was also assumed that the eye is looking at a 100 % reflecting
ideal white surface. This assumption should give the highest realistic illuminance
values; however, diffuse reflection was assumed, excluding peak light effects by
mirrorlike reflections. Finally, the scenario with the highest realistic ambient and
retinal illuminance is the eye looking at white snow or white desert sand illuminated
by the sun.
As it is seen in the above equation, the calculated retinal illuminance does not
depend on the object size or the object distance from the observer, which meets the
expectations. Considering the eye diameter to be approximately 2 cm, the retinal
illuminance is equal to:

Retinal illuminance ½lux ¼ 420:8 m2  I  d2

The retinal illuminance range for situations from a night with stars but no moon
(ambient illuminance equal to 0.001 lux) to a sunny summer day (ambient illumi-
nance equal to 70 klux) is approximately between 0.034 mlux and 736 lx. So it is a
goal that the photo-sensor operates in this range as well, i.e., the dependence of the
photo-sensor output voltage on the logarithm of the incident illuminance should
remain linear.

Input Trans-impedance Converters

One of the three input current to voltage converting modules is shown in Fig. 15. At
the input stage, the common gate structure consisting of the PMOS transistor M5
biased by M6 and R2 provides a low input impedance for input-positive current
61 Subretinal Neurostimulator for Vision 1333

Fig. 15 A trans-impedance amplifier used in the input control stage module (Picture from
Rothermel et al. (2009))

signals. This ensures a low input DC voltage in the operating point. This is beneficial
to minimize electrochemical reactions at the input control signal connections. The
bottom part of the circuit transforms IGL into an analog voltage signal VGL. This
voltage signal adjusts the light sensitivity range of the implant to the ambient
brightness level. The current source including R3/R4 and M9/M10 introduces an
offset in the input to output characteristic of the converter, i.e., VGL is zero for a
positive value of the input IGL current. The input to output gain is determined by the
feedback resistor R5. The upper part of the circuit generates a digital signal VON/OFF
which turns the chip off when IGL is equal to zero.
It is possible to modify the trans-impedance converter circuit to lower the input
voltage for positive input currents. The modification is adding a single-transistor
M23 as illustrated in Fig. 16. A higher input current results in a higher overdrive at
M5 through M23. The transistor introduces positive feedback to the input common
gate structure. The change in the input voltage versus current characteristic is
shown in Fig. 17.
1334 N. Pour Aryan and A. Rothermel

Fig. 16 The location of


M23 at the input stage added
in order to lower input voltage
versus input current
characteristic

300.0
Input char. for original circuit
For circuit including M23
250.0

200.0

150.0
Vin (mV)

100.0

50.0

0.0

–50.0
0.0 50.0 100.0 150.0 200.0 250.0
IGL (µA)

Fig. 17 The change in the input characteristic due to the addition of M23

Simulations showed that the open-loop gain of the feedback circuit is always
below 0 dB for all process variation corners (0.35 μm CMOS technology) for
supply voltages from 1.2 to 2.4 V. Therefore, despite the positive feedback,
the circuit is always stable in this voltage range.

Future Device Generation: Spatial Filtering

In the current subretinal chip, the photo-sensors and the electrodes are mapped to
each other in a one-to-one arrangement. The pixel outputs are independent from
each other. In practice, as has been observed in experiments explained in Stingl
61 Subretinal Neurostimulator for Vision 1335

Fig. 18 (a) Simulated original image scanned by the implant; (b) simulated effect of a Laplacian
blur filter modeling the effect of low-pass filtering of the chip and retina system; (c) the obscured
image sharpened again by a high-pass Laplacian filter

et al. (2013), the image observed by the patients is not as sharp as theoretically
possible with an implant with 40  40 pixels. What is perceived is a blurred
version of the image. Research is currently done in the University of Ulm in
order to enhance the sharp illuminance transitions (edges) and to improve the
perceived resolution by means of high-pass spatial filtering implemented on the
chip. Figure 18a shows an image which could have been scanned by the chip pixel
array. Figure 18b illustrates a typical blurring caused by the field distribution of
electrostimulation in a patient, modeled by a Gaussian blur filter. Finally, Fig. 18c
shows an example of how the perceived image could look like if a high-pass
Laplacian filter would be implemented on the chip. The high-pass filter would be
able to largely compensate the blurring effect of electrostimulation. The pictures
are made using the MATLAB Image Processing Toolbox.
Although the spatial filtering appears very attractive, many challenges exist for a
practical realization, as the patients feature different behaviors considering the
special frequency response of their visual system. Implementing spatial filtering
consumes large areas, and it would be even much more difficult to develop a
tunable spatial filtering for which the parameters can be adjusted to an unknown
arbitrary patient. Clearly, technologies with smaller feature sizes are required to
compensate for the additional area consumption.

Acknowledgments We are thankful for the inspiration and continuous support by Prof.
E. Zrenner and Dr. K. Stingl from the University Eye Hospital, T€ ubingen, and their team. We
thank Ms. R. Ebenhoch, also from the University Eye Hospital, T€ubingen, for her help in graphics.
We also acknowledge the support by Dr. W. Wrobel, Dr. S. Klinger, and Mr. S. Schleehauf from
Retina Implant AG in Reutlingen. Special thanks also to our colleagues at the University of Ulm:
C. Brendler, V. Rieger, and H. Kaim.

References
Atchinson D, Smith G (2000) Optics of the human eye. Butterworth-Heinemann, Oxford
Behrend MR et al (2008) Dynamic current density of the disk electrode double-layer. Biomed Eng
IEEE Trans 55(3):1056–1062
1336 N. Pour Aryan and A. Rothermel

Kelly S et al (2013) Developments on the Boston 256-channel retinal implant. IEEE international
conference on multimedia and expo workshops (ICMEW), July
Krishnan A, Kelly SK (2012) On the cause and control of residual voltage generated by electrical
stimulation of neural tissue. In: Engineering in Medicine and Biology Society, EMBC, 2012
Annual International Conference of the IEEE, September
Ksienski DA (1992) A minimum profile uniform current density electrode. Biomed Eng IEEE
Trans 39(7):682–692
Mandel Y et al (2013) Cortical responses elicited by photovoltaic subretinal prostheses exhibit
similarities to visually evoked potentials. Nature Communications 4, Article number: 1980,
doi:10.1038/ncomms280, Macmillan Publishers Limited, June
Ortmanns M et al (2006) A 0.1 mm2, digit.ally programmable nerve stimulation pad cell with high-
voltage capability for a retinal implant. In: IEEE international solid-state circuits conference,
pp 89–98, Feb
Pour Aryan N, Rothermel A (2013) Analysis of photosensor properties for visual neural stimula-
tors. 1st international conference on photonics, optics and laser technology (PHOTOPTICS
2013), Barcelona, 20–21 Feb
Pour Aryan N et al (2011) In vitro study of titanium nitride electrodes for neural stimulation. In:
Engineering in Medicine and Biology Society, EMBC, Annual International Conference of the
IEEE, pp 2866–2869
Rothermel A et al (2009) A CMOS chip with active pixel array and specific test features for
subretinal implantation. IEEE J Solid State Circ 44(1):290–299
Rubinstein J (1987) Current density profiles of surface mounted and recessed electrodes for neural
prostheses. Biomed Eng IEEE Trans BME-34(11):864–875
Stingl K et al (2013) Artificial vision with wirelessly powered subretinal electronic implant alpha-
IMS. Proc R Soc B Biol Sci 280(1757):20130077
Weiland D et al (2002) In vitro electrical properties for iridium oxide versus titanium nitride
stimulating electrodes. Biomed Eng IEEE Trans 49(12):1574–1579
Wilke R, Zrenner E (2008) Clinical results, thresholds and visual sensations elicited by subretinal
implants in 8 blind patients. In: The Eye and The Chip World Congress, Detroit, June
Wilks SJ (2011) In vivo polymerization of poly(3,4-ethylenedioxythiophene) (PEDOT) in rodent
cerebral cortex. In: Engineering in Medicine and Biology Society, EMBC, 2011 Annual
International Conference of the IEEE, pp 5412–5415, Sept
Zhou DD et al (2013) The Argus® II retinal prosthesis system: an overview. In: IEEE international
conference on multimedia and expo workshops (ICMEW), July
Zrenner E et al (2009) Subretinal microelectrode arrays allow blind retinitis pigmentosa patients to
recognize letters and combine them to words. In: 2nd international conference on biomedical
engineering and informatics, BMEI’09
Zrenner E et al (2010) Subretinal electronic chips allow blind patients to read letters and combine
them to words. Proceedings of the Royal Society B: Biological Sciences 2011 278, 1489–1497
first published online 3 November 2010, doi: 10.1098/rspb.2010.1747
Index

A Amperometry, 582
Absorption-type filter, 154 Amplified signal, 582
AC electrokinetic (ACEK) enhanced capacitive Amplifiers, 855–857
biosensors, 326–328 Amplitude modulation, 753
AC frequency and voltage, 334–335 Amplitude-shift keying (ASK) modulation,
design, 328–330 1001, 1064, 1088
dilution factor optimization, 336–337 clock and data recovery, 1005–1006
electrode surface treatment, 330–331 data modulation, 1002–1004
functionalization buffer, 332 Analog computing, 884–885
HSV-1 DNA detection, 338–339 Analog front-end (AFE), 1260–1262
hybridization buffers, 333 Analog multiplexing scheme, 113–115
influenza A virions, 337 Analog to digital converter (ADC), 112, 113,
measurements and data analysis, 331–332 115–118, 122, 223, 231, 233, 237, 238,
performance, 332–339 417, 421–426, 490, 562, 875, 877, 913,
Zika virus detection, 338 1219, 1231, 1234, 1236
Action potentials (AP), 492 Animal-body Tracking and Radiotelemetry
Activa PC+S™, 500 (ATR) system, 910–911, 921
Activa RC™, 498 HFSS modeling, simulation results and
Active bridge circuit, 1066 optimization, 916–921
Active data telemetry, 959 implementation and measurement results,
Active diode circuit, 998 920–924
Active full-wave rectifier, 998 microcontroller, 912
Active locomotion module, 273 sensor array equivalent circuit model,
Active pharmaceutical ingredients 914–916
(APIs), 675 Antenna design, 1018
Active pixel sensor (APS), 146 Antigen/antibody detection, 724
Active rectifier, 531 Application-specified integrated circuit (ASIC),
Activities, 908, 910, 911, 920 932, 1133, 1149–1150, 1322
Activity monitoring, 910, 924 Approximate entropy (ApEn), 389–392
ADC-direct architecture, 496 Arbitrary waveform generator (AWG), 862
Additive manufacturing, 611, 615 ARGUS II, 1188, 1318, 1320
Adiabatic neural stimulation, 1159 Artificial intelligence (AI), 186, 203
Adiabatic power supply, 451 Artificial limb prosthetic, 441–443
Adiabatic stimulator, 454 Artificial olfactory system
Air pollution, 804 classification algorithms, 350–354
Ambient backscatter communication dimensionality reduction, 348–350
systems, 751 gas identification system, 355–360
Amine group, 721–722 sensor array, 345–347
Amperometric biosensors, 479–480 sensor readout and preprocessing, 347–348

© Springer Science+Business Media, LLC, part of Springer Nature 2022 1337


M. Sawan (ed.), Handbook of Biochips,
https://doi.org/10.1007/978-1-4614-3447-4
1338 Index

Attention gated reinforcement learning Bio-applications


(AGREL), 888 electric probe, 732–734
Attenuated total reflectance (ATR) SHS, 706 microfluidic probe, 735
Atto-Farad, 110 optical probes, 734–735
Auto correction feedback (ACFB), 58 Bioassays locking, 939
Autonomous communication module (ACoM), Biochips, 722
1223, 1225, 1226 camouflage, 943
Auto-regressive (AR) model, 1141 checkpoints, 940–942
Auto-reset chopper-stabilized capacitive- comprehensive security certification, 942
coupled instrumentation amplifiers control value calculation, 942
(AR-CSCCIA), 386–390, 400, 401 deliberate aging, 938
Auto-reset unit (ARU), 388 design piracy, 936–937
Average refractive index, 148 DoS attack, 936
Averaging technique, 584 function modification, 936
Axial imaging strategies, 169 HT, 932–934
information leakage, 938
B IP protection, 938–940
Backscatter communication (Back-Com) obfuscation, 944
biomedical applications, 756–760 parametric altering, 937–938
modes, 752–754 porous silicon, 727–728
principles of, 748–750 RE, 933
signal coding and decoding, 754–756 reading forgery, 937
types of, 750–752 reagent contamination, 936
Backward (uplink) data telemetry, 964, 986, Bio-compatibility, 417
1008–1009 Bio-concerns, 779–782
active data telemetry, 959 BioFETs
passive data telemetry, 956–958 CMOS, 645–647
Bacterial sensors for DNA and protein sensing, 639–642
advantages and limitations, 473 electronic switch, 644
design, 472 label-free electronic biosensor arrays, 644
for future, 484–485 logic circuit, 645
material and fabrication of biochip, nano-BioFETs, 642–644
483–484 potentiometric biosensor transducer, 644
mechanisms of, 473–474 working frequency, 647
optical biosensors, 473 Biofouling process, 578, 579, 581, 582, 585
Band-pass filter (BPF), 82, 388 Biofunctionalization, porous silicon, 720
Bandwidth tunable amplifier, amine group, 721–722
see Electrocardiography (ECG) thiol group, 721–722
Battery-based digital signal processor Bioimaging, 893
(DSP), 1260 downconversion fluorescence materials,
Battery-less neurostimulator, 503 894–897
Beer-Lambert’s law, 701 upconversion fluorescence materials,
Behavior, 908–910, 918, 920, 923, 924 897–899
Beta-ray absorptiometry, 804 Biological nanopores, 653, 661
Binary phase-shift keying (BPSK), 385, 387, Biomedical implants, 759, 765, 769, 777, 780,
395, 397, 398, 402–405, 859–861 970, 973
Bioamplifiers, 418–419 Bionic eye, see Visual prostheses
classical topology, 419–420 Biopotential amplifiers (BPAs), 42, 47–48
feedback resistors, 420–421 closed-loop fully-differential
fully differential topology, 419 complementary-input amplifier,
Bio-analysis 51–54
FTIR, 703–707 closed-loop fully-differential telescopic-
UV/Vis spectrometry, 701–703 cascode amplifier, 48–50
Index 1339

energy-efficiency of amplifier topologies Brain–computer interfaces (BCIs), 415, 425,


and NEF, 44–45 760, 1134
high-input-impedance low-noise BrainGate program, 873, 874
instrumentation amplifier, 58–62 Brain–machine interfaces (BMIs), 415, 417,
measurement results of, 55–57 418, 425, 872
open-loop complementary-input amplifier, Brain natriuretic peptide (BNP), 903
50–51 Brain parenchyma, 605–606
system considerations, 42–44
Bioprinting, of human cancer-on-a-chip
3D bioprinting, 625–626
hypoxic tumor microenvironment, 623–624 C
Bio-protocol watermarking, 939 Calcium imaging, 161–163
Biosensor application, of porous silicon, 722 Calibration, 578, 580, 582, 586
antigen/antibody detection, 724 Camouflage, 943
biochip, 727–728 Capacitance measurements, 564, 565, 568
DNA detection, 725 Capacitance sensing approaches, 559–561
glucose detection, 724 Capacitance sensor chip, 563
pore size dependent sensitivity, 725–727 Capacitance-to-digital converter
Bio-signal processor (BSP), 386, 389–392 (CDC), 1031
Bio-signals, 1216–1218 Capacitance to frequency (CTF), 559, 560
detecting small resistance variations, transduction principle, 563
1218–1220 Capacitive biosensors, 319
neuronal signals, 1221 receptors, 322–324
signal conditioning, 1220 sensing mechanism, 324–325
Biphasic current stimulators, 1192–1194 Capacitive-coupling HBC, 1102, 1103
Biphasic stimulation, 385, 387, 393 Capacitive DNA sensors, 548–550
Bistatic backscatter communication Capacitive links, 765, 768–775
systems, 751 Capacitive sensor(s), 249, 250, 252
Bit error rate (BER), 819, 823–825 interfaces, 1024
Bladder controlled stimulation (BCS), 1258 Capillary electrophoresis, 700
Bladder neuromodulation, 6 Capsule technology, 791–793, 795, 796,
Blood-brain barrier (BBB), 605 798, 799
characteristics, 628 Carbon nanotubes (CNTs), 132
model validation, 626 Carbon quantum dots, 895
neurovascular unit modeling, Casting, 580
photolithography, 626–627 CdTe@CdS QD-based nanoprobe, 895
pathological BBB, 607–608 Cell adhesion, 556
Blood-brain tumor barrier (BBTB), 607, 608 Cell capacitance model, 557–559
Blood glucose monitoring systems, 574 Cell capacitance sensors, 555
Blood pressure monitoring system Cell culture assays, 554
infrared, 31–37 Cellular respiration, 292–294
steering-type, 24–31 Central nervous system (CNS), 415, 605, 606
Bluetooth low-energy (BLE), 817 neural communication, 415–416
Bode plot, 548 processing, 416–417
Boston group, 1320 2-Channel MIMO radar system, 860
Boston Scientific, 499 Channelrhodopsin-2 (ChR2), 144, 145, 154,
Bragg reflector, 715–716 155, 180, 183
Brain biochips, 604 Charge-balanced voltage-mode
blood-brain barrier physiology and neurostimulator, 503
structure, 626–628 Charge balancing, 1294, 1298, 1306–1309
high-throughput drug screening, 621–623 circuits, 428–429
human cancer-on-a-chip, bioprinting of, Charge-based capacitance measurement
622–626 (CBCM) technique, 549, 559–561
1340 Index

Charge coupled device (CCD), 144, 147 Colorimetric biosensor, 474–476


camera snapshots, 933, 1015 Commercially-available neurostimulators, 501
Charge mode stimulation, 446 Common-mode (CM), 496
Charge pump (CP), 1265 Common mode rejection ratio (CMRR), 75, 76,
Charge sharing (CS), 559, 561 78, 79, 81, 1219
Chemical sensor, 573 Complementary metal-oxide semiconductor
Chip-based MEMS for healthcare application (CMOS)
nanoparticle monitoing, 806 applications, 555
particulate matter monitoring, 805–806 biocompatible package, 555
technologies for gaseous pollutants (see Gas biocompatible packaging, 562
sensor) camera, 1015
Chirp-UWB transceivers, 1097–1099 capacitance biosensors, 568
Chromatin immunoprecipitation capacitance sensing, 557–562
(ChIP), 664 cell adhesion and viability sensing, 555, 557
Chromatography, 700 image sensor, 144–147, 149, 152, 155, 156,
Chronic implantation, 492 267, 591, 592, 596, 598
Chronoamperometric measurements, 581 integrated circuit (IC) chips, 554
Circuit blocks, 854–861 process, 184
Cisplatin induced cell death, 566, 567 rectifiers, 1122–1124
Cladding layer, 718 tracking cell proliferation, 563–566
Clark electrode, 296 Complementary to absolute temperature
Class-D power transmitter, 991–993 (CTAT), 1076
Class-E power transmitter, 993–995 Compound action potentials (CAP), 67, 69, 70
Clausius–Mossotti factor, 327 Computational resources, 508
Clock generator, 1045 Computer-aided design (CAD), 615, 931
Closed-loop, 182, 186, 195, 202 Conducting polymers, 132–133
HUBIN optrode, 201–203 Conductive polymers, 808
neural stimulation systems, 501 Constant-charge circuits, 428–429
neurostimulator, 498, 513 Constant-current circuits, 427–428
voltage gain, 494 Constant current stimulators, 448–453
Closed-loop microsystem, 364 Constant phase element (CPE), 72, 74
design considerations for, 365 Constant-voltage circuits, 428
embedded signal processors, 369 Continuous-flow microfluidic biochip
epileptic seizure, 370, 373 (CFMB), 928, 929, 931–933, 936–938,
neural recording circuits, 365, 367 942, 943, 945
neural stimulation circuits, 367 Continuous glucose monitoring (CGM)
wireless power transmission and battery system, 575
implants, 369 advantage, 578
Closed-loop neuromodulation system-on-chip, calibration, 580
see System-on-chip (SoC), for closed- energy source, 583
loop neuromodulation factory-calibrated, 582
Closed-loop systems history, 577, 578
LFP-based decoder, 439–440 instrumentation electronics, 582
neural decoder, 434 ISF, 579
neural-input, neural-output, 433–434 research, 583, 584
neural-input, physical-output, 431–433 skin ISF vs. blood glucose monitoring,
neural network, 441–443 576, 577
spike-based decoder, 435–440 subcutaneous devices, 581, 582
Clustered spikes, 437–440 Continuous inkjet (CIJ), 619
CMOS capacitance sensor Convolution, 1147
cell viability and proliferation, 564 Convolution neural networks (CNNs), 1133,
drug screening, 565, 566 1134, 1139, 1140, 1152
3-coil inductive link optimization, 1046–1049 Copy number variations (CNVs), 664
Index 1341

Core body temperature Depthwise and separable convolutional neural


changes in, 209 networks (DS-CNN), 1152, 1154
determination of, 209 Depthwise convolution, 1138
environmental conditions and stable, 211 Depthwise separable convolution, 1139–1140
limitations, 214–218 Design piracy, 936–937
measurement, devices, 209, 211 Detection-threshold-storage (DTS), 1266
models for prediction, 212–214 Detrended fluctuation analysis, 510
normothermic, 208 Dextrostix, 572
overestimation of, 210 Diabetes mellitus (DM), 572
Cuff electrode, 70 symptoms, 572
Cuffless blood pressure monitoring systems, types, 572
see Blood pressure monitoring system Dideoxy chain termination approach, 652
Curlin sigma S-dependent growth (CsgG), 662 Differential difference amplifier (DDA),
Current-controlled stimulation (CCS), 496, 501 1260, 1262
Current-limited H-bridge, 530 Differential mode (DM), 496
Current mode stimulation, 446, 513 Digital beamforming, 847, 864–866
constant current stimulators, 448–453 Digital capacitive pressure sensors, 1024
pulsed-current stimulators, 455–458 Digital controlled oscillator (DCO), 828
quasi-constant current stimulators, 453–455 Digital filtering, 585
waveforms, 457–461 Digital-gradient generator (DDG), 1098
Cycle-to-cycle jitter, 821 Digitally-controlled oscillator (DCO),
Cyclic on-off keying (COOK), 758 1091, 1098
Digital microfluidic biochip (DMFB), 928, 929,
931–933, 936–940, 942, 944, 945
D Digital multiplexing scheme, 115–116
Dark current, 1331 Digital multi-PPM (D-MPPM), 1095
Data exchange, 765 Digital PA (DPA), 1091
Data modulation, 986 Digital signal processor (DSP), 223, 224,
ASK, 1002–1004 233, 512
FSK, 1006–1007 Digital-to-analog converter (DAC), 199, 232,
Data rate enhancement techniques, 756–758 235, 387, 421, 422, 427, 914
Data-rate-to-carrier-frequency (DRCF), 1001 Direct electron transfer, 574
Data telemetry, 1119 Discrete optogenetic implants, 187–190
backward, 1008–1009 Dispersive system, 702
forward, 1000–1008 Distant-selective Doppler radar, 848–850
Data transfer, inductive link, 1000 DNA detection, 725
backward data telemetry, 1008–1009 DNA sensors, 638
block diagram, 1000 Doppler radar sensor platform
forward data telemetry, 1000–1008 amplifiers, 855–857
3D bioprinting, 625–626 BPSK modulator, 859–861
inkjet-based, 619–620 down-conversion mixer, 856–858
LAB, 620–621 frequency doubler, 858–860
material extrusion-based, 620 hardware implementation, 860–862
DC-coupled front-end amplifiers, 494 radar measurements, 862–866
DC-coupled neural amplifiers, 494 scalable transceiver architecture, 850–853
DC-DC converter, 502 transmission lines, 854
DC offset removal, 493 Downconversion fluorescence materials,
Deconvolution, 174 894–897
Deep brain stimulation (DBS), 182, 414, 502 3D printing, 615
Degrees of freedom (DOF), 441 FDM, 616–617
Deliberate aging, 938 inkjet printing, 617–619
Denial of service (DoS), 936 SLA, 617
Deoxyribonucleic acid (DNA), 544 Drain efficiency (DE), 830
1342 Index

1D read, 662 Electrochemical anodization, 713


Drop-on-demand (DOD), 619 Electrochemical biosensors
Drug screening, 604, 620–622, 628 amperometric biosensors, 479–480
Dual band telemetry, 1195 impedance biosensor, 480–482
for cortical implant, 981–982 Electrochemical glucose sensors, 575, 579
for implantable visual prosthetics, 980–981 Electrochemical impedance spectroscopy
Dual-module implant, 525 (EIS), 547
3D waveguide optogenetic array, 190 Electrochemical sensors, 134–137
Dye-labeled dideoxynucleotide (ddNTP), 652 Electrocorticography (ECoG), 43, 385–388,
Dynamically matched current sources, 532 391, 393, 399, 408, 410, 411, 488, 493
Dynamic range (DR), 496 Electrode array, 876
Electrode placements, 492
Electrode-solution capacitance, 547
E Electrode-solution interface, 546–547
Effective number of bits (ENOB), 400 Electrode voltage, 503
Electrical activity, 160 Electroencephalogram (EEG), 43, 182,
Electrical impedance biosensor, 544 1132–1134
Electrical neuromodulation, 384 artifact identification model architecture,
Electrical stimulation, 414, 417, 425, 446 1140–1142
categories, 447 artifacts and visualization, 1135–1136
charge balancing circuits, 428–429 signals, 389
charge mode stimulation, 446 Electromagnetic induction, 1112
constant-charge circuits, 428–429 Electromyographic (EMG) activity, 69, 80
constant-current circuits, 427–428 Electronic microarrays, 644
constant-voltage circuits, 428 Electronic nose, see Artificial olfactory
current mode stimulation, 446 system
(see also Current mode stimulation) Electron multiplying CCD (EMCCD), 144
voltage mode stimulation, 446 Electrophysiological signals, 43
Electric cell-substrate impedance sensing Embedded low-power hardware
(ECIS), 92 model weights quantization, 1144–1146
Electric probe, 732–734 network parameters optimization, 1144
Electrocardiography (ECG), 241 Emulsion polymerase chain reaction
adaptive asynchronous level crossing A-to-I (emPCR), 653
converter, 231–237 Endocardial stimulation
AFE, 223, 238 block diagram, 1260
biopotential amplifier, measurement results causes of death in 2016, 1259
on, 229–230 digital circuitry, 1263–1266, 1276, 1278
circuit operation, 225–228 factors, 1258
CMOS technology, 241 in vivo experiments, 1279, 1282
detection, 224 motivation, 1258
dry ECG electrodes, 238, 242 pacing channel, 1266, 1267
DSP, 224 parameter transmission, 1278, 1281
electrocardiogram recording, 231 powering interface, 1267–1271
MOS-bipolar pseudo-resistor, 224, 226, 227 programmable pacing channel, 1276, 1278
normal heartbeat on, 222 sensing channel, 1260–1264
operational amplifier design, 228–229 system architecture, 1259
PMOS, 225 two-battery-based power management
P wave, 222 system, 1272, 1274
QRS complex, 222, 231, 238, 242 Endoscopy, 1014
results, 237–238 Endothelial cells (ECs), 605
time intervals on, 222 EnerCage-HC2 system, 959
T wave, 222 Energy-efficient signal processing, 489, 497,
wireless ambulatory systems, 222 502, 510
Index 1343

Energy transfer upconversion (ETU) Forward (downlink) data telemetry, 963,


process, 898 986, 1000
Enzymatic biofuel cells (BFCs), 585 ASK modulation, 1001–1006
Enzyme-based glucose sensor, 136, 137 FSK modulation, 1005–1008
EPILEPSIAE database, 505 multi-carrier data telemetry, 954–955
Epilepsy, 384 single-carrier data telemetry, 952–954
Epilepsy seizure detection, 504 Fourier transform infrared spectroscopy (FTIR),
practical requirements, 504 704–707
Epiretinal implant, 1318 Free-floating, wirelessly powered, implantable
Epithelial–mesenchymal transition (EMT), 608 optical stimulation (FF-WIOS)
Error vector magnitude (EVM), 831 device, 1040
Excited state absorption (ESA) process, 897 bench-top characterization, 1050–1054
Exomes, 664 3-coil inductive link design and
Extracellular matrix (ECM), 605–607 optimization, 1046–1048
Extracellular recording, 418 design, 1042–1045
Eye blink artifact detection, 1152–1153 fabrication and microassembly, 1041
in vivo experiments, 1054–1058
system implementation, 1048–1050
F Free running oscillator, 828–829
Falling exponential generator, 460 Frequency-locked loop (FLL), 1096
Faradaic reactions, 71 Frequency modulated (FM) telemetry devices
Fast Fourier transform (FFT), 391, 510 architecture of, 1065–1066
Feedback resistors, 420–421 Med-Radio band, VCO with temperature
Fiber photometry, 148 compensation (see Voltage-controlled
Fibroblast growth factor (FGF), 608 oscillator (VCO))
Fibula, 1248 400 MHz VCO, theoretical analysis of
Field-effect transistor, 809–810 (see Voltage-controlled oscillator
Field-effect transistor-based sensors, 137–138 (VCO))
Field-programmable gate array (FPGA), 933, microphotograph of, 1083
1133, 1134 operational transconductance amplifier
implementation results and analysis, filter, 1077–1078
1148–1150 simulation results, 1078–1082
Field-programmable valve array (FPVA), 928, Frequency modulated ultra-low power
930, 931, 933, 938, 945 transmitter, see Ultra-low power
Figure-of-merit (FOM), 115 transmitter (ULP TX)
Filtered analogue signal, 582 Frequency-modulated UWB (FM-UWB),
Finite element method (FEM), 1188 1094, 1096
Finite state machine (FSM), 1265 Frequency modulation, 754
First-in first-out (FIFO), 440 Frequency-modulation digital-to-analog
Fixed phase-selection injection-locking converter (FM-DAC), 1089
oscillator (FPS-ILO), 1091 Frequency-shift keying (FSK) modulation,
Flexible printed circuit board (FPCB), 1030 1005–1006, 1064, 1088
Flow cytometry, 94–96, 98, 104 clock and data recovery, 1007–1008
Fluorescence, 148, 149, 151–155 data modulation, 1007
biosensor, 476–477 Frequency-shift keying (FSK) transmitters,
detection, 592–595 832–834
intensity, 554 Frequency synthesizers, for ULP TX, see Ultra-
quenching, 299 low power transmitter (ULP TX)
Fluorescence imaging Friis equation, 817
description, 892 Full duplex communication, 752
and lateral-flow technology, 900 Full-wave rectifier, 997
Food and Drug Administration (FDA), 872 Full-wave rectifier circuit, 996
Foot-drop, 1246, 1248 Fully-digital implementation, 494
1344 Index

Fully-wireless systems, 489 FPGA implementation results and analysis,


Functional electrical stimulation, 1258 1148–1150
Function modification, 936 implementation, 489, 506
Fused deposition modeling (FDM), 616–617 Hardwave Trojan (HT), 932–934
Harvest-then-transmit (HTT) protocol, 750
Heart failure, 903
G Hebbian reinforcement learning (HRL), 888
Galvanic-coupling HBC, 1099, 1101, 1102 Helmholtz double layer, 1325
Gas sensor Hexagonal electrode array, 527
field-effect transistor, 809–810 High-input-impedance low-noise
micro-cantilever sensor, 810–811 instrumentation amplifier, 58–59
nanostructured metal oxide, 807–808 noise analysis of instrumentation
surface acoustic wave sensor, 808–809 amplifier, 61
Gastric electrical stimulation (GES), 1258 overall design, 59–61
Gastric retention technology, 796, 797 simulation results, 62
and TIIM, 794, 795 High-pass transfer function, 494
Gastrointestinal impedance, 799, 800 High-resolution imaging, 653
Gastrointestinal (GI) tract, 1023 High-throughput drug screening, brain cancer
GCaMPs, 144, 161–163 chip
Genetically encoded calcium indicators photopolymerizable hydrogel brain cancer
(GECIs), 161–163 chip, 621–622
Genetically encoded neurotransmitter soft lithography, fabrication, 622–623
indicators (GETIs), 164, 166 High-voltage circuits, 529, 536
Genetically encoded voltage indicators High-voltage process, 502
(GEVIs), 163–165 High-voltage stimulator, 529, 534, 536
Giant magnetoresistance (GMR) effect, 1204 High-voltage-tolerant stimulator (HVTS), 386,
Gilbert-cell circuit, 856, 858, 859 393–395, 401, 402
Glioblastoma multiforme (GBM), 604, 607, Hilbert transformation, 440, 1056
622, 624, 625, 628 Hodgkin-Huxley model, 457
pathological BBB, 607–608 HUBIN optrode
TME, 608–609 closed-loop, 201–203
Glucose biosensors, 572 open-loop, 199–201
Glucose dehydrogenases (GDHs), 574 scalable architecture of, 202–204
Glucose detection, 724 Human body communication (HBC), 1088
Glucose oxidases (GOx), 136, 572–574 capacitive-coupling, 1102
Glucose-peroxidase system, 572 galvanic-coupling, 1099, 1102
Glucose sensors, 136, 573 magnetic HBC, 1102, 1105
Gm-C filter, 1079, 1084 schemes, 1100
Gold nanowires (AuNWs), 132 Human chorionic gonadotropin
Gouy-Chapman-Stern model, 547 (hCG), 615
Gradient index (GRIN) lens, 149 Human Genome Project (HGP), 652, 653
Graphical user interface (GUI), 1033, 1041 Human herpesvirus-1, 323, 338–339
Guiding layer, 718, 719 Human-machine interface, 130
Humidity sensing
applications, 248
H assessment, 246
Half-duplex BackCom, 752 capacitive solutions, 251
Half-wave rectifier circuit, 996 microchips for, 247
Hardware on-chip technologies, 249
architecture design, 1146 in optical-based approaches, 252
ASIC implementation results and analysis, optical waveguides, 254–259
1149–1150 purposes, 251
fingerprint, 939 technologies, 248
Index 1345

Hydrofluoric acid (HF), 712 data compression technique, 1015


Hypertension, 22 digital modulation schemes, 1015
Hypoxic tumor microenvironment, 623–624 high data rate transmission, 1015
path loss variation, 1016, 1017, 1020, 1021
temperature analysis, 1020, 1022
I Inductive coupling, 970, 975, 976
Illumina/Solexa technology, 653 Inductive links, 1113–1114
Image processing module, 269 data transfer, 1000–1009
Image processors, 1190–1192 power transfer, 986–999
6-Imager system, 276 Industrial, scientific and medical (ISM)
Image transmission module, 269–271 band, 1091
Immediate signal acquisition, 1281 Influenza A virus, 337
Immunoreaction-based bacterial sensors Information leakage, 938
advantages and challenges, 474 Infrared blood pressure monitoring system
colorimetric biosensor, 474–476 development, 33–35
electrochemical biosensors experiment and evaluation, 35–37
(see Electrochemical biosensors) Infrared (IR) light, 1196
fluorescence biosensor, 476 Infrared (IR) spectrometry, 701, 707
piezoelectric biosensors, 482–483 Injection locked clock multiplier (ILCM), 829
surface plasmon resonance biosensor, Injection locked oscillator, 829–830
476–478 Inkjet-based bioprinting, 619–620
Impedance biosensors, 480–482 Inkjet printing, 617–619
measurement methods, 547–548 Inorganic materials, 132
sensing principles, 545 Input-referred noise (IRN), 420
surface immobilization, 546 power, 493
Impedance measurement, 97–99 Instantaneous frequency variations (IFV), 822,
CMOS impedance analyzers, 106–110 823, 826
current sensing circuits, 99–103 Institute of Electrical and Electronics Engineer
lock-in amplifiers, 103–105 (IEEE), 1020
Impedance spectroscopy, 1329 Instrumentation, 582, 583, 586
and data display, 89 Insulin, 572
frequency range, 99, 108 Integrated circuits (ICs), 930
Impedance telemetry, 532 Integrated optogenetic implants, 190–199
Implantable biomedical sensor, 1109 Integration, 732, 738
Implantable devices, 144, 153–156, 376, 489, Intellectual property (IP) protection, biochips
491, 492, 506, 508, 511 bioassays locking, 939
Implantable electrochemical sensors, 759 hardware fingerprint, 939
Implantable medical devices (IMDs), 384, 747 secret-keys, 940
data telemetry (see Wireless data telemetry) watermarking, 939
power dissipation, 950 Intelligent, 182, 186, 198, 202
wireless telemetry circuits in, 959–965 Intelligent intra-cortical brain machine interface
Implantable micro-stimulator system on chip (i2BMI), 878
(IMSoC), 1259, 1268, 1270–1272, analog computing, 884–885
1274, 1278, 1280 computing principles, 879
Implantable optoelectronic devices, 152–155 non von-Neumann architecture, 879–881
Implantable sensors, 1116–1117 spiking neural network, 881–884
Implantable stimulator, 1247 Intercellular micro-electrode, 733
Implant(s), 180–203 Inter-digitated electrode (IDE) based sensors,
fault detection, 537 545, 1027
Impulse radio ultra-wideband (IR-UWB), Interfascicular electrodes, 70
270, 1094 Interference filter, 154
antenna design, 1018–1020 Interferometer, 704
components, 1015, 1016 Internal circuit, 988
1346 Index

International Council on Non-Ionizing Lab-on-CMOS (LoCMOS), 554


Radiation Protection (ICNIRP), 1020 Laser-assisted bioprinting (LAB), 620–621
International Union of Pure and Applied Laser diode (LD), 144, 145, 148, 150
Chemistry (IUPAC), 712 Lateral-flow immunoassay, 900–902
Interpulse interval, 1248 Lateral scanning strategy, 167
Interstitial fluid (ISF), 575, 576, 578–580 Leakage detection, 536
Intracardiac stimulation, 1281 Leave-one-subject-out (LOSO), 1153
Intracellular recording, 418 Level crossing ADC (LC-ADC), 231–234
Intra-cortical brain machine interfaces (iBMIs), adaptive resolution, need for, 234
873, 876 basic principle, 231
autonomous, 887–888 flash-like realization of, 232
BrainGate program, 873 implementation, 234–237
compressed data, 875, 877 performance, 236–237
decoded outputs, 876, 877 SNR, 232
features, 876, 877 Life technology, 654
fully implantable wireless, 886 Light emitting diodes (LEDs), 145, 148–152,
neurons, 884 154–156, 185
non-stationarity, 879–881 Light intensity, 1188
raw data, 875, 877 Light stimulation, 149–151
Intra-cortical microstimulation (ICMS), 882 Limit of detection (LOD), 720, 725
Intracranial EEG (iEEG), 493 Link budget, 817
Intraoperative enteroscopy, 1014 Link drivers, 990–991
Inversion coefficient (IC), 50 class-D power transmitter, 991–993
In vivo implantable optoelectronic devices, 152 class-E power transmitter, 993–995
Ion-selective electrodes (ISEs), 135 LivaNova, 500
Ion-sensitive field-effect transistors (ISFET), Load shift keying (LSK), 1260
137, 545 back telemetry, 1043, 1044
sensors, 654, 659, 660 data transmission, 1274
Iontophoresis, 579 demodulator, 957
Ion Torrent systems, 653, 654, 658, 659, modulation, 399, 1009, 1010
663–665 Local field potentials (LFP), 44, 202, 415, 416,
characteristics/performance, 655 440, 489, 492
enzymatic and physical digestions, 657 Local frequency potentials (LFP), 876
fragmentation and size selection, 656 Localization module, 273
library preparartion, 656 Localized-backside etching (LBE), 861
ligation of adapters, 657 Localized surface plasmon resonance (LSPR),
micro-fabrication, pHFET devices, 658 719, 720, 722, 726, 728
purification/amplification, 657, 658 Logistic regression (LR), 1142
sequencing mechanism, 659, 660 Long short term memory (LSTM),
tagmentation, 657 878, 1134
workflow, 657 Low dropout (LDO) voltage, 998
Low-noise amplifier (LNA), 830, 855, 1090
Low-noise circuits, 101, 102
K Low pass filter (LPF), 399, 1005
Kalman filter (KF), 882, 884 Low-power design technique,
Kernel-based reinforcement learning, 888 multi-channel neural recording interface,
Knee joint, 1247 see Multi-channel recording interface
Low power ECG monitoring systems,
see Electrocardiography (ECG)
L Low-power neural stimulation, 1178
Label-free biosensors, 632, 642–644 Low-temperature co-fired ceramic
Label-free sensing techniques, 544 (LTCC), 562
Lab-on-a-chip (LOC), 554, 557, 613, 614 Lumped component models, 854
Index 1347

M Microfabrication, 604, 609, 612, 653


Machine learning, 882 3D bioprinting, 619–621
Magnetic coupling, 987, 988 3D printing, 615–619
Magnetic HBC, 1102, 1104, 1105 microfluidics, 613–616
Magnetic particles (MPs), 1208, 1217 photolithography, 609–611
Magnetic resonance imaging (MRI), 488 soft lithography, 611–614
Magnetic tunnel junction (MTJ), 1206, 1213 technologies, 489
Magnetoencephalography (MEG), 488 Microfluidic(s), 604, 611–616
Magnetoresistive (MR) sensors, biochip integration, 568
assembling and evaluating electromagnetic probe, 735
compatibility, 1223–1226 Microfluidic very large scale integration
biomolecular analysis, 1207–1210 (mVLSI), 932, 937
biosignals (see Biosignals) Micro-LED, 192, 193
CMOS biochip, 1228–1231 Microneedle(s), 580
electric noise level, 1226–1228 technology, 580
high density monolithic matrix sensors, Micropore technology, 579
1215–1216 microRNA (miRNA), 664
high-throughput biosensing platform, Microstimulator, 4, 13, 19
1231–1233 Microstrip transmission lines, 854, 855
magnetic tunnel junction, 1206–1207 Microsystems, 764, 768
neuronal magnetic recording (see Neuronal Millimeter-wave Doppler radar, 847–848
magnetic recording) MIMO radar, 847, 851, 860, 862, 866
sensor electrical models, 1213–1215 MinION, 662
simple linear and matrix sensors, working principle, 662
1212–1215 Model weights quantization, 1144–1146
spin-valve, 1204 Modulation scheme, 817–819
Material extrusion 3D bioprinting, 620 Molecular methods, 653
MATLAB, 1033 Monochromator, 702
Mean absolute relative deviation (MARD), 579 Monophasic stimulation, 446
Medical device radio communications service Monostatic backscatter communication
(MedRadio), 1089, 1090 systems, 750
Medical implant communications service Moore-like law, 873, 874, 877
(MICS), 1089, 1090 MOS, 1073
Medical implants, inductive links, 986 MOSFET, 1067, 1069
Med-Radio band Motor impairments, 872
output frequency operating at, 1082 Moulding, 580
VCO with temperature compensation Multiband orthogonal frequency division
(see Voltage-controlled oscillator multiplexing (MB-OFDM), 1094
(VCO)) Multi-carrier data telemetry, 954–955
Metal-enhanced fluorescence (MEF), 895 Multichannel
Metal organic frameworks (MOFs), 809 effective power management for,
Microcavity, 715–717 1309–1313
Microchip, 181, 186, 198–203 implantable system, 492
Microclimate conditions neural prosthesis, 1287–1289
applications, 246 neurostimulator, 503
measurement of temperature, 247 stimulators, 1291–1296
Microdialysis probe, 736, 738, 739 Multi-channel recording interface, 122–124
Microelectrode arrays (MEA), 187, 415, 876 analog multiplexing scheme, 113–115
Microelectrodedot-array (MEDA), 928, 929, digital multiplexing scheme, 115–116
931, 933, 936, 945 dual-capacitive-array structure, 116–121
Microelectromechanical systems (MEMS), 604 multi-channel SAR ADC, 122
Microelectronic brain implant, 488 Multi-layer perceptron (MLP), 1133
Micro-fabricated cantilever arrays, 810–811 Multimodal, 195, 198
1348 Index

Multi photo-diode array (MPDA), 1188, 1190 Neural signals, 508


Multi photo-diode-based subretinal Neural stimulation, 180, 186, 187, 194, 199,
prostheses, 1187 202, 366, 367, 1172–1178, 1189
Multiplexer (MUX), 386–388, 940 Neurobiology
Multiplicative recurrent neural network BBB, 605
(MRNN), 886 brain parenchyma, 605–606
Multiply and accumulate operations ECM, 606–607
(MACs), 878 Neurological disorders, 908, 910, 923
Multi-waveform current generator, 460 Neurological event detection, 507
Myoelectric signals (MES), 432 Neuromodulation, 180, 181, 183, 409, 489,
507, 1038
FF-WIOS (see Free-floating, wirelessly
N powered, implantable optical
NAND flash memory, 271 stimulation (FF-WIOS) device)
Nanomechanical resonators, 807 optogenetics, 1038
Narrowband, 1088 Neuromorphic computing, 878
2.4GHz ISM band, 1091 Neuromorphic decoder, 883
433/868/915/960MHz ISM, 1091 Neuromorphic processor, 881, 882
MICS/MedRadio, 1089, 1090 Neuron, 606
power consumption, 1089 Neuronal activity
Narrowband imaging (NBI), 267, 268 GECIs, 161–163
Native DNA, 654, 660 GETIs, 165, 166
Native nucleotides (dNTPs), 654, 660 GEVIs, 163–165
Natronomas pharaonis halorhodopsin Neuronal circuits, 160
(NpHR), 180 Neuronal indicators, 161
Navigation system Neuronal magnetic recording, 1233–1234
ambient environment, 1031 amplification and filtering, 1235–1236
capacitive sensor, 1030 current source, 1234–1235
flexible pressure sensor array, 1030 software interface, 1236–1237
hollow organ/internal cavity, 1028 working principle, 1211
least pressure method, 1031, 1032 Neuronal signals, 1221–1223
locomotion system, 1030 Neuropace RNS™, 499
polar and azimuth angles, 1033 Neuroprosthetic microsystems, 365, 369
Near-field-based data transmitters, 491 Neuroprosthetics, 417
Near-field communication (NFC), 139 Neuroscience, 414
Near field data communication, 975–976 Neuro stimulation circuits
Near-infrared spectroscopy (NIRS), 301 electrical stimulation, 425–429
Network parameters optimization, 1144 optogenetic stimulation, 429–431
Neural acquisition methods, 873 Neurostimulator, 1289, 1291
Neural activity monitoring methods, 488 applications, 1288
Neural amplifiers, 493 Neurovascular unit (NVU), 606, 626–627
Neural communication, 415–416 Next generation sequencing (NGS) technology,
Neural data acquisition, 489 652, 653
Neural decoder, 434 Next-sequencing generation (NSG), 653, 654
Neural decoding, 879 Ion Torrent vs. Oxford Nanopore, 663
Neural interface, 489, 490, 493, 870 Nitrobenzoxadiazole-based fluorescence
Neural network, 441–443 dyes, 894
Neural prosthesis, 1186 NMR-on-a-chip, 669, 679
Neural recording, 184, 186–188, 194, 195, 202, Noise amplification, 545
489, 496, 497 Noise degradation, 79
Neural recording system, 405 Noise efficiency factor (NEF), 44, 56, 125, 388
Neural-signal acquisition unit (NSAU), Noise reduction, 101, 103, 107
386–390, 401 Non-invasive sensors, 210–212
Index 1349

Non-separative detection, 700 Optical probe, 734–735


Nuclear magnetic resonance (NMR) Optical recording of neuronal activity
conventional hardware, 678–681 2D imaging, 166, 169
fundamentals, 669 3D imaging, 167–170
methods, 668 in free-behaving animals, 173
on-a-chip system, 681 image analysis, 170–175
relaxometry, 668, 675–677, 691, 692 neuronal indicators, 160–165
spectrometers, 668 offline analysis, 172–174
Nucleic acids, 319, 322, 327, 333 online analysis, 174–175
Numerical aperture (NA), 150 Optical sensor, 251, 254, 258
Nyquist frequency, 423 Optical waveguide device, 150
Nyquist plot, 548 Optodes, 301
Nyquist-Shannon sampling theorem, 114 Optoelectronic devices, in freely moving
rodents, 151–155
CMOS image sensor, 145–147
O exterior of the body, 148–149
Obfuscation, 944 LEDs, 145
Odor classification algorithms living body, 149–151
bio-inspired coding schemes, 354 Optogenetic(s), 144, 157, 180–183, 185, 433,
discriminative classifiers, 352–354 437, 1038
generative classifiers, 350–352 stimulation, 429–431
Off-chip heart model, 1277 Optogenetic implants
Offset reduction loop (ORL), 388 biomedical applications, 182–183
On-chip antenna, 847, 861, 862 closed-loop HUBIN optrode, 202–203
On-chip bias circuitry, 561 closed-loop system, 186
On-chip humidity sensing, 252 devices materials, 184
On-chip imaging, 590 diagnostic function, 187
On-chip implementation, 503 discrete, 187–190
On-off keying (OOK), 1088 fabrication process, 184
demodulator, 1044 integrated, 190–199
pulse position modulation demodulator intelligent devices, 186
circuit, 953, 954 intensity programmability, 185–186
On-skin chemical sensors light sources, 185
electrochemical sensors, 134–137 miniaturized size, 183
field-effect transistor-based sensors, neural inhibition, 185
137–138 open-loop HUBIN optrode, 199–201
Open circuit potential (OCP), 135 power consumption and power delivery,
Open-loop HUBIN optrode, 199–201 184–185
Open-loop microsystem, 364, 377, 379 spatial-temporal resolution, 186
Open-loop neural stimulation systems, 500 thermal effect, 184
Open-loop neuromodulation system, 384 Oscillation frequency, 1066, 1068, 1070,
Open-loop neurostimulators, 498 1073, 1074
Operational amplifier (OPA), 59, 61, 388 Oscillator-based capacitance sensor array, 568
Operational transconductance amplifier (OTA), Oversampling ratio (OSR), 422
224–228, 235, 419, 420 Oxford Nanopore Technology (ONT), 660
Operational transconductance amplifier- features, 661
capacitor (OTA-C) filter, 1077, history, 661
1079, 1262 Oxygen, 292
Optical biosensors, 473, 892 cellular respiration, 292
smartphone-based application, 903–904 Clark electrode, 296
Optical DNA detection, in on-chip fluorescence quenching, 298–299
configuration, 590 ideal medical probe, 295–296
Optical fibers, 144, 148–151 near-infrared spectroscopy, 301–303
1350 Index

Oxygen (cont.) Photopolymerizable poly(ethylene)


optodes, 301 glycol diacrylate (PEGDA),
PEBBLEs, 299–301 621, 622
plethysmographic signal, 304 pH sensor, 1025–1027
pulse oximetry, 303 Physiological parameters, 908, 911, 922, 924
Piezoelectric biosensors, 482–483
Pin-mapping, 933
P Piwi-interacting RNA (piRNA), 664
Parallel interfacing, 770 Pixels, 1324
Parallel resonance, 988 Platinum-based chemotherapeutic agent, 565
Parametric altering, 937–938 Plethysmographic signal, 304
Particle sensor PLL-based PSK demodulator, 1265, 1278
particulate matter, 805–806 PMOS transistor, 1067
technologies for nanoparticle Point-of-care (POC), 319, 614
monitoring, 806 Point-spread function (PSF), 169, 171, 172
Particulate matter monitoring, 805–806 Polydimethylsiloxane (PDMS), 611,
Parylene, 562 614–616, 1030
Passive data telemetry, 956–958 Polyvinyl chloride (PVC), 136, 1033
Passive phase shift keying (PPSK), 758, 958 Porous In2O3 nanospheres, 808
PEBBLEs, 299 Porous silicon, 712
Periodic grating, 719 biofunctionalization, 720–721
Period jitter, 821 biosensor application, 722–728
Period modulation (PM), 1024 Bragg reflector, 715–716
Peripheral nerves, 433 LSPR and SERS biosensor, 718–719
Peripheral nerve sensing system morphology, 712
amplifier input configuration, 75–79 resonant microcavity, 715–717
differential mode interference rejection and waveguide, 716–719
neural amplifier circuits, 79 Portable NMR, 687
electrodes, electrical model of, 71–75 Positron emission topography (PET), 488
nerve conduction, basic mechanisms of, Potentiometry, 701
66–71 Potentiostat, 582, 583, 1329
Peripheral nervous system (PNS), 417 Power added efficiency (PAE), 831
Peroneal nerve, 1247 Power amplifier (PA), 387, 819, 830, 855,
Phase error (PE), 822 991, 1089
Phase jitter, 821 class-D PA, 992
Phase-locked loop (PLL), 823, 825–828, class-E PA, 993, 994, 1004
1089, 1260 efficiency, 830–831
Phase locking value (PLV), 440 linearity, 831
Phase modulation, 754 SCDPA, 832, 833
Phase noise (PN) Power conversion efficiency (PCE),
BER and effective SNR loss, 823–826 385, 396
frequency modulation, 825–827 Power efficiency factor (PEF), 46
jitter and frequency accuracy, in frequency Powering interface
modulated radios, 820–823 external power/data transmitter, 1268
Phase shift keying (PSK), 817, 1260 rectifier, rechargeable device, 1269, 1270
Photodiode (PD), 144–147, 149, 152, with RF front-end, 1268, 1271
1186, 1187 Power management, 1195–1197, 1290,
Photolithography, 604, 609–611, 614, 619, 1295–1296
626–627 for multichannel stimulators, 1309–1313
Photon avalanche (PA) process, 898 voltage rectifier, 995–998
Photoplethysmography, 26 voltage regulator, 998–999
Photopolymerizable hydrogel brain cancer Power supply module, 274–275
chip, 621 Power telemetry, 775–779
Index 1351

Power transfer, inductive link, 986 Radio-frequency identification (RFID),


block diagram, 987 BackCom, 760
link drivers, 990–994 implants, 756
power management, 995–999 intra-body health monitoring, 759
resonance, 987–990 Raman spectrometry, 707
Power transmission, 986, 987, 991, 1000, 1001 Randles model, 1329
Predictive models, 209, 212, 214, 218 Randomized checkpoint, 941
Pressure sensor, 1023–1025 Reading forgery, 937
Printed circuit board (PCB), 139, 492, 562, 611, Reagent contamination, 936
860, 1223, 1225 Real-time feedback system, 508
Programmable-gain differential difference Recalibration procedures, 886
amplifier (DDA), 1260, 1261 Reconfigurable on-line learning spiking
Programmable transconductance gain (ROLLS) processor, 881, 882
amplifiers (PTGA), 386–390 Rectified linear unit (ReLU), 1140, 1153
Proportional integral (PI) regulator, 436 Rectifier, voltage, 995–998
Proportional to absolute temperature Recurrent neural networks (RNNs), 1134
(PTAT), 1076 Redox current, 582
Protein sensors, 639–642 Refractive index, 148
Pseudo-random binary sequence (PRBS), 849, Reinforcement learning (RL), 887, 888
850, 852, 859, 862–866 Resazurin, 554
Pseudo-random noise (PRN), 849, 863, Resonance, 986
865, 866 in inductive links, 987–990
Pulsed-current stimulators, 455–457 Resonant microcavity, 715–717
Pulse delay modulation (PDM) data Responsive neuro-stimulation, 491
telemetry, 955 Responsive stimulation, 488, 501
Pulse-frequency-modulation (PFM), 387, 393 Retinal ganglion cell (RGC), 1188
Pulse oximeters, 303, 306–307 Retinal illuminance, 1332
Pulse-position-modulated clock/data recovery Retinal implant, 520–521, 1158, 1169, 1172
(PPM-CDR) circuit, 1043 Retinal prosthesis, 1158, 1159
Pulse width modulation (PWM), 199, 1024 Retinal prosthesis circuits
PWM based capacitive readout circuit, 1025 biphasic current stimulators, 1192–1194
Pyro-sequencing, 652 image processors, 1190–1192
power management, 1195–1197
Retinitis pigmentosa (RP), 183
Reverse engineering (RE), 933
Q
Ridge regression classifier (RRC), 392
Q-learning, 888
Ring oscillator (RO), 828
QRS complex, 222, 231, 238, 242
Ring VCO, see Voltage-controlled
Quadrature amplitude modulation (QAM), 817
oscillator (VCO)
Quantization, 1145
Ripple reduction loop (RRL), 58, 387
Quantum dots, 476
Rising exponential generator, 459
Quartz crystal microbalance (QCM) resonate
Riva Rocci Korotkoff method, 29
sensor, 805
Root mean square (RMS), 1216
Quasi-constant current stimulators, 453–455
Round trip delay times (RTDTs), 849
Quasi-monopolar stimulation, 527–528, 538

S
R Salmonella typhimurium detection, 477
Radiation source, 704 Sample Handling System (SHS), 704–707
Radio frequency (RF), 511, 1247 Sampling, 732, 735, 738, 741
wireless transmitter, 510 Sanger sequencing, 652
Radio frequency identification (RFID), Scanned line angular projection microscopy
1009, 1270 (SLAP) technique, 166, 168
1352 Index

Scanning electron microscopy images Soft lithography, 604, 611–614, 622–623,


(SEM), 581 626, 628
Scientific CMOS (sCMOS), 144 Soft materials, for skin interface
Second-generation sensors, 574 conducting polymers, 132–133
Second-generation sequencing (SGS), 653 inorganic materials, 132
Segmentation, 174 skin-device interface, 133–134
Seizure, 908–910, 920 softening rigid materials, 133
control, 405 SoftMax, 1147, 1148
detection algorithms, 504, 510 Software framework
prediction, 506 classification accuracy, 1141
Self-assembly monolayers (SAMs), 137, 546 classification analysis and results, 1141
Self-monitoring of blood glucose (SMBG), 575 EEG artifact identification model
Sensing and processing module (SPM), 1223, architecture, 1140–1142
1225, 1226 Solid microneedle array, 580
Sensitivity, 725–729 Solid-state nanopores, 653, 658, 661
Sensor array, 344, 911, 916, 919, 920, 924 Sonophores, 579
nanomaterial gas sensor, 345–347 Spatial light modulator, 168
SMO gas sensor array, integrated micro Specific absorption (SA), 1020
heater, 345 Specific absorption rate (SAR), 491, 919,
Sensor array equivalent circuit model, 1020, 1022
914–916 Specificity, 724
Sensors, 704 Spike-based decoder, 435–436
Separative detection, 700 clustered spikes, decoder based on, 437–440
Sequencing-by-synthesis (SBS), 544, 654 mean firing rate and PI regulator/decoder,
Serial Peripheral Interface (SPI), 1031 436–437
Series-resonant internal circuit, 989 simple detection, decoders based on, 436
Series voltage regulators, 999 Spike detection, 435–437, 441
Short interfering RNA (siRNA), 664 Spike-input Extreme Learning Machine
Short-range UWB transmitters, 491 (SELMA), 884
Signal digitization, 562 Spike sorting, 435–438
Signal to noise ratio (SNR), 227, 232, 817, 823, Spiking neural networks (SNN), 879, 881–883
825, 826, 1079 Spinal cord (SC), 414
Silicon-on-insulator (SOI), 733 injury, 6
Silver arrays, 580 Spin-valve (SV), 1204–1206, 1217, 1227
Silver nanowires (AgNWs), 132 Spurious-free dynamic range (SFDR), 400
Simplified Randles model, 1329 St. Jude medical, 499
Simultaneous stimulation, 527, 528, 532 Stanford group, 1320
Single-carrier data telemetry, 952–954 Static checkpoint, 941–942
Single nucleotide variant (SNV), 664 Stationary device, 508
Skin blister technique, 579 Steering-type blood pressure monitoring system
Skin temperatures development, 24–29
components, 213 experiment and evaluation, 29–31
core body measurement and, 209 Stereolithography (SLA), 617
determination of, 211 Structural health monitoring (SHM), 246
disturbance of, 211 Subharmonic injection-locking technique, 1091
homogenous distribution of, 208 Sub-mW monolithic pulse oximeter front-end,
local, 215 311–313
non-invasive measurement of, 214 Subretinal stimulator, 1323
and physiological models, 217 ASIC, 1322
temperature distribution with, 208 electrode modeling, 1329
Smart contact lens, 759 input trans-impedance converters,
Smart powering management, 1281 1332–1334
SoC-triggered stimulation, 514 output driver circuit, 1330
Index 1353

PEDOT electrode, 1328 Thermal effect, 1114


photo-sensor structure, 1330–1332 Thermoregulation
platinum, 1326 human model, 212
probe-card, chip and microscope, 1324 inter-individual differences in, 217
spatial filtering, 1334–1335 and metabolism, body temperature, 209
surgical procedure for, 1321–1322 Thin film diodes (TFDs), 1213, 1218
TiN electrode, 1327 Thin film longitudinal intrafascicular electrodes
water window, 1325–1328 (tfLIFE), 70, 84
Subthalamic nucleus (STN), 182 Thiol group, 721–722
Successive approximation analog-to-digital Third-generation sensors, 574
converter (SAADC), 1261, 1263 Third-generation sequencing (TGS), 653, 660
Successive approximation register (SAR), 115, Three-transistor active pixel sensor
122, 421, 1262 (3T-APS), 152
Support vector machine (SVM), 1142 Time-based detection algorithms, 505
SureSelect approach, 658 Time-domain features, 505
Surface acoustic wave sensor, 808–809 Time to digital converter (TDC), 834, 835, 837
Surface chemistry, 653 TiN electrode, 1327
Surface enhanced Raman spectroscopy (SERS), Tin oxide nanowire, 809
720, 726, 728 Tone anti-interference transceiver, 1092
Surface plasmon resonance (SPR), 719 Total efficiency (TE), 831
Surface plasmon resonance biosensor, 476–479 Total harmonic distortion (THD), 55, 419, 1078
Switched capacitor (SC), 423, 1009 Traction force microscopy, 556
filter, 1077 Traditional convolution, 1138–1139
Switched-capacitor based stimulation (SCS) Transceivers
circuit, 1043 HBC, 1099–1105
Switched-capacitor digital power amplifier narrowband, 1089–1093
(SCDPA), 832–834, 840 specifications, 1088
Switching resistor, 1009 UWB, 1093–1099
Synchronous mode, 1324 Transcutaneous electrical nerve stimulation
System controller, 272 (TENS), 1258
System in package (SiP) approach, 286 Transcutaneous intraluminal impedance
System-on-chip (SoC), for closed-loop measurement (TIIM)
neuromodulation, 4 design, 796
animal test, 405–409 and gastric retention, 794–797
BSP, 389–392 principle of, 795
electrical test, 400–406 Transepithelial/transendothelial electrical
human clinical trials, 408–411 resistance (TEER), 628
HVTS, 393–394 Transfer printing, 1198
NSAU, 387–390 Transforming growth factor-β (TGF-β), 608
wireless power and bi-directional data Transimpedance amplifier (TIA), 386
telemetry, 395–400 Transmission lines (TLs), 853, 854
System state machine, 272 Triple-band RF radio is, 512
Tumorigenicity 2, 903
Tumor microenvironment (TME), 604,
T 608–609
Tagmentation, 657 Tunneling magnetoresistance ratio (TMR),
Taq polymerase, 657 1207, 1214
Telemetry Two-battery-based power management system,
backward data telemetry, 1008–1009 1268, 1270–1272, 1274
forward data telemetry, 1000–1008 Two-color two-photon calcium imaging, 163
Temperature monitoring system, 1083, 1197 Two-compartment model, 576
Temporal lobe epilepsy (TLE), 182 Two-hop wireless power transfer system,
Tetramethyl benzidine, 474 274, 275
1354 Index

Two-way wireless communication, 491 Voltage-activated red neuronal activity


Two-wire interface, 529–532 monitor, 164
Type 1 diabetes (T1D), 580 Voltage-controlled oscillator (VCO), 393, 561,
860, 914, 1027–1029, 1265
bandgap reference voltage circuit,
U 1074, 1076
Ultra-low power transmitter (ULP TX) bias voltage circuit, 1076, 1077
architecture, 819 buffer stage, MOSFET in, 1069
free running oscillator, with frequency building blocks of, 1067
calibration controller, 828–829 chip, specification parameters of, 1071
injection locked oscillator, 829–830 design flow, 1069
PA in, 830–834 frequency tuning range and output
phase noise, jitter and frequency accuracy, power, 1071, 1072
in frequency modulated radios, 820–823 LC-tank, 1066
phase noise’s effect, on frequency 403 MHz VCO, expected specifications
modulation, 826–827 for, 1073
phase noise’s effect, to BER and effective MOS drain current vs. Vgs, 1074
SNR loss, 823–826 oscillation frequency, 1066, 1070
PLL, 827–828 phase noise and power consumption, 1071
RO-based BLE transmitter, 834–842 pre-and post-layout simulation, process and
Ultraviolet/visible (UV/Vis) spectrometry, temperature variation, 1071
701–703 single delay cell unit, 1067, 1069
Ultra-wideband (UWB), 828, 1088 tail current Iref vs. frequency, 1075
Chirp-UWB, 1097, 1099 taped-out buffer, 1068
communication system, 1015 with temperature compensation
FM-UWB, 1096 circuit, 1075
IR-UWB, 1094 Voltage-controlled stimulation (VCS), 496
MB-OFDM, 1094 Voltage-mode quantizers, 496
Unilateral optical stimulation, 1055 Voltage mode stimulation, 446
Upconversion nanoparticles (UCNPs), 893 Voltage rectifier, 995–998
CT contrast agents, 899 Voltage regulator, 998–999
household HF monitoring system, 904 Voltammetry, 701
in vitro and in vivo bioimaging
applications, 898 W
portable device, 903 Water window, 1325–1328, 1330
User-controlled neuroprosthetics, 432–433 Waveguide, 716–719
Wearable(s), 218
electronics, 130, 132
V implantable microsystem, 491
Vascular endothelial growth factor Whole-exome sequencing, 664
(VEGF), 608 Whole-genome sequencing (WGS), 663
Vasculature, 577 Wide-bandwidth, 102
Vercise PC™, 499 Wiener-Khintchin theorem, 822
Vertical-cavity surface-emitting laser Wireless, 910, 911, 922, 924
(VSCEL), 185 bidirectional data telemetry, 385, 395, 396,
Video processing unit (VPU), 1318 405, 409
Virtual channels, 1197 communication, 489, 1088
Vision module, 267–268 data transmission, 511
Visual prostheses interfacing, 765, 766, 768
active vs. passive electrodes, 523–524 link, 491
Phoenix99 architecture, 525–529 telemetry system, 11, 1281
serial vs. parallel configuration, 521–523 transmission, 499
single vs. multi-module implant, 524–525 transmitters, 511
Index 1355

Wireless body area networks (WBAN), Wireless power, 385, 395, 396, 409
1015, 1088 conversion efficiency, 399
based patient monitoring system, and data transmission, 365, 369
1116–1117 recovery, 1120–1122
Wireless capsule endoscopy (WCE) Wireless power transfer (WPT), 968, 970,
GI tract, 1023 972, 1111
image compression techniques, 1015 electromagnetic induction, 1112–1113
IR-UWB, 1015–1023 electromagnetic radiation, 1113
navigation, 1028–1034 health issues, 1114–1116
pH sensor, 1025–1027 inductive link, 973–975, 1119
pressure sensor, 1023–1025 limitations, 1113
small bowel disease, 1014 near field high frequency power link,
structure, 1014 975–976
Wireless circuits and systems, FM telemetry Working electrode (WE), 135, 136
devices, see Frequency modulated World Health Organization (WHO), 870
(FM) telemetry devices
Wireless data telemetry
backward, 965 Z
circuits in implantable biomedical devices, Zika virus, 321, 338–339
959–965
classification, 951, 952
forward, 952–955

You might also like