A Low-Power 1-V Supply Dynamic Comparator

You might also like

Download as pdf or txt
Download as pdf or txt
You are on page 1of 4

154 IEEE SOLID-STATE CIRCUITS LETTERS, VOL.

3, 2020

A Low-Power 1-V Supply Dynamic Comparator


Subhash Chevella , Student Member, IEEE, Daniel O’Hare , Member, IEEE,
and Ivan O’Connell , Senior Member, IEEE

Abstract—This letter presents a low-power dynamic comparator for


ultralow power applications. The prototype is designed in a 65-nm CMOS
process with a supply voltage of 1 V and is compared against the widely
used double tail latch comparator in terms of power consumption and
input referred rms noise. The addition of cross-coupled devices to the
input differential pair prevents the comparator internal nodes from fully
discharging to ground in contrast to the conventional architecture. This
reduces the power consumption while achieving similar noise levels.
Measurements demonstrate that the proposed comparator achieves an
input referred rms noise voltage of 220 µV against 210 µV for the con-
ventional comparator with a 30% reduction in power. The proposed
circuit consumes 0.19-pJ energy per comparison.
Index Terms—Analog-to-digital converter (ADC), comparator, double-
tail latch-type comparator, latch, low-noise, low-power, SAR, StrongArm.

I. I NTRODUCTION AND P RIOR A RT


Dynamic comparators are the essential building block in many
mixed signal conditioning circuits. The widespread use of battery
powered applications demands low-power signal processing circuits,
resulting in the widespread use of dynamic comparators. StrongArm
latch-type [1], [2] dynamic comparators and its variants are widely
used owing to their negligible static power consumption. However,
because of large voltage headroom [2], [3], kick-back noise and
common-mode dependent offset [2], StrongArm latch-type compara-
tors are often replaced by double-tail latch type [3] comparators by
separating the preamplification from the latch operation. This sepa-
Fig. 1. Conventional double tail latch type [4] comparator schematic.
ration allows us to optimize the offset, noise, speed, and power of
the circuits independently.
In SAR ADCs targeted for ultralow power applications, the com-
parators play a crucial role. Typically 40%–50% of the total SAR Conventional architectures fail to do this and allow Cp to discharge
ADC energy consumption is by the comparator alone [4]–[6]. A completely to ground as shown in Fig. 2(b). This means a fixed
widely used double tail latch [4] type comparator is shown in Fig. 1. charge of Cp ∗ VDD is required at each of the nodes, intP and intN.
The timing diagram associated with this comparator is shown in Thereby, consuming the energy 2 ∗ Cp ∗ VDD 2 for every comparison.
Fig. 2. For each comparison, the preamplifier output (intP and intN) As a result of this, the preamplifier consumes almost 70%–80% of
node capacitors (Cp ) discharge completely to ground and afterward the total comparator energy. This also holds true for architectures
they have to be recharged to the supply, VDD . The time to discharge shown in [3] and [4]. Ideally this preamplifier energy consumption
the capacitors, Cp from VDD to the voltage, Vlatch determines the would reduce to VDD ∗ Cp ∗ 2 ∗ (VDD − Vlatch ).
integration time, Tint . Vlatch is the voltage at which the regenerative Recent publications have proposed techniques like bi-directional
latch triggers, i.e., low enough to turn the transistors M11 and M12 comparator [5], data-driven noise reduction [6], and dynamic bias
on to provide the conduction path for the regenerative latch. Once the preamplifier [7] to reduce the energy per conversion of the compara-
latch triggers, further reduction of the node voltages intN and intP tors. In the bi-directional comparator presented in [5], the energy per
is not going to improve the noise or conversion time but it will cost comparison is reduced to Cp ∗ VDD 2 as compared to 2 ∗ C ∗ V 2
p DD
more energy to reset these nodes to VDD . In order to save the power, for the conventional circuit. However, to achieve this, extra logic
ideally we would stop the discharge of these nodes immediately after is required to switch from a pMOS differential pair to an nMOS
the latch triggers. drawback of double latch differential pair and reset/latch enable signals. The dynamic bias
preamplifier-based comparator in [7] uses the source degeneration
Manuscript received May 13, 2020; revised July 4, 2020; accepted July capacitor to reduce the energy consumption. However, this implemen-
10, 2020. Date of publication July 15, 2020; date of current version
July 31, 2020. This article was approved by Associate Editor Pieter Harpe. tation requires extra headroom and a degenerative capacitor increases
This work was supported by the Microelectronic Circuit Centre Ireland the comparison time. The floating inverter preamplifier-based com-
through the Enterprise Ireland Technology Centres Programme under Grant parator architecture [8] uses a reservoir capacitor to reduce the energy
TC-2015-0019. (Corresponding author: Subhash Chevella.) consumption. The cost of this is larger circuit area and the need for
The authors are with the Precision Circuits, Microelectronic Circuits
Centre Ireland, Tyndall National Institute, Cork, T12 R5CP Ireland (e-mail:
a higher supply voltage.
subhash.chevella@mcci.ie). This letter presents a comparator architecture to reduce the power
Digital Object Identifier 10.1109/LSSC.2020.3009437 consumption without the use of any extra capacitors or complex logic
2573-9603 
c 2020 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission.
See https://www.ieee.org/publications/rights/index.html for more information.
Authorized licensed use limited to: University of Hyderabad IG Memorial Library. Downloaded on September 26,2023 at 14:38:53 UTC from IEEE Xplore. Restrictions apply.
CHEVELLA et al.: LOW-POWER 1-V SUPPLY DYNAMIC COMPARATOR 155

Fig. 2. Simulated timing diagram of a conventional comparator with a 5-mV


input differential voltage; VCM = 0.5 V. (a) Applied clock, (b) preamplifier
output nodes, and (c) regenerative latch output nodes.

but with a simple cross-coupled mechanism around the input differen-


tial pair. This technique prevents the comparator internal nodes from
discharging fully for small differential inputs and thus reducing the
energy consumption per each comparison.

II. P ROPOSED C IRCUIT Fig. 3. Proposed comparator schematic with the modified preamplifier and
The proposed comparator architecture is shown in Fig. 3. It consists the regenerative latch.
of a new modified preamplifier with the addition of the cross-coupled
devices (M21 and M22) and a regenerative latch. The operational
behavior of the proposed comparator circuit is explained as follows.
During the reset phase, when the applied clock is low, the transistors
M4, M5, M6, and M7 precharge the nodes intN, intP, N1, and P1 to
VDD and the transistors M17 and M18 reset the regenerative latch
output nodes (outP and outN). As the transistor M3 is turned off,
there is no direct flow of current from the supply to ground.
During the amplification phase, when the clock is high, the nodes
intP, intN, P1, and N1 are disconnected from VDD and M3 provides
a discharge path to ground. The amplification phase can be divided
into two subphases. The equivalent circuit in the first subphase is
shown in Fig. 4(a). At the instant that amplification starts, as the Fig. 4. Modified preamplifier equivalent circuit in (a) subphase-I and
gates of M3 and M21 are tied to VDD , the node N1 quickly gets (b) subphase-II.
discharged to a low voltage which is the IR drop across the switches
(M3 and M21). The node P1 behaves in a similar manner. Once the
transistors M1 and M2 start conducting and M21 and M22 operate in This forces the node intN to discharge at a reduced rate. The timing
the linear region (i.e., as resistors). As the voltages at nodes intP and diagram associated with this circuit is shown in Fig. 5.
intN decrease, at a rate controlled by the applied input voltages, the With the decrease of the node (intP and intN) voltages, we can
internal node N1 and P1 voltages will increase slowly. This behav- observe that the internal node (P1 and N1) voltages increase as shown
ior changes the effective Vgs of the input differential pair lowering in Fig. 5(c). This is because the ON resistance of the devices M21
the transconductance (gm ). For small input differential voltages, this and M22 increase as their gate potential (which are connected to
behavior can increase the conversion time of the comparator. Based the output nodes) decreases. The operational timing behavior of the
on the applied input differential voltage (vinP>vinN), the rate of proposed comparator ensures intP and intN only discharge to VD1
decrease of the node intN is faster than the node intP. As the node and VD2 as shown in Fig. 5(b). This is in contrast with the conven-
intN reaches to the threshold voltage of M22, the rate of decrease tional architecture, in which both the preamplifier output nodes will
of the node intP reduces and eventually intP will become static as discharge fully to ground as shown in Fig. 2(b).
transistor M22 turns off completely. For a given comparison time, the nodes intP and intN approach
With the node intP static, the operational behavior of the circuit the voltages VD1 and VD2 below VDD , respectively. Thus, the energy
changes. The equivalent circuit in this mode is as shown in Fig. 4(b). consumption is reduced to VDD ∗ Cp ∗ (VD1 + VD2 ). Thus, the
In this subphase, the transistor M22 is fully turned off and the tran- proposed comparator improves the power efficiency without the use
sistors M1 and M21 behave as a cascode current source. As the of any extra capacitors or more complex logic but with a simple
node intP is static, the gate potential of the transistor M21 is fixed. cross-coupled mechanism around the input differential pair. With the

Authorized licensed use limited to: University of Hyderabad IG Memorial Library. Downloaded on September 26,2023 at 14:38:53 UTC from IEEE Xplore. Restrictions apply.
156 IEEE SOLID-STATE CIRCUITS LETTERS, VOL. 3, 2020

Fig. 6. Die micrograph: the inset shows the size of (a) conventional dou-
ble tail latch type comparator 24 µm×40 µm and (b) proposed comparator
25 µm×41 µm.

Fig. 5. Simulated timing diagram of a proposed comparator with a 5-mV


input differential voltage; VCM = 0.5 V. (a) Applied clock, (b) modi-
fied preamplifier output nodes, (c) modified preamplifier internal nodes, and
(d) regenerative latch output nodes.

proposed solution, area saving is substantial but a small cost of this


low area active degeneration is a small increase in the noise com- Fig. 7. Measured probability of one’s fitted with CDF for (a) proposed
pared to [7] and [8] which use passive degeneration. However, the comparator and (b) standard double-tail latch type comparator; VCM = 0.5 V.
input referred rms noise is still dominated by the input pair. This
type of dynamic comparators does not have any hysteresis as the
preamplifier and the latch outputs are reset for every clock cycle and
do not have memory. But the added cross-coupled device (M21 and
M22) mismatch also contributes to the input referred offset along
with the main input differential pair. However, the impact from the
cross-coupled devices will be much less as compared to the impact
from the input pair.

III. M EASUREMENTS
The two comparators shown in Figs. 1 and 3 were designed and
fabricated on the same die in a standard 65-nm CMOS process. In
order to have similar regeneration times, the same regenerative latch
is used in both the designs. Similarly, the sizes of the input pair M1 Fig. 8. Simulated comparator delay as a function of differential input voltages
and M2 are kept the same in both designs, with the only change for the conventional and the proposed comparator; VCM = 0.5 V.
being around the addition of the new cross-coupled devices (M21
and M22), to ensure a fair comparison. The die micrograph for both
designs is shown in Fig. 6 with the inset showing the dimensions. values, the wider spread of these measures for the proposed circuit
The proposed circuit occupies 6% higher area than the conventional is because of the extra noise generated by M21 and M22.
circuit. The parasitic extracted simulated delay with respect to the input
The input differential voltage was swept in steps of 100 µV from differential voltages of both the proposed and conventional compara-
−1 mV to 1 mV and 3000+ output comparisons are taken at ten tor circuits is shown in Fig. 8. For small input differential voltages,
different time instances for each input value. the proposed circuit spends more time in the weak-inversion region
Then, the measured probability of 1’s are fitted to the normal cumu- because of the less effective Vgs and reduced initial gm of the input
lative distribution function (CDF) to obtain the input referred rms pair. This causes higher conversion time for the proposed circuit. The
noise as shown in Fig. 7. From the plots, the measured input referred proposed circuit could be tuned to have similar initial gm as of the
rms noise voltage 220 µV of the proposed circuit can be observed conventional circuit to improve the conversion delay.
against 210 µV of the conventional comparator for a single sample. By varying the differential input voltages, the comparator power
The “x” marks in Fig. 7 indicate the spread of the probability 1’s (including both the preamplifier and the regenerative latch) is mea-
measured at ten different time instances. For small input differential sured at a clock rate of 25 MHz. The corresponding measured power

Authorized licensed use limited to: University of Hyderabad IG Memorial Library. Downloaded on September 26,2023 at 14:38:53 UTC from IEEE Xplore. Restrictions apply.
CHEVELLA et al.: LOW-POWER 1-V SUPPLY DYNAMIC COMPARATOR 157

TABLE I
P ERFORMANCE S UMMARY AND C OMPARISON

architecture a good design choice in ultralow power applications. The


proposed circuit is also a low area solution as it does not require any
external capacitors or complex logic.

ACKNOWLEDGMENT
The authors would like to acknowledge the support of Analog
Devices, Cork.

R EFERENCES
[1] T. Kobayashi, K. Nogami, T. Shirotori, and Y. Fujimoto, “A current-
mode latch sense amplifier and a static power saving input buffer for low-
power architecture,” in Proc. Very Large Scale Integr. Symp., Jun. 1992,
Fig. 9. Measured comparator power plots as a function of differential input pp. 28–29.
voltages for the conventional and the proposed comparator; VCM = 0.5 V. [2] B. Razavi, “The strongARM latch [a circuit for all seasons],” IEEE
Solid-State Circuits Mag., vol. 7, no. 2, pp. 12–17, 2015.
[3] D. Schinkel, E. Mensink, E. Klumperink, E. van Tuijl, and B. Nauta, “A
double-tail latch-type voltage sense amplifier with 18ps setup+holdtime,”
plot is shown in Fig. 9. From the measured data presented, by hav- in IEEE Int. Solid-State Circuits Conf. (ISSCC) Dig. Tech. Papers,
ing similar noise levels, a 30% reduction in the proposed comparator San Francisco, CA, USA, Feb. 2007, pp. 314–605.
power is observed versus the conventional circuit. [4] M. Elzakker, E. vanTuijl, P. Geraedts, D. Schinkel, E. A. M. Klumperink,
Table I summarizes the performance of the different comparators. and B. Nauta, “A 10-bit charge-redistribution ADC consuming 1.9µW
1MS/s,” IEEE J. Solid-State Circuits, vol. 45, no. 5, pp. 1007–1015,
Compared to [7] and [8], the proposed comparator is implemented May 2010.
with lower supply voltage of 1 V and without using any extra passive [5] M. Liu, K. Pelzers, R. van Dommele, A. van Roermund, and P. Harpe,
components or any other complex logic and thus achieves less area “A 106nW 10b 80kS/s SAR ADC with duty-cycled reference gener-
penalty with the modification proposed. The proposed design com- ation 65 nm CMOS,” IEEE J. Solid-State Circuits, vol. 51, no. 10,
pp. 2435–2445, Oct. 2016.
pares favorably in terms of other performance parameters against the [6] P. Harpe, E. Cantatore, and A. V. Roermund, “A 2.2/2.7fJ/conversion-step
current state-of-the-art, as shown in Table I. 10/12b 40kS/s SAR ADC with data-driven noise reduction,” in IEEE Int.
Solid-State Circuits Conf. (ISSCC) Dig. Tech. Papers, San Francisco, CA,
USA, Feb. 2013, pp. 270–271.
IV. C ONCLUSION [7] H. S. Bindra, C. E. Lokin, A.-J. Annema, and B. Nauta, “A
In conclusion, the proposed comparator presented here achieves 30fJ/comparison dynamic bias comparator,” in Proc. 43rd IEEE Eur. Solid
about a 30% reduction in measured power compared to the con- State Circuits Conf. (ESSCIRC), Sep. 2017, pp. 71–74.
[8] X. Tang, B. Kasap, L. Shen, X. Yang, W. Shi, and N. Sun, “An energy-
ventional comparator [4] at a similar noise levels. This is achieved efficient comparator with dynamic floating inverter pre-amplifier,” in
by only partially discharging the comparator internal nodes with the Proc. IEEE Symp. Very Large Scale Integr. Circuits (VLSI), Jun. 2019,
use of a simple cross-coupled mechanism. This makes the presented pp. C140–C141.

Authorized licensed use limited to: University of Hyderabad IG Memorial Library. Downloaded on September 26,2023 at 14:38:53 UTC from IEEE Xplore. Restrictions apply.

You might also like