Download as pdf or txt
Download as pdf or txt
You are on page 1of 14

Amir Amirkhany

Basics of Clock and


Data Recovery Circuits
Exploring high-speed serial links

T
he choice of clock parameters and system-level perfor- A serial link consists of a transmitter
and data recovery mance metrics. (Tx) and a receiver (Rx). Figure 2 shows the
(CDR) architecture typical building blocks of a high-speed
in serial links dic- Basic Principles link. The Tx includes a clock source, such
tates many of the block- High-speed serial links find applications as a phase-locked loop (PLL), serializer,
level circuit specifications (specs). in many electronics devices where and driver circuit for driving the output.
Block-level specs ultimately determine gigabits per second of data must be The Rx comprises an analog front end, a
the energy efficiency of the system. transferred over one or several lanes. CDR circuit to recover a clock and sample
Therefore, to design energy-efficient Figure 1 depicts some of these use and recover the incoming data, and a
serial links, it is important to under- cases. In televisions, high-data band- deserializer. The focus of this article is
stand the basics of CDR operation, width (BW) must be delivered to the the CDR circuit at the Rx in terms of three
CDR’s main performance metrics, and integrated circuits that drive the pixels main questions:
the relationship between circuit-level on the display screen. In a smartphone, 1) How can an Rx generate a clock
e.g., the front and back cameras, touch to sample the data?
Digital Object Identifier 10.1109/MSSC.2019.2939342 controller, memory, and USB connector 2) What impacts the performance
Date of current version: 23 January 2020 all deploy serial links. of CDR?

Authorized licensed use limited to: Renesas Electronics America. Downloaded on February 28,2024 IEEE
1943-0582/20©2020IEEE SOLID-STATE
at 13:44:21 CIRCUITS
UTC from IEEEMAGAZINE W I N Tapply.
Xplore. Restrictions ER 2020 25
Panel Front Back
Camera Camera

65 in Pixel Drivers
Rx Touch Display

Film

CPU

Tx
Controller
PCB
Memory

USB
(a) (b)

FIGURE 1: The high-speed links applications in (a) a television and (b) a smartphone. PCB: printed circuit board.

Rx that deploys a 100-ppm oscillator,


RN, PSIJ e.g., slips 100 unit intervals (UIs) in only
Tx Rx 1 million bits. For a 10-Gb/s serial link,
that equals merely 0.1 s. Another practi-
cal reason is that a clock source may not
SER DESER be available at the Rx side due to system
AFE cost considerations.
Figure 3 depicts a basic CDR archi-
tecture. Data recovery mainly involves
techniques such as continuous-time
PLL CDR or decision-feedback equalization. In
this article, the focus is primarily on
RJ, DJ PSIJ ISI, XTALK RJ, DJ the clock recovery portion. In particu-
lar, the article discusses how to gen-
FIGURE 2: The serial link building blocks. RJ: random jitter; DJ: deterministic jitter; PSIJ: erate a stable clock source for the Rx
power supply-induced jitter; ISI: intersymbol interference; XTALK: cross talk; SER: serializer;
(functionality) and how to maximize
DESER: deserializer ; AFE: analog front end; RN: random noise.
the data recovery margin (quality).
The quality of data recovery is
3) How does a CDR spec impact the on design tradeoffs through the use directly related to jitter. Jitter refers to
rest of the system, such as the Tx PLL of various design examples, will be the deviations of a clock or data wave-
spec or the Tx and Rx noise budget? covered. The same notation as past form’s transitions from an ideal clock,
This article is an abridged version tutorials will be referenced where pos- as shown in Figure 4(a). In some lit-
of a tutorial given at the ISSCC in Feb- sible, and readers are referred to the erature, jitter may be represented by a
ruary of 2019 [27]. In the past years, past tutorials for some of the detailed jitter sequence diagram. Various jitter
there have been two other tutorials on derivations. In-depth information on measurement metrics, such as period,
this topic. A tutorial in 2011 [1] spe- jitter definition and measurement is cycle to cycle, and so on, have been
cifically focuses on digital PLL-based included in [3] and may be used as introduced over the years [4]. The spe-
CDRs and includes in-depth analysis of a companion. cific metric of interest for CDR charac-
digital phase-interpolator-based CDRs, When considering CDRs, a fair terization is the relative jitter between
their theory of operation, and design question to ask is “Why not just include the received data stream and recov-
examples. Another tutorial [2] covers at the Rx a clock source, such as a crys- ered clock at the Rx. Figure 4(b) depicts
CDR fundamentals as well as various tal oscillator, that matches the Tx’s clock a data stream with jitter and a recov-
CDR architectures. In this article, the source?” The answer is that all crystal ered clock with zero jitter. Because
theory of operation, with an emphasis oscillators have limited accuracy. An clock transitions in this figure do not

26licensed
Authorized WIN T E Rlimited
use IEEE SOLID-STATE
2 0 2 0 to: Renesas CIRCUITS
Electronics America.MAGAZINE
Downloaded on February 28,2024 at 13:44:21 UTC from IEEE Xplore. Restrictions apply.
track data transitions, the clock edge
may deviate from the center of the data
Mitigating the impact of data-dependent
symbol, resulting in lost data recovery jitter is primarily the domain of data
margin. Conversely, if the clock tran- recovery circuits.
sitions fully track data transitions, the
clock edge can always be centered with
respect to data transitions, leading to
the best data recovery performance. Full the expense of an extra lane. In many forwarded-clock lane can be shared
jitter tracking is one of the major goals implementations, the circuits between between multiple data lanes. However,
of a good CDR circuit. the clock and data paths are matched in many applications, adding the extra
Jitter in serial links can be catego- as much as possible to achieve maxi- lane may not be an option.
rized into three types: data dependent, mum jitter tracking between the data
source, and CDR jitter. In Figure 2, data- and clock. Figure 5 displays the block Main CDR Performance Metrics
dependent, i.e., channel-induced, jitter diagram of such a system where for- To design a good CDR, it is impor-
is caused by intersymbol interference warded-clock frequency is half the tant to have metrics that can quantify
(ISI) or cross talk. Mitigating the impact bit rate. In this type of system, data- performance. Jitter tolerance (JTOL)
of data-dependent jitter is primarily dependent jitter does not exist, source is one such metric adopted in many
the domain of data recovery circuits. jitter tracking can be maximized with standards [6]. JTOL is the measure
The role of clock recovery circuits is proper circuit architecture, and self- of how much sinusoidal source jitter
to suppress this jitter as much as pos- generated jitter can be very small due a CDR can tolerate at a given sinusoi-
sible in most CDR implementations. to the simplicity of the clock path in dal frequency at a given bit error rate
Source jitter includes the effect of the the Rx. Forwarded-clock systems are (BER). JTOL includes the effects of ISI,
Tx PLL, clock distribution random jit- popular in many standards, such as cross talk, data recovery performance,
ter (RJ) and deterministic jitter (DJ), DDR2-5, MIPI D-PHY, and High-Defini- and clock recovery performance. Fig-
as well as Tx power-supply-induced tion Multimedia Interface, where one ure 6(a) shows a typical JTOL graph.
jitter (PSIJ). Source jitter is data inde-
pendent (to the first order), and the
role of clock recovery circuits is to
Data Recovery

track this jitter as much as possible. Input


CDR self-generated jitter includes Data Data Recovered
AFE DESER Data
the RJ and DJ from clock recovery Samplers
blocks, such as a voltage-controlled
oscillator (VCO) and charge pump as
Recovered Clock
well as Rx clock and data path PSIJ. A
Clock Recovery

good CDR creates only negligible self-


PD Loop Filter
induced jitter.
One alternative architecture to a
CDR-based system is a forwarded-clock
system. In a forwarded-clock system,
the Tx forwards a clock to the Rx at FIGURE 3: A simple CDR architecture. PD: phase detector.

Data
Ideal Lost Margin With
Recovered Zero-Jitter Clock
Clock Recovered Data
Clock
1 1 1
Jittered
Clock Data: 101010 0 0 0

Jitter Zero-Jitter Clock


Sequence
Time Clock With Jitter Tracking
Data UI: Width of 1 b in NRZ or a Symbol in PAM4
(a) (b)

FIGURE 4: (a) Jitter definition and (b) jitter tracking. NRZ: nonreturn to zero; PAM: pulse amplitude modulation.

Authorized licensed use limited to: Renesas Electronics America. Downloaded on February 28,2024 IEEE SOLID-STATE
at 13:44:21 CIRCUITS
UTC from IEEEMAGAZINE W I N Tapply.
Xplore. Restrictions ER 2020 27
At low jitter frequencies, a typical ensure proper domain crossing from To measure JTOL in a lab, the CDR
CDR should be able to track very the CDR to a CDR-independent clock is driven by an ideal Tx [typically a
large amounts of source jitter. In this domain. CDR’s jitter tracking generally BER tester (BERT)], and sinusoidal jit-
region, the practical tracking of jitter degrades at higher frequencies until it ter is injected at various frequencies
is limited by the depth of the data buf- becomes negligible and creates a floor at the Tx while the CDR BER is mea-
fer in the Rx data path provisioned to for the JTOL curve. sured. Jitter amplitude is increased
at every jitter frequency until the
measured BER falls below the target
Tx Rx threshold. Figure 6(b) depicts a typi-
cal JTOL measurement setup [4].
JTOL is employed to ensure that
SER DESER someone’s Rx works with someone
AFE else’s Tx. In other words, JTOL is
a system characterization method
and very important tool for setting
Match
specs in standards. Using JTOL, the
PLL Shared Tx designer can be tasked with guar-
anteeing that the Tx jitter at a given
Clock-Pattern frequency never exceeds the spec.
Generator Conversely, the Rx designer can be
AFE
tasked with making certain that
the receiver achieves target BER if
the transmit data stream meets the
JTOL spec. JTOL is easy to measure;
FIGURE 5: A forwarded-clock system.
however, it is practically impossible
to simulate at the transistor level
In-Band Out-of-Band at a very low BER. Another metric,
Jitter Jitter therefore, is required to guide the
Typically >1 UI clock recovery circuit design.
(S
Limited by FIFO ho The jitter transfer (JTRAN) function
uld J
Jitter Amplitude

Depth Above Tra TOL defines the ratio of the clock recovery’s
Sinusoidal

PHY Layer ck Ma
Ab sk output jitter to input jitter at a given
ov
eT
his sinusoidal jitter injection frequency.
Lin
Timing Margin e) JTRAN is a clock recovery characteriza-
Available for tion metric that does not include BER, is
Untracked easy to simulate at the transistor level,
Source Jitter Sinusoidal Jitter Frequency and is closely related to JTOL. JTRAN
(a) can be used to define parameters such
Jittery
as CDR BW and phase margin to guide
Data the design process. CDR is a feedback
Pattern Check/
BERT CDR system and is often nonlinear; none-
Error Count
theless, a linearized model can often
be used for qualitative analysis with
Frequency
satisfactory precision. Figure 7(a) shows
Jitter Amplitude (UIpp)

Generator
(Jitter Modulator) a typical JTRAN of a CDR. Again, at
low frequencies, all of the source jit-
Fail (BER > 10–x )
ter is transferred to the output of the
Pass (BER < 10–x )
CDR, while some frequency depen-
dence exists at the midfrequencies.
CDR BW is defined as the 3-dB BW of
Jitter Frequency the JTRAN curve, and jitter peaking
JTOL Measurement Setup (Casper) and peaking frequency are defined as
the peak of JTRAN and its associated
(b)
frequency, respectively. Although jitter
FIGURE 6: (a) A typical JTOL graph [6] and (b) a JTOL measurement setup [4]. FIFO: first in/ tracking is good for a CDR, high jitter
first out; PHY: physical; UIpp: unit interval (peak to peak). amplification (i.e., large jitter peaking)

28licensed
Authorized WIN T E Rlimited
use IEEE SOLID-STATE
2 0 2 0 to: Renesas CIRCUITS
Electronics America.MAGAZINE
Downloaded on February 28,2024 at 13:44:21 UTC from IEEE Xplore. Restrictions apply.
can be detrimental, particularly for a
JTOL is a system characterization method and very
relay system where a cascade of CDRs
may be deployed. Figure 7(b) shows a important tool for setting specs in standards.
JTRAN measurement setup that con-
sists of a data generation instrument
with jitter injection capability (such as it is desirable to increase the JTRAN data-dependent jitter filtering and
BERT) and a spectrum analyzer [4]. BW. This argument ignores the fact data-independent jitter tracking (and
As mentioned previously, JTOL that T in the equation is also a func- self-jitter suppression). To find the
and JTRAN are closely related. Con- tion of JTRAN BW because the CDR BW right balance, an accurate system-level
sider a CDR with a timing margin of has a direct impact on how much data- modeling is necessary.
T at a given BER when source jitter dependent jitter gets suppressed.
is zero (Figure 8): This timing mar- To summarize so far, as we dis- CDR Design Tradeoffs
gin includes the impact of everything cussed in the first section, a good Figure 9 shows the block diagram of a
(e.g., ISI, cross talk, CDR self-induced CDR would filter as much of the data- simple analog CDR. The phase detector
jitter, and data recovery performance) dependent jitter as possible, track compares the transitions of the input
except for source jitter. Therefore, this as much of the Tx data-independent data sequence with the locally gen-
timing margin T is available for any jitter as possible, and minimize its erated clock and creates up or down
untracked source jitter: own self-generated jitter. Increas- signals. Because the phase detector’s
ing CDR bandwidth will increase operation relies on data transitions,
JTOL( j~) = T data-dependent jitter and source jit- input data often require transition
JTRACK( j~)
ter tracking, and thus, it reduces the encoding schemes such as 8 b/10 b.
= T .
1 - JTRAN( j~) impact of both source jitter and self- Figure 10(a) depicts the block dia-
generated VCO jitter [2]. Therefore, gram of a Hogge phase detector [11]. For
A note of caution with this equation. the choice of the right CDR tracking every rising data transition, both the
It may be argued that, for better JTOL, bandwidth is a balancing act between DE and DR outputs create a pulse. For

Spectrum Analyzer
Jitter Peaking ∆Pin
0 dB ∆Pout
–3 dB –fmod +fmod
Jitter-Tracking BW Clk/
PLL/ IN
Data fc
CDR
Gen.
CLKin CLKout

JTF (fmod) = ∆Pout/∆Pin


Sinusoidal Jitter Frequency f3 dB
(a) (b)

FIGURE 7: (a) A typical CDR JTRAN graph and (b) a JTRAN measurement setup [4]. CLK: clock; Gen.: generation.

JTRACK HJTRACK(s)
JTRAN

HJTOL(s)

CDR
∆ ω PL ω PH logω
JTOL ( jω ) = [Hanumolu]
JTRACK ( jω )
∆ Assume ∆ = 0.5
=
1 – JTRAN ( jω )

FIGURE 8: The JTOL and JTRAN relationship [2].

Authorized licensed use limited to: Renesas Electronics America. Downloaded on February 28,2024 IEEE SOLID-STATE
at 13:44:21 CIRCUITS
UTC from IEEEMAGAZINE W I N Tapply.
Xplore. Restrictions ER 2020 29
JTRAN can be used to define parameters ~ PL . 1
RC
such as CDR BW and phase margin to guide ~ PH . K VCO .K PD .I CP .R,
the design process. JTRAN BW . ~ ug . ~ PH,

U M = tan -1c m,
~ ug
~Z

the DE pulse, the timing of the rising systematic offset in the locking phase Jitter Peaking . 8.686~ Z .
~ ug
edge depends on the timing of data and is better to be matched in the D
arrival while the falling edge depends input of the DE logic. Third, the half- In these equations, ~ Z , ~ PL, and ~ PH
on the clock rising edge. For a DR pulse, cycle delay between the DE and DR are the zero, lower pole, and higher
the rising and falling edges depend pulses creates DJ in the CDR output. pole frequencies, respectively, of the
solely on clock edge timing. As a All of these issues can be solved by JTRAN function and { M is its phase
result, subtracting the area under the proper circuit design, but designers margin. These approximations are
DE pulse from the area under the DR often opt for the simpler, but non- accurate when ~ PL % ~ PH .
pulse creates an output that is linearly linear, bang–bang (Alexander) phase To gain a sense of its design trad-
proportional to data versus clock edge detector (described in the “CDR Archi- eoffs, let us design a 10-Gb/s CDR with a
timing, i.e., the phase error, as dis- tectures” section). tracking BW of 5 MHz. For calculations,
played in Figure 10(b). When the CDR Figure 11 shows the phase-domain let’s assume a linear phase detector with
locks, the data-to-clock-rising time is model for the CDR with a linear phase a gain of KPD = 1/(2pi), and a ring VCO
equal to the clock’s half-period; there- detector. Using this model, we can with a gain of KVCO = 16 GHZ/V. Table 1
fore, data are sampled at the middle derive a number of important ana- lists the CDR BW, phase margin, peak-
of the UI. lytical equations for the CDR that ing, and zero frequency as functions of
A Hogge phase detector has several will help us with understanding the choices for I CP, loop-filter resistance (R),
limitations. First, most systems today design tradeoffs: and capacitance (C). Note that the values
are low swing due to power consid- in Table 1 are obtained from accurate
erations. Having a low-swing signal H JTRAN (s) = 1 + sRC , formulas rather than the approxima-
1 + sRC + s 2 C
directly driving combinational logic K VCO K PD I CP tions discussed earlier to maintain accu-
creates some challenges. Second, the racy when the two poles of the system
~z = 1 ,
flip-flop clk-q output delay causes a RC are comparable.
In the first trial, for reasonable values
of 100 µA, 1 kΩ, and 60 pF for the CDR
components, the CDR BW significantly
IUP exceeds the target spec. The simple, ana-
D UP VCO log CDR offers two knobs for reducing
CLK
PD the BW. We can reduce R by eight times;
DN R however, to maintain stability, we
IDN must increase C while being conscious
C
of the required area. Although using
this knob gets us closer to the target
of a 5-MHz BW, the system requires
FIGURE 9: A simple CDR architecture. a large capacitor with considerable

DE
+1

D –π +π
tdata t clk(0→1) ΦE
–1
1 α
KPD =
π
DR
CLK t clk(0→1) t clk(1→0) α Is the Transition Density
α = 0.5 for Random Data
(a) (b)

FIGURE 10: (a) A linear phase detector [11] and (b) the Hogge phase detector’s response. KPD: phase detector gain.

30licensed
Authorized WIN T E Rlimited
use IEEE SOLID-STATE
2 0 2 0 to: Renesas CIRCUITS
Electronics America.MAGAZINE
Downloaded on February 28,2024 at 13:44:21 UTC from IEEE Xplore. Restrictions apply.
peaking and marginally acceptable let us assume the up and down sig- bit (LSB) current digital-to-analog
phase margin. Alternatively, we can nals are binary (Table 2). Therefore, converter (DAC). But, in fact, it is
reduce I CP to 12 µA; however, we are the output current I OUT has a range slightly worse because of the cur-
left with large peaking and a modest of - 4I Unit # I OUT # +4I Unit , where rent subtraction. In any subtrac-
phase margin. It is only by using both I Unit = 12 µA. Therefore, the block tion, although signals subtract, the
knobs together that we can achieve shown in Figure 12(a) is really a errors can add. For example, there
the target BW with good stability. We 2.5-GS/s, ~3-b, 12-µA least significant are exactly 19 UP/DN combinations
should remember, however, that if
any of these variables change due to
process, voltage, or temperature vari- Φ in Φe Φ out
ations, both the tracking BW and jitter – KPD ICP R + 1/(sC ) KVCO/s
peaking of the system would at least
increase proportionally.
Now, let us assume that the fourth (a)
configuration with 400-pF loop capac- HJTRAN(s)
itance and 12-µA charge-pump current
is acceptable. Let us now consider
Peaking
how difficult it is to create the 12-µA
charge pump current.
In most process nodes, a straight
10-G sample/sec charge pump is not
easy to implement. Alternatively,
ωz ω PL ω PH logω
log
the phase detector and the charge
pump can be parallelized to operate (b)
at a lower rate. A four-way parallel
system is shown in Figure 12(a). The FIGURE 11: A CDR analytical model [2]. ~ PL: zero frequency; ~ PH : lower pole frequency; ~ z:
higher pole frequency. ICP: charge-pump current.
output of the four-way system can
be described as
4
TABLE 1. THE ANALOG CDR BW AND PEAKING AS A FUNCTION OF
I out = / (UP[m]I UP[m] - DN[m]I DN[m]) COMPONENT VALUES.
m =1

4 ICP R C BW PM PK fz
+ / 1(UP[m]TI UP[m] - DN[m]TI DN[m])
44444444424444444443. NUMBER (μA) (Ω) (pF) (MHz) (0) (dB) (MHz)
m =1
Error
1 100 1,000 60 44 86 0.4 2.7
The terms TI UP and TI DN represent 2 100 125 400 8 61 2.5 3.1
a current mismatch in the parallel 3 12 1,000 60 7.4 64 2.2 2.7
charge pumps. Thus far, this article
4 12 1,000 400 4.9 85 0.5 0.4
has discussed a linear phase detec-
tor, but for the immediate argument PM: phase margin; PK: peaking; fz: zero frequency.

UP_EN
IUP IUP
D UP[3:0] IOUT D UP[3:0] Adder IOUT
PD PD or CODE[2:0]
+ +
DESER (1:4) R DESER (1:4) Major R
DN[3:0] DN[3:0] Vote
C C
2.5 Gb/s IDN IDN 4X
DN_EN
2X
1X
4 4
IOUT = (UP[m]IUP[m] – DN[m]IDN[m]) Code [2:0] = (UP[m] – DN[m])
m=1 m=1
(a) (b)

FIGURE 12: (a) A four-way parallelized PD and charge pump (CP) and (b) a four-way parallel CP with digital subtraction.

Authorized licensed use limited to: Renesas Electronics America. Downloaded on February 28,2024 IEEE SOLID-STATE
at 13:44:21 CIRCUITS
UTC from IEEEMAGAZINE W I N Tapply.
Xplore. Restrictions ER 2020 31
and reduces the BW, but sometimes it resistor impacts both the BW and sta-
TABLE 2: SOME UP/DOWN (UP/DN)
COMBINATIONS THAT RESULT IN is a good tradeoff to make. bility. Moving elements of the opera-
ZERO IOUT. Thus far, we have neglected loop tion to the digital domain may relax
latency in our CDR modeling; how- some design constraints, but it intro-
NUMBER UP[3:0]−DN[3:0]
ever, with the introduction of digi- duces latency issues.
1 4’b0000−4’b0000 tal logic to the loop, which is often
2 4’b0010−4’b0001 implemented in the lower frequency CDR Architectures
3 4’b0100−4’b0001 domain at the register transfer level To address the limitations of analog
(RTL), we must be cognizant of the CDR, various architectures have been
4 4’b1000−4’b0001
effect of latency on the loop parame- developed. Each has its own benefits
5 4’b1001−4’b0110 ters. The loop phase-margin equation and limitations, and the choice of archi-
in the presence of loop latency of D is tecture depends on power and perfor-
mance specs, as well as the process
that should create zero I OUT but due node in which CDR is implemented.
U M = tan -1 c m - D~ ug .
~ ug
to the error term, some can current ~Z
a positive IOUT and some can create Alexander (Bang–Bang)
a negative IOUT. Similarly, there are Therefore, loop latency becomes im­­ Phase ­Detector
many ways to get IOUT = +IUnit and portant when D~ ug is not negligible, An Alexander phase detector is a non-
IOUT = –1 IUnit. As a result, the overall e.g., for jitter-tracking BW of 5 MHz, linear phase detector used in most
charge pump may not even be mono- D % 30 ns. Figure 13 plots the jitter- CDR implementations. Figure 14 shows
tonic with respect to UP/DN input transfer function for various values the block diagram. This phase detec-
code if it is not designed carefully. of loop latency. As shown, even loop tor takes two samples per symbol (bit)
To simplify the design of the par- latencies in the nanosecond range period: a data sample (dn) and a cross-
allelized charge pump, some CDRs can noticeably change the system ing sample (xn). When there is a transi-
move the subtraction logic to the digi- phase margin and, consequently, tion in data sequence (dn ! = dn+1), the
tal domain, as shown in Figure 12(b). its jitter peaking. One nanosecond xn sign determines whether the clock
This change relaxes the monotonicity is a pipeline stage for a 1-GHz syn- is early or late. A CDR with this type
requirements on the charge pump and thesized logic. Therefore, not many of phase detector locks onto the data
greatly simplifies its design; however, pipeline stages are allowed for any crossing point and “assumes” that the
the digital logic will introduce some digital implementation. optimal data sampling point is a half-bit
latency into the loop. Some CDRs push To summarize this section, the sim- period away. This leads to a suboptimal
this idea further, using only the sign ple analog CDR has many limitations: operation when the data eye shape is
of the final subtraction result and a a linear PD has mismatch issues and not symmetric.
simple 1-b charge pump instead. This other design issues. It requires a large The bang–bang phase detector (BBPD)
operation is equivalent to a majority cap and small currents to ensure sta- is a nonlinear circuit; therefore, the
vote, makes the system nonlinear, bility. In particular, the choice of loop CDR loop gain and BW are dependent

KPD = 1/2 π, ICP = 12 µA,


JTRAN R = 1 KΩ, C = 400 pF, KVCO = 16 GHz/V
10
0 ns D (ns) CDR BW PM (°)
10 ns (MHz)
0 20 ns 0 5.4 85
30 ns
2 5.8 82
–10 4 6.2 78
6 6.7 75
–20
8 7.4 71
10 8.3 67
–30
105 106 107 108 109
Frequency
(a) (b)

FIGURE 13: The effect of loop latency on the jitter-transfer function. (a) Jitter transfer functions for various loop latencies. (b) CDR BWs and
phase margins for various loop latencies.

32licensed
Authorized WIN T E Rlimited
use IEEE SOLID-STATE
2 0 2 0 to: Renesas CIRCUITS
Electronics America.MAGAZINE
Downloaded on February 28,2024 at 13:44:21 UTC from IEEE Xplore. Restrictions apply.
dn xn dn+1

D +1
dn+1
DL
xn –π +π ΦE
CLK
–1

dn
DE KPD = ∞

xn (Assuming Zero Jitter)


Useful Range = [–π, π ]
dn xn dn+1
CLK

FIGURE 14: The Alexander (bang–bang) phase detector.

on data jitter, and CDR stability should


be verified with different assumptions +1 + α
about jitter distribution. For a 50% +1 – α
+1
transition density, the BBPD gain can α
be expressed [1] by
–1 + α
1 –1 –1 – α
K PD = (Gaussian jitter),
v 12 dn xn dn+1 xn+1 dn xn dn+1 xn+1
(a) (b)
K PD = 1 (uniform jitter).
v 2r
FIGURE 15: The signal transition diagram for (a) an NRZ no-ISI and (b) an NRZ 1+ az−1 channel.
Because BBPD locks onto the data tran-
sition point, if channel ISI creates
deterministic deviations in the transi-
tion point from the half-symbol point, +1
the CDR can lock onto the suboptimal +2/3
phase or exhibit deterministic jitter. +1/3
The best way to analyze such issues is 0
with the help of the signal transition –1/3
diagram (or trellis) at the Rx input. Fig- –2/3
–1
ure 15 illustrates the trellis diagram for
dn xn dn+1 xn+1 Major Minor Intermediate
nonreturn-to-zero (NRZ) signals over
Transition Transition Transition
no-ISI and 1 + az -1 channels. Let us
consider the latter: the channel out-
FIGURE 16: Transition classification in a PAM4 system for BBPD-based clock recovery.
put at time n can be either +1 - a or
+1 + a if the data transmitted at time
n were +1 and the data transmitted also includes crossing slicers placed up/down generation would lock with a
at time n - 1 were -1 or +1, respec- at +a and -a . As the trellis in Fig- slight phase offset. This issue is more
tively. If the data bit for time n is +1, ure 15 shows, however, only the tran- pronounced in PAM4 Rxs, as shown
the channel output at time n + 1 can sitions from +1 + a to -1 + a and in Figure 16 [7]. Although the optimal
be only +1 + a or -1 + a, depending the transition from -1 - a to +1 - a crossing thresholds are at 0, !1/3,
on whether the transmitted bit at time pass through the half-UI point at the and !2/3, the right transitions should
n + 1 was +1 or -1, respectively. A +a and -a threshold, respectively. be selected for each slicing threshold.
loop-unrolled decision-feedback equal- The other two transitions (+1 - a to A CDR with an Alexander phase
izer with samplers placed at +a and -1 + a) and (-1 + a to +1 - a) pass detector has a natural oscillation
-a thresholds is often used at the the aforementioned thresholds at an frequency determined by its limit
front end to cancel the first postcur- offset from the midpoint. Therefore, a cycle. The period and amplitude of
sor ISI tap a. In such systems, the PD CDR utilizing all of the transitions for this oscillation is proportional to the

Authorized licensed use limited to: Renesas Electronics America. Downloaded on February 28,2024 IEEE SOLID-STATE
at 13:44:21 CIRCUITS
UTC from IEEEMAGAZINE W I N Tapply.
Xplore. Restrictions ER 2020 33
ever, these CDRs gained popularity
In general, MM CDRs are not as robust only over the last decade as a low-
as oversampled CDRs and should be power alternative to oversampled archi-
deployed with care. tectures. The updated equation for an
MM CDR is given by

Tx k = e k d k - 1 - e k - 1 d k
CDR loop latency. Figure 17 demon- because designs started deploying = y k d k - 1 - y k - 1 d k,
strates this relationship. When the analog-to-digital converters at the
limit-cycle oscillation frequency falls front end, the power overhead of a where the sampled received data are
within or is close to the tracking two-times oversampled front end y k = R m d mh(mT + x) and the sampled
BW of the CDR, it adversely affects have become prohibitive. Therefore, error is e k = y k - d k h(x).
the jitter peaking and CDR perfor- lately, many designs have begun using For an uncorrelated input sequence
mance. This issue can be addressed baud-rate CDRs instead. dm, it can be shown that
by reducing the loop latency or add-
ing a feed-forward path to the VCO Baud-Rate CDRs E (Tx k) = E (d 2k) ([h (T + x) - h (-T + x)]) .
with small latency, as discussed fur- Baud-rate CDRs take only one set of
ther in this section. samples per UI and, therefore, require Therefore, the timing error is mini-
The BBPD is effectively a two-times half the clock phases of BBPD-based mized where the postcursor ISI tap
oversampling system and doubles the CDRs. A Mueller–Muller (MM) CDR is is equal to the precursor ISI. Fig-
number of clock phases and front-end the most popular form of baud-rate ure 18 depicts one implementation
samplers in the CDR. As the complex- CDR. The original paper that describes of an MM phase detector for an NRZ
ity of serial links grows and especially the CDR algorithm dates to 1976; how- link [16]. The implementation is a
sign-sign variation of the MM algo-
rithm and requires the VREF level to
Φe (t) Φe(t) be adjusted to match h0, the main
tap of the channel. Other variations
D of the algorithm are proposed in [7]
D
up/dn up/dn and [17] to tune the locking point of
the CDR in favor of the precursor
ΦCLK(t) ΦCLK(t) or postcursor ISI taps. In general, MM
CDRs are not as robust as oversam-
pled CDRs and should be deployed
FIGURE 17: The effect of limit-cycle dependency on loop latency. with care.

CLK
VREF– + errm Error
– Sampler ERR = +1
DFF Dn–1
+VREF
ERRn Target
Sampling
+ errp Point
VREF+ – 0 ERR = –1
DFF

Phase Early: DN = 1 Dn
Data Dn –VREF
Data
Phase Late: UP = 1 ERR = +1
Sampler
CLK
Phase Error:
∆Tn UP DN
∆Tn = Dn × Dn–1 × (ERRn – ERRn–1)
+1 1 0
(a)
PD 0 0 0
Output Truth Table –1 0 1
(b)

FIGURE 18: An MM CDR implementation: (a) PD architecture and (b) early and late regions [16]. ERR: error.

34licensed
Authorized WIN T E Rlimited
use IEEE SOLID-STATE
2 0 2 0 to: Renesas CIRCUITS
Electronics America.MAGAZINE
Downloaded on February 28,2024 at 13:44:21 UTC from IEEE Xplore. Restrictions apply.
Proportional-Integral CDR tor and the other driven by the inte- The following are a few important
As discussed previously, in the sim- grator in the integral path. Figure 20 points to note, based on the equations
ple analog CDR, the resistor R value displays one example implementation in this section:
controls both the BW and stabil- of this type of CDR [21]. The equations 1) Proportional gain (K P) determines
ity of the CDR loop. Therefore, to for the poles, zeroes, and BWs of this the jitter-tracking BW. It can there-
reduce BW, we have to either reduce type of CDR are given as fore be used to trade off ISI-in-
R and increase C or reduce I CP . Fig- duced jitter for source jitter.
ure 19 shows a redrawn model of the 1+ s 1 2) For a fixed K P, integral gain (K I) de-
~z
analog CDR that is mathematically H JTRAN (s) = , termines open-loop ~ z; therefore,
1+ s 1 + s2 1
equivalent to the model in Figure 11. ~ PL ~ PL ~ PH a lower K I increases the phase
However, viewing the CDR in this ~z =
KI , margin and reduces jitter peak-
framework allows us to conceptu- KP ing. However, K I determines ~ PL
alize an alternative implementation ~ PL .
KI , as well, which sets the CDR’s loop
KP
of a CDR where the proportional time constant. As a result, a lower
and integral gains are independent ~ PH . K P , K I increases the CDR locking time.
variables. Physically, this requires a JTRAN BW . ~ ug . K P , 3) Also, K I is the term that makes the
VCO that has two control inputs: one CDR loop second order; ­therefore,
U M = tan -1c m.
~ ug
directly driven from the phase detec- ~Z CDR cannot track frequency change

KPDICPRKVCO
Φ in Φe Φout
1/s In simple-analog CDR, R controls
both BW and stability.
KPDICP(1/C )KVCO 1/s
Reducing BW Requires:
Small R and Large C
(a) Or Small I
Can Re-Architect the Loop to
Have Independent Knobs:
KP Proportional Path: KP
Φ in Φe Φout Integral Path: KI
1/s
With the proper VCO
Kl 1/s architecture, it will have a different
set of knobs to optimize.

(b)

FIGURE 19: (a) An equivalent model of an analog CDR. (b) The model of a proportional-integral CDR.

20-GHz LC-OSC
Samplers
Phase- 20-Gb/s, 2-b Microstrip
Detection Recovered
40 Gb/s UP0+
Logic Data DN0–

CK1 CK0 UP1+ CK2 CK3


Four-Phase, Proportional UP1/DN1 DN1–
20-GHz Clock Control Path UP0/DN0
20-GHz
QVCO INT-ctrl

Integral
Proportional Path
Control Path
Directly From PD
Vbias
CPINT

Vbias_q
Integral Path (No R)

FIGURE 20: An example implementation of a proportional-integral CDR [21].

Authorized licensed use limited to: Renesas Electronics America. Downloaded on February 28,2024 IEEE SOLID-STATE
at 13:44:21 CIRCUITS
UTC from IEEEMAGAZINE W I N Tapply.
Xplore. Restrictions ER 2020 35
10 10
0 ns 0 ns
10 ns 10 ns
0 20 ns 0 20 ns d

30 ns 30 ns

–10 –10

Dp DI
–20 –20

–30 –30
105 106 107 108 109 105 106 107 108 109
Frequency Frequency
(a) (b)

FIGURE 21: The proportional-integral CDR’s sensitivity to loop latency. (a) The effect of delay in the proportional path and (b) the effect of
delay in the integral path on the CDR’s JTRAN function.

Digital CDR
DCO A proportional-integral CDR architec-
ture lends itself very well to a digi-
KP PDAC tal implementation, the concept of
D
CLK
PD which is shown in Figure 22. Here,
the integrator is replaced by an accu-
KI + IDAC
mulator, thus eliminating the need
Z –1 for a large capacitor, and the integral
and proportional paths are merged
with a digitally controlled oscilla-
tor (DCO). Because the integral path
FIGURE 22: A digital (hybrid) DCO-based CDR. PDAC: proportional path DAC. is less sensitive to delay, it is often
implemented in the RTL.
Although this architecture does
DCO not require a charge pump, it does
require a high-resolution DAC in the
KP PDAC
D CLK integral path, which can have chal-
PD lenging specs. To better understand
∆Σ the requirements on the integral-path
KI + IDAC
Modulator DAC (IDAC), let us perform some calcu-
Z –1 lations using the CDR parameters we
have been using all along. In the digi-
tal CDR, each phase-detector update
Signed Unsigned Signed
Saturate would change the oscillator’s control
M+D D D+1 Carry M+1
+ + + voltage (i.e., current) by K I # LSB IDAC .
D Therefore, to match the analog CDR
Z –1 M Z –1 M
example with I CP = 12 µA, C = 400 pF,
IDAC_in
∆Σ_State and UI = 100 ps, LSB IDAC 1 12 µA #
Integ_out
100 ps/400 pA = 3 µV. This means
that the digital CDR requires a 3-µV,
FIGURE 23: Enhancing resolution with a TR modulator. 10-GS/s DAC, instead of the 12-µA,
10-GS/s charge pump required by the
(e.g. sinusoidal jitter) with zero K I . paths on the CDR’s JTRAN for the same analog CDR. Fortunately, we can use
As a result, there is a limit to how CDR parameters, as depicted in Fig- TR techniques to achieve the desired
small K I can be, a value that is set ure 13. The plots demonstrate that this resolution without much complex-
primarily by the JTOL spec. type of CDR can tolerate significant ity overhead.
Figure 21 shows the impact of delay latency in the integral path without Figure 23 shows a model of the
in the CDR’s proportional and integral much impact on the CDR’s stability. digital CDR with TR modulator in the

36licensed
Authorized WIN T E Rlimited
use IEEE SOLID-STATE
2 0 2 0 to: Renesas CIRCUITS
Electronics America.MAGAZINE
Downloaded on February 28,2024 at 13:44:21 UTC from IEEE Xplore. Restrictions apply.
integral path [1]. The TR modula-
tor toggles the LSB at the DAC input
In many implementations, the output of
with the proper duty cycle to create the phase detector is decimated before it is
a high-resolution DAC output. Borrow- passed to the integral path.
ing an example from [1] with a minor
modification, let us assume that the
accumulator is 7’b00011_00 (M = 5
and D = 2) and the phase detector In cases where a local precision oscil- at what frequencies. It also specifies
generates a series of “1” outputs. The lator is available at the Rx, it is possible how much of the budget can be taken
accumulator, TR state (initial condi- to remove the oscillator from the CDR by equalization and how much by
tion 3’b0_00), and DCO input would loop and instead use a phase interpola- clock recovery.
change as follows over multiple phase tor (PI), as depicted in Figure 24. In this The next phase in the design is to
detector updates: architecture, the phase-accumulation create a JTRAN spec for the CDR using
■■ TR _state = 3’b0_01, IDAC_in = logic together with the PI effectively the JTOL spec to guide the transistor-
3 + 0 = 3 form a DCO. In such architectures, level design.
■■ TR _state = 3’b0_10, IDAC_in = the phase-interpolator’s integral non- A high-level model of the CDR is often
3 + 0 = 3 linearity and differential nonlinearity the best way to iterate through architec-
■ ■ TR _state = 3’b0_11, IDAC_in = are important for CDR performance. A ture choices and derive the block-level
3 + 0 = 3 detailed analysis of such architectures specs. The CDR model should include
■■ TR _state = 3’b1_00, IDAC_in = is provided in [1], and a design example everything that impacts the CDR BW
3 + 1 = 4 can be found in [23]. and dynamics. In particular, all poles,
■■ TR _state = 3’b0_01, IDAC_in = zeroes, and latencies in the loop must
3 + 0 = 3 Summary and Conclusions be properly modeled.
■■ f. This article covered various aspects of An analog bang–bang CDR may be
The pattern repeats, with a “1” generated CDR design through the use of exam- adequate if a large loop capacitor and
every fourth cycle. The gain of the inte- ples. This concluding section reviews two-times oversampled front ends
gral path in this architecture is K I /2 D. the CDR design flow and provides (slicers and clocks) are acceptable.
Although TR helps with the DAC brief references to a few topics not A proportional-integral architec-
resolution problem, the integral path, previously covered. ture may provide more flexibility if a
including the accumulator and DAC, CDR design typically begins by VCO with two frequency adjustment
still operates at one sample per phase- defining the JTOL spec for the sys- knobs can be designed.
detector update. This could lead to high tem. If the design has to comply with A baud-rate CDR may reduce the
complexity and power consumption. In a standard, the JTOL spec is often number of required clock phases,
many implementations, the output of already specified. but it is more sensitive to channel
the phase detector is decimated before JTOL is essentially a means by which response. There is also more interac-
it is passed to the integral path. Deci- to negotiate the jitter spec between tion between the CDR and equaliza-
mation by N reduces the integral gain the Tx and Rx and between the data tion circuits in a baud-rate CDR.
of the CDR by a factor of N. An example recovery and clock recovery circuits Hybrid architectures may lead to
implementation of such a CDR can be at the Rx. JTOL specifies how much jit- more optimized designs, but it is impor-
found in [20]. ter the Tx is allowed to generate and tant to watch for latencies. Often it is a

External Clock Source

PI Phase Select

PI Clock Source
Np KP
D
CLK
PD + + PI
∆Σ
NI NI + Z –1
Modulator
Z –1 PI

FIGURE 24: A fully digital PI-based CDR.

Authorized licensed use limited to: Renesas Electronics America. Downloaded on February 28,2024 IEEE SOLID-STATE
at 13:44:21 CIRCUITS
UTC from IEEEMAGAZINE W I N Tapply.
Xplore. Restrictions ER 2020 37
good idea to include a fast proportional valuable feedback and help with pre- [19] T. Shibasaki et al., “A 56 Gb/s NRZ-electri-
cal 247mW/lane serial-link transceiver
path (i.e., small delay, no decimation). paring this article. in 28 nm CMOS,” in Proc. IEEE Int. Solid-
When optimizing the CDR archi- State Circuits Conf. (ISSCC), 2016, pp.
64–65.
tecture, it is important to budget References [20] D. Oh, D. Kim, S. Kim, D. Jeong, and W.
[1] J. Stonick, “DPLL-based clock and data re-
for CDR’s self-generated jitter. The covery,” ISSCC Tuts., Feb. 2010.
Kim, “A 2.8 Gb/s all-digital CDR with a 10
b monotonic DCO,” in Proc. IEEE Int. Solid-
budget can determine the choice [2] P. Hanumolu, “Clock and data recovery ar- State Circuits Conf. (ISSCC) Dig. Tech.
chitectures and circuits,” ISSCC Tuts., Feb.
between LC versus ring VCO, and cur- 2015.
Papers, 2007, pp. 222–598.
[21] J. Kim, J. Kim, G. Kim, and D. Jeong, “A ful-
rent mode logic versus CMOS clock [3] N. Da Dalt and A. Sheikholeslami, “Under- ly integrated 0.13-um CMOS 40-Gb/s Serial
standing Jitter and Phase Noise: A Circuits
distribution, or may force a dedi- and Systems Perspective,” Cambridge,
Link Transceiver,” IEEE J. Solid-State Cir-
cuits, vol. 44, no. 5, pp. 1510–1521, 2009.
cated regulated supply for the clock U.K.: Cambridge Univ. Press. [22] J. L. Sonntag and J. Stonick, “A digital
[4] B. Casper and F. O’Mahony, “Clocking anal-
path. Power-supply-induced jitter may ysis, implementation and measurement
clock and data recovery architecture for
multi-gigabit/s binary links,” IEEE J. Sol-
sometimes be a large portion of the techniques for high-speed data links—A id-State Circuits, vol. 41, no. 8, pp. 1867–
tutorial,” IEEE Trans. Circuits Syst. I, Reg.
self-generated jitter. Papers, vol. 56, no. 1, pp. 17–39, 2009.
1875, 2006.
[23] J. Liang, A. Sheikholeslami, H. Tamura, Y.
CDRs have limited locking range, [5] M. Perrott, 6.976 High Speed Communica- Ogata, and H. Yamaguchi, “6.7 A 28 Gb/s
tion Circuits and Systems (lecture 21). Spring
and, although gear-shifting the CDR 2003. Massachusetts Institute of Technol-
digital CDR with adaptive loop gain for
optimum jitter tolerance,” in Proc. IEEE
settings during initialization can ogy: MIT OpenCourseWare. [Online]. Avail- Int. Solid-State Circuits Conf. (ISSCC), 2017,
able: https://ocw.mit.edu
increase the range, it is often not [6] Agilent Technologies, “Jitter Fundamen-
pp. 122–123.
[24] A. Pottbacker, U. Langmann, and H. Sch-
enough. Therefore, when architecting tals: Jitter Tolerance Testing With Agilent reiber, “A Si bipolar phase and frequency
82250 ParBERT,” Santa Clara, CA, 2003.
the CDR, it is important early on to [Online]. Available: http://literature.cdn
detector IC for clock extraction up to
8 Gb/s,” IEEE J. Solid-State Circuits, vol. 27,
determine the initial frequency-acqui- .keysight.com/litweb/pdf/5989-0223en no. 12, pp. 1747–1751, 1992.
.pdf
sition method (Note that frequency [7] H. Zhang, B. Jiao, Y. Liao, and G. Zhang, “A
[25] R. Inti, W. Yin, A. Elshazly, N. Sasidhar,
and P. K. Hanumolu, “A 0.5-to-2.5Gb/s
acquisition was not covered in this tutorial on PAM4 signaling for 56 G serial reference-less half-rate digital CDR with
link,” DesignCon, 2016.
article.) Many systems allocate an ini- [8] R. C. Walker, “Designing bang–bang PLLs
unlimited frequency acquisition range
and improved input duty-cycle error tol-
tialization state, during which a clock for clock and data recovery in serial data erance,” in Proc IEEE Int. Solid-State Cir-
transmission systems,” in Phase-Locking
signal is sent over the data line by the in High-Performance Systems, B. Razavi,
cuits Conf. (ISSCC), 2011, pp. 438–450.
[26] S. B. Anand and B. Razavi, “A 2.75 Gb/s
Tx and can be used by the Rx for the ini- Ed. Piscataway, NJ: IEEE Press, 2003, CMOS clock recovery circuit with broad
pp. 34–45.
tial frequency acquisition. Other stan- [9] J. Lee, K. S. Kundert, and B. Razavi, “Analysis
capture range,” in Proc IEEE Int. Solid-State
Circuits Conf. (ISSCC) Dig. Tech. Papers,
dards require the Rx to acquire a lock and modeling of bang–bang clock and data 2001, pp. 214–215.
recovery circuits,” IEEE J. Solid-State Circuits,
without any special training sequence. vol. 39, no. 9, pp. 1571–1580, 2004.
[27] A. Amirkhany, “Basics of clock and data re-
covery circuits,” presented at the Int. Solid-
Examples of some CDRs with broad [10] M. Verbeke, P. Rombouts, A. Vyncke, and State Circuits Conference (ISSCC), San Fran-
G. Torfs, “Influence of jitter on limit cy-
capture range techniques can be found cles in bang–bang clock and data recov-
cisco, CA, Feb. 17–21, 2019.
in [24]–[26]. ery circuits,” IEEE Trans. Circuits Syst. I,
Reg. Papers, vol. 62, no. 6, pp. 1463–1471,
Clock recovery circuits often inter- 2015.
About the Author
act with the equalization circuits, as [11] C. Hogge, “A self correcting clock recov- Amir A m i rk h a ny (a.amirkhany@
ery circuit,” J. Lightw. Technol., vol. 3, no.
the choice of sampling phase deter- 6, pp. 1312–1314, 1985.
samsung.com) received his B.S. degree
mines the sampled channel response [12] J. D. H. Alexander, “Clock recovery from from Sharif University of Technology,
random binary signals,” Electron. Lett.,
of the system. Therefore, it is impor- vol. 11, no. 22, pp. 541–542, 1975.
Tehran, Iran, his M.S. degree from the
tant to simulate clock recovery with [13] M. Verbeke, P. Rombouts, X. Yin, and G. University of California, Los Angeles,
Torfs, “Inverse Alexander phase detector,”
data recovery. Electron. Lett., vol. 52, no. 23, pp. 1908–
and his Ph.D. degree from Stanford
Many of today’s systems require 1910, 2016. University, California, all in electrical
[14] K. Mueller and M. Muller, “Timing recov-
fast transitions between the power- ery in digital synchronous data receiv-
engineering. He is a senior director
down state and the active state. In ers,” IEEE Trans. Commun., vol. 24, no. 5, of engineering at Samsung Electron-
pp. 516–531, 1976.
such cases, fast wake-up CDR archi- [15] V. Balan et al., “A 4.8–6.4-Gb/s serial link
ics, in charge of the development of
tectures are required. In digital CDR for backplane applications using decision future generations of high-speed inter-
feedback equalization,” IEEE J. Solid-State
architectures, frequency information Circuits, vol. 40, no. 9, pp. 1957–1967,
faces for Samsung displays. Prior to
may be stored and recovered between 2005. Samsung, he was a design manager at
[16] F. Spagna et al., “A 78 mW 11.8 Gb/s se-
states; however, phase information rial link transceiver with adaptive RX
Ramus Inc., where he led the devel-
must still be acquired after every equalization and baud-rate CDR in 32 nm opment of proprietary high-speed
CMOS,” in Proc. IEEE Int. Solid-State Cir-
state transition. Some examples of cuits Conf. (ISSCC), 2010, pp. 366–367.
memory interfaces. He won the best
fast wake up CDR architectures can be [17] R. Dokania et al., “10.5 A 5.9pJ/b 10Gb/s student paper award at the 2008 IEEE
serial link with unequalized MM-CDR in
found in [2]. 14nm tri-gate CMOS,” in Proc. IEEE Int.
Global Communications Conference,
Solid-State Circuits Conf. (ISSCC) Dig. Tech. has authored or coauthored over 25
Papers, 2015, pp. 1–3.
Acknowledgments [18] P. Upadhyaya et al., “A fully adaptive 19-
IEEE conference and journal papers,
I thank Dr. Valentin Abramzon, Dr. to-56 Gb/s PAM-4 wireline transceiver and has more than 40 issued U.S. pat-
with a configurable ADC in 16 nm FinFET,”
Anup Jose, Prof. Sam Palermo, and in Proc. IEEE Int. Solid-State Circuits Conf.
ents. He is a Senior Member of the IEEE.
Prof. Ali Sheikholeslami for their (ISSCC), 2018, pp. 108–110. 

38licensed
Authorized WIN T E Rlimited
use IEEE SOLID-STATE
2 0 2 0 to: Renesas CIRCUITS
Electronics America.MAGAZINE
Downloaded on February 28,2024 at 13:44:21 UTC from IEEE Xplore. Restrictions apply.

You might also like