CEP Report DLD

You might also like

Download as pdf or txt
Download as pdf or txt
You are on page 1of 5

COMPLEX ENGINEERING

PROBLEM
DIGITAL CLOCK

GROUP 1

GROUP MEMBERS

M Abdullah Khan

M Abdullah Javed

Nouman Rabnawaz

JANUARY 2, 2024
Abstract

This project delves into the fascinating world of digital timekeeping by crafting a
functional clock using just two readily available logic chips: the 74LS90 decade counter
and the 74LS47 decoder/driver. This humble duo orchestrates the heart of the clock, a
meticulous dance of pulses that translates into the familiar hh:mm format displayed on
two seven-segment screens. Building this miniature timekeeper not only yields a
practical device, but also fosters a deeper understanding of digital logic principles.
Witnessing how seemingly simple building blocks like counters and decoders work
together to unveil the invisible passage of time sparks curiosity and fuels the desire to
unravel the intricate tapestry of electronics.

While this design prioritizes simplicity and clarity, it lays the foundation for further
exploration. Imagine incorporating an alarm function, a timer feature, or even a
temperature display, transforming this basic clock into a versatile personal assistant.
The possibilities, like the ticking seconds, march onwards, waiting to be explored by
inquisitive minds and nimble fingers.
1. Introduction

This is not just a clock; it's a time-bending machine, crafted from the magic of digital
logic and brought to life by the tireless beat of a crystal heart. We cast aside the
opaque black box of mass-produced timekeeping and delve into the intricate
clockwork of creation, where the 74LS90, a humble decade counter, becomes the
maestro of minutes, and the 74LS47, a nimble decoder, paints the fleeting moments
onto glowing canvases. No pre-programmed chips, no faceless algorithms, just the
raw poetry of logic gates waltzing in synchrony, orchestrating the grand display of
hh:mm:ss Watch as the binary whispers of the counter morph into the familiar dance
of segments, each stroke illuminating a sliver of time's ever-flowing river. Choose
your time's cloak - the familiar 12-hour waltz or the brisk march of the 24-hour
legion - with a flick of a switch, a silent command obeyed by the obedient circuits
and between the hours and minutes, a rhythmic pulse flickers, a digital firefly
reminding us of time's relentless march. The blinking colon, a metronome of light,
keeps our eyes locked on the ever-changing canvas, reminding us to savor each
precious moment. This is not just a clock; it's a conversation with time, a testament
to the harmony between human ingenuity and the whispered secrets of electronics.

2. Design Objectives

• Design a digital clock circuit using discrete logic ICs, 74LS90 and 74LS47.
• Display the current time in 12-hour format (hh:mm:ss) on six seven-
segment displays.

3. System Design

• The core of the clock is the 74LS90 decade counter, acting as a modulo-12
hour counter for hour digits and a modulo-60 counter for minute digits. A 1Hz
pulse source (crystal oscillator) drives the counter's clock input, incrementing
the displayed time every second.
• The 74LS47 decodes the binary-coded decimal (BCD) outputs of the 74LS90
into signals for driving the seven-segment displays. Six displays are used, two
for hours and two for minutes and two for seconds.
4. Circuit Implementation

The circuit is built on a breadboard using the 74LS90, 74LS47, 555 timer, seven-
segment displays, resistors, capacitors, and a crystal oscillator.

we didn’t include (hh:mm:ss) altogether cause it was inconspicuous.

Fig 1 : Schematic of Minutes and seconds


Fig 2 : Schematic of Seconds

5. Hardware Implementation

Fig 3: Hardware Implementation of Digital Clock

6. Discussion
This project showcases the power of discrete logic ICs in building functional
electronics. The chosen components are widely available and cost-effective, making
them ideal for educational purposes. The modular design separates timing, decoding,
display, and additional features, simplifying construction and facilitating debugging.
However, the use of discrete ICs results in a larger circuit footprint compared to
dedicated clock ICs. Additionally, this basic design lacks functionalities like alarm
setting or timers, offering potential for further exploration and upgrades.
7. Conclusion

This digital clock successfully demonstrates the application of fundamental digital


logic principles in a practical application. The project not only provides a working
timepiece, but also enhances understanding of timing circuits, BCD conversion, and
modular design. This framework can be further expanded upon by incorporating
additional features, ultimately leading to a more sophisticated and customizable digital
clock system.

You might also like