Professional Documents
Culture Documents
Practica 3
Practica 3
Practica 3
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity Prexamenu2 is
port
);
end entity;
begin
B;
SEGS <= "1111110" WHEN (S = x"0") ELSE
"1000111";
end rtl;