Download as pdf or txt
Download as pdf or txt
You are on page 1of 4

136 IEEE ELECTRON DEVICE LETTERS, VOL. 44, NO.

1, JANUARY 2023

Non-Volatile Nano-Electro-Mechanical Switches


and Hybrid Circuits in a 16 nm CMOS
Back-End-of-Line Process
Urmita Sikder , Member, IEEE, Rawan Naous, Vladimir Stojanović , Senior Member, IEEE,
and Tsu-Jae King Liu, Fellow, IEEE

Abstract — Reprogrammable non-volatile (NV) vertically- NEM switches have been proposed for energy-efficient routing
oriented nano-electro-mechanical (NEM) switches with a in Field-Programmable Gate Arrays (FPGAs) [2], [21], [22]
compact footprint are successfully implemented using mul- and for implementation of fast and energy-efficient look-up
tiple back-end-of-line (BEOL) interconnect layers of a stan-
dard 16-nm CMOS process technology, with no additional tables (LUT) [23], [24] and decoder circuits [11], [25]. The
lithography steps. A compact decoder circuit comprising footprint of a NEM switch can be minimized by vertically
an array of these reconfigurable interconnects is success- orienting the movable electrode [24]. It is worthwhile to note
fully demonstrated. As the minimum metal pitch decreases here that implementation of compact hybrid CMOS + NEM
with each new manufacturing process generation, hybrid circuits in advanced technology nodes requires additional
CMOS+NEM technology becomes increasingly attractive for
ultra-low-power reconfigurable computing applications. design rules to ensure high manufacturing yield while min-
imizing layout area.
Index Terms — Non-volatile, reconfigurable, monolithic In this work, vertically oriented NV-NEM switches are
integration, data search, BEOL, interconnects.
successfully implemented using multiple metal interconnect
layers and corresponding via layers in a standard 16 nm-
I. I NTRODUCTION generation CMOS BEOL process. The results reported herein

O NGOING miniaturization (scaling) of complemen-


tary metal-oxide-semiconductor (CMOS) transistors and
interconnects has created new opportunities for monolithic
represent a significant advancement over prior work: the
single-pole/double-throw switches have a footprint of only
0.055 μm2 , which is much smaller than the footprint of
integration of nano-electro-mechanical (NEM) switches with previously reported BEOL switches [11], [24], [26]. Also,
CMOS transistors to implement hybrid circuits at low incre- a compact decoder circuit comprising an array of BEOL
mental cost [1], [2], [3], [4], [5]. This is because the metallic NV-NEM switches and CMOS inverters is demonstrated using
interconnect layers formed using the back-end-of-line (BEOL) 16 nm-node FinFETs. The array of BEOL NEM switches
steps of a CMOS manufacturing process can be used to (with four switches spanning 1.6 µm) is much more com-
implement NEM switches [6], [7], [8], [9], [10]. In this pact than in our previous work (∼75μm [11]). Performance
manner, discrete laterally oriented NEM switches have been improvement with technology scaling is discussed to show that
fabricated using standard CMOS manufacturing processes as CMOS + NEM technology is promising for future data-centric
advanced as 16 nm-generation [11]. The energy-efficiency and computing applications.
switching speed of a NEM switch are projected to improve
with miniaturization [5], [12]. II. N ANO -E LECTRO -M ECHANICAL S WITCH D ESIGN
Hybrid CMOS + NEM circuits can be more functional The NV-NEM switch design used in this work is a single-
and/or energy efficient than CMOS implementations due to pole-double-throw (SPDT) switch consisting of five terminals:
the unique properties of NEM switches, i.e., abrupt switching a movable beam, two actuation electrodes (Prog 0/1) on either
characteristics, non-volatile (NV) switching capability and side of the movable beam, and two corresponding conduct-
high resistance ratio between programmed states [13], [14], ing/dataline electrodes (D0/1). Fig. 1(a) shows the movable
[15], [16], [17], [18], [19], [20]. Therefore, CMOS-integrated beam in its as-fabricated (neutral, non-contacting) position.
Manuscript received 25 October 2022; accepted 8 November 2022. A programming voltage pulse can be applied to either actua-
Date of publication 10 November 2022; date of current version tion electrode (Prog 0 or Prog 1) to generate an electrostatic
28 December 2022. This work was supported in part by the National Sci- force (Felec ) and thereby actuate the beam into physical contact
ence Foundation (NSF) Center for Energy Efficient Electronics Science
under Award 0939514 and in part by the Berkeley Wireless Research with a conducting electrode (D0 as in Fig. 1(b) or D1 as in
Center. The review of this letter was arranged by Editor N. Barniol. Fig. 1(c)). The programmed states are non-volatile because the
(Corresponding author: Urmita Sikder.) spring restoring force of the movable beam is designed to be
Urmita Sikder, Vladimir Stojanović, and Tsu-Jae King Liu are with the
Department of EECS, University of California, Berkeley, CA 94720 USA smaller than the contact adhesive force. The state of the switch
(e-mail: urmita@berkeley.edu). can be changed by applying a programming voltage pulse
Rawan Naous is with Meta Platforms, Menlo Park, CA 94025 USA. to the opposite actuation electrode. Fig. 1(d) is a schematic
Color versions of one or more figures in this letter are available at
https://doi.org/10.1109/LED.2022.3221701. cross-section of vertically oriented NV-NEM switches imple-
Digital Object Identifier 10.1109/LED.2022.3221701 mented using multiple interconnect and via layers in a 16 nm

0741-3106 © 2022 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission.
See https://www.ieee.org/publications/rights/index.html for more information.

Authorized licensed use limited to: KTH Royal Institute of Technology. Downloaded on October 26,2023 at 13:52:14 UTC from IEEE Xplore. Restrictions apply.
SIKDER et al.: NV NEM SWITCHES AND HYBRID CIRCUITS IN A 16 nm CMOS BEOL PROCESS 137

Fig. 2. (a) Measured quasi-static current-vs.-voltage characteristics


of NV-NEM switches fabricated using 16nm technology for the first
three program cycles. The ON-state current is artificially limited to
100nA during measurement to avoid Joule-heating-induced contact
welding. (b) Experimentally extracted programming voltages are com-
pared against simulated values for 65nm [24] and 16nm technology
nodes.

III. NV-NEM S WITCH C HARACTERISTICS


Fig. 2(a) shows experimentally measured quasi-static
current-vs.-voltage characteristics of a fabricated NV-NEM
switch (Fig. 1(g)) for its initial three (re)programming
cycles. The voltage applied to the program electrode was
bi-directionally swept with 1.5 V and 0 V applied to the
corresponding conducting electrode and to the beam and
opposite conducting electrode, respectively. The initial change
of state from neutral to “0”, i.e. the write operation occurs
when VProg,0 exceeds the switching voltage at 5.5 V. Subse-
quent reprogramming operations require higher programming
Fig. 1. Schematic diagrams illustrating a vertically oriented NV-NEM voltage due to the larger effective actuation gap and contact
switch (a) in as-fabricated (neutral) state, and in programmed (b) state gap, and possibly larger contact adhesive force due to higher
“0” and (c) state “1”. Schematic cross-sections illustrating BEOL NV-NEM contact velocity. It should be noted that this hot-switching
switches (d) after the release-etch process and (e) after program-
ming. BEOL material layers, including metal and via layers, inter-metal procedure was used in this work only to determine the required
dielectric (IMD) and intra-layer dielectric (ILD) layers are shown. Cross- programming voltage. In practice, no voltage should be applied
sectional Scanning Electron Microscope (SEM) images of as-fabricated to the conducting electrode during a programming operation,
BEOL NV-NEM switches implemented using (f) three, and (g) six metal
layers and corresponding via layers. to avoid unnecessary power consumption and to achieve high
cycling endurance [27]. Device lifetime can also be improved
by optimizing the contacting electrode material [28], [29]
CMOS BEOL process. Note that the lowermost metal layers and/or hermetic packaging to prevent contact oxidation.
are used because they have the tightest pitch and therefore can Fig. 2(b) compares the experimentally measured program-
be used to form the smallest air gaps, to achieve the smallest ming voltages of NV-NEM switches fabricated using 65 nm
possible programming voltage and/or actuation electrode area. and 16 nm process technologies against values obtained
After the CMOS and interconnect fabrication process steps through simulations using the Coventor MEMS+ compact
are completed, the low-κ dielectric material surrounding the model. Cross-sectional SEM images of the switches are shown
movable beam electrodes is selectively etched away to allow in the insets. As expected, the switch fabricated using a
for physical movement of the beams. Fig. 1(e) illustrates the more advanced (16 nm) CMOS BEOL process operates with
NV-NEM switches after programming operation. considerably lower (re)programming voltage.
In this work, SF6 − O2 plasma was used for the “release
etch” process, to selectively remove > 3μm-thick low-κ IV. 16 NM CMOS + NEM C IRCUIT D EMONSTRATION
dielectric. Dummy metal structures in the upper metal layers In this work, a hybrid CMOS + NEM decoder circuit
were placed strategically to form a hard-mask without an design [11] is implemented using a 16 nm FinFET-based
additional lithography step and protect the rest of the chip CMOS process technology for the first time. A compact
from the etchant [11]. Fig. 1(f) is a cross-sectional Scanning 4×2 array of NV-NEM switches is used to store four 2-bit data
Electron Microscope (SEM) image of a NV-NEM switch strings, one in each row. Fig. 3(a) shows the circuit diagram
implemented using the three lowermost metal layers, achiev- and Fig. 3(b) is a cross-sectional SEM image showing four
ing the minimum contact gap of 32 nm. Fig. 1(g) shows a movable beams along a single column of the 4 × 2 array,
NV-NEM switch implemented using the six lowermost metal demonstrating the most compact BEOL switch array reported
layers, with a contact gap of i.e. 80 nm. so far. When a 2-bit input string is applied, the output bit

Authorized licensed use limited to: KTH Royal Institute of Technology. Downloaded on October 26,2023 at 13:52:14 UTC from IEEE Xplore. Restrictions apply.
138 IEEE ELECTRON DEVICE LETTERS, VOL. 44, NO. 1, JANUARY 2023

Fig. 3. (a) Circuit diagram for a CMOS+NEM 2-to-4 line decoder. Lines
colored in red indicate wires that are driven to high voltage. (b) Cross-
sectional SEM image showing the four movable beams along a single Fig. 4. Simulated values of (a) minimum programming voltage of opti-
column of the array. Measured voltage waveforms for the (c)-(d) input mized vertical NEM switches with different actuation electrode lengths,
bits and (e) output bits demonstrate proper circuit operation. and corresponding (b) minimum programming energy, (c) programming
time (vacuum conditions) and (d) NV-NEM switch footprint. Here F refers
line for the row in which that string is stored is the only to the minimum metal half-pitch.
one that is not pulled high. (Note that this circuit essentially
performs a memory-based parallel data search operation.) To
demonstrate the operation of this hybrid circuit, the NV-NEM speed of BEOL NV-NEM switches is expected to improve
switches are programmed to store a 2-bit data string in each [14], [30]. Performance parameters for the BEOL NEM
of the four rows of the array On , (n = 0, 1, 2, 3): 00, 10, switch design shown in Fig. 1(g) are simulated using Coven-
01 and 11, respectively. Subsequently, a decoding operation tor MEMS+ and plotted in Fig. 4 for various beam- and
can be performed to find the address (bit line) of the data string actuation-electrode lengths: L b = 3F, 5F, 7F, where F is
that matches the input data string. In the example shown in the minimum metal half-pitch. With increased actuation area,
Fig. 3(a), the address of the data string 00 is found by setting lower programming voltage can be used. This comes at a
both the inputs A and B low; after applying the input address trade-off of larger device footprint and slower programming
00, all the bit lines except O0 are driven high because it stores speed due to larger mass. All the performance parameters,
the matching data string. CMOS buffer gates serve to restore especially the programming energy and cell area, are projected
the output bit-line high voltage level to VDD . Input bit-line to improve rapidly with technology scaling. At the 16 nm
voltage swing needs to be >1.4 V for proper CMOS buffer node, the NV-NEM switch has a footprint (0.055μ2) that is
operation. considerably smaller than that of a six-transistor SRAM bit cell
The measured waveform in Fig. 3(e) shows the output bit (0.074 µm2 [31]) and is projected to have comparable readout
line O0 voltage as the 2-bit input address is cycled through energy and speed.
all four possible values (Fig. 3(c) and Fig. 3(d)), showing
that the voltage goes low only when the input address is 00. VI. C ONCLUSION
The dashed and solid lines in Fig. 3(e) are measured output
Vertically oriented NV-NEM switches, with a footprint of
bit-line voltages before and after the CMOS buffer gates,
0.055μm2 , are implemented using multiple BEOL intercon-
respectively. The RC charging/discharging delay is found to be
nect layers in a conventional 16 nm FinFET-based CMOS
a few microseconds due to large ON-resistance and parasitic
process technology to enable compact implementation of
capacitance associated with the probe pads and coaxial cable.
CMOS + NEM circuits. NV-NEM switch performance
The ON-resistance of a standalone NEM switch was measured
improves with technology scaling, so that this hybrid technol-
to be 167−250 k (measured RC-time constant ≈ 225 ns,
ogy shows promise for future data-centric computing applica-
estimated intrinsic delay < 1 ns), which is substantially higher
tions. Further work is needed to achieve lower ON-resistance
than the value predicted by the empirical contact resistance
of the NV-NEM switches.
model (74 k) [10]. This discrepancy may be explained by the
disproportionate increase of interconnect resistance at scaled
dimensions due to the reduced volume fraction of copper ACKNOWLEDGMENT
compared to the high-resistivity liner and diffusion-barrier The authors would like to thank Dr. Qichen Zhang for
layers. his support with the CMOS chip design. The chips were
designed in collaboration with Berkeley Wireless Research
V. S CALING T RENDS Center (BWRC) and fabricated by the MOSIS Service using
As the minimum pitch decreases with each new generation TSMC 16FFC technology. They were post-processed in the
of CMOS process technology, the programming voltage and UC Berkeley Marvell Nanofabrication Laboratory.

Authorized licensed use limited to: KTH Royal Institute of Technology. Downloaded on October 26,2023 at 13:52:14 UTC from IEEE Xplore. Restrictions apply.
SIKDER et al.: NV NEM SWITCHES AND HYBRID CIRCUITS IN A 16 nm CMOS BEOL PROCESS 139

R EFERENCES [17] W. Y. Choi, T. Osabe, and T. J. K. Liu, “Nano-electro-


mechanical nonvolatile memory (NEMory) cell design and scaling,”
[1] H. F. Dadgour and K. Banerjee, “Hybrid NEMS–CMOS integrated
IEEE Trans. Electron Devices, vol. 55, no. 12, pp. 3482–3488,
circuits: A novel strategy for energy-efficient designs,” IET Comput.
Dec. 2008.
Digit. Techn., vol. 3, no. 6, pp. 593–608, 2009.
[2] V. K. Sirigir, K. Alzoubi, D. G. Saab, F. Kocan, and M. Tabib-Azar, [18] S. W. Lee, S. J. Park, E. E. B. Campbell, and Y. W. Park,
“Ultra-low-power ultra-fast hybrid CNEMS-CMOS FPGA,” in Proc. Int. “A fast and low-power microelectromechanical system-based non-
Conf. Field Program. Log. Appl., Aug. 2010, pp. 368–373. volatile memory device,” Nature Commun., vol. 2, no. 1, p. 220,
[3] S. Chong, B. Lee, K. B. Parizi, J. Provine, S. Mitra, R. T. Howe, Sep. 2011.
and H.-S.-P. Wong, “Integration of nanoelectromechanical (NEM) relays [19] V. Pott, G. Chua, R. Vaddi, J. Lin, and T. Kim, “The shuttle nanoelectro-
with silicon CMOS with functional CMOS-NEM circuit,” in IEDM Tech. mechanical nonvolatile memory,” IEEE Trans. Electron Devices, vol. 59,
Dig., Dec. 2011, pp. 5–30. no. 4, pp. 1137–1143, Apr. 2012.
[4] T.-J.-K. Liu, U. Sikder, K. Kato, and V. Stojanovic, “There’s plenty of [20] B. Soon, E. J. Ng, N. Singh, J. M. Tsai, Y. Qian, and C. Le,
room at the top,” in Proc. IEEE 30th Int. Conf. Micro Electro Mech. “A bistable electrostatic silicon nanofin relay for nonvolatile memory
Syst. (MEMS), Jan. 2017, pp. 1–4. application,” J. Microelectromech. Syst., vol. 22, no. 5, pp. 1004–1006,
[5] U. Sikder and T.-J. King Liu, “3D integrated CMOS-NEM systems: Oct. 2013.
Enabling next-generation computing technology,” in Proc. IEEE Int. [21] C. Chen, H.-S.-P. Wong, S. Mitra, R. Parsa, N. Patil, S. Chong,
Meeting Future Electron Devices, Kansai (IMFEDK), Nov. 2021, K. Akarvardar, J. Provine, D. Lewis, J. Watt, and R. T. Howe, “Effi-
pp. 1–4. cient FPGAs using nanoelectromechanical relays,” in Proc. 18th Annu.
[6] G. K. Fedder, S. Santhanam, M. L. Reed, S. C. Eagle, D. F. Guillou, ACM/SIGDA Int. Symp. Field Program. Gate Arrays (FPGA), 2010,
M. S.-C. Lu, and L. R. Carley, “Laminated high-aspect-ratio microstruc- pp. 273–282.
tures in a conventional CMOS process,” Sens. Actuators A, Phys., [22] Y. J. Kim and W. Y. Choi, “Nonvolatile nanoelectromechanical memory
vol. 57, no. 2, pp. 103–110, Nov. 1996. switches for low-power and high-speed field-programmable gate arrays,”
[7] J. L. Muñoz-Gamarra, G. Vidal-Álvarez, F. Torres, A. Uranga, and IEEE Trans. Electron Devices, vol. 62, no. 2, pp. 673–679, Feb. 2015.
N. Barniol, “CMOS-MEMS switches based on back-end metal layers,” [23] K. Kato, V. Stojanovic, and T.-J. K. Liu, “Embedded nano-electro-
Microelectron. Eng., vol. 119, pp. 127–130, May 2014. mechanical memory for energy-efficient reconfigurable logic,” IEEE
[8] M. Riverola, G. Sobreviela, F. Torres, A. Uranga, and N. Barniol, Electron Device Lett., vol. 37, no. 12, pp. 1563–1565, Dec. 2016.
“A monolithically integrated torsional CMOS-MEMS relay,” [24] U. Sikder, L. P. Tatum, T.-T. Yen, and T.-J.-K. Liu, “Vertical NV-NEM
J. Micromech. Microeng., vol. 26, no. 11, Nov. 2016, Art. no. 115012. switches in CMOS back-end-of-line: First experimental demonstration
[9] W. Y. Choi and Y. J. Kim, “Three-dimensional integration of com- and array programming scheme,” in IEDM Tech. Dig., Dec. 2020,
plementary metal-oxide-semiconductor-nanoelectromechanical hybrid pp. 2–21.
reconfigurable circuits,” IEEE Electron Device Lett., vol. 36, no. 9, [25] K. Kato, V. Stojanovic, and T.-J. K. Liu, “Non-volatile nano-electro-
pp. 887–889, Sep. 2015. mechanical memory for energy-efficient data searching,” IEEE Electron
[10] U. Sikder, G. Usai, T.-T. Yen, K. Horace-Herron, L. Hutin, and Device Lett., vol. 37, no. 1, pp. 31–34, Jan. 2016.
T.-J.-K. Liu, “Back-end-of-line nano-electro-mechanical switches for [26] J. Yoon, H. S. Kwon, and W. Y. Choi, “Multi-layer nanoelectromechan-
reconfigurable interconnects,” IEEE Electron Device Lett., vol. 41, no. 4, ical (NEM) memory switches for multi-path routing,” IEEE Electron
pp. 625–628, Apr. 2020. Device Lett., vol. 43, no. 1, pp. 162–165, Jan. 2022.
[11] U. Sikder, K. Horace-Herron, T.-T. Yen, G. Usai, L. Hutin, V. Stojanovic, [27] R. Chan, R. Lesnick, D. Becher, and M. Feng, “Low-actuation volt-
and T.-J.-K. Liu, “Toward monolithically integrated hybrid CMOS-NEM age RF MEMS shunt switch with cold switching lifetime of seven
circuits,” IEEE Trans. Electron Devices, vol. 68, no. 12, pp. 6430–6436, billion cycles,” J. Microelectromech. Syst., vol. 12, no. 5, pp. 713–719,
Dec. 2021. Oct. 2003.
[12] J. W. Ko, G. Baek, and W. Y. Choi, “Scaling trends of monolithic [28] H. Kam, E. Alon, and T.-J. K. Liu, “A predictive contact reliability model
3-D complementary metal–oxide–semiconductor nanoelectromechanical for MEM logic switches,” in IEDM Tech. Dig., Dec. 2010, pp. 4–16.
reconfigurable logic circuits,” IEEE Trans. Electron Devices, vol. 67, [29] B. Osoba, B. Saha, L. Dougherty, J. Edgington, C. Qian, F. Niroui,
no. 9, pp. 3861–3867, Sep. 2020. J. H. Lang, V. Bulovic, J. Wu, and T.-J.-K. Liu, “Sub-50 mV NEM
[13] K. Akarvardar and H.-S.-P. Wong, “Nanoelectromechanical logic and relay operation enabled by self-assembled molecular coating,” in IEDM
memory devices,” ECS Trans., vol. 19, no. 1, pp. 49–59, May 2009. Tech. Dig., Dec. 2016, pp. 8–26.
[14] T.-J. King Liu, J. Jeon, R. Nathanael, H. Kam, V. Pott, and E. Alon, [30] C. Pawashe, K. Lin, and K. J. Kuhn, “Scaling limits of electro-
“Prospects for MEM logic switch technology,” in IEDM Tech. Dig., static nanorelays,” IEEE Trans. Electron Devices, vol. 60, no. 9,
Dec. 2010, p. 18. pp. 2936–2942, Sep. 2013.
[15] O. Y. Loh and H. D. Espinosa, “Nanoelectromechanical contact [31] Y.-H. Chen, W.-M. Chan, W.-C. Wu, H.-J. Liao, K.-H. Pan, J.-J. Liaw,
switches,” Nature Nanotechnol., vol. 7, no. 5, p. 283, 2012. T.-H. Chung, Q. Li, G. H. Chang, C.-Y. Lin, M.-C. Chiang, S.-Y. Wu,
[16] M. A. Beunder, R. van Kampen, D. Lacey, M. Renault, and C. G. Smith, S. Natarajan, and J. Chang, “13.5 A 16nm 128Mb SRAM in high-κ
“A new embedded NVM technology for lowpower, high temperature, metal-gate FinFET technology with write-assist circuitry for low-VMIN
rad-hard applications,” in Proc. Symp. Non-Volatile Memory Technol., applications,” in IEEE Int. Solid-State Circuits Conf. (ISSCC) Dig. Tech.
Nov. 2005, p. 4. Papers, Feb. 2014, pp. 238–239.

Authorized licensed use limited to: KTH Royal Institute of Technology. Downloaded on October 26,2023 at 13:52:14 UTC from IEEE Xplore. Restrictions apply.

You might also like