Download as pdf or txt
Download as pdf or txt
You are on page 1of 22

Applied Thermal Engineering 236 (2024) 121499

Contents lists available at ScienceDirect

Applied Thermal Engineering


journal homepage: www.elsevier.com/locate/apthermeng

Research Paper

Heat transfer enhancement for 3D chip thermal simulation and prediction


Chao Wang a, b, Kambiz Vafai b, *
a
College of Computer Science, South-central Minzu University, Hubei, Wuhan 430074, China
b
Department of Mechanical Engineering, University of California, Riverside, CA 92521, USA

A R T I C L E I N F O A B S T R A C T

Keywords: Parameter changes in the complex internal structure of multi-layer 3D stacked chips will greatly reduce the
3D stacked chip efficiency of modeling and thermal analysis. In this work, by combining thermal simulation analysis with ma­
Machine learning chine learning algorithms, we can skillfully predict the hotspot temperature changes of 3D chips up to 28 layers
Support vector regression (SVR)
while changing some key parameters of 3D chips with less computational effort. K-fold Cross Validation (K-CV)
Hotspot temperature
algorithm and support vector regression (SVR) algorithm were developed to predict the variations in hotspot
Predicted data
Through Silicon Via (TSV) temperature of the 3D chip while changing modeling and cooling parameters. Based on the training matrix, the
support vector regression (SVR) model can accurately predict the random power distribution case, the random
processor core location distribution case, and the random Through Silicon Via (TSV) distribution case. The
validation results show that the prediction accuracy deviation is close to 0.6 K, and the correlation coefficient R2
is close to 1. Meanwhile, the variable parameter and variable layer prediction method based on the aforemen­
tioned training model can more accurately predict the hotspot temperature of the 3D chips with higher layers (28
layers) from the modeling analysis data of 3D chips with lower layers (4–5 layers). Its prediction deviation is less
than 0.2%. The predicted data match the simulated numerical data quite well, indicating that the predictive
algorithm can accurately feed the sample data set.

the process.
On January 5, 2022, AMD released the first 3D stacked desktop
1. Introduction processor Ryzen 7 5800X3D. Thanks to 3D chip stacking, the average
performance of Zen 3 architecture chips has increased by 19% compared
Moore’s Law is often referred to by semiconductor industry practi­ to Zen 2 architecture [3,4]. On March 4, 2022, AI chip company
tioners as the golden rule of chip development. It has been half a century Graphcore’s Bow IPU chip uses TSMC’s 7-nanometer 3D WoW silicon
since Moore’s Law was proposed. Moore’s Law seems to have entered a wafer stacking technology. The AI speed is increased by 40%, while the
bottleneck period [1]. The development of chips has also shifted from energy consumption ratio is increased by 16% [5,6]. On March 9, 2022,
pursuing power consumption reduction and performance improvement Apple released the M1 Ultra, the highest-end processor chip for com­
to more pragmatically meeting market needs. The reason is that, on the puters, connecting 2 chips arranged horizontally to each other and
one hand, the development speed of memory bandwidth is much lower equipped with 114 billion transistors. AMD is the first in the industry to
than the speed of processor logic circuits, so there is a “memory wall” use copper-to-copper hybrid bonding and TSV methods to achieve true
problem. On the other hand, the number of transistors is gradually 3D chiplet stacking, a unique design that consumes less power than
increasing with the evolving complex architecture of high-performance existing 3D stacking methods. On June 10, 2022, Intel has also launched
processors, while advanced semiconductor processes are still expensive a new 3D chip packaging technology called “Foveros”. It brings powerful
and the productivity is not satisfactory. As chips become more and more performance and excellent stability to this series of chips [7,8].
complex, the contradictions between chip area, yield and complex It can be seen that the 3D stacking technology of chips can continue
processes are difficult to reconcile [2]. or even surpass Moore’s Law to a certain extent. In this context, chip
The first CPU 4004 released by Intel in 1971 had about 2,300 in­ giants represented by TSMC, Intel, and Samsung are actively exploring
ternal transistors. Five years later, Intel’s Ponte Vecchio processor 3D packaging technology and other advanced packaging technologies.
packed 47 chiplets with more than 100 billion transistors into one 2.5D/3D packaging technology is becoming an important means of
processor, using 2.5D technology and 3D technology simultaneously in

* Corresponding author.
E-mail address: vafai@engr.ucr.edu (K. Vafai).

https://doi.org/10.1016/j.applthermaleng.2023.121499
Received 19 May 2023; Received in revised form 14 August 2023; Accepted 3 September 2023
Available online 4 September 2023
1359-4311/© 2023 Elsevier Ltd. All rights reserved.
C. Wang and K. Vafai Applied Thermal Engineering 236 (2024) 121499

Nomenclature β constant term in the decision function


C penalty coefficient
x, y, z Cartesian coordinates ε tolerance deviation
H height of 3D IC package [m] αi Lagrange multipliers
h convective heat transfer coefficient [W(m2⋅K)-1] ϕ(x) kernel function
T temperature [K] σ width coefficient of the RBF kernel function
k thermal conductivity [W(m⋅K)-1] c parameter of Epsilon-SVR (loss function)
Lc characteristic length [m] g Setting of gamma function in kernel function
nv normal coordinate v Number of n’s in n-fold cross-validation
PEh Péclet Number Kn the nth layer of 3D chips
REh Reynolds number P Processor power [W]
u x-component of velocity [m s− 1] n number of training samples
v y-component of velocity [m s− 1] N number of test samples
w z-component of velocity [m s− 1] m number of attributes (dimensions)
q heat flux [W/m− 2] a The height of the TIM layer for 3D chips [m]
S area of solid surface [m2] B Edge length of TIM layer for 3D chips [m]
q̇*g non-dimensional volumetric heat generation in the A The width of the Die layer of a 3D chip [m]
processor B The length of the Die layer of a 3D chip [m]
Θ* dimensionless temperature Y real value of dataset
ω coefficient of the support vector in the decision function y
̂ predicted value of SVR

improving the performance of the chips. The importance of 3D stacking et al.[16] studied the variation of the peak temperature of 3D chips with
technology is increasing by enabling the highest levels of silicon inte­ an increase of the number of cores and task parallelism, and pointed out
gration and area efficiency at the lowest cost [9–11]. Brand new appli­ the adverse factors of high temperature on the scalability of 3D chips.
cations are also emerging, the rapid development of 3D stacking Knechtel et al. [17] tested the peak temperature on two layers of a 3D
technology benefits from its huge demands in artificial intelligence, chip by comparing different benchmarks to reveal the cause of “thermal
machine learning, data center and other fields. barrier” and excessive temperature inside the chip.
The early design of 3D chips can be optimized by 3D IC floor plan­
2. Pertinent literature ning technology based on thermal aware. The 3D floor planning scheme
proposed by Budatocchi et al. [18]is divided into two stages. The first
3D packaging technology allows different chips such as CPU, accel­ stage uses existing 3D floor planning tools to optimize the chip’s package
erator, memory, IO, power management, etc. to be pieced together like area, total wire length and TSV signal. The second stage conducts a
Lego blocks, with unparalleled packing density, so that a single package thermal assessment, using an iterative thermal through-the-silicon
can achieve more functions and interact with peripherals. The area of (TTSV) deployment algorithm to modify the thermal conductivity of
the device PCB is further reduced. regions exhibiting local maximum temperatures. Experimental results
However, 3D-ICs pose serious design challenges for engineers. Being show that the proposed floor planning scheme reduces the peak tem­
significantly larger than a single-chip system-on-chip (SoC), 3D-ICs have perature by 100◦ K at 0.5% TTSV density. Saha and Sur-Kolay [19]
more components, more integration points, and longer interconnects, proposed a scheme based on multi objective evolutionary algorithms
which can cause new problems such as high-frequency signal failures, (MOEA) to perform TSV placement and assignment. The experimental
reliability, and other performance issues such as heat build-up risk[12]. results show that the four objectives of the proposed optimization,
The research and technical breakthroughs on thermal management of namely power density, wire congestion, TSV boundary distance and
3D chips are main focus here. wire length between layers all meet the design expectations, with a
Memory management, layout planning and task scheduling are the reasonable algorithm convergence time. Jun et al. [20]introduced a 3D
mainstream system-level optimization technologies in thermal sensing thermal test vehicle (TTV) to simulate the real microprocessor composed
3D chip design. of logic SRAM or logic chips, tested the influence of the connection gap
In terms of optimization of 3D chip architecture, Liu et al. [13] between the upper and lower chips of TTV on the thermal behavior of
developed a DRAM power saving controller based on 3D stacking TTV, and studied the plane view of the temperature change of heating
technology. The controller is also the interface between the logic layer elements from a plane perspective.
and the memory layers stacked vertically on top of the logic layer. The Applying thermal sensing task scheduling of 3D chips, by using
global controller scheme of block in and block out is adopted instead of thermal size ratio detection technology to allocate appropriate thermal
the traditional first-in-first-out (FIFO) method. The special Dynamic budget for each general core and dedicated core, Cai et al. [21] proposed
Random Access Memory (DRAM) controller design reduces the task a synthetic task scheduling scheme for 3D chips that can significantly
execution time, improves the bandwidth utilization rate of 66.89%, and improve the schedule of tasks and the throughput of the system. The task
reduces the energy consumption by about 27.18%. Kong et al[14]. scheduling scheme proposed by Yin et al. [22] jointly optimizes the
proposed two kinds of 3D chip architectures based on monolithic 3D energy consumption and throughput of 3D chips by performing thermal-
(M3D) integration technology, which reduce the energy consumption of aware task mapping and assigning thermal tasks to cores located on the
the new architecture by building label array and data array, especially side of the processor chip, to quickly dissipate the heat generated by the
the second architecture, which reduces the energy consumption by core. On the other hand, the acquisition and release width of processor
79.1%. Chen and Jha[15] proposed a hybrid architecture of 3D chips cores are limited according to the type of the workload, so as to reduce
that can reduce power consumption. Its basic principle is to vertically the dynamic power consumption of the cores. Experimental results show
stack DRAM layer and Field Programmable Gate Array (FPGA) layer on that this scheme can reduce energy consumption by 7.6% and improve
the CPU layer. performance by 0.4%.
In the study of thermal characteristics of 3D stacked chips, Yavits Researchers are also considering trying to overcome the interlayer

2
C. Wang and K. Vafai Applied Thermal Engineering 236 (2024) 121499

thermal problem in 3D ICs by introducing various materials suitable for ray tomography images of 3D chips for non-destructive analysis of sol­
eliminating hotspots. Rakesh et al. [23] proposed a fin composed of der interconnects. Artificial intelligence can quickly detect and predict
CNTs (Carbon nanotubes) and a heat dissipation structure facing the solder joint interconnect operation failures based on non-destructive 3D
direction of the heat source, which can improve the heat accumulation X-ray tomography images with an accuracy rate of up to 89.9%. Pan­
inside the 3D IC. Rakesh et al. [24] also proposed the use of dielectric diaraj et al. [35] proposed an efficient Machine Learning (ML) model
materials with high thermal conductivity and high temperature capa­ (linear regression model) to achieve optimized TSV layer assignment,
bility, such as silicon nitride (Si3N4), alumina (Al2O3), silicon dioxide performance analysis of the data showed that TSV assignment based on
(SiO2), etc., for effective thermal management of 3D ICs. Bahiraei et al. efficient linear regression model (ELRM) achieved better wire length
[25] conducted a two-phase study on the flow of silver water nanofluids and temperature. Kumar et al. [36] developed a fast transient pyrolysis
in elliptical needle fin radiators. Under the conditions of different method for 3D integrated circuits based on local, intermediate and
nanoparticle volume fractions, fin density and Reynolds number, adding global transient response curves using the trained ML decay surface
nanoparticles to the base fluid can have a positive impact on the heat predictor for calculation. This method saves a lot of thermal analysis run
transfer. Feng et al. [26] used different coolants to compare the cooling time compared to CFD/FEA based tools. Rangarajan et al. [37] proposed
effects of gradient distribution microneedle fin array (GD-MPFA) and an optimal placement algorithm for a three-layer 3D integrated circuit
uniform microneedle fin array design (U-MPFA). They found that GD- with three core hotspot regions per layer. The algorithm combines Latin
MPFA design showed the trend of step rise and periodic change of hypercube sampling algorithm, genetic algorithm and supervised ma­
temperature, significantly eliminating the maximum temperature chine learning based artificial neural network which helps not only to
difference. reduce thermal interaction uniformity through thermal diffusion but
Low temperature bonding is another key technology to ensure the also to improve temperature uniformity through thermal diffusion.
stacking of chips (or wafers) in 3D integrated circuits. Sun et al. [27] Radmard et al.[38] investigated a cooling device with micro-pin fins
systematically studied the interfacial reactions and microstructural directly attached to a chip surface, a novel packaging technology, and
evolution between different substrates (Cu, Ni, Co, Ag, Au, etc.) and used a combination of artificial neural network (ANN) and elite NSGA-II
interlayer materials (Sn, In, SnIn, Ga), discussing the transition to the for structure optimization, demonstrating that their solution has great
interlayer materials to add alloys/particles to improve the feasibility of potential for chip heterogeneous integration (HI) applications.
solder joint voids. In our previous work, we comprehensively investigated the effects of
The use of microfluidic cooling in 3D chips has also been developed geometric and thermal properties of multilayer nominal three-
to address the overheating challenges created by the ever-increasing dimensional chip on the temperature hotspots [39,40]. Based on
power density of 3D chips. Ansari et al. [28] demonstrated that computational fluid dynamics and heat transfer analysis[41,42], various
placing micro-pin fins at hot spots can effectively reduce the maximum effective parameters which correlate with reducing the hotspot tem­
temperature of microprocessors. Ding et al. [29] demonstrated that ar­ perature are studied. We presented a detailed and thorough analysis of
ranging tandem and interleaved micro pin fins within the core area of the multi-layer nominal 3D chip with different geometrics and thermal
the chip and adding single-layer baffles in the peripheral area of the chip properties, and extended the convective heat transfer model of the 3D
are effective methods to improve the heat transfer performance of chip to 18 layers. In 3D IC, the signal transmission of the circuit is
microchannels. Ye et al. [30] introduced embedded microchannels improved through TSV, and the interconnection delay is also reduced,
combined with a three-dimensional manifold structure into the silicon but the addition of TSV effect on the heat dissipation problem of the
body to realize a cooling structure with an integrated microcirculation composite material cannot be ignored. The heat transfer analysis of
pump and heat exchanger, which has better cooling performance than porous medium two-phase composites [43,44], combined with thermal
air cooling. resistance analysis theory were considered in these works. We proposed
The adoption of TSV technology in 3D chip packaging not only en­ a method to accurately calculate the effective thermal conductivity of
dows the chip with the integration capability of the longitudinal thermal interface material (TIM) layers inside a multilayer 3D chip with
dimension, but also it has the shortest electrical transmission path and TSV. In addition, other works of our research team has also proved that
excellent anti-interference performance. As Moore’s Law slowly comes optimized heat pipes/heat spreader and integrated microchannel
to an end, the miniaturization of semiconductor devices is also structures can effectively reduce the maximum temperature of 3D ICs
increasingly dependent on advanced packaging that integrates TSVs. [45–48].
Rao et al. [31] simplified the method of extracting the equivalent The motivation for this work comes from the complexity of analyzing
thermal conductivity of the 3D IC model, which significantly reduced the hotspot temperature of 3D IC. The goal is to generate efficient
the computational time. For different TSV geometries such as cylindri­ analysis methods for 3D ICs with different power allocation, different
cal, quadrilateral, elliptical, and triangular, Jeong et al. [32] studied to CPU core layouts and different TSV layouts, so as to minimize modeling
determine the ideal shape to improve electrical signal transmission and and calculation time. Due to the high integration of 3D chips, the layout
improve mechanical reliability. The results show that the quadrilateral of hot core and TSV for each stack is uncertain. The above cited litera­
shape combines the best electrical performance and mechanical reli­ ture has all carried out thermal management and reliability analysis for
ability, and the cylindrical shape provides better mechanical reliability. 3D chips with definite structure. Computational Fluid Dynamics (CFD)
modeling (finite element analysis), active/passive cooling strategy and
3. Related work & motivation for the current work artificial intelligence algorithm play an important role in these works.
However, almost all the scheduling or optimization algorithms
As mentioned above, 3D stacked packaging is becoming a catalyst for mentioned in the literature ignore this point, that is, thermal analysis
chip innovation. Many related advanced technologies, such as flip-chip, can only be conducted once for a 3D stack chip with one or a specific
TSV, bump, wafer-level packaging, 3D packaging, are gradually applied structure. As we will see in what follows, adopting a combination of
in the heterogeneous integration of 3D chips. Many researchers have finite element simulation and machine learning, can significantly reduce
begun to try to use artificial intelligence technology to solve the thermal the modeling time for 3D ICs with different internal structures and the
management and reliability challenges caused by the high integration of difficulty of obtaining hotspot temperature data.
3D chips. In this work, we introduce the recent and future development trends
Nair R K [33] developed deep neural network algorithms to detect of 3D chips. Next, we describe different research ideas to solve the
faulty TSVs and optimize rerouting, the delay and temperature of the thermal management problems of 3D chips, and cite relevant literature
chip’s internal signals were improved. Hsu et al. [34] combined con­ to illustrate the role played by artificial intelligence techniques in
volutional neural network (CNN)-based AI deep learning to conduct X- optimizing chip thermal management. Our previous research results are

3
C. Wang and K. Vafai Applied Thermal Engineering 236 (2024) 121499

synthesized to come up with the advantages of the machine learning on the steady-state heat transfer analysis, the internal and external heat
prediction algorithm used in this paper. In the next section we explain conduction of the stacked chip package is governed by:
how the 3D chip was modeled in the preceding study and the source of
the dataset used for the algorithms studied in this paper. Afterwards, we ∂2 Θ*s ∂2 Θ*s ∂2 Θ*s
+ + + q̇*g = 0 (1)
describe the principles of SVR based machine learning method and how ∂x*2 ∂y*2 ∂z*2
the algorithm is used in this paper to predict the hotspot temperature of Where q̇*g indicates the non-dimensional volumetric heat generation
3D chips with three different internal structures (random power allo­
in the processor and Θ*s is the dimensionless temperature of the solid.
cation, random CPU core location, random TSV distribution). Finally,
The non-dimensional temperature and coordinates are defined as:
the previous 3D chip model is used to verify the accuracy of the pre­
dictions of the proposed algorithm, while applying the algorithm to x * y T − Te
x* = y = Θ* = / (2)
predict the thermal characteristics of higher layer 3D chips and H H qH kf
demonstrating that the results are extremely close to the simulation
results. where H is the height of 3D IC package (excluding heat sink). The
convective cooling based on the side, top and bottom surfaces of the 3D
4. The heat transfer model for the 3D chip chip were utilized in our study [43]. The bottom of the chip substrate is
cooled by natural convection, while the top is cooled by forced con­
Fig. 1 shows the structure diagram of the 3D chip package, where the vection. Their nominal convection coefficients are hb = 10 W/(m2K) and
I/O pin is connected to the solder ball (C4 bump) through the metal ht = 400 W/(m2K) [49]. The boundary conditions for convection can be
redistribution line. The number of solder balls is consistent with the expressed in the following way:
number of I/O pins. To illustrate the internal structure, this 3D chip ∂Θ*s
packaging structure is divided into several parts. The Dies are closely = − Bi⋅Θ*s (3)
∂nv
stacked between the radiator and the substrate. The silicon chips in the
middle layer are bonded together by thermal grease. Heterogeneous Where nv is the normal coordinate, and Bi is the dimensionless Biot
integrated CPU processors and other chips are distributed among them. number defined as:
Embedded stacked layers are displayed in a larger view. Geometric hLc
parameters have an important influence on the heat dissipation of 3D Bi = (4)
ks
chip packages. Complex structure demands a great deal of calculations
with respect to the numerical analysis and finite element simulation. The dimensionless Navier-Stokes equations is utilized for typical
In the 3D chip stack structure studied in this work, silicon interposer fluid flow and steady-state heat transfer analysis:
can integrate multiple chips, such as (Central Processing Unit) CPU, Mass conservation:
memory, (Application Specific Integrated Circuit) ASIC, into one pack­ ∂u* ∂v* ∂w*
age module. TSV technology is required for both the vertical intercon­ + + =0 (5)
∂x* ∂y* ∂z*
nection between components and the internal heat dissipation design of
the chip. TSV not only endows the chip with the capability of vertical X-momentum conservation
integration, but also has the shortest electrical transmission path and ( ) ( 2 * )
∂u* ∂u* ∂u* ∂p* ∂ u ∂2 u* ∂2 u*
excellent anti-interference performance. TSV in Fig. 1 is mainly thermal ReH u* * + v* * + w* * = − + + + (6)
∂x ∂y ∂z ∂x* ∂x*2 ∂y*2 ∂z*2
TSV, which is designed to optimize the thermal aggregation effect inside
the chip. The entire 3D chip package is cooled by a coolant. The contact Y-momentum conservation
area between the package and the heat sink is much smaller than the ( ) ( 2 * )
surface area of the heat sink. The ratio of the convective heat transfer
∂v* ∂v* ∂v* ∂p* ∂ v ∂2 v* ∂2 v*
ReH u* * + v* * + w* * = − + + + (7)
coefficient of the heat sink surface to the bottom of the chip substrate is ∂x ∂y ∂z ∂y* ∂x*2 ∂y*2 ∂z*2
40 (ht/hb = 40). The geometric parameters related to this 3D chip model Z-momentum conservation
have been elaborated and explained in our previous research work [39].
For the heat transfer and fluid flow simulation of 3D IC chip, based

Fig. 1. Schematic diagram of the cross-section of the 3D chip simulation model.

4
C. Wang and K. Vafai Applied Thermal Engineering 236 (2024) 121499

( ) ( 2 * )
∂w* ∂w* ∂w* ∂p* ∂ w ∂2 w* ∂2 w* First, a multi-physical field coupled non-isothermal heat transfer
ReH u* * + v* * + w* * = − *
+ *2
+ *2 + *2 (8) model is established, after setting up the simulation environment and
∂x ∂y ∂z ∂z ∂x ∂y ∂z
cooling environment parameters, the basic model for each layer of the
Energy conservation for the fluid domain 3D chip is constructed one by one according to the effective thermal
(
∂Θ*f ∂Θ*f ∂Θ*f
)
∂2 Θ*f ∂2 Θ*f ∂2 Θ*f conductivity and the finite element method. The effective thermal
PeH u* * + v* * + w* * = *2 + *2 + *2 (9) conductivity of the micro-bump, C4-bump, thermal interface material
∂x ∂y ∂z ∂x ∂y ∂z
(TIM) layer and die layer containing TSV is calculated based on the
where Θ*f is the dimensionless temperature of the fluid, and u* ,v* ,w* thermophysical properties and the thermal resistance method. Next, the
are the ×, y and z components of the dimensionless velocity thermal performance parameters of each layer of the chip are entered,
respectively. the heat source and convective heat transfer conditions are applied, and
the laminar flow conditions and flow directions are configured. Finally,
u * v w pH ρf um H ρf cp um H the solution is calculated to obtain the value of the hotspot temperature
u* = v = w* = p* = Re = PeH = (10)
um um um μf um H μf kf of the chip and the heat distribution inside the chip.
∫H
The mean velocity is given by um = H− 1 0 u(x, y)dxdy and p* is the
5. Machine learning-based model
dimensionless pressure, μf the dynamic viscosity, cp the specific heat at
constant pressure, ReH the Reynolds number, and PeH the Péclet Num­ 5.1. Training process of the proposed ML model
ber. Under the assumption of ignoring the temperature gradient and
cooling fluid flowing out at standard atmospheric pressure (p0), the The influence of geometric and thermal characteristics of 3D chips on
outlet boundary condition is set as the temperature hotspots, and various effective parameters related to
∂Θ*
p0 = 1 atm, ∂x*f = 0 (11) reducing the temperature of hotspots were investigated in Wang et. al
For all n-layer (n represents the number of chip layers) stacked chips [37]. Our previous research expanded the thermal analysis model of
in this work, 4 processors are distributed on each layer, the nominal heterogeneous integrated 3D chips to 18 layers, and analyzed the trend
thermal power of each processor in a 3layer chip is 90/12 = 7.5(W), of hotspot temperature of chip packages exposed to variable Reynolds
which results in a total power of 90 W on the chip. The power settings of number cooling fluid. But the simulation model can only analyze the
each layer of stacked chips higher than 3 layers will be described in internal heat flow of one form of 3D stacked die. For example, the fixed
detail below, the amount of power allocated to each layer also varies as processor distribution for each layer, the fixed power distribution for
the simulation parameters change. each layer, and the fixed TSV distribution. Once the structure changes,
A comparison of the number of resources required to simulate the the model needs to manually adjust the parameters and re-simulate. It is
4–28-layer 3D stacked chip is shown in Fig. 2. The simulation platform not possible to obtain hotspot temperature data for a large number of 3D
uses Intel Xeon CPU E3-1281 3.7 GHz and 16 GB RAM. The simulation stacked chips with different structures at one time. In this work a ma­
software uses the multi-physics field finite element simulation software chine learning technology method is adopted, to obtain multiple groups
COMSOL. As shown in Fig. 2(a), the number of degrees of freedom of of hotspot temperature data of the 3D chips with different structures by
each layer of 3D chip model to be solved will no longer increase linearly using fewer simulation data samples. This can greatly improve the uti­
but exponentially after the number of layers increases to 16. Fig. 2(b) lization efficiency of modeling and analysis.
also illustrates that the time for solving the 4–16-layer chip model grows For the hotspot temperature data obtained from the 3D chip heat
slowly, but for solving the model above 16 layers, the time consumed transfer analysis model shown in Fig. 1, data collection and training will
will also increase exponentially. This is due to the increasing complexity be very time-consuming. Among many machine learning algorithms, the
and difficulty of solving chip models with more than 16 layers that Support vector machine (SVM) model supports the classification and
machine learning algorithms need to be introduced to help solve the prediction of small samples and is easy to generalize. The flow diagram
thermal management problem for 3D chips with variable parameters. of the algorithm that combines the simulation to obtain the required
However, it is still necessary to get a certain number of training sets sample data is shown in Fig. 4:
based on the finite element solution model. The basic flow diagram for After preprocessing and normalizing the data, the problem is set as a
this simulation is shown in Fig. 3. SVR problem, and the optimization function of the model can be written

Fig. 2. (a) Comparison of the number of degrees of freedom of the chip models to be solved. (b) Comparison of the time required for multi-layer chip solving.

5
C. Wang and K. Vafai Applied Thermal Engineering 236 (2024) 121499

vector of SVR, and α∗i and αi are the Lagrange multipliers. After
obtaining αi , if 0 < αi < C, The expression for b is:

m
( )
f (x) = α∗i − αi ϕ(xi )T ϕ(xi ) + β (15)
i=1

Introducing the kernel trick, to replace × with the kernel function


ϕ(x), the final model is obtained as:

m
( )
f (x) = α∗i − αi ϕ(xi )T ϕ(xi ) + β (16)
i=1

The kernel function that maps this problem to a high-dimensional


feature space is the Radial Basis Function (RBF) function. The parame­
ters that need to be set are c (penalty coefficient) and g (gamma func­
tion), The specific expression of the RBF kernel function K(xi , xj ) is:
( ) 2
‖xi − xj ‖ (17)
1
K xi , xj = e− 2σ 2

where σ is the width coefficient of the RBF kernel function, set here:
1
gamma(γ) = (18)
2σ 2
The SVR algorithm is used to optimize the parameters and verify the
performance of the classifier to achieve the best prediction effect. The
cross-validation statistical analysis method is used here to verify the
performance of the classifier. Common CV methods mainly includes
Hold-Out Method, K-fold Cross Validation (K-CV) and Leave-One-Out
Cross Validation (LOO-CV):
The Hold-Out method does not achieve cross-training, and LOO-CV is
computationally time-consuming and inefficient. k-CV method is
moderately computationally intensive and the final results are more
convincing. Other relevant parameter settings are shown in Table 1,
where the parameter v indicates the use of the 5-fold CV method.
⎡ ⎤ ⎡ ⎤
P11 P12 P13 P14 T′
⎢ ⎥ ⎢ 1⎥
⎢P
⎢ 21 P22 P23 P24 ⎥
⎥ ⎢ ⎥
⎢ T′1 ⎥
train x = ⎢ ⎥train y = ⎢ ⎥train x =
⎢ ⋮ ... ... ⋮ ⎥ ⎢ ⎥
⎣ ⎦ ⎣ ⋮ ⎦
Pn1 Pn2 Pn3 Pn4 Tn

⎡ ⎤ ⎡ ⎤
P P12 P13 P14 T′
⎢ 11 ⎥ ⎢ 1⎥
⎢P
⎢ 21 P22 P23 P24 ⎥
⎥ ⎢ ⎥
⎢ T′ ⎥
⎢ ⎥train y = ⎢ 1 ⎥The K-CV algorithm is used to
⎢ ⋮ ... ... ⋮ ⎥ ⎢ ⋮ ⎥
⎣ ⎦ ⎣ ⎦
Pn1 Pn2 Pn3 Pn4 T′n
determine the optimal solutions for the two parameters c, g. The basic
design idea of the algorithm is the original data are divided into k
groups, where the classification accuracy is continuously cross-validated
Fig. 3. Simulation flow diagram for the finite element model to obtain the
for the k-1 group training set and the 1 group validation set, and the
hotspot temperature of multi-layer 3D chip.
corresponding c and g with the highest classification accuracy are ob­
tained as the best parameters. If the most classification accuracy is
as:
repeated, the group corresponding to the smallest c is selected as to
1 ∑n̂ improve the generalization ability of the classifier.
min ‖ω‖2 + C lε (f (xi ) − yi ) (12) In order to verify the closeness of the predicted model with the actual
ω,β 2
model (simulation model) and the closeness of the predicted values to
i=1

where ω is the coefficient of the support vector in the decision the true values of the sample, the range of predicted model parameters
function, β is the constant term in the decision function, ̂
n the number of needs to be further extended and the following corresponding evalua­
samples, and C is the regularization constant (penalty coefficient). The tion indexes are listed.
expression for lε is: (i) MAE.
Mean absolute error (MAE) can be used to reflect the true error be­
0, if |z|〈ε,
lε (z) = { (13) tween the actual value and the predicted value.
|z| − ε, otherwise
Where ε is tolerance deviation. The decision function for this prob­ 1∑ n
MAE = y − yi |
|̂ (19)
lem is as follows: n i=1 i


m
( ) (ii) MSE.
f (x) = α∗i − αi xTi x + β (14) MSE is a statistical measure and loss function commonly used in ML
regression models. MSE is commonly used to measure how well the
i=1

The sample of (α∗i − αi ) ∕


= 0 in the above formula can be the support

6
C. Wang and K. Vafai Applied Thermal Engineering 236 (2024) 121499

Fig. 4. Overall design process of machine learning prediction algorithm.

predicted value ̂
y matches some true value. In this work, MSE is used to
Table 1
validate how close the prediction ̂
y of the model obtained by this al­
Parameters to be set for SVR regression algorithm.
gorithm is to the true label y.
Role of parameter Default Optimization value
Value 1∑ n
MSE = y i )2
(yi − ̂ (20)
c parameter of Epsilon-SVR (loss 1 obtained by the K-CV n i=1
function) algorithm
g Setting of gamma function in 1/num obtained by the K-CV where n is the sample size, i the sample number, ̂ y the predicted
kernel function features algorithm value, and y is the real value.
v Number of n’s in n-fold cross- 5 3 (iii) Square correlation coefficient (R2).
validation
In the thermal analysis of 3D chips, the change of one variable is
p tolerance deviation ε 0.1 0.01
often affected by the combination of multiple variables, which requires
the use of correlation analysis. The measure of the degree of correlation
is the square correlation coefficient in the SVR regression model.

Fig. 5. Schematic diagram of power distribution of each layer of 3D stacked chips.

7
C. Wang and K. Vafai Applied Thermal Engineering 236 (2024) 121499

where y is the real value and f(x) is the predicted value. The square ⎡ ⎤ ⎡ ⎤
correlation coefficient is: *
P*12 P*13 P*1m ⎥ *
⎢ P11 ⎢ T1 ⎥
( ∑n ∑n ∑n )2 ⎢ P* P*22 P*23 * ⎥
P2m ⎥ ⎢ *⎥
⎢ ⎢T ⎥
n i=1 f (xi )yi − i=1 f (xi ) i=1 yi test x = ⎢ 21 ⎥test y = ⎢ 2 ⎥ (23)
R2 = ( ∑ )( ∑n 2 ( ∑n )2 ) (21) ⎢ ⋮ ⋮ ⎦ ⎥ ⎢ ⎥
n ni=1 f (xi )2 −
( ∑n
f
)2
n y ⎣ ... ... ⎣ ⋮ ⎦
i=1 (xi ) i=1 i − i=1 yi P*N1 P*N2 P*N3 P*Nm TN*

5.2. Hotspot temperature prediction based on random power allocation The decision function for this example is represented in the algo­
rithm as:
As shown in Fig. 5, in the 3D stacked chip, the layout of the CPU cores

n
( )
of each single-layer chip is the same, as well as the other parameters for f (x) = − α + α*i K(xi , x) + b (24)
each layer. Therefore, the power distribution of the K1…Kn layers is i=1

P1…Pn. The total power of the 4-layer 3D chip is 90 W. Based on the That is, the algorithmic representation of the predicted value ̂
y is
simulation model setting in Fig. 1, on the basis of the constant total ( )
power of the 3D chip, the power of K1…Kn layers is randomly allocated. ∑ n
( )
Training data is collected in the following ways: the hotspot temperature y = sgn
̂ ( − α + α* )exp − gamma‖xi − x‖2 + b (25)
i=1
values of 30 groups of different 3D chips are obtained through the
simulation model. The prediction results of the machine learning algo­ The sample size is 30. With 28 sets of sample data as the training set
rithm based on K-CV cross-validation are compared with the simulation and 2 sets of samples as the test set, the prediction set of hotspot tem­
results obtained by the simulation model to verify the accuracy of the perature of 3D chips can be obtained. The prediction data of the random
prediction of hotspot temperature, as shown in Fig. 6. power distribution of the 8-layer stacked chip is obtained in a similar
To build the SVM model, where the training set attribute matrix manner to that of the 4-layer, the total power of the 4-layer 3D chip is
(independent variable) train is n × m dimensional where n denotes the also 90 W, with 25 sets of sample data as the training set and 5 sets of
number of training samples and m denotes the number of attributes samples as the test set, and the hotspot temperature of the 8-layer 3D
(dimensions). The matrix form of the two data sets is as follows, where P chip is predicted after training based on the SVR model. Fig. 7 shows the
denotes the power allocated to each layer in the training dataset, and T’ design flow of regression prediction using machine learning, two opti­
indicates the hotspot temperature of the whole chip in the training mization algorithms are used to get the best parameters (c & g) which are
dataset. K-CV algorithm as well as genetic algorithm (GA).
⎡ ⎤ ⎡ ⎤ The prediction results can be seen from Table 2, the prediction errors
P11 P12 P13 P14 T′
⎢ ⎥ ⎢ 1⎥ using the two optimization algorithms are similar and are within
⎢ P21 P22 P23 P24 ⎥ ⎢ ′ ⎥
⎢ ⎥ ⎢T ⎥ reasonable limits. However, the computation time of the genetic algo­
train x = ⎢ ⎥train y = ⎢ 1 ⎥ (22).
⎢ ⋮

... ... ⋮ ⎥

⎢ ⋮ ⎥
⎣ ⎦ rithm is much larger than that of the K-CV algorithm.
Pn1 Pn2 Pn3 Pn4 T′n For 8-layer stacked chips, Fig. 8(a) shows the temperature distribu­
tion of hotspots in each layer for one of the predicted samples. Fig. 8(b)
The test set attribute matrix (independent variable) test is N × m
shows the predicted deviation of the maximum temperature of each
dimensional. N denotes the number of test samples and m denotes the
layer in the same sample. As can be seen in the figure, the chip layer 4 is
number of attributes (dimensions). The matrix form of the two data sets
farther away from the heat sink and the substrate and the heat gathered
is as follows, P* denotes the power allocated to each layer in the test
is difficult to transfer effectively, therefore, the hotspot temperature
dataset, T* indicates the hotspot temperature of the whole chip in the
appears in the chip layer 4.
test dataset.

5.3. Hotspot temperature prediction based on random core locations

In view of the different distribution of CPUs in each layer of the 3D

Fig. 6. Comparison of prediction results of random power allocation for 4-layer 3D chips based on machine learning algorithms. (a) Temperature(K) distribution of
device layer1-4 of 3D IC. (b) Comparison of the hotspot temperature of the model solution and the predicted data solved by machine learning.

8
C. Wang and K. Vafai Applied Thermal Engineering 236 (2024) 121499

5.4. Hotspot temperature prediction based on random TSV location


distribution

Through Silicon Via (TSV) is the communication path between the


layers of 3D ICs and is also an important thermal conduction path. The
thermal problems of 3D ICs can be mitigated by a reasonable TSV dis­
tribution design. As shown in Fig. 11(a), inside the integrated chip
package, uniform TSVs are distributed. 4 CPU cores are distributed in
each layer of the multi-layer stacked chip. Ignoring the thinner silica
insulation layer, TSVs can be considered as tiny cylinders in a three-
dimensional chip structure.
Changing the number of TSV distributions or the graphical param­
eters of TSVs can directly affect the heat flow inside the 3D chip, and the
hotspot temperature of the chip will change accordingly. The traditional
simulation analysis and thermal resistance analysis methods cannot
predict the change of the hotspot temperature of the chip under random
TSV distribution, but can only analyze a few cases such as the Uniform
TSV and the Core-Concentrated TSV. The thermal resistance analysis
method based on the thermal simulation model in Fig. 1 proposed in our
previous analysis, combined with the divided plane modeling method
proposed in this work, using machine learning algorithms, can solve
Fig. 7. Design flow for regression prediction using machine learning optimi­
such problems. As shown in Fig. 11(b), the die layer of the 3D stacked
zation algorithms (K-SV + SVR/GA + SVR). chip is divided into a 25*25 square grid. For each grid area, the corre­
sponding equivalent thermal conductivity is calculated according to the
actual TSV distribution. The following is based on a calculation method
chip (shown in Fig. 9(a)), the die where the CPU Core of the 3D chip is
for a single square grid.
located needs to be divided into 20*20 grids. The center of the Core
The calculation method of the effective thermal conductivity of the
where the CPU is located determines its coordinates according to its
TIM layer (kec ) follows the formula from our previous study, as shown in
location as shown in Fig. 9(b). After data fitting, the dimension of the
Fig. 12(a):
coordinate data of the chip can be reduced to obtain the sample dataset
[ ( ) ]
(reducing the dimensionality of the training set attribute matrix from 8 α kbump − kgrease + ̂γ kgrease
kgrease ̂
to 4 dimensions is beneficial to improve the accuracy of the training kec = ( )[ ( ) ] (27)
1 − 1.2407ϕ1/3 ̂ α kbump − kgrease + ̂γ kgrease + kgrease
model).
Fig. 8 Comparison of prediction results of random power allocation where kgrease is the thermal conductivity of the thermal grease, kbump is
for 8-layer 3D chips based on machine learning algorithms. (a) Tem­ the thermal conductivity of the Micro bump and ϕ depends on the ge­
perature(K) distribution of device layer1-8 of 3D IC. (b) Comparison of ometry of the TIM layer, ϕ = πa3 /(6b3 ), ̂ α = 0.6496ϕ1/3 , ̂γ =
the hotspot temperature of the model solution and the predicted data 0.806ϕ − 1/3
.
solved by machine learning. The calculation method of the effective thermal conductivity of the
The dimension reduction data is obtained by the following formula. Die is shown in Fig. 12(b): The effective thermal conductivity for in-
f (x, y) = 2x − 30y + 113 (26) plane direction kDie− xy and cross-plane kDie− z can be obtained as follows:

where x, y are the two-dimensional plane coordinate position of the kDie− z =


STSV × kCu + (SSi − STSV ) × kSi
(28)
area where the chips are located, and the dimension reduction pro­ SSi
cessing results of four chips in each layer are introduced into the SVR where, SSi = A ∗ B and STSV = N ∗ π *R2 represent the horizontal
model as a training set. cross-sectional area of Si substrate and TSV, respectively. kCu and kSi are
As shown in Fig. 10(a), In a 4-layer stacked chip, the dimensionally used to express the thermal conductivity of Cu and Si, respectively. N*
reduced randomly distributed CPU data (4 cores are 1 group) are used as represents the number of TSVs.
input sample data, 24 groups of sample data are used as training sets,
and 4 sets of samples are used as test sets for the hotspot temperature kDie - xy =
B × kxy - m × kSi
(29)
prediction of SVR-based 3D chips. The deviation between the training c2 × kSi + (B − c2 )kxy - m
set and the prediction set shown in Fig. 10(b) is within a reasonable
range. Similarly, Table 3 can also draw the same conclusion. The genetic kxy - m =
c1 × kCu + (A − c1 ) × kSi
(30)
algorithm yields similar prediction errors as the K-CV optimization al­ A
gorithm, but is more time-consuming than the latter. √̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅
c1 = c2 = N * × π × R2 (31)
Since typical values for the diameter of thermal TSVs are 10–250 μm,
typical values for the pitch of TSVs are 0.2–2 mm. According to the

Table 2
Prediction process parameters and corresponding errors of random power allocation for 4-layer and 8-layer 3D chips.
Algorithm Layer number n (training samples number) N m (dimensions) c g (gamma function) MSE
(test samples number) (loss function)

K-CV 4 28 2 4 8 0.1767 0.0506171


8 25 5 8 2.8284 0.0884 0.0106323
GA 4 28 2 4 0.0103 30.6023 0.0714741
8 25 5 8 9.3043 0.1692 0.0090556

9
C. Wang and K. Vafai Applied Thermal Engineering 236 (2024) 121499

Fig. 8. Comparison of prediction results of random power allocation for 8-layer 3D chips based on machine learning algorithms. (a) Temperature(K) distribution of
device layer1-8 of 3D IC. (b) Comparison of the hotspot temperature of the model solution and the predicted data solved by machine learning.

Fig. 9. Schematic diagram of random distribution of different CPU cores for 4-layer 3D chips based on machine learning algorithms. (a) Random distribution CPU
cores in device layer1-4 of 3D IC. (b) Coordinate position distribution of four Cores in device layer.

series–parallel thermal resistance theory, combined with thermal con­ material properties are configured for each segmented small plane,
duction analysis, the number of TSVs distributed in a single grid struc­ focusing on the import of the effective thermal conductivity in the XY
ture of the 3D chip in Fig. 12(b) can be divided into seven cases: 0, 1, 4, plane corresponding to the random TSV distribution as well as in the Z-
16, 36, 64, and 100. axis direction, and the finite element analysis is performed for the
As shown in Table 4, according to the above calculation formula of complete 3D chip. In this way, the training set and the required test set
thermal conductivity, the relative thermal conductivity of a single grid for machine learning are obtained.
structure in the horizontal plane and vertical plane under different TSV As shown in Fig. 14(a), in the isothermal simulation results of the 3D
distributions is obtained. chip, the hotspot temperature of the chip is always concentrated on the 4
According to the above embodiment, the die layer of the model CPU cores placed on each layer. Since different TSV distributions will
shown in Fig. 1 is divided into square grids, as shown in Fig. 13. The affect the heat transfer from each CPU to the other layers, the

10
C. Wang and K. Vafai Applied Thermal Engineering 236 (2024) 121499

Fig. 10. Comparison of prediction results of random core locations of 4-layer 3D chips based on machine learning algorithms. (a) Comparison of the temperature(K)
distribution of the complete chip for the prediction set. (b) prediction deviation of the 4-layer 3D chip hotspot temperature for all training sets versus prediction sets.

Table 3
Prediction process parameters and corresponding errors of random core locations for 4-layer chips.
Algorithm Layer number n (training samples number) N m (dimensions) c g (gamma function) MSE
(test samples number) (loss function)

K-CV 4 24 4 4 2.8284 2.8284 0.0476282


GA 4 24 4 4 4.2546 0.5445 0.0636557

Fig. 11. (a) Geometric parameters of the 3D IC package with uniform TSV. (b) Schematic diagram of mesh division of die layer containing TSV in a 3D chip.

11
C. Wang and K. Vafai Applied Thermal Engineering 236 (2024) 121499

Fig. 12. (a) TIM layer with bump along the × and z directions (b) Die with TSV along the × and z directions.

allocation, it can be seen that within a certain allowable error range,


Table 4 algorithm for K-CV combined with SVR can satisfy the accuracy of the
The thermal conductivity calculation table of a single square grid with TSV.
hotspot temperature prediction of the 3D chip in the case of parameter
Number of TSVs Relative thermal Relative thermal changes for the above three cases of 3D chip thermal analysis model.
distributed in the conductivity in the XY plane conductivity in the Z plane
To verify the accuracy of the random power allocation prediction set,
grid ([W/(m*K)]) ([W/(m*K)])
the SVR regression model extends the prediction set of random power
0 130 130 allocation to 30 W, 60 W and 90 W cases. Samples with different total
1 131.8 132
4 136.5 138.5
power are used as the training set or test set, and two samples are
16 153 164 verified at once (sample 1-sample 12). As shown in Table 6, Sample 1-
36 180.3 206.3 sample 4 corresponds to the case of chip power of 30 W, sample 5-sam­
64 225 265.6 ple 8 corresponds to the case of chip power of 60 W, and sample 9-sam­
100 305.2 342
ple 12 corresponds to the case of chip power of 90 W. The training set
model still uses the SVR regression model used in Section 5.2 to verify
distribution of hotspot temperature is located differently for each case. the accuracy of this model in predicting the random power assignment
The comparison of the prediction deviations of all the sample sets are to 3D chip in the presence of power variations. The difference from the
shown in Fig. 14(b). prediction in section 5.2 lies in the use of training and testing sets with
24 sample data sets were taken as the training sets and 4 sets of different powers, for example, 28 training samples belonging to 30 W
samples were taken as the test set to predict the hotspot temperature of power samples and 2 testing samples belonging to 60 W power samples,
the 3D chip. Using the machine learning model obtained with this while, the sample in section 5.2 corresponds to a chip power of 90 W.
parameter, the predicted result is shown in Table 5, it also can be seen The MAE, MSE metrics listed in Table 6 indicate that the prediction
that K-CV algorithm is more efficient in optimization compared to ge­ error is controlled within a reasonable range, indicating that the pre­
netic algorithm. dicted value is very close to the sample value and the prediction model
fits the sample model very well. The maximum prediction deviation of
6. Results and discussion the three random power allocation prediction sets shown in Fig. 15 is
controlled within 0.5 K.
6.1. Extended validation of SVR regression models Fig. 16 shows the comparison of hotspot temperature prediction
values between the trained machine learning model and the finite
From the hotspot temperature prediction for the case of random TSV, element simulation model under the three-power allocation case. The R
the case of the random CPU core locations and the case of random power values for all three cases are very close to 1. Therefore, Fig. 16 confirms

Fig. 13. Schematic diagram of the classification of different global meshing of random TSV distributions for 3D chips.

12
C. Wang and K. Vafai Applied Thermal Engineering 236 (2024) 121499

Fig. 14. Comparison of prediction results of random TSV distribution of 3D chips based on machine learning algorithms. (a) Comparison of the temperature(K)
distribution of the 3D chip for the prediction set. (b) Prediction deviation of 3D chip hotspot temperature for all training sets versus prediction sets.

Table 5
Prediction process parameters and corresponding errors of random TSV location distribution for 4-layer 3D chips.
Algorithm Layer number n (training samples number) N m (dimensions) c g (gamma function) MSE
(test samples number) (loss function)

K-CV 4 24 4 25 1.4142 0.0625 0.009796


GA 4 24 4 25 3.8446 0.0319 0.010809

that the SVR regression algorithm proposed in this work is in good


Table 6
agreement with the finite element simulation in the case of random
Comparison of the effect of prediction accuracy corresponding to cases with
power allocation.
different random power assignments.
To verify the accuracy of the random core location prediction set, the
MAE MSE R2 SVR regression model extends the case of prediction set to random CPU
Sample1- Sample2 0.40085 0.394004 100% core location assignment for 8-layer 3D chips. In talbe7, sample 13-sam­
Sample3- Sample4 0.4675 0.133583 100% ple 18 corresponds to this case. The MAE, MSE metrics listed in Table 7
Sample5- Sample6 0.4094 0.0311787 100%
indicate that the prediction error is controlled within a reasonable
Sample7- Sample8 0.078 0.0311787 100%
Sample9- Sample10 0.26125 0.0506171 100% range. The square correlation coefficient (R2) is also very close to 1,
Sample11- Sample12 0.46715 0.0740161 100% indicating that the same type of data set will get the desired prediction
results using this regression model. Fig. 17 shows a comparison of the
predicted values of hotspot temperature for all training and prediction
sets for a complete 8-layer 3D chip with random CPU core location

13
C. Wang and K. Vafai Applied Thermal Engineering 236 (2024) 121499

Fig. 15. Prediction deviation corresponding to different samples under the


Fig. 17. Comparison of hotspot temperature prediction for the training and
random power allocation prediction algorithm.
prediction sets corresponding to the machine learning algorithm for random
CPU locations for an 8-layer chip.

Fig. 16. Parity plot for machine learning based SVR using the sample data of
random power allocation of 90 W predicts the test data of random power
allocation of 30 W,60 W and 90 W.

Fig. 18. Parity plot for machine learning based SVR with prediction of test
Table 7 dataset using training dataset model with 4-layer chip, 8-layer chip.
Comparison of the effect of prediction accuracy corresponding to cases with
different random CPU location assignments. the 4-parity plot from Fig. 19, the effect of the change in external cooling
MAE MSE R2 strategy on the hotspot temperature of the chip is basically linear, and
Sample13- Sample14 0.63905 0.554057 100%
the trend of the line graph of the hotspot temperature change corre­
Sample15- Sample16 0.077 0.356168 100% sponding to the four sets of comparisons. In contrast, the variation of the
Sample17- Sample18 0.6287 0.186983 100% hotspot temperature corresponding to the random TSV distribution is
nonlinear, or random. Therefore, the algorithm can be applied to hot­
spot temperature prediction for any change in external cooling
distribution. As can be seen from Fig. 17, the regression model can
conditions.
achieve more satisfactory prediction results. Although some of the
The machine learning models corresponding to the above algorithms
extreme cases of the data in the training set resulted in partial de­
are all SVR algorithm model using samples with multiple feature com­
viations, such as the CPU core location near the edge of the package, the
ponents. That is, the attribute matrix of training set is multidimensional.
predicted data from the ML model maintained a good match with the
In the case of random power allocation, the input is the value of the
simulated data, and Fig. 18 further confirms the effectiveness of the
power distribution for each layer. In the case of random power alloca­
method.
tion and random CPU core allocation, the input is the coordinate posi­
To verify the accuracy of the random TSV location prediction set, the
tion of each core. In the case of random TSV distribution, the input is the
SVR regression model extends the case of prediction set to random TSV
number of TSVs distributed in each segmented small plane of the die
location assignment for 3D chips. In Fig. 19, the prediction model was
layer. The outputs corresponding to each of the three models are mul­
used to verify the hotspot temperature values of the 3D chip corre­
tiple hotspot temperature values corresponding to their respective
sponding to different TSV distributions (30 sets of sample data) under
samples. As can been seen from Fig. 20, in the case of random power
varying cooling conditions. The four different groups of cooling envi­
allocation, the model with 2–3 output predictions at a time is closer to
ronments are corresponding to the top side of the chip with a convective
the sample model. In the case of random CPU core allocation, the model
cooling coefficient varying from 200 to 500 and the side of the chip with
with only 2output predictions can accurately predict the sample model.
a Reynolds number of the cooling fluid from 200 to 3200. As can be seen
More than 2 outputs with small correlation coefficient values indicate a

14
C. Wang and K. Vafai Applied Thermal Engineering 236 (2024) 121499

Fig. 19. Comparison of the hotspot temperatures corresponding to the training and prediction sets of the machine learning-based random TSV location assignment
algorithm for different convective heat transfer coefficients and cooling fluid flow rates. (a) The cooling environment is Convection coefficient of the top surface of
the chip ht = 200, the Reynolds number Re = 200. (b) The cooling environment is Convection coefficient of the top surface of the chip ht = 300, the Reynolds number
Re = 800. (c) The cooling environment is Convection coefficient of the top surface of the chip ht = 400, the Reynolds number Re = 2000. (d) The cooling environment
is Convection coefficient of the top surface of the chip ht = 500, the Reynolds number Re = 3200.

large gap between the prediction set and the actual sample model. In the under small sample (30–50 sample data) conditions. The prediction
case of random TSV distribution, the output values of 2–6 hotspot deviations of the prediction sets corresponding to all the test samples
temperatures predicted at a time all accurately reflect the sample model. used were below 0.4 K.
Similarly, Table 8 verifies the expected accuracy of this SVR algo­ The entire prediction flow of 3D chip hotspot temperature with
rithm based on chip hotspot temperature prediction with output values random TSV distribution is shown in Fig. 21. Temperature prediction

15
C. Wang and K. Vafai Applied Thermal Engineering 236 (2024) 121499

Fig. 19. (continued).

obstacle to modeling and analysis, and its computational volume and


modeling difficulty will not be manageable.
The previous section has verified that the SVR-based machine
learning algorithm can accurately predict the change in hotspot tem­
perature of the chip under changes in parameters such as power, CPU
core location, and TSV distribution density. In what follows, it will be
verified whether this algorithm can predict the hotspot temperature of a
3D chip with increasing number of layers and changing graphics pa­
rameters based on a certain amount of training sample data.
Changing the area of the core processor in the 3D chip, the total
power of the chip, the thickness of the heat sink and the distribution of
TSV will greatly affect the heat dissipation of the chip. As shown in
Table 9, 4 combinations of sample data sets for the 3D chip structure
with variable parameters under the above parameter change conditions
are listed.
For example, considering the sample data sets of Case A and Case B
with layers 4–28 are known, and the sample data of Case C is expected to
Fig. 20. Comparison of squared correlation coefficients corresponding to three be predicted, then 2 sets of sample data of Case C are also needed as
groups (random power allocation, random CPU location allocation, and random training data to ensure the training model is more accurate. Therefore,
TSV distribution) of multi-input and multi-output SVR regression algorithms. the data sets of Case A, Case B and Case C (layer 4, layer 5 data) are used
as the training set and the hotspot temperature data for layers 6–28 of
based on this model with other parameter adjustments also applies to Case C are used as the test set. The corresponding regression model
this process. Only the number of samples and the validation set needs to training results and prediction results are presented in Fig. 22(a), simi­
be adjusted to ensure that the squared correlation coefficient R2 between larly, the data sets of Case A, Case D and Case B (layer 4, layer 5 data) are
the validation set and the original data is as close to 1 as possible to make used as the training set and the hotspot temperature data for layers 6–28
the prediction error as small as possible. of Case B is used as the test set. The corresponding regression model
training results and prediction results are presented in Fig. 22(b).
Fig. 23 shows the comparison of the parity plot of the two sets of
6.2. Prediction of hotspot temperature of SVR based 3D chip with variable predicted data.
parameters and variable number of layers From the graph and data comparison, it can be seen that the devia­
tion between the predicted and actual values of both groups of cases is
One of the difficulties of 3D chip thermal management is that with less than 0.2%. Both sets of data comparison plots show that the pre­
the increasing number of chip layers, the internal structure of the chip dicted data agree well with the actual simulated temperature values.
becomes extremely complex, and the number of internal gate circuits
and components will increase exponentially, which poses a great

Table 8
Comparison of the correlation coefficients of three sets of multiple-input multiple-output SVR regression prediction algorithms corresponding to different numbers of
outputs.
6 output 5 output 4 output 3 output 2 output

Power Correlation coefficient (R2)% 70.85 65.16 80.48 99.12 100


Case(sample size is 30) Prediction bias(K) 0.0542 0.0650 0.0570 0.0460 0.093
Coordinates case(sample size is 50) Correlation coefficient (R2)% 18.24 16.27 34.43 35.12 100
Prediction bias(K) 0.1631 0.1130 0.2577 0.1462 0.403
TSV case(sample size is 30) Correlation coefficient (R2)% 97.37 97.70 98.05 98.91 100
Prediction bias(K) 0.0214 0.0103 0.0108 0.0064 0.0002

16
C. Wang and K. Vafai Applied Thermal Engineering 236 (2024) 121499

Fig. 21. Workflow of ML-based algorithm for predicting hotspot temperature of 3D chips with different uniform TSV distributions.

become a key factor for future developments, implementing new tech­


Table 9
nologies will face many challenging issues. Compared to traditional
Comparison of sample sets of 3D chips with changing parameters.
packaging technologies, 3D IC heterogeneous packaging is not only an
Chip Area Total Power Heat Sink Thickness TSV innovation in packaging factory technology, but also brings challenges
Case A 20 × 20 mm2 90 W 4 mm No TSV to existing design processes and design tools.
Case B 10 × 10 mm2 60 W 6 mm Uniform TSV Due to the significant improvement in integration, the heat genera­
Case C 30 × 30 mm2 30 W 2 mm No TSV
tion of 3D stacked chips has become more concentrated, with heat
Case D 15 × 15 mm2 80 W 1 mm Uniform TSV
dissipation being the primary issue; secondly, during the bonding pro­
cess of chips, it is necessary to ensure the reliability of mechanical stress
7. Thermal management of 3D chips based on artificial between the chip, intermediate layer, and expanded or shrunk substrate.
intelligence Furthermore, the high-frequency signals between chips need to meet the
requirements of timing and signal integrity. Finally, after the chip
7.1. Future expectations for advanced packaging and heterogeneous stacking is completed, it is necessary to check the wiring to ensure that
integration technology of 2.5D/3D chips each layer of chips is not damaged. These are all challenges that need to
be faced in the design and packaging of 3D chips.
Although heterogeneous packaging represented by 3D ICs has The high temperature caused by local heat flux poses a threat to the

17
C. Wang and K. Vafai Applied Thermal Engineering 236 (2024) 121499

functional lifespan of 3D chips. In order to prevent local thermal failure


of stacked chips, more advanced packaging technology and chip level
cooling methods are needed to dissipate a large non-uniform heat flux.
Microfluid cooling technology has long been proven to be an effec­
tive method for cooling integrated circuits. Embedding microfluidic
structures into the chip for cooling can allow heat to conduct vertically,
reduce temperature gradients, and effectively suppress the horizontal
diffusion of local hotspots in the chip. By enhancing the density of the
microfluidic structure, optimizing the shape of the microfluidic struc­
ture, or adjusting the flow mode of the coolant, the impact of large heat
flux inside the chip can be effectively reduced.
However, 3D stacked chips not only increase the number of hotspots
per unit area, but also expand the complexity of the spatial structure.
The main reason for this is that as the miniaturization of chips becomes
increasingly difficult, and the market’s pursuit of high-performance
chips continues, the industry has begun to explore breakthroughs in
the packaging field. More and more components are being added to
advanced packaging to process, move, and store more data, although
traditional solder balls and/or copper micro bumps will still be used in
the foreseeable future. New technologies that enhance or replace
traditional interconnect technologies and provide more miniaturization
space are being developed. Size and cost remain decisive factors in the
development of 3D chip technology. Copper micro bumps are smaller
than solder balls/bumps and can achieve more I/O in packaging.
Therefore, it has become a new technology that replaces traditional
interconnect technologies, increases the number of I/Os, and provides
more miniaturized space.
As shown in Fig. 24, the copper micro bumps connect the interme­
diate layer and the substrate. Micro bumps are also used for chip to chip
connections.
Currently, the minimum distance between micro bumps in advanced
packaging technology is 40 μm. For pitches of less than 40 μm micro-
bumps, the industry is investigating a new technique called copper
hybrid bonding[50]. In hybrid bonding technology, the connection of
Fig. 22. Comparison of prediction results of 28-layer 3D chips based on ma­ bare crystals is not through bumps in the packaging. On the contrary,
chine learning algorithm SVR. (a) Prediction results of the training dataset
this technology utilizes tiny copper to copper interconnections to ach­
consisting of Case A, Case B and Case C (layer 4, layer 5 data) and the test
ieve narrower pitch packaging with more I/O than traditional pack­
dataset (hotspot temperature data for layers 6–28 of Case C). (b) Prediction
results of the training dataset consisting of Case A, Case D and Case B (layer 4, aging. For packaging technology, the distance between hybrid bonding
layer 5 data) and the test dataset (hotspot temperature data for layers 6–28 of should be at least 10 μm and below. But this technology is too expensive
Case B). and requires expensive semiconductor wafer factories to implement
these processes. The huge challenges faced by hybrid bonding are wafer

Fig. 23. Parity plot for machine learning based SVR with prediction of training dataset and test dataset. (a) using the training set Case A + Case B + Case C (layer 4,
layer 5 data) to predict hotspot temperature data for layers 6–28 of Case C. (b) using the training set CaseA + CaseD + CaseB (Layer 4, Layer 5 data) to predict hotspot
temperature data for layers 6–28 of Case B.

18
C. Wang and K. Vafai Applied Thermal Engineering 236 (2024) 121499

Fig. 24. 2.5D/3D system architecture with HBM(High Bandwidth Memory)3.

surface cleanliness, wafer warping, and the gradient between copper 7.2. Application prospects of artificial intelligence optimization and
and dielectric materials in chips. Due to cost considerations, future prediction algorithms in 3D chip design and manufacturing process
packaging will shift towards smaller copper protrusions with narrower
spacing, namely protrusion micro reduction and protrusion bonding To solve the technical bottleneck of thermal management during the
processes. This technology will also bring some challenges. With an development of 3D chip technology, one of the effective solutions is to
increase in the number of bumps and a reduction in bump spacing, chip predict the location or thermal characteristics of 3D chip hotspots so that
level bump coplanarity, bump surface roughness and bump hardness the hotspot interactions can be suppressed by means of thermal man­
becomes more and more important. The entire manufacturing process is agement [51]. For example, the local microfluidic structure is modified
also full of challenges. to enhance the cooling effect based on the predicted hotspot interactions
Nevertheless, next-generation bump technology and hybrid bonding [52]. In the prediction process, previous studies have mainly used nu­
will both play an important role in the industry, driving the develop­ merical simulations and theoretical derivations. Numerical simulations
ment of technology towards more advanced packaging and chiplet typically use the finite element method (FEM) to discretize the mathe­
models. Complex architecture and process improvements or techno­ matical models, and with an increase in the 3D chip structures as well as
logical innovations compared to 3D vertically stacked chips, chiplet is package complexity, the simulation capability and the available
expected to become the next generation chip design trend. Blending or computational time it is difficult to meet the computational re­
scaling bumps is a key part of the chiplet puzzle. quirements of ultra-large scale integrated circuits in stacked spaces.
Chiplet technology refers to known good die (KGD) or IP blocks with Theoretical derivations allow analysis of heat transfer processes in
special purposes or single functions; Then, when developing high- microfluidic structures, but existing single models must be continuously
performance systems, the required system performance can be ach­ modified based on experimental or process parameter adjustments.
ieved by selecting appropriate stacked chiplets. The current packaging At present, the development of artificial intelligence technology has
technology is developing towards 2.5D technology in a side-by-side brought new computing models for chip development, and machine
manner, integrating through the design of interposers and redistribu­ learning has brought unique market demands and unlimited opportu­
tion layers (RDL). 3D packaging, on the other hand, involves stacking nities. Machine learning is a powerful tool, as long as sufficient data is
multiple chips upwards, and all chips, except for the underlying ones, provided, computers can predict extremely complex situations. This is
need to transmit signals through TSV (silicon perforation). There are particularly useful for complex issues related to thermal management of
currently two main types of mainstream architectures proposed. Based 3D chips and materials science. For example, the feasibility of Cu-Cu
on functional division into multiple chiplets, one is that a single chiplet bonding in fine pitch applications requires a lot of human time to sift
does not contain a complete set of functions. Different types of products through the data to find potential applicable conditions, while the in­
are packaged through different chiplet combinations, typically repre­ ternal thermal analysis and prediction of 3D chips using machine
sented by the AMD Zen2/3 series products. The second type is a single learning for novel thermal interface materials and novel structures can
chiplet containing a relatively independent and complete set of func­ provide tremendous support for achieving 3D integration with ultra-
tions, which achieves linear performance growth through cascading high-density interconnects.
multiple chiplets. Typical representatives are the Apple M1 Ultra and Table10 shows the summary of applications of Artificial Intelligence
Intel Sapphire rapids series. in Semiconductor Device Thermal Management and Chip Design, a re­
In summary, whether it is narrow pitch packaging or wide pitch view of relevant studies in this area over the past two years reveals that
packaging, bump packaging technology will continue to develop in the to overcome some of the key challenges in 3D IC technology, artificial
future. Both advanced bump and hybrid bonding will provide narrow intelligence technologies are beginning to play an important role in
spacing interconnections for new advanced packaging technologies. thermal management, chip architecture optimization, manufacturing
These new technologies will also greatly increase the complexity of chip process optimization and passive cooling system design. Based on the
structure and process design. The bump miniaturization technology urgent need to improve chip thermal management, thermal simulation
used in the hybrid bonding process requires higher temperatures and of chips and prediction of hotspot temperatures have become a hot
greater pressure, increasing costs and the risk of chip damage. research area for introducing artificial intelligence techniques[56–61].
Manufacturing small bumps is extremely challenging. During the The application of AI technology in chip architecture optimization,
manufacturing process, the overall coefficient of thermal expansion thermal-aware task scheduling and optimized design of heat sink is also
mismatch between the substrate and the chip may occur, resulting in gradually increasing (K. Pandiaraj et al.[[35], S. Rangarajan et al.[37],
higher warpage and chip displacement. Therefore, there is an urgent S. Chatterjee et al.[53], R. Kumar et al.[54], J. Zhang et al.[63], B.K.
need for more effective methods to monitor, manage and optimize the Joardar et al.[64]). It is worth mentioning that AI technology is starting
temperature of 3D chip design and manufacturing processes. to make breakthroughs in chip bonding layer, chip packaging technol­
ogy (J. Huang et al.[55], C. Du et al.[65]).
It is evident that AI technology will change the research paradigm of

19
C. Wang and K. Vafai Applied Thermal Engineering 236 (2024) 121499

Table 10
Summary of applications of Artificial Intelligence in Semiconductor Device Thermal Management and Chip Design.
Categories Application AI algorithm The Methods used in the Literatures References

Active Frequency-scaled thermal- regression-based learning The test scheduling algorithm uses the test clock frequency as an Chatterjee et al.
cooling aware test/task scheduling important parameter and scales the frequency to estimate the (2022) [53]
temperature of the 3D IC with high accuracy
Convolutional neural network A machine learning bootstrapping mechanism is proposed to predict the Kumar et al.
(CNN) optimal operating frequency of CPU cores during the execution of (2023) [54]
OpenCL graphics processing unit (GPU) cores in order to reduce the
operating temperature of the chip
Optimized design of packaging Radial Basis Neural Network A hybrid approach using Taguchi’s experimental design, RBNN and GA Huang et al.
(RBNN), Genetic Algorithm was used. The heat transfer model of Fan-out (FO) package was (2021) [55]
(GA) developed to obtain the optimal design of the chip package.
TSV layer assignment effective linear regression By tracking the gradient cost function to predict the error of the linear Pandiaraj et al.
optimization regression model, the proposed TSV assignment scheme achieves better (2021) [35]
lead length and improves the chip temperature
Fast transient thermal analysis DeepOnet Generate valid transient response curves using a machine learning Kumar et al.
of 2.5D/3D chips attenuated surface predictor that computationally generates a curve for (2021) [36]
each power value in a linear superposition-based transient power
distribution. Parallel computation of transient thermal inference models
is implemented.
Thermal-aware core hotspot Artificial Neural Network A genetic algorithm is combined with a supervised machine learning Rangarajan
alignment (ANN), GA based artificial neural network to optimize the hot spot region in a three- et al.(2021) [37]
layer 3D integrated circuit. The aim is to minimize the maximum
temperature of the core.
Prediction of chip hotspot Deep Nueral Network (DNN) Predict possible hot spot temperature locations on the chip using a data- Wen et al.(2020)
temperature, thermal driven DNN-based thermal solver [56]
simulation ANN, POD-RBF Combining two machine learning methods, Proper Orthogonal Coenen et al.
Decomposition (POD)-RBF and ANN, to achieve high accuracy prediction (2022) [57]
of chip temperature
XGBoost Compare regression models with XGBoost machine learning models to Nisce et al.(2023)
predict CPU temperature. Investigate the impact of activities under CPU- [58]
intensive workloads on temperature and energy consumption.
Linear regression Combines linear regression to predict the full chip temperature based on Knox et al.(2022)
current chip operating power consumption, core utilization, and [59]
measured sensor temperature
DNN, CNN Using combinable autoencoder machine learning simulator Ranade et al.
(CoAEMLSim), the chip temperature distribution corresponding to (2022) [60]
constant and distributed HTCs (Heat Transfer Coefficients) is predicted.
Long Short Term Memory System-level features such as chip frequency, instruction count and other Sadiqbatcha et al.
(LSTM)-based DNN high-level performance metrics are used as inputs to train LSTM (2021) [61]
networks for microprocessor full-chip heat map prediction.
Diagnose the health status of DNN Based on heat flux health monitoring, externally measured temperature Hu et al.(2019)
the chip information and neural networks (NN) are used to diagnose package [62]
degradation of individual chips.
Active cooling of chips based on Recurrent Neural Network Based on hot-spot aware Thermoelectric Cooler (TEC) arrays and Zhang et al.(2023)
thermal awareness (RNN) machine learning algorithms for targeted cooling of spatially and [63]
temporally varying on-chip hotspots of multi-core processors.
Optimized architecture for high CNN CNN-based joint thermal performance optimization method to achieve Joardar et al.
thermal efficiency of the chip significant reduction in core temperature of 3D NoC (on-chip) with (2017) [64]
heterogeneous performance without significant performance loss
Optimal design of 3D chip CNN Highly accurate and efficient prediction of effective thermal conductivity Du et al.(2023)
manufacturing process (ETC) of nano-silver bonding layer of chips based on CNN [65]
Passive Optimized flow distribution of BP Neural Network A BP neural network is used to predict the “condition-flow distribution- Zhang et al.(2022)
cooling microchannels/ Heat Sinks temperature” mapping relationship. The optimal flow distribution [66]
strategy is achieved by combining genetic algorithm.
Linear regression Flow rate optimization based on single-phase immersion cooling process Chhetri et al.
to reduce the junction temperature of the chip. (2022) [67]

the chip industry. The traditional research solution is modeling + using cross-validation optimization method with SVR regression algo­
testing, while the AI research solution is a large amount of training data rithm is investigated. The thermal analysis model of 2–28-layer 3D chip
+ machine learning. The acquisition of training data becomes the key, is established using COMSOL, and the effective thermal conductivity of
and the current main way of acquiring data relies on experimental each component of the complex stacked structure of 3D chip is obtained
research, image recognition technology and finite element technology. according to thermal resistance series–parallel network and integral
The research is a multidisciplinary cross-fertilization of mechanics, averaging method. The variation of the hotspot temperature inside the
materials and electronics. In addition, the laws obtained from AI can also 3D chip under fluid cooling conditions was investigated by varying the
be used to study complex processes to reveal mechanisms. In the future, pertinent parameters. These changes include the power allocation of
AI technology is bound to make progress in related key technology nodes each layer of the 3D chip, the location of CPU core coordinates in each
in areas such as 3D chip bonding, ultra-small pitch chiplet packaging, layer of the 3D chip, and the location and density of TSV distribution.
and heat dissipation of chips with ultra-high heat flow density. A machine learning method (SVR regression prediction model with
optimized parameters based on K-CV algorithm) is established to predict
8. Conclusions and evaluate the hotspot temperature values and trends of the 3D chip
under random power allocation, random CPU core coordinate locations,
A prediction algorithm for hotspot temperature of 3D stacked chips and random TSV distribution. The SVR model with n sample (n is the

20
C. Wang and K. Vafai Applied Thermal Engineering 236 (2024) 121499

number of whole dataset) and m-dimensional attribute matrix (where m [7] R. Mahajan, R. Sankman, N. Patel, D.-W. Kim, K. Aygun, Z. Qian, Y. Mekonnen, I.
Salama, S. Sharan, D. Iyengar, Embedded multi-die interconnect bridge (EMIB)–a
is the number of layers of the chip to which the sample data belongs) for
high density, high bandwidth packaging interconnect, in: 2016 IEEE 66th
the case of random power distribution can result in more accurate pre­ Electronic Components and Technology Conference (ECTC), IEEE, 2016, pp. 557-
diction results for predicting the hotspot temperature of the three 3D 565.
chips with variable parameters. [8] D. Ingerly, S. Amin, L. Aryasomayajula, A. Balankutty, D. Borst, A. Chandra, K.
Cheemalapati, C. Cook, R. Criss, K. Enamul, Foveros: 3D integration and the use of
In the case of random CPU core location, an n sample and 4-dimen­ face-to-face chip stacking for logic devices, in: 2019 IEEE International Electron
sional attribute matrix for the case of random power distribution can Devices Meeting (IEDM), IEEE, 2019, pp. 19.16. 11-19.16. 14.
produce more accurate prediction results for predicting the hotspot [9] M. Tsai, R. Chiu, M.-f. Tsai, E. He, E. Yang, T. Chang, F. Chu, J. Chen,
Heterogeneous Integration of Double Side SiP for IoT and 5G Application, in: 2021
temperature of two 3D chips with variable parameters at a time. SVR IEEE 71st Electronic Components and Technology Conference (ECTC), IEEE, 2021,
model can be used to obtain more accurate prediction results after pp. 1038-1043.
processing the coordinate data by dimensionality reduction. In the case [10] L. Tan, C. Chen, C. Liao, Hybrid-Embedded SIP Package Design, in: 2021 22nd
International Conference on Electronic Packaging Technology (ICEPT), IEEE, 2021,
of random TSV distribution, n sample and 25-dimensional attribute pp. 1-3.
matrix for the case of random power distribution can produce more [11] M.M. Jatlaoui, C. Muller, High performances 3D heterogeneous integrated devices
accurate prediction results for predicting the hotspot temperature of six based on 3D silicon capacitive interposer, in: 2020 IEEE 22nd Electronics
Packaging Technology Conference (EPTC), IEEE, 2020, pp. 266-267.
3D chips with variable parameters at a time after processing with the [12] S.G. Kandlikar, A. Ganguly, Fundamentals of heat dissipation in 3D IC packaging,
effective thermal conductivity plane segmentation method. The pre­ in: 3D Microelectronic Packaging, Springer, 2017, pp. 245-260.
diction deviations of the above three prediction sets are close to 0.6 K, [13] Y.-H. Lin, S.-F. Peng, W. Hwang, Wide-I/O 3D-staked DRAM controller for near-
data processing system, in: 2017 International Symposium on VLSI Design,
and the correlation coefficient R2 is very close to 1. Also, a method is
Automation and Test (VLSI-DAT), IEEE, 2017, pp. 1-4.
proposed for predicting the effect of variations in graphics parameters [14] J. Kong, Y.-H. Gong, S.W. Chung, Architecting large-scale SRAM arrays with
and chip layers on the internal heat conduction of multilayer 3D stacked monolithic 3D integration, in: 2017 IEEE/ACM International Symposium on Low
chips. The prediction deviation for this case is less than 0.2%, therefore, Power Electronics and Design (ISLPED), IEEE, 2017, pp. 1-6.
[15] X. Chen, N.K. Jha, A,, 3-D CPU-FPGA-DRAM hybrid architecture for low-power
using knowledge of hotspot temperature data for 3D chips with fewer computation, IEEE Trans. Very Large Scale Integr. VLSI Syst. 24 (2015)
layers, it is possible to more accurately predict hotspot temperature 1649–1662.
values for 3D chips with similar structures with more layers (up to 28 or [16] L. Yavits, A. Morad, R. Ginosar, The effect of temperature on Amdahl law in 3D
multicore era, IEEE Trans. Comput. 65 (2015) 2010–2013.
more). [17] J. Knechtel, J. Lienig, I.M. Elfadel, Multi-objective 3D floorplanning with
The validation results show that this algorithm is suitable for pre­ integrated voltage assignment, ACM Transactions on Design Automation of
dicting the values and trends of hotspot temperature under most cases Electronic Systems (TODAES) 23 (2017) 1–27.
[18] P. Budhathoki, A. Henschel, I.A.M. Elfadel, Thermal-driven 3D floorplanning using
when changing 3D chip-related graphical parameters and cooling pa­ localized TSV placement, in: 2014 IEEE International Conference on IC Design &
rameters, and only a small sample of data is needed for training (no more Technology, IEEE, 2014, pp. 1-4.
than 50 sample data). This is a new and accurate machine learning al­ [19] D. Saha, S. Sur-Kolay, Multi-objective optimization of placement and assignment of
TSVs in 3D ICs, in: 2017 30th International Conference on VLSI Design and 2017
gorithm that can adapt to the changing 3D chip stack structure. Espe­ 16th International Conference on Embedded Systems (VLSID), IEEE, 2017, pp. 372-
cially for the next generation of advanced packaging processes, where 377.
bumps are becoming smaller in size and spacing and bonding technol­ [20] K.W. Jung, E. Cho, S. Jo, S. Ryu, J. Kim, D.K.S. Oh, Assessment of Thermal-aware
Floorplans in a 3D IC for Server Applications, in: 2022 IEEE 72nd Electronic
ogies are evolving, previous work by our group has provided analytical
Components and Technology Conference (ECTC), IEEE, 2022, pp. 1036-1047.
derivations for deriving thermal analysis of two-phase or multi-phase [21] T.-H. Tsai, Y.-S. Chen, X.-X. He, C.-Y. Li, STEM: a thermal-constrained real-time
composites acting as intermediate layers for 3D chips. This will be scheduling for 3D heterogeneous-ISA multicore processors, IEEE Trans. Comput. 67
very useful for further application of machine learning algorithms to the (2017) 874–889.
[22] C. Yoon, J.H. Shim, B. Moon, J. Kong, 3D die-stacked DRAM thermal management
thermal management of future advanced packaging technologies for 3D via task allocation and core pipeline control, IEICE Electronics Express, 15 (2018)
chips. 20171253-20171253.
[23] B. Rakesh, K. Mahindra, M.S.V. Goud, N.A. Vignesh, T. Padma, A.K. Panigrahy,
Facile approach to mitigate thermal issues in 3D IC integration using effective FIN
orientation, Mater. Today:. Proc. 33 (2020) 3085–3088.
Declaration of Competing Interest [24] B. Rakesh, D. Prasad, C.U. Kumari, N.A. Vighnesh, M. Suresh, A.K. Panigrahy,
Simplistic approach to reduce thermal issues in 3D IC integration technology,
Mater. Today:. Proc. 45 (2021) 1399–1402.
The authors declare that they have no known competing financial
[25] M. Bahiraei, N. Mazaheri, M.R. Daneshyar, Employing elliptical pin-fins and
interests or personal relationships that could have appeared to influence nanofluid within a heat sink for cooling of electronic chips regarding energy
the work reported in this paper. efficiency perspective, Appl. Therm. Eng. 183 (2021), 116159.
[26] S. Feng, Y. Yan, H. Li, Z. Yang, L. Li, L. Zhang, Theoretical and numerical
investigation of embedded microfluidic thermal management using gradient
Data availability distribution micro pin fin arrays, Appl. Therm. Eng. 153 (2019) 748–760.
[27] L. Sun, M.-H. Chen, L. Zhang, P. He, L.-S. Xie, Recent progress in SLID bonding in
Data will be made available on request. novel 3D-IC technologies, J. Alloy. Compd. 818 (2020), 152825.
[28] D. Ansari, K.-Y. Kim, Hotspot thermal management using a microchannel-pinfin
hybrid heat sink, Int. J. Therm. Sci. 134 (2018) 27–39.
References [29] B. Ding, Z.-H. Zhang, L. Gong, M.-H. Xu, Z.-Q. Huang, A novel thermal
management scheme for 3D-IC chips with multi-cores and high power density,
Appl. Therm. Eng. 168 (2020), 114832.
[1] J.H. Lau, Recent advances and trends in advanced packaging, IEEE Trans. Compon.
[30] Y. Ye, J. Wang, L. Yu, Y. Kong, R. Liu, X. Du, S. Yun, Y. Shi, B. Jiao, Embedded
Packag. Manuf. Technol. 12 (2022) 228–252.
manifold cooling system for high performance computing ICs, in: 2022 23rd
[2] C. Li, F. Zhang, M. Di, Z. Pan, A. Wang, Advances in 3D Heterogeneous Structures
International Conference on Electronic Packaging Technology (ICEPT), IEEE, 2022,
and Integration for Future ICs, in: 2019 IEEE SOI-3D-Subthreshold
pp. 1-5.
Microelectronics Technology Unified Conference (S3S), IEEE, 2019, pp. 1-3.
[31] X. Rao, J. Song, Q. Tian, H. Liu, C. Jin, C. Xiao, A universal and efficient equivalent
[3] D. Stow, Y. Xie, T. Siddiqua, G.H. Loh, Cost-effective design of scalable high-
modeling method for thermal analysis of 3D ICs containing tapered TSVs, Int.
performance systems using active and passive interposers, in: In: 2017 IEEE/ACM
Commun. Heat Mass Transfer 136 (2022), 106161.
International Conference on Computer-Aided Design (ICCAD), 2017, pp. 728–735.
[32] I.H. Jeong, A. Eslami Majd, J.P. Jung, N.N. Ekere, Electrical and mechanical
[4] L. Su, AMD accelerating—The high-performance computing ecosystem, in, Proc.
analysis of different TSV geometries, Metals 10 (2020) 467.
Keynote Computex (2021).
[33] R.K. Radhakrishnan Nair, S. Pothiraj, T. Radhakrishnan Nair, K. Cengiz, An
[5] M. Chen, C. Lin, E. Liao, W. Chiou, C. Kuo, C. Hu, C. Tsai, C. Wang, D. Yu, SoIC for
efficient partitioning and placement based fault TSV detection in 3D-IC using deep
low-temperature, multi-layer 3D memory integration, in: 2020 IEEE 70th
learning approach, Journal of Ambient Intelligence and Humanized, Computing
Electronic Components and Technology Conference (ECTC), IEEE, 2020, pp. 855-
(2021) 1–14.
860.
[34] P.-N. Hsu, K.-C. Shie, K.-P. Chen, J.-C. Tu, C.-C. Wu, N.-T. Tsou, Y.-C. Lo, N.-
[6] Y. Chen, C. Yang, C. Kuo, M. Chen, C. Tung, W. Chiou, D. Yu, Ultra high density
Y. Chen, Y.-F. Hsieh, M. Wu, Artificial intelligence deep learning for 3D IC
SoIC with sub-micron bond pitch, in: 2020 IEEE 70th Electronic Components and
reliability prediction, Sci. Rep. 12 (2022) 1–7.
Technology Conference (ECTC), IEEE, 2020, pp. 576-581.

21
C. Wang and K. Vafai Applied Thermal Engineering 236 (2024) 121499

[35] K. Pandiaraj, P. Sivakumar, K.J. Prakash, Machine learning based effective linear [53] S. Chatterjee, S.K. Roy, C. Giri, H. Rahaman, Frequency-scaled thermal-aware test
regression model for TSV layer assignment in 3DIC, Microprocess. Microsyst. 83 scheduling for 3D ICs using machine learning based temperature estimation,
(2021), 103953. Microelectron. J. 128 (2022), 105535.
[36] A. Kumar, N. Chang, D. Geb, H. He, S. Pan, J. Wen, S. Asgari, M. Abarham, C. Ortiz, [54] R. Kumar, B. Ghoshal, Machine learning guided thermal management of Open
ML-based Fast On-Chip Transient Thermal Simulation for Heterogeneous 2.5 D/3D Computing Language applications on CPU-GPU based embedded platforms, IET
IC Designs, in: 2022 International Symposium on VLSI Design, Automation and Comput. Digit. Tech. 17 (2023) 20–28.
Test (VLSI-DAT), IEEE, 2022, pp. 1-8. [55] J. Huang, Z. He, C. Li, L. Zhao, X. Lu, Heat dissipation optimization and prediction
[37] S. Rangarajan, L. Choobineh, B. Sammakia, Supervised Machine-Learning for three-dimensional fan-out package, Int. J. Therm. Sci. 166 (2021), 106983.
Approach for the Optimal Arrangement of Active Hotspots in 3-D Integrated [56] J. Wen, S. Pan, N. Chang, W.-T. Chuang, W. Xia, D. Zhu, A. Kumar, E.-C. Yang, K.
Circuits, IEEE Trans. Compon. Packag. Manuf. Technol. 11 (2021) 1724–1733. Srinivasan, Y.-S. Li, DNN-based fast static on-chip thermal solver, in: 2020 36th
[38] V. Radmard, Y. Hadad, S. Rangarajan, C.H. Hoang, N. Fallahtafti, C.L. Arvin, Semiconductor Thermal Measurement, Modeling & Management Symposium
K. Sikka, S.N. Schiffres, B.G. Sammakia, Multi-objective optimization of a chip- (SEMI-THERM), IEEE, 2020, pp. 65-75.
attached micro pin fin liquid cooling system, Appl. Therm. Eng. 195 (2021), [57] D. Coenen, H. Oprins, R. Degraeve, I.D. Wolf, Benchmarking of Machine Learning
117187. Methods for Multiscale Thermal Simulation of Integrated Circuits, IEEE Trans.
[39] F. Tavakkoli, S. Ebrahimi, S. Wang, K. Vafai, Analysis of critical thermal issues in Comput. Aided Des. Integr. Circuits Syst. 42 (7) (2023) 2264–2275.
3D integrated circuits, Int. J. Heat Mass Transf. 97 (2016) 337–352. [58] I. Nisce, X. Jiang, S.P. Vishnu, Machine Learning based Thermal Prediction for
[40] F. Tavakkoli, S. Ebrahimi, S. Wang, K. Vafai, Thermophysical and geometrical Energy-efficient Cloud Computing, in: 2023 IEEE 20th Consumer Communications
effects on the thermal performance and optimization of a three-dimensional & Networking Conference (CCNC), IEEE, 2023, pp. 624-627.
integrated circuit, J. Heat Transfer 138 (2016). [59] C. Knox, Z. Yuan, A.K. Coskun, Machine Learning and Simulation Based
[41] P.C. Huang, K. Vafai, Analysis of forced convection enhancement in a channel Temperature Prediction on High-Performance Processors, in: International
using porous blocks, J. Thermophys Heat Transfer 8 (3) (1994) 563–573. Electronic Packaging Technical Conference and Exhibition, Vol. 86557, American
[42] T.J. Young, K. Vafai, Convective cooling of a heated obstacle in a channel, Int. J. Society of Mechanical Engineers, 2022, pp. V001T005A001.
Heat Mass Transf. 41 (20) (1998) 3131–3148. [60] R. Ranade, H. He, J. Pathak, N. Chang, A. Kumar, J. Wen, A Thermal Machine
[43] C. Wang, X.-J. Huang, K. Vafai, Analysis of hotspots and cooling strategy for Learning Solver For Chip Simulation, in: Proceedings of the 2022 ACM/IEEE
multilayer three-dimensional integrated circuits, Appl. Therm. Eng. 186 (2021). Workshop on Machine Learning for CAD, 2022, pp. 111-117.
[44] V. Bianco, M. De Rosa, K. Vafai, Phase-change materials for thermal management [61] S. Sadiqbatcha, J. Zhang, H. Amrouch, S.-X.-D. Tan, Real-time full-chip thermal
of electronic devices, Appl. Therm. Eng. 214 (2022). tracking: A post-silicon, machine learning perspective, IEEE Trans. Comput. 71
[45] S. Lu, K. Vafai, Optimization of the Thermal Performance of Three-Dimensional (2021) 1411–1424.
Integrated Circuits (3D ICs) Utilizing Rectangular-Shaped and Disk-Shaped Heat [62] B. Hu, Z. Hu, L. Ran, P. Mawby, C. Jia, C. Ng, P. McKeever, Deep learning neural
Pipes, J. Heat Transfer (2022). networks for heat-flux health condition monitoring method of multi-device power
[46] S. Lu, K. Vafai, Thermal Performance Optimization of the 3D ICs Employing the electronics system, in: 2019 IEEE Energy Conversion Congress and Exposition
Integrated Chip-Size Double-Layer or Multi-Layer Microchannels, J. Heat Transfer (ECCE), IEEE, 2019, pp. 3769-3774.
(2022). [63] J. Zhang, S. Sadiqbatcha, L. Chen, C. Thi, S. Sachdeva, H. Amrouch, S.-X.-D. Tan,
[47] A. Tavakoli, K. Vafai, Design and Optimization of a Composite Heat Spreader to Hot-spot aware thermoelectric array based cooling for multicore processors,
Improve the Thermal Management of a Three-Dimensional Integrated Circuit, Integration 89 (2023) 73–82.
J. Heat Transfer 143 (2021). [64] B.K. Joardar, W. Choi, R.G. Kim, J.R. Doppa, P.P. Pande, D. Marculescu, R.
[48] A. Tavakoli, M.R. Salimpour, K. Vafai, Geometrical optimization of boron arsenide Marculescu, 3D NoC-enabled heterogeneous manycore architectures for
inserts embedded in a heat spreader to improve its cooling performance for three accelerating CNN training: Performance and thermal trade-offs, in: Proceedings of
dimensional integrated circuits, Numerical Heat Transfer, Part A: Applications 80 the Eleventh IEEE/ACM International Symposium on Networks-on-Chip, 2017, pp.
(8) (2021) 389–410. 1-8.
[49] F. Incropera, Convection heat transfer in electronic equipment cooling, (1988). [65] C. Du, G. Zou, A. Zhanwen, B. Lu, B. Feng, J. Huo, Y. Xiao, Y. Jiang, L. Liu, Highly
[50] H.-W. Hu, K.-N. Chen, Development of low temperature CuCu bonding and hybrid accurate and efficient prediction of effective thermal conductivity of sintered silver
bonding for three-dimensional integrated circuits (3D IC), Microelectron. Reliab. based on deep learning method, Int. J. Heat Mass Transf. 201 (2023), 123654.
127 (2021), 114412. [66] J. Zhang, Z. Xie, Z. Lu, P. Li, K. Xi, Research on intelligent distribution of liquid
[51] Y. Ye, B. Jiao, Y. Kong, R. Liu, X. Du, K. Jia, S. Yun, D. Chen, Experimental flow rate in embedded channels for cooling 3D multi-core chips, Micromachines 13
investigations on the thermal superposition effect of multiple hotspots for (2022) 918.
embedded microfluidic cooling, Applied thermal engineering, 202 (2022) 117849. [67] A. Chhetri, D. Kashyap, A. Mali, C. Agarwal, C. Ponraj, N. Gobinath, Numerical
[52] S. Feng, Y. Yan, H. Li, L. Zhang, S. Yang, Thermal management of 3D chip with simulation of the single-phase immersion cooling process using a dielectric fluid in
non-uniform hotspots by integrated gradient distribution annular-cavity micro-pin a data server, Mater. Today:. Proc. 51 (2022) 1532–1538.
fins, Appl. Therm. Eng. 182 (2021), 116132.

22

You might also like