Download as pdf or txt
Download as pdf or txt
You are on page 1of 53

1 Syllabus

Course Code: 0ICT604

Acknowledgement:
Lecture notes for the M. Tech VLSI students. Just to facilitate their reading.
Most of the content are taken from Plummer book and attempts are made to simplify and update
for today’s trend. MATLAB and Sentaurus TCAD simulations are used to enhance the
understanding.

1
2 Introduction
What is modeling?
Mathematical representation of physical behaviour
Types:
1. Compact Modeling (Analytical modeling or Modeling)
Forming closed-form expressions. Difficult or not possible for devices with complex
geometries.
2. Numerical Modeling (Simulation) (this course is about this)
Applicable to Complex geometries. Time consuming.
TCAD – Technology computer aided design – software to enable the technology to progress
quickly. They solve differential equations (i.e. numerical modeling). The cost of ICs is lower
today mainly because of this CAD tools. CAD revolution has included the ideas like gate
arrays, programmable logic arrays.

2
Reference [2023 Nature]
“In the historical CMOS scaling before the twenty-first century, efforts were focused on
reducing the physical gate oxide thickness and engineering the source, drain and channel
doping profile7, whereas in the modern scaling scenario during the past two decades, novel
materials and device architecture8–14, such as strained channel, high-dielectric-constant (k) metal
gate (HKMG), silicon-on-insulator (SOI) and fin field-effect transistors (FinFETs), have been
introduced to suppress SCEs and other adverse effects. It is noted that beginning from the
22-nm node, the technology node becomes increasingly smaller than the FET physical
dimension.”
[Source: Nature | Vol 620 | 17 August 2023]

Process simulation is about building the semiconductor device using process models (body);
device simulation is about characterizing the device using device physics (soul).

3
4
https://www.sumcosi.com/english/products/process/step_01.html
by courtesy of Siltronic AG4

2.1 Front-end vs Back-end processing technologies


It means different things in VLSI. But for process technologies:
➢ front-end technology (initial steps in processing) means the doping, lithography,
deposition.

5
➢ back-end technology (later steps in processing) means interconnection,
packaging, and encapsulation.

6
Fig.1 Front-end and Back-end process technologies [1]. FEOL – front-end of line and BEOL
- back-end of line.
In this course, you will learn about front-end processing technologies.

7
Fig. 2 CMOS fabrication process – front-end processes [2]. Most of these process steps and
simulation models are studied in the first two units of this course.
In this unit, we will discuss the models (mathematical equations) that explain the doping
(critical process step in semiconducting devices) in semiconductors which is conventionally
done by two techniques:
i) Diffusion
(Don’t confuse it with charge carrier diffusion – which is charge carrier transport
mechanism in devices. This is about dopant diffusion which enables doping)
ii) Ion Implantation
Xenon atom on nickel single crystal surface. Spacing between xenon atom is 1 nm.

3 Introduction to Diffusion
“Main challenge in designing a front-end process for building a device is accurate control of
the placement of active doping regions. Understanding and controlling diffusion and annealing
behaviour are essential to obtaining the desired electrical characteristics” [3].

3.1 Scaling and Junction depth


“If the gate length of MOS device is scaled down by 1/K, where K is a scaling factor > 1,
ideally the dimensions of the doped regions should also scale down by the same factor to
maintain the same 𝜉-field patterns (assuming the operating voltage also scales down by the
𝑉 𝑉/𝐾
same factor, 𝜉 = = )” Hence controlling the diffusion depth is one of the critical
𝐿 𝐿/𝐾
parameter for reducing the device (MOSFET – shown below with parasitic resistances)
dimension.

8
[Source: TSMC]

Rsource Rdrain

9
Half of the contacted gate pitch becomes the technology node now (rather the gate length)

3.2 Diffusion constraint in Modern VLSI transistors:


It is implemented in processes such as the drain and source doping, the quality of which is
extremely important for the electrical properties and performance of today's integrated circuit
technology.
General guideline:
𝑅𝑐𝑜𝑛𝑡𝑎𝑐𝑡 + 𝑅𝑠𝑜𝑢𝑟𝑐𝑒 + 𝑅𝑒𝑥𝑡 < 10% 𝑜𝑓 𝑅𝑐ℎ𝑎𝑛
To reduce the source/drain resistance, junction depth should be more but it might lead to DIBL.

DIBL – junction depth – reducing the resistance in VLSI - different technology – challenges
in doping technologies. (NTRS ➔ ITRS ➔ IRDS)
“Very shallow junction with higher doping concentration is required to meet simultaneously
DIBL and 𝜌𝑠 requirements”.

Future of VLSI [4]:

10
Even now, we need to learn about dopant diffusion which are fundamentals to form doped
regions in modern and future devices as well.

3.3 History and Basic Concepts of Diffusion:


The invention of planar process brought far superior manufacturing capabilities and improved
electrical characteristics of planar junction.
Solid-phase and gas-phase diffusion – i.e. dopants are introduced into the silicon either by
predeposition of dopants (from glass layer) for solid phase or through dopant gases within a
furnace. However, in such doping scheme, difficult to control the doping concentration
accurately and form reproducible junctions. Many situations in IC fabrication demands
relatively small dose of dopants are required (for eg. for Vth adjustments, minor doping is done).
These kinds of processes are almost impossible to perform with solid-phase or gas-phase
diffusion.
To overcome the above issue, ion implantation is evolved as an alternative technique to
predeposition (around 1970s).

11
Gas phase predeposition

Solid phase - Predeposition

Implanted predeposition

Silicon Silicon Silicon

Fig. 2-2 Dopant Predeposition (red coloured) over the silicon substrate using a) Solid-
phase b) Gas-phase, and c) Ion Implantation. Generally predeposition is followed by
drive-in at higher temperatures

Implantation becomes dominant in IC industries whereas gas-phase diffusion still dominates


in PV industries (see below figure).

After predeposition, annealing (also called as drive-in) enables the dopant activation which
causes the diffusion of dopant atoms inside the silicon wafer.
Inspite of highly flexible, highly manufacturable process, ion implantation has only one
significant drawback which is the damage to the silicon crystal caused during implantation.
Damage can be cured by annealing at high temperatures which caused deeper junctions (due
to mechanism called transient enhanced diffusion (TED)) violating the need for shallow
junctions for newer MOSFETs. There is a resurgence for gas-phase or solid-phase diffusion
however, large installed base of ion implantation chambers in the current manufacturing
restricts.

12
3.3.1 Dopant Solid Solubility
Solid solubility is the thermodynamic maximum concentration that can be accommodated in a
solid without a separate phase forming.

Beakers with different table salt (NaCl) concentration showing the solubility limit.
For higher concentration, one can observe the precipitate formation (different
phase formation).
Source: https://fphoto.photoshelter.com/image/I0000LrMV32VYuUA

Similar effect can be observed in silicon as well called as dopant solubility limit. Above solid
solubility limit, dopant forms cluster which are electrically inactive and does not contribute to
free electrons.

13
Arsenic clustering around the vacancy is electrically inactive whereas the left one is electrically
active.
3.3.2 Macroscopic Viewpoint of Diffusion
➢ Predicts the overall motion of dopant profile and predicts the amount of motion by
solving a diffusion equation subject to some boundary conditions.
➢ Very useful for semiconductor devices to predict the dopant profile and depth.
Microscopic viewpoint (will discuss later) is in-depth discussion about the interaction of
dopant atoms and point defect in the lattice. This is needed to explain the complex
behaviour exhibited by dopants diffusion in modern devices (and form basis of models in
today’s simulation tools).

14
Fick’s law of diffusion
Most sophisticated diffusion models started with
intelligent application of Fick’s law of diffusion.
Fick’s first law of diffusion: It relates the diffusion of
dopant atoms to the concentration gradient. It states that
flow of dopant ions (flux, F) is proportional to the
𝑑𝐶
concentration gradient (𝑑𝑥 ) where the proportionality
constant is the diffusivity (D)
𝒅𝑪
𝑭 ∝−
𝒅𝒙
𝑑𝐶
𝐹 = −𝐷
𝑑𝑥
𝑑𝐶
Where F = flux (atoms cm-2 s-1), D is the diffusivity (cm2 s-1) and 𝑑𝑥 is the concentration
gradient (cm-4). Negative sign indicates the flow is down the concentration gradient.

Fick’s first law of diffusion is very similar to the drift equation:


𝑑𝑉 𝑑𝑉
𝐽 = 𝜎𝜉 = 𝜎 (− ) = −𝜎
𝑑𝑥 𝑑𝑥

Ficks’ second law of diffusion: It is more useful practical description of the


concentration profile which relates concentration to both time and space variables.
It is a fundamental conservation law for matter. The law states that, “Increase in
concentration in a cross section of unit area with time is simply the difference between
the flux into the volume and the flux out of the volume”

Δ𝐶 Δ𝐹 Fin − 𝐹𝑜𝑢𝑡 𝐹(𝑥) − 𝐹(𝑥 + Δ𝑥) 𝐹𝑖𝑛 − (𝐹𝑖𝑛 + 𝜕𝐹) 𝜕𝐹


= = = = = −
Δ𝑡 Δ𝑥 Δ𝑥 ∂𝑥 ∂𝑥 𝜕𝑥

15
where, 𝑑𝐹 = 𝐹𝑜𝑢𝑡 − 𝐹𝑖𝑛

In other words, “What goes in and does not go out, stays there”
Substituting the Fick’s first law in the above equation:
∂𝐶 ∂𝐹 ∂ 𝜕𝐶 ∂ 𝜕𝐶
=− = − (−𝐷 ) = (𝐷 )
∂𝑡 ∂𝑥 ∂𝑥 𝜕𝑥 ∂𝑥 𝜕𝑥
If D is a constant w.r.t. x:
∂𝐶 𝜕 2𝐶
=𝐷 2
∂𝑡 𝜕𝑥
This is the Fick’s second law of diffusion.
One can write the above 1-D equation in 3D (more generalized form) as:
∂𝐶
= −∇. 𝐹 = ∇. (𝐷 ∇𝐶)
∂𝑡
𝜕 𝜕 𝜕
Where ∇ = (𝜕𝑥 , 𝜕𝑦 , 𝜕𝑧)

With this Fick’s law, we can predict and estimate the dopant profile (distribution) inside the
silicon wafer. That’s what we are going to do next.
Model Equation screenshot from Sprocess Manual (Constant Diffusion Model):

16
(Explains dopant diffusion in oxide) 𝐶𝐴+ is the active portion of 𝐶𝐴

3.3.3 Analytical solutions of the diffusion equation:


Let’s solve for the simple case under steady state conditions:
∂𝐶
=0
∂𝑡
𝜕 2𝐶
𝐷 2=0
𝜕𝑥
By double integration, we can find the solution:
First integration:
𝜕𝐶 𝐴
= =𝑎
𝜕𝑥 𝐷
where A is the integration constant and let “a” = 𝐴/𝐷
Second integration:
𝐶 = 𝑎𝑥 + 𝑏
So, this steady-state solution is a line-like (linear) profile over distance. This solution was
useful to study the oxidant profile in the oxide during the oxidation of silicon. We will see two
other analytic solution of Fick’s second law which arises due to the differences in the boundary
condition.

17
3.3.4 Gaussian Solution in an Infinite Medium (Finite dose)

Infinite Medium

Dose Q Dose Q

This situation mimics the following practical conditions:


1. Implanting a very narrow peak of dopant at a particular depth
2. Low-temperature epitaxial growth of silicon on a silicon wafer and introduce the dopant
gas into the growth ambient for a very short period.

Epitaxial Growth (above figure) – growing crystalline material over the crystalline wafer.
Boundary conditions to solve the concentration profile for the above condition:

Assume the dose introduced as delta function and it is located at the origin.
(𝐷𝑡)𝑝𝑟𝑒𝑑𝑒𝑝 ≪ (𝐷𝑡)𝑑𝑟𝑖𝑣𝑒−𝑖𝑛 , 𝑡ℎ𝑒𝑛 𝑤𝑒 𝑐𝑎𝑛 𝑎𝑠𝑠𝑢𝑚𝑒 𝑡ℎ𝑒 𝑑𝑜𝑠𝑒 𝑎𝑠 𝑑𝑒𝑙𝑡𝑎 𝑓𝑢𝑛𝑐𝑡𝑖𝑜𝑛!

At t = 0, (because as time progresses, diffusion starts)

18
𝐶 → 0 𝑓𝑜𝑟 𝑥 > 0
𝐶 → ∞ 𝑓𝑜𝑟 𝑥 = 0
+∞
𝐷𝑜𝑠𝑒, 𝑄 = ∫ 𝐶(𝑥, 𝑡) 𝑑𝑥 = 𝑇𝑜𝑡𝑎𝑙 𝑑𝑜𝑝𝑎𝑛𝑡 𝑖𝑛𝑡𝑟𝑜𝑑𝑢𝑐𝑒𝑑
−∞

Solution:
𝑄 𝑥2
𝐶(𝑥, 𝑡) = exp (− )
2√𝜋𝐷𝑡 4𝐷𝑡

This mathematical form is called Gaussian form or Gaussian solution.


At 𝑥 = 0,
𝑄
𝐶(0, 𝑡) =
2√𝜋𝐷𝑡
How do I know the above is the solution or not?
Let’s substitute the solution in the Fick’s second law of diffusion:
∂𝐶 𝜕 2𝐶
=𝐷 2
∂𝑡 𝜕𝑥
𝑥2 𝑥2 𝑥 2 1 −12
(√𝑡 exp (− ) (+ ) − exp (− ) )
𝑄 4𝐷𝑡 4𝐷𝑡 2 4𝐷𝑡 2 𝑡
2
2√𝜋𝐷 (√𝑡)
𝜕 𝑄 𝑥2 2𝑥
=𝐷 ( exp (− ) (− ))
𝜕𝑥 2√𝜋𝐷𝑡 4𝐷𝑡 4𝐷𝑡

Math formulae used:


𝑑𝑢 𝑑𝑣
𝑑 𝑢 𝑣 −𝑢 𝑑 𝑑𝑣 𝑑𝑢
( ) = 𝑑𝑥 2 𝑑𝑥 ; (𝑢𝑣) = 𝑢 +𝑣
𝑑𝑥 𝑣 𝑣 𝑑𝑥 𝑑𝑥 𝑑𝑥

𝑄 𝑥2 11 𝑥2 𝑄 1 𝜕 𝑥2
(( ) − ) exp (− ) = 𝐷 (− ) (exp (− ) 𝑥)
2√𝜋𝐷𝑡 4𝐷𝑡 2 2𝑡 4𝐷𝑡 2√𝜋𝐷𝑡 2𝐷𝑡 𝜕𝑥 4𝐷𝑡

𝑄 𝑥2 1 𝑥2
(( ) − ) exp (− )
2√𝜋𝐷𝑡 4𝐷𝑡 2 2𝑡 4𝐷𝑡
𝑄 1 𝑥2 𝑥2 −2𝑥
=𝐷 (− ) (exp (− ) + 𝑥 exp (− )( ))
2√𝜋𝐷𝑡 2𝐷𝑡 4𝐷𝑡 4𝐷𝑡 4𝐷𝑡

19
𝑄 𝑥2 1 𝑥2 𝑄 1 2𝑥 𝑥2
(( ) − ) exp (− ) = 𝐷 (− ) (1 − 𝑥 ( )) exp (− )
2√𝜋𝐷𝑡 4𝐷𝑡 2 2𝑡 4𝐷𝑡 2√𝜋𝐷𝑡 2𝐷𝑡 4𝐷𝑡 4𝐷𝑡

1 𝑥2 1 1 1 2𝑥 2
(( )− ) = (− ) (1 − ( ))
√𝑡 4𝐷𝑡 2 2𝑡 √𝑡 2𝑡 4𝐷𝑡

1 1 𝑥2 1 1 𝑥2
(( ) − 1) = ( ) (( ) − 1)
√𝑡 2𝑡 2𝐷𝑡 √𝑡 2𝑡 2𝐷𝑡

𝐿𝐻𝑆 = 𝑅𝐻𝑆
Hence the Gaussian solution satisfies the Fick’s second law of diffusion.
Also the boundary conditions are met correctly by this Gaussian solution.
Comments on the Gaussian Solution:

Infinite Medium

Dose Q Dose Q Dose Q

1
Peak concentration decreases by .
√𝑡

1
𝑒

20
1
The concentration falls by 𝑒, when 𝑥 = 2√𝐷𝑡 . This is called as diffusion length which
approximately gives the measure of how far the dopant has diffused.

Even after adding the diffusion time, the gaussian retains its shape. It helps us to make the
approximate predictions of doping profile during thermal annealing.

21
3.3.5 Gaussian Solution near the surface (Finite dose):

This situation mimics the following practical conditions:


1. Low energy ion implantation where the dopants are placed near the surface (rather
deeper).
Assumption: the dose at the surface completely reflects back to the wafer (practically, it is not
completely true where the dopants escape through the oxide layers by segregation which we
will study later).
𝑄 ==> 𝟐𝑸
𝟐𝑸 𝑥2 𝑸 𝒙𝟐
𝐶(𝑥, 𝑡) = exp (− )= 𝐞𝐱𝐩 (− )
2√𝜋𝐷𝑡 4𝐷𝑡 √𝝅𝑫𝒕 𝟒𝑫𝒕

22
3.3.6 Error function solution in an Infinite Medium (Infinite dose)

Infinite source of dopant

Silicon Substrate

This situation mimics the following practical conditions:


1. Heavily doped epitaxial layer on the lightly doped wafer

Boundary Condition:
At 𝑡 = 0 (before diffusion starts)
𝐶 = 0 𝑓𝑜𝑟 𝑥 > 0
𝐶 = 𝐶 𝑓𝑜𝑟 𝑥 < 0
The solution can be found easily by viewing this problem is made up by a sum of the previous
Gaussian solutions. Consider a series of slices, each of width Δ𝑥 (see below figure). Each slice
initially contains a dose of CΔ𝑥 dopant atoms. To obtain the solution to this problem, we can
make use of a simple linear superposition of solutions for each of the thin slices.

23
𝑖 𝑡ℎ slice with width Δ𝑥𝑖 shifted by 𝑥𝑖 from the origin will provide the following concentration
profile (using the previous Gaussian solution):
𝐶 Δ𝑥𝑖 (𝑥 − 𝑥𝑖 )2
𝐶𝑖 (𝑥, 𝑡) = exp (− )
2√𝜋𝐷𝑡 4𝐷𝑡

Final concentration file (for all slices) can be given by:


𝑛 𝑛
𝐶 Δ𝑥𝑖 (𝑥 − 𝑥𝑖 )2
𝐶(𝑥, 𝑡) = ∑ 𝐶𝑖 (𝑥, 𝑡) = ∑ exp (− )
2√𝜋𝐷𝑡 4𝐷𝑡
𝑖=1 𝑖=1
𝑛
𝐶 (𝑥 − 𝑥𝑖 )2
𝐶(𝑥, 𝑡) = ∑ Δ𝑥𝑖 exp (− )
2√𝜋𝐷𝑡 4𝐷𝑡
𝑖=1

If the slice width is made close to zero, the summation becomes integral:
𝑥𝑖 = 𝛼
Δ𝑥𝑖 = 𝑑𝛼
0 (𝑥 − 𝛼)2
𝐶
𝐶(𝑥, 𝑡) = ∫ exp (− ) 𝑑𝛼
2√𝜋𝐷𝑡 𝛼=−∞ 4𝐷𝑡

Letting:
𝑥−𝛼
𝜂=
2√𝐷𝑡
𝑑𝜂 1
= − → 𝑑𝛼 = −2√𝐷𝑡 𝑑𝜂
𝑑𝛼 2√𝐷𝑡

24
When 𝛼 = −∞, 𝜂 = +∞
𝑥
When 𝛼 = 0, 𝜂 = 2√𝐷𝑡
𝑥
𝐶 2√𝐷𝑡
𝐶(𝑥, 𝑡) = ∫ exp(−𝜂2 ) (−2√𝐷𝑡 𝑑𝜂)
2√𝜋𝐷𝑡 𝜂=∞

𝑏 𝑎
∫ 𝑓(𝑥)𝑑𝑥 = − ∫ 𝑓(𝑥)𝑑𝑥
𝑎 𝑏

𝐶
𝐶(𝑥, 𝑡) = ∫ exp(−𝜂2 ) 𝑑𝜂
√𝜋 𝑥
𝜂=
2√𝐷𝑡

Let’s define error function:


𝑧
2
erf(𝑧) = ∫ exp(−𝜂2 ) 𝑑𝜂
√𝜋 𝜂=0

Properties of error function:


Complementary of error function:

2
𝑒𝑟𝑓𝑐(𝑧) = 1 − erf(𝑧) = ∫ exp(−𝜂2 ) 𝑑𝜂
√𝜋 𝜂=𝑧

Error function is an odd function: erf(−𝑧) = − erf(𝑧)


erf(0) = 0
erf(∞) = 1
erf(−∞) = −1
With these above properties of error function, let’s us apply for our current solution to the
infinite dopant dose problem:

𝑥
∞ ∞
2√𝐷𝑡
∫ exp(−𝜂2 ) 𝑑𝜂 = ∫ exp(−𝜂2 ) 𝑑𝜂 − ∫ exp(−𝜂2 ) 𝑑𝜂
𝑥
𝜂= 𝜂=0 𝜂=0
2√𝐷𝑡

Multiplying 2/√𝜋 on both sides and converting to error function



2 𝑥 𝑥
∫ exp(−𝜂2 ) 𝑑𝜂 = erf(∞) − erf ( ) = 1 − erf ( )
√𝜋 𝑥 2√𝐷𝑡 2√𝐷𝑡
𝜂=
2√𝐷𝑡

𝐶 𝐶 𝑥
𝐶(𝑥, 𝑡) = ∫ exp(−𝜂2 ) 𝑑𝜂 = [1 − erf ( )]
√𝜋 𝜂=
𝑥 2 2√𝐷𝑡
2√𝐷𝑡

25
𝐶 𝑥
𝐶(𝑥, 𝑡) = 𝑒𝑟𝑓𝑐 ( )
2 2√𝐷𝑡

Diffusion in
Dopant Wafer
Source

Dopant
Source Diffusion in
Wafer

In linear scale, one can observe vividly that error function complement profile is different from
Gaussian profile. The former is for infinite dopant dose whereas the latter is for finite dopant
dose.

Mathematically, one can correlate the erfc as the sum of gaussian as shown below.

26
3.3.7 Error function solution near a Surface
If the concentration of dopant is always constant near the surface, then the above solution can
be employed for this as well.
This situation mimics the following practical conditions:
1. Gas-phase diffusion with a concentration above the solid solubility of the dopant in the
solid
Boundary condition:
𝐶𝑆 = 𝐶𝑜𝑛𝑠𝑡𝑎𝑛𝑡
Solution (from the previous problem, where at x = 0, i.e. surface, concentration is C/2):
𝑥
𝐶(𝑥, 𝑡) = 𝐶𝑠 𝑒𝑟𝑓𝑐 ( )
2√𝐷𝑡

27
Approximated
Triangle

𝑥 − 𝑢𝑛𝑖𝑡𝑠 𝑜𝑓 2 𝐷𝑡

𝐷𝑜𝑠𝑒, 𝑄 ≡ 𝐴𝑟𝑒𝑎 𝑢𝑛𝑑𝑒𝑟 𝑡ℎ𝑒 𝑐𝑜𝑛𝑐𝑒𝑛𝑡𝑟𝑎𝑡𝑖𝑜𝑛 𝑐𝑢𝑟𝑣𝑒


≅ 𝐴𝑟𝑒𝑎 𝑜𝑓 𝑡ℎ𝑒 𝑡𝑟𝑖𝑎𝑛𝑔𝑙𝑒 (𝑠ℎ𝑜𝑤𝑛 𝑎𝑏𝑜𝑣𝑒)
1
𝑄= 𝐶 2√𝐷𝑡 = 𝐶𝑠 √𝐷𝑡
2 𝑠
Comparison between the error function and Gaussian solution to Fick’s law which explains the
dopant profile in semiconducting devices:
Gaussian Solution near the Erfc Solution near the
surface surface
When applicable Finite doses Infinite/large or solid
(Generally for simple (Mostly applicable during drive- solubility level doses
process conditions) in—as the boundary condition (Mostly applicable during
matches closely) pre-deposition—as the
boundary condition matches
closely)
Concentration Profile 𝒙𝟐 𝒙
𝑪(𝒙, 𝒕) = 𝑪𝒔 𝐞𝐱𝐩 (− ) 𝑪(𝒙, 𝒕) = 𝑪𝒔 𝒆𝒓𝒇𝒄 ( )
𝟒𝑫𝒕 𝟐√𝑫𝒕

Relation between 𝑄 = 𝐶𝑠 √𝜋𝐷𝑡 𝑄 ≅ 𝐶𝑠 √𝐷𝑡


surface concentration
and dose
Similarity ➢ Normalized profile in log-scale looks similar (as shown
below)
➢ The dose used in predeposition is same as used for drive-
in.
Difference Time evolution of the profiles is completely different (as shown
below). Since fixed dose, the surface concentration decreases
with time for Gaussian profile whereas this will not happen in
larger/infinite dose.

28
29
Such simple analytical solutions (Gaussian or erfc) are not predicting the modern practical
process conditions. Hence, we are moving to numerical solution (rather analytical solution)
where we will use the computers to solve additional effects like concentration dependent
diffusion, field-dependent diffusion and other phenomenon to capture the dopant profile
accurately for the modern VLSI devices. Also, the analytical solutions are valid only if the
intrinsic condition (𝑛, 𝑝 > 𝑁𝐷 , 𝑁𝐴 )is satisfied during the high diffusion temperatures.
3.3.8 Arrehenius relation of Diffusivity and effect of successive diffusion steps
Diffusivity:

30
Modern devices need shallow and highly doped regions. We need dopants with small
diffusivity (otherwise the doping becomes deeper) and high solid solubility (which permits
higher doping). Arsenic dopant for N-type doping has this desirable feature. But for P-type
doping, only Boron has high solid solubility but it suffers from high diffusivity which means
forming shallow P-type region is difficult. The dependence of diffusivity over temperature is
given by the following Arrhenius relation (and strongly depends on activation energy and
temperature):
𝐸𝐴
𝐷 = 𝐷0 exp (− )
𝑘𝑇

0
𝑐𝑚2
𝐷 = 𝑝𝑟𝑒𝑒𝑥𝑝𝑜𝑛𝑒𝑛𝑡𝑖𝑎𝑙 𝑐𝑜𝑛𝑠𝑡𝑎𝑛𝑡 (𝑑𝑖𝑓𝑓𝑢𝑠𝑖𝑣𝑖𝑡𝑦 𝑤ℎ𝑒𝑛 𝑇 → ∞), ( )
𝑠

𝐸𝐴 → 𝐴𝑐𝑡𝑖𝑣𝑎𝑡𝑖𝑜𝑛 𝑒𝑛𝑒𝑟𝑔𝑦 (𝑒𝑉)


𝑇 → 𝑇𝑒𝑚𝑝𝑒𝑟𝑎𝑡𝑢𝑟𝑒 (𝐾)
𝑘 → 𝐵𝑜𝑙𝑡𝑧𝑚𝑎𝑛𝑛 𝐶𝑜𝑛𝑠𝑡𝑎𝑛𝑡

Sucessive Diffusion steps:


(𝐷𝑡)𝑒𝑓𝑓 = 𝐷1 (𝑡1 + 𝑡2 + ⋯ ) = 𝐷1 𝑡1 + 𝐷1 𝑡2 + ⋯

Continuous diffusion for 𝑡𝑒𝑓𝑓 is equivalent to the successive diffusion of 𝑡1 , 𝑡2 , … In other


words, doing a single step in a furnace for a total time of 𝑡1 + 𝑡2 is the same as doing two
separate steps, one for time t1 and one for time t2. The product Dt is the measure of thermal
budget. The above diffusivity is the function of temperature (as seen before) and in the previous
equation, temperature is same. Now let’s see for different temperature (i.e. different
diffusivity):
(𝐷𝑡)𝑒𝑓𝑓 = 𝐷1 𝑡1 + 𝐷1 𝑡2

31
𝐷2
Mathematically increase the time 𝑡2 to 𝑡
𝐷1 2

𝐷2
(𝐷𝑡)𝑒𝑓𝑓 = 𝐷1 𝑡1 + 𝐷1 𝑡2 ( ) = 𝐷1 𝑡1 + 𝐷2 𝑡2
𝐷1
Total effect Dt is given by the sum of all the individual Dt products. The highest temperature
dominates the thermal budget (since diffusivity increases exponentially with temperature).
Equivalent time Calculation:
Say there is a diffusion profile obtained using temperature 𝑇1 for time 𝑡1 . To obtain the similar
diffusion profile using different temperature 𝑇2 , how long the diffusion should be done?
Idea here is constant thermal budget. Therefore,
(𝐷𝑡)𝑒𝑓𝑓 = 𝐷1 (𝑇1 ) 𝑡1 = 𝐷2 (𝑇2 ) 𝑡2
𝐷1
𝑡2𝑒𝑞𝑢𝑖𝑣 = 𝑡1
𝐷2
The above equation is very approximate as we are not considering the time and position
dependence of diffusivity. However, it will give us the rough estimate of the time estimation.
3.3.9 Design and Evaluation of Diffused Layers:
The key parameters that quantify the diffused layers (solid-phase profiles are shown in the
figures) are:
i) Sheet Resistance (Measured is given below)
ii) Surface Concentration (You can see this as well)

32
iii) Junction Depth (You can see this as well in the below figures)

Fig. 2-2. A) Solid Phase Diffusion (SPD) for nMOSFET and Rapid Vapour-phase
doping (RVD) for pMOSFET and their comparison with implantation profiles C)
Measured sheet resistance D) Shallow junctions for pMOSFET and nMOSFET. (Hitachi
Pvt Ltd)Ref: UCHINO et al.: CMOS-COMPATIBLE RAPID VAPOR-PHASE DOPING PROCESS FOR CMOS SCALING, IEEE TED, 2003

These three parameters are independent and any of two of them can explain the simple erfc
or Gaussian profiles.

33
3.3.9.1 Concept of sheet resistance (useful to quantify the doping concentration)

Fig. 3-1 a) Resistivity b) Sheet resistance


Resistivity:
1
𝐽 = 𝑞𝑛𝑣 = 𝑞𝑛𝜇𝜉 = 𝜎𝜉 = 𝜉
𝜌
𝜉
𝜌= 𝑈𝑛𝑖𝑡: Ω 𝑐𝑚
𝐽
Resistivity does not depend on the size of the material (cube shown in Fig. 3-1a).
“But instead of a cube, if the resistance is measured between the shallow edges (not deep edges)
of a square (see Fig. 3-1b), the resistance would be higher and would measure
𝜌𝐿 𝜌𝐿 𝜌
𝑅= = = = 𝜌𝑠 = 𝑆ℎ𝑒𝑒𝑡 𝑅𝑒𝑠𝑖𝑠𝑡𝑎𝑛𝑐𝑒, 𝑈𝑛𝑖𝑡: Ω/square
𝐴 𝐿 × 𝑥𝑗 𝑥𝑗

“Dimensionally, the sheet resistance is expresses in ohms but has the geometrical significance
that the sheet resistance is the same for any square. A smaller square has less area for the current
to flow and thus higher current density, but proportionally a higher field, giving the same
measured resistance.”
Sheet resistance is extremely useful to quantify resistance of the doped region without
specifying the junction depth. It applies to any surface square. The above equation is valid
only for uniformly doped regions. For diffused junctions (leading to non-uniform doping), the
following expression will be useful:
1 1
𝜌𝑠 = = 𝑥𝑗
𝜎̅𝑥𝑗 𝑞 ∫ [𝑛(𝑥) − 𝑁𝐵 ] 𝜇𝑛 [𝑛(𝑥)]𝑑𝑥
0

𝜇𝑛 [𝑛(𝑥)] = 𝑚𝑜𝑏𝑖𝑙𝑖𝑡𝑦 𝑑𝑒𝑝𝑒𝑛𝑑𝑖𝑛𝑔 𝑜𝑛 𝑐𝑎𝑟𝑟𝑖𝑒𝑟 𝑐𝑜𝑛𝑐𝑒𝑛𝑡𝑟𝑎𝑡𝑖𝑜𝑛

34
𝑁𝐵 − 𝐵𝑎𝑐𝑘𝑔𝑟𝑜𝑢𝑛𝑑/𝐵𝑢𝑙𝑘 𝑑𝑜𝑝𝑖𝑛𝑔 𝑐𝑜𝑛𝑐𝑒𝑛𝑡𝑟𝑎𝑡𝑖𝑜𝑛

Irvin’s curves: Numerically integrated sheet resistances (given below) for simple erfc and
Gaussian profiles and plotted the average conductivity vs the surface concentration.
1 1
𝜌𝑠 = = 𝑥𝑗
𝜎̅𝑥𝑗 𝑞 ∫ [𝑛(𝑥) − 𝑁𝐵 ] 𝜇𝑛 [𝑛(𝑥)]𝑑𝑥
0

Example Problems:

35
1. Design (analytically) a boron diffusion process (say for the well or tub of a CMOS
process) such that 𝜌𝑠 = 900 Ω⁄𝑠𝑞𝑢𝑎𝑟𝑒 , 𝑥𝑗 = 3 𝜇𝑚, 𝑎𝑛𝑑 𝐶𝐵 = 1015 𝑐𝑚−3 (substrate
concentration).
Hint1: surface concentration can be found from average conductivity (Irvin’s curve)
and dopant diffusivity is known for a particular temperature)
Hint2: Choose 950°𝐶 for pre-deposition step
Data required: Irvin’s curve, Solid solubility vs T, Diffusivity vs T.

You can also note that only two key parameters are given to define the doping profile.
Solution:
We need to find process recipe for both predeposition and drive-in steps.
Given:
𝐶(𝑥 = 3𝜇𝑚, 𝑡) = 1015 𝑐𝑚−3
This concentration is available after drive-in step.
Two possible solutions:
i) Gaussian solution (near the surface) – mostly for drive-in step
𝒙𝟐
𝐶(𝑥, 𝑡) = 𝑪𝒔 𝐞𝐱𝐩 (− ) ; 𝑸 = 𝑪𝒔 √𝝅𝑫𝒕
𝟒𝑫𝒕

ii) Error Function solution (near the surface) – mostly for predeposition step
𝑥
𝐶(𝑥, 𝑡) = 𝐶𝑠 𝑒𝑟𝑓𝑐 ( ) ; 𝑸 ≅ 𝑪𝒔 √𝑫𝒕
2√𝐷𝑡
Drive-in Process Recipe:
Need to find 𝐷, 𝑡 𝑎𝑛𝑑 𝑄 𝑜𝑟 𝐶𝑠 :
i) Gaussian Solution:
From Irvin’s curves, we can find the surface concentration, if the average conductivity is
known.
1
𝜌𝑠 =
𝜎̅𝑥𝑗
1 1
𝜎̅ = = −6
= 370.37 (Ω 𝑚)−1 = 3.7037 (Ω 𝑐𝑚)−1
𝜌𝑠 𝑥𝑗 900 × 3 × 10

From Irvin’s plot, 𝑪𝒔 ≈ 𝟒 × 𝟏𝟎𝟏𝟕 𝒄𝒎−𝟑. Since surface concentration is lesser than the solid
solubility limit of Boron, it might be Gaussian solution.
𝟐𝑸 𝑥2 𝑄 𝑥2 𝑥2
𝐶(𝑥, 𝑡) = exp (− )= exp (− ) = 𝐶𝑠 exp (− )
2√𝜋𝐷𝑡 4𝐷𝑡 √𝜋𝐷𝑡 4𝐷𝑡 4𝐷𝑡

36
(3 × 10−4 )2
𝐶(3𝜇, 𝑡) = 𝐶𝐵 = 1015 = 4 × 1017 exp (− )
4𝐷𝑡

(3𝜇𝑚 = 3 × 10−4 𝑐𝑚)


(3 × 10−4 )2
−5.9915 = −
4𝐷𝑡
𝑫𝒕 = 𝟑. 𝟕𝟓𝟓 × 𝟏𝟎−𝟗 𝒄𝒎𝟐
Now we have the thermal budget. We can fix the temperature (and hence diffusivity) and find
the time.
For 𝑇 = 1100°𝐶 (Drive-in temperature), the boron diffusivity is 𝐷 = 1.5 × 10−13 𝑐𝑚2 𝑠 −1
3.755 × 10−9
∴ 𝐷𝑟𝑖𝑣𝑒 𝑖𝑛 𝑡𝑖𝑚𝑒: 𝑡𝑑𝑟𝑖𝑣𝑒−𝑖𝑛 = = 𝟔. 𝟗𝟓 𝒉𝒐𝒖𝒓𝒔
1.5 × 10−13

Such long high-temperature steps need to be done early in the process to avoid its effect on
shallow junctions.

Predeposition Process Recipe:


Since dose is same for predeposition and drive-in step:
Dose can be calculated (from drive-in step, using Gaussian solution) using:
𝑄
= 𝐶𝑠 → 𝑄 = 𝐶𝑠 √𝜋𝐷𝑡 = 4.34 × 1013 𝑐𝑚−2
√𝜋𝐷𝑡
This dose can be pre-deposited (using implantation of narrow layer close to the surface or gas
or solid-phase diffusion).
Let’s take gas or solid-phase diffusion could have pre-deposited such dose at the surface (since
we have learned it only right now).
Let’s use this for predeposition using erfc solution:

𝑄 ≈ 𝐶𝑠 √𝐷𝑡 = 4.34 × 1013 𝑐𝑚−2


From given predeposition temperature of 950°𝐶, D can be found from the following curve:

37
𝐷(950°𝐶) ≅ 5 × 10−15 𝑐𝑚2 𝑠 −1. (one can use the diffusivities’ Arrhenius equation to find the
same)
Surface concentration is given by the solid solubility of boron at 950°𝐶:

𝐶𝑠 ≅ 1.5 × 1020 𝑐𝑚−3


We can find the pre-deposition time:
𝑄 4.34 × 1013
𝑡𝑝𝑟𝑒𝑑𝑒𝑝 = = = 4.1 𝑠𝑒𝑐𝑜𝑛𝑑𝑠
𝐶𝑠 √𝐷 1.5 × 1020 × √5 × 10−15
This time is very short for the manufacturing processes. One can reduce the temperature even
further to extend the time.

38
Checking (𝑫𝒕)𝒑𝒓𝒆𝒅𝒆𝒑 ≪ (𝑫𝒕)𝒅𝒓𝒊𝒗𝒆−𝒊𝒏 validates the use of this analytical solutions which
ensures the delta approximation of the dose.
So, using simple analytic solutions, we were able to roughly predict the process recipe (time
and temperature of predeposition and drive-in steps). However, modern VLSI devices
demand advanced numerical solutions to predict the profiles accurately which accounts
for positional and temporal variations of diffusivity and other important effects as well.

Practice Problems:

A reverse problem of which is already solved is given here. Here time and temperature are
given, to find the junction depth and sheet resistance (analysis problems) whereas in previous
problem, junction depth and sheet resistance are given to find the time and temperature (design
problems).

Try the solution with the above knowledge.

𝑑𝑥𝑗
Sensitivity of junction depth to implant dose, Q = 𝑑𝑄

39
4 Numerical Solution of Diffusion Equations
Modern process simulators use numerical methods along with sophisticated physical models
of the diffusion process. Process simulators are based on the Stanford University program
SUPREM IV which has implemented in commercial TCAD simulators (like Sentaurus
Sprocess or Silvaco Athena).
Numerical approaches are not limited by the initial and boundary conditions as in the case of
analytical solutions.
The below derivation is from Crank, “The Mathematics of Diffusion” book (Source of
Plummer book for this part):
Consider a plane sheet in which a concentration gradient of dopants exists initially as shown in
below figure. We wish to calculate how distribution of dopants changes with time (numerically
so that we can use computers to solve them). We partition the sheet into layers, each having a
thickness Δ𝑥, and label the concentrations at three adjacent interfaces as 𝐶0 , 𝐶1 , 𝑎𝑛𝑑 𝐶2 . The
dotted lines at R and S denote the mid-sections of the two adjacent layers.

𝑸
𝑸

𝒙 𝒙

C (cm-3)

From Fick’s first law:


𝑑𝐶
𝐹 = −𝐷
𝑑𝑥
Let’s define: QR as number of dopants per unit-area entering the shaded layer in a short time of
Δ𝑡. This can be written as in terms of flux as:
𝑄𝑅 = 𝐹𝑅 Δ𝑡
𝑑𝐶 𝐶1 − 𝐶0
𝐹𝑅 = −𝐷 ≅ −𝐷
𝑑𝑥 Δ𝑥

40
𝐷Δ𝑡
∴ 𝑄𝑅 = − (𝐶 − 𝐶0 )
Δ𝑥 1
Similarly the number of dopants flowing out per unit-area in a short time, Δ𝑡 is given by 𝑄𝑆 :
𝐷Δ𝑡
𝑄𝑆 = − (𝐶 − 𝐶1 )
Δ𝑥 2
Total number of atoms gained in the shaded area in Δ𝑡 𝑡𝑖𝑚𝑒, is given by:
𝑇𝑜𝑡𝑎𝑙 𝑎𝑡𝑜𝑚𝑠 𝑔𝑎𝑖𝑛𝑒𝑑 𝑖𝑛 𝑡ℎ𝑒 𝑠ℎ𝑎𝑑𝑒𝑑 𝑎𝑟𝑒𝑎 = 𝑄𝑅 − 𝑄𝑆
Let’s take 𝐶1 → average concentration in the narrow shaded element (one side more than C1
and other side less than C1 – see the above figure) and 𝐶1+ →average concentration after Δ𝑡
time.
𝐷Δ𝑡 𝐷Δ𝑡
(𝐶1+ − 𝐶1 )Δ𝑥 = 𝑄𝑅 − 𝑄𝑆 = − (𝐶1 − 𝐶0 ) + (𝐶 − 𝐶1 )
Δ𝑥 Δ𝑥 2
Δ𝑥 is needed to convert volumetric concentration (𝐶) to planar concentration (Q).
𝐷Δ𝑡
∴ (𝐶1+ − 𝐶1 )Δ𝑥 = (𝐶 − 2𝐶1 + 𝐶0 )
Δ𝑥 2
𝑫 𝒕
𝑪+
𝟏 − 𝑪𝟏 = (𝑪 − 𝟐𝑪𝟏 + 𝑪𝟎 )
𝒙𝟐 𝟐
In general, one can write:
𝑫 𝒕
𝑪+
𝒊 − 𝑪𝒊 = (𝑪 − 𝟐𝑪𝒊 + 𝑪𝒊−𝟏 )
𝒙𝟐 𝒊+𝟏
𝐷Δ𝑡 1
By choosing =2
Δ𝑥

𝟏
𝑪+
𝒊 − 𝑪𝒊 = (𝑪 − 𝟐𝑪𝒊 + 𝑪𝒊−𝟏 )
𝟐 𝒊+𝟏
1 1
𝐶𝑖+ = 𝐶𝑖 − 𝐶𝑖 + (𝐶𝑖+1 + 𝐶𝑖−1 ) = (𝐶𝑖+1 + 𝐶𝑖−1 )
2 2
This relationship enables us to easily calculate the dopant concentration at a point at time 𝑡 +
Δ𝑡, if we know the concentration 𝐶𝑖+1 and 𝐶𝑖−1 (at the two neighbouring points at time t).
𝐷Δ𝑡 1
The condition = 2, also represents the maximum value of numeric interval that can be used
Δ𝑥
before the numerical solution becomes unstable.
This very simple derivation allows us to solve the diffusion equations for any arbitrary initial
dopant profile. It provides an introduction to the numerical solution of diffusion equation which
takes an heightened importance when the diffusivity is no longer constant and when the
diffusion of one species affects the motion of another.

41
5 Modification to Fick’s Law to account for Electric Field Effects
When the doping concentration exceeds the intrinsic carrier concentration at the diffusion
temperature, electric fields set up by the doping atoms can affect the diffusion process. This is
an example of an extrinsic effect which is not observed below the intrinsic carrier
concentration.
Using Fick’s first law:
𝜕𝐶
𝐹𝑡𝑜𝑡𝑎𝑙 = 𝐹 + 𝐹 ′ = −𝐷 + 𝐶𝑣 (1)
𝜕𝑥

Additional flux due to the electric field is given by: 𝐹 ′ = 𝐶𝑣


Using Fick’s second law:
𝜕𝐶 𝜕 𝜕𝐶 𝜕 𝜕 𝜕𝐶 𝜕𝐶
= (𝐷 ) − (𝐶𝑣) = (𝐷 ) − 𝑣
𝜕𝑡 𝜕𝑥 𝜕𝑥 𝜕𝑥 𝜕𝑥 𝜕𝑥 𝜕𝑥 (2)

Most common source of force on diffusing particle is the internal electric field. The electric
field can be generated by the diffusing species itself if the dopant concentrations are high
enough. Origin of electric field: Higher mobility of electrons and holes compared to the dopant
species. For example: Consider an abrupt arsenic profile. Electrons from donor atoms will tend
to diffuse ahead of the profile because they have higher mobility. This leaves behind the
positively charged (ionized) donor arsenic atoms. Thus, an electric field is set up which act on
the charged donor atoms—tending to drag them into the bulk, and hence enhancing diffusivity.
To relate the ′𝑣 ′ 𝑤𝑖𝑡ℎ 𝑐𝑎𝑟𝑟𝑖𝑒𝑟 𝑐𝑜𝑛𝑐𝑒𝑛𝑡𝑟𝑎𝑡𝑖𝑜𝑛:
𝑑𝜓
𝑣 = 𝜇𝜉 = 𝜇 (− ) (3)
𝑑𝑥

𝐸𝐹 − 𝐸𝑖 𝑞𝜓
𝑛 = 𝑛𝑖 exp ( ) = 𝑛𝑖 exp ( ) (4)
𝑘𝑇 𝑘𝑇

𝑘𝑇 𝑛
𝜓= ln ( ) (5)
𝑞 𝑛𝑖

𝐷 𝑘𝑇 𝑞𝐷
= → 𝜇= (6)
𝜇 𝑞 𝑘𝑇

Let’s substitute eq. (5) and eq. (6) in eq. (3)


𝑞𝐷 𝜕 𝑘𝑇 𝑛 𝜕 𝑛
𝑣= (− { ln ( )}) = −𝐷 {ln ( )}
𝑘𝑇 𝜕𝑥 𝑞 𝑛𝑖 𝜕𝑥 𝑛𝑖

42
Substituting the above equation in eq. (1):
𝜕𝐶 𝜕 𝑛
𝐹𝑡𝑜𝑡𝑎𝑙 = −𝐷 − 𝐷𝐶 {ln ( )} (7)
𝜕𝑥 𝜕𝑥 𝑛𝑖

The above equation can be implemented in two ways:


𝑑
1. The above differential equations (in the right hand side) can be combined (𝑑𝑥 (𝑢𝑣) =
𝑑𝑣 𝑑𝑢
𝑢 𝑑𝑥 + 𝑣 𝑑𝑥 )
𝜕 𝑛
𝐹 = −𝐷𝐶 {ln (𝐶 )}
𝜕𝑥 𝑛𝑖

𝜕 𝑛 1 𝜕 𝑛 1 𝑛 𝜕𝐶 1 𝜕 𝑛
{ln (𝐶 )} = 𝑛 {𝐶 } = 𝑛 + 𝑛 𝐶 { }
𝜕𝑥 𝑛𝑖 𝐶 (𝑛 ) 𝜕𝑥 𝑛𝑖 𝐶 (𝑛 ) 𝑛𝑖 𝜕𝑥 𝐶 (𝑛 ) 𝜕𝑥 𝑛𝑖
𝑖 𝑖 𝑖
𝜕 𝑛 1 𝜕𝐶 1 𝜕 𝑛 1 𝜕𝐶 𝜕 𝑛
{ln (𝐶 )} = + 𝑛 { }= + {ln ( )}
𝜕𝑥 𝑛𝑖 𝐶 𝜕𝑥 ( ) 𝜕𝑥 𝑛𝑖 𝐶 𝜕𝑥 𝜕𝑥 𝑛𝑖
𝑛𝑖
𝜕 𝑛 𝜕𝐶 𝜕 𝑛
𝐹𝑡𝑜𝑡𝑎𝑙 = −𝐷𝐶 {ln (𝐶 )} = −𝐷 − 𝐷𝐶 {ln ( )}
𝜕𝑥 𝑛𝑖 𝜕𝑥 𝜕𝑥 𝑛𝑖
2. Since field-dependence enhances diffusivity, it can directly be accounted in diffusivity
itself:
𝜕𝐶
𝐹 ≅ −𝒉𝐷
𝜕𝑥
Where h is the diffusivity enhancement factor due to the electric field effects (maximum
value it can go is ‘2’).

In some cases (when there are dopants at different concentrations, field can cause even bigger
changes in the diffusivity of low-concentration dopant), the above equation using enhancement
factor fails. One such case is shown below: The background boron concentration is altered due
to the electric-field effect (which attracts more boron ions near the surface) whereas the boron
ion concentration has no gradient initially (i.e. uniformly distributed).

43
+ Arsenic Ions
+ − Boron Ions
+
+ +
+


NMOS below shows the similar effect of the implanted channel doping on the background
boron concentration. Without electric field, no lateral changes whereas with electric field,
significant changes laterally as well.

Fermi Diffusion Model (in Sprocess Tool):

44
6 Concentration Dependent Diffusion Model:

At low dopant concentration, experimental profile looks like Gaussian or erfc. However, at
higher dopant concentration (beyond intrinsic), simple profiles like Gaussian or erfc can’t
explain the experimental data. At high doping concentrations, profile looks box-like
experimentally. It could be due to the diffusivity enhancement at higher doping concentration.

7 Additional Practice Problems:

45
8 Ion-Implantation

9 Tools to simulate:

https://cleanroom.byu.edu/implantcal
https://phet.colorado.edu/sims/html/diffusion/latest/diffusion_all.html
Concentration dependent Diffusion:
https://nanohub.org/resources/prolabcdd
SRIM for Ion Implantation:
http://www.srim.org/SRIM/SRIMLEGL.htm

10 Industrial Manufacturing
https://web.pdx.edu/~davide/Lecture8.pdf

46
47
https://www.aps.org/about/physics-images/archive/tube.cfm

48
https://pv-manufacturing.org/solar-cell-manufacturing/tube-diffusion/

Solid-source diffusion:

Gas-phase diffusion:

49
Commonly used liquid source diffusion:

Very nice video on batch diffusion in solar cells:


https://pv-manufacturing.org/solar-cell-manufacturing/tube-diffusion/

11 Other contents

50
Atomic planes Δ𝑥 apart, with Ni atoms at each plane. Atoms at a plane have an equal probability
to jumping left or right
1. Hopping frequency:
2. Flux from one plane to other plane
Higher concentration to lower concentration – Interesting to see even if the jumping
probability is equal, how atoms move from higher concentration to lower concentration

a. Diffusivity
Number of atoms crossing the plane with 𝑁2 atoms on one side and N1 atoms on the
other is simply:
𝑣𝑏
𝐹= − (𝑁 − 𝑁1 )
2 2
𝑊ℎ𝑦 𝑚𝑖𝑛𝑢𝑠 𝑝𝑟𝑒𝑓𝑎𝑐𝑡𝑜𝑟?
The minus denote the flux is opposite direction to the concentration gradient.

3. Assumption: Considering only atoms hopping to or from plane 𝑖 only.


4. Derivation
5. Numerical solution

12 Bibliography*

51
[1] C. B. 2. h. By Cepheiden - self made (from university scripts and scientific papers).
[Online]. Available: https://en.wikipedia.org/wiki/Back_end_of_line#/media/File:Cmos-
chip_structure_in_2000s_(en).svg.

[2] [Online]. Available: By Own work, CC BY-SA 3.0,


https://commons.wikimedia.org/w/index.php?curid=16935119.

[3] J. D. Plummer, M. D. Deal and P. B. Griffin, Silicon VLSI Technology - Fundamentals,


Practice and Modelilng, Pearson, 2009.

[4] P. Gargini, “Intenational Roadmap for Devices and Systems,” IRDS, 2023.

https://web.pdx.edu/~davide/Lecture8.pdf

https://www.cdeep.iitb.ac.in/slides/A11/EE669/lect22.pdf
CRANK, THE MATHEMATICS OF DIFFUSION BOOK

* ALL THE CONTENTS BOTH TEXT AND FIGURES ARE TAKEN FROM PLUMMER BOOK [3] ARE WITHIN
APOSTROPHES

13 Doubts:
“Resistivity can be thought of resistance that would be measured in the sides of the cube as
shown in Fig. 3-1a. Larger cube would have more area for a given current to flow through but
also more distance for the current to flow, and so would have the same measured resistance
between the edges. In other words, resistivity does not depend on the size of the cube.”
𝐿 𝐿 𝜌
𝑅=𝜌 =𝜌 =
𝐴 𝐿×𝐿 𝐿
For larger cube, resistance should drop by L to maintain 𝜌 constant and hence 𝜌 ≠
𝑅 𝑓𝑜𝑟 𝑐𝑢𝑏𝑒. Need to check with Plummer’s definition.

Why the dose is same during the predeposition and drive-in step.

52
53

You might also like