Chen 2009

You might also like

Download as pdf or txt
Download as pdf or txt
You are on page 1of 6

Dynamic Simulation of Electric Machines

on FPGA Boards
Hao Chen, Song Sun, Dionysios C. Aliprantis, and Joseph Zambreno
Department of Electrical and Computer Engineering Iowa State University, Ames, IA 50011 USA

Simulation Front-end System Specification

Abstract—This paper presents the implementation of an induc-


tion machine dynamic simulation on a field-programmable gate
array (FPGA) board. Using FPGAs as computational engines can
lead to significant simulation speed gains when compared to a
typical PC computer, especially when operations can be efficiently
parallelized on the board. The textbook example of a free accel-
eration followed by a step load change is used to outline the basic Application Analysis
steps of designing an explicit Runge–Kutta numerical ordinary HW/SW Synthesis

differential equation (ODE) solver on the FPGA platform. The


FPGA simulation results and speed improvement are validated
versus a Matlab/Simulink simulation. SW Simulator
HW Accelerator
Simulator

I. I NTRODUCTION
A field-programmable gate array (FPGA) is a reconfig-
High-Speed Link
urable digital logic platform, which allows for the parallel
execution of millions of bit-level operations in a spatially Host Workstation FPGA Boards

programmed environment. Research has been under way on the


modeling and real-time simulation of various electrical power Fig. 1. Conceptual approach for FPGA-based simulation
components using FPGAs as computational [1]–[6] and non-
computational [7], [8] devices. Herein, the goal is to implement
an entire dynamic simulation of an induction machine on a using Very High Speed Integrated Circuit Hardware Descrip-
tion Language (VHDL), synthesized and verified using Xilinx
single FPGA board, as fast as possible (i.e., without being
Integrated Software Environment (ISE), and implemented on
constrained by the requirement of real-time simulation). Even
though an induction machine has been selected, a similar pro- the FPGA development board using Xilinx Embedded Devel-
opment Kit (EDK).
cess can be used to simulate other types of electric machinery.
The textbook example of a free acceleration followed by a step II. I NDUCTION M ACHINE M ODEL
load change is used to outline the basic steps of implementing
an explicit fourth-order Runge–Kutta (RK4) numerical ordinary The equations of a fifth-order squirrel-cage induction ma-
differential equation (ODE) solver on the FPGA platform. chine model in the stationary reference frame are given by [9]:
The individual mathematical operations required by numer- s
vqs = Rs isqs + p(Ls isqs + Lm i′s (1)
qr )
ical integration algorithms are generally simple in terms of s
vds = Rs isds + p(Ls isds + Lm i′s
dr ) (2)
required logic (additions and multiplications). Hence, hardware
implementations can be used to increase efficiency by reducing 0= Rr′ i′s
qr − ωr (Lr idr + Lm isds ) + p(L′r i′s
′ ′s
qr + Lm isqs ) (3)
the overhead introduced by software, thus leading to simulation 0= ′ ′s
Rr idr ′ ′s s ′ ′s
+ ωr (Lr iqr + Lm iqs ) + p(Lr idr + Lm isds ) (4)
speed gains of two orders of magnitude when compared to PCs. P
pωr = 2J (Te − TL ) (5)
Moreover, complex systems requiring the simultaneous solution
of numerous differential equations for simulation are inherently Te = 0.75P Lm(isqs i′s s ′s
dr − ids iqr ) (6)
conducive to a parallel mapping to physical computational where p = dt d
is the differentiation operator; Rs and Rr′ are
resources. Therefore, an FPGA becomes an attractive choice the stator and rotor resistances; Ls and L′r are the stator and
for simulating complex electrical power and energy systems. rotor inductances; Lm is the magnetizing inductance; vqs s
and
This paper presents initial work towards an “ultimate” goal of vds are the qd-axes stator voltages; iqs and ids are the qd-axes
s s s
a fully functional FPGA-based simulation platform, as shown stator currents; i′s
qr and idr are the qd-axes rotor currents; Te
′s
in Fig. 1. Herein, an induction machine model is designed is the electromagnetic torque; TL is the load torque; ωr is the
rotor angular electrical speed; P is the number of poles; and J
This project was financially supported by the “CODELESS: COnfigurable
DEvices for Large-scale Energy System Simulation” project, funded by the is the total rotor inertia.
Electrical Power Research Center (EPRC) at Iowa State University. These equations are used to simulate an induction machine

978-1-4244-4252-2/09/$25.00 ©2009 IEEE 1523


Clock Signal (CLK)

Runge-Kutta ODE Solver


Step 6:
x n1 m x n Te (Eq. 6)
Torque Memory
TL on
xn
Board
ODE Step 5:
s
­ Step 1: k1
Stator v qds Function Vector x n (Eq. 8) ª iqss º
° Step 2: k2
Voltage f (t , x) ®
k3 Update « s »
Input ° Step 3: « ids »
¯ Step 4: k4
x xn « iqrcs »
« s»
« idrc »
s ªvqss (t ) º «Z »
v qds « s » ¬ r¼
¬vds (t ) ¼
­ Step 1: t m tn1 ­ Step 1: x m x n1
° Step 2: t m tn1  0.5h ° Step 2: x m x n1  0.5hk 1
® Step 3: ® Step 3: x m x n1  0.5hk 2
°
t m tn1  0.5h °
¯ Step 4: t m tn1  h ¯ Step 4: x m x n1  hk 3

Fig. 2. FPGA implementation of induction machine simulation

free acceleration (where TL = 0) followed by a step load k2 = f (tn−1 + 0.5h, xn−1 + 0.5hk1 ) (11)
change (where TL is stepped to its rated value). The machine is k3 = f (tn−1 + 0.5h, xn−1 + 0.5hk2 ) (12)
excited by a balanced sinusoidal three-phase voltage set, which
k4 = f (tn−1 + h, xn−1 + hk3 ) . (13)
is expressed in qd-axes variables as

2
 From (1)–(6), the system of ODEs can be expressed in the form
− 31 − 31

Vs cos(ωe t) px = f (t, x) by
 s 
vqs  3

s 
vds 1 1  2π 
=  0 − √3 √3  Vs cos(ωe t − 3 ) s
pi = Ai + Bvqds (14)
v0s Vs cos(ωe t + 2π3 )
1 1 1
(7) pωr = 0.375P 2 Lm s ′s
(iqs idr − isds i′s P
(15)
qr ) − 2J TL
2 2 2
  J
Vs cos(ωe t)
= −Vs sin(ωe t) . where
T
0 i = isqs isds i′s ,

qr i′s
dr
Note that the zero-axis voltage is zero, and can be neglected in s
vqds
 s
= vqs vds s
T
,
this case.
L2
 
Rs Lm R′r Lm
− σL − σLsmL′ ωr σLs L′r − σL ωr
III. FPGA I MPLEMENTATION  L2 s r s
Lm R′r

 m ω Rs Lm
r − σL σLs ωr

A =  σLLs LRr σLs L′r
,
 ′ 
s
A. Simulation Architecture Lm R′ 1
σL′r ωr − σLr′ σ ωr
 m s′ 
 σLs Lr r 
The transient response of the electric machine is obtained by Lm
− σL ′ ωr
Lm Rs
− σ1 ωr
R′
− σLr′
σLs L′r
the RK4 numerical integration algorithm [10]. This is a fixed- r r

1
step explicit integration algorithm, which is based on simple
 
σLs 0
numerical calculations (additions and multiplications), and is  0 1
σLs

B = − Lm ,
 
thus straightforward to implement on the FPGA. The RK4  σLs L′r 0
method for the initial value problem (px = f (t, x), x(t0 ) = x0 )

0 − σLLsmL′
is described by: r

and σ = 1−L2m /Ls L′r .


The state variables are isqs , isds , i′s
qr , idr ,
′s
xn = xn−1 + h6 (k1 + 2k2 + 2k3 + k4 ) (8)
and ωr . The input variables are vqs , vds , and TL . The output
s s
tn = tn−1 + h (9) variable is Te given by (6). Herein, this output is only selected
where xn is the RK4 approximation of x(tn ) (i.e., the exact as an example to highlight the computational step of calculating
solution), h is the time step, and outputs that depend on the model states.
As shown in Fig. 2, four functional modules are used to es-
k1 = f (tn−1 , xn−1 ) (10) tablish the induction machine model. The ‘Stator Voltage Input’

1524
module is responsible for the generation of vqs s
and vds
s
. The iteration
s
v qds (tn1 )
x n1
‘ODE Function’ and ‘Vector Update’ modules constitute the n-1

RK4 solver. The ‘Torque’ module implements the calculation Step 1


Stator ODE Function
of the ‘output’ (6). These modules have been developed using Voltage Input f (tn1 , x n1 )
VHDL in ModelSim [11], which is a verification and simulation (13 Clock Cycles) (17 Clock Cycles)
tool for VHDL designs. All variables and parameters are repre- k1
Step 2
sented as signed fixed-point numbers with 24 bits representing Vector Update
s
v qds (tn1  0.5h) (9 Clock Cycles)
the integral part, and 32 bits representing the fractional part.
x n1  0.5hk1
This provides a numerical range that can accommodate every ODE Function
variable involved in the simulation, with a resolution of 2−32 . f (tn1  0.5h, x n1  0.5hk1 )
(17 Clock Cycles)
Every RK4 iteration shown in Fig. 3 consists of six steps. k2
The ‘ODE Function’ module executes the evaluation of (14) Step 3
Stator Vector Update
and (15). The ‘Vector Update’ module is responsible for the Voltage Input (9 Clock Cycles)
alteration of x in f (t, x) during step 2, 3 and 4, as well (13 Clock Cycles) x n1  0.5hk 2
as the calculation of (8) in step 5. Since vqs s
and vdss
in ODE Function
iteration f (tn1  0.5h, x n1  0.5hk 2 )
(14) are dependent on the time t, the ‘Stator Voltage Input’ n (17 Clock Cycles)
module should generate the appropriate vqs s
and vdss
for the k3
‘ODE Function’ module. Specifically, vqs (tn−1 + 0.5h) and
s
Vector Update Step 4
s
v qds (tn1  h)
s
vds (tn−1 + 0.5h) are generated during step 1 and stored for the (9 Clock Cycles)
usage of the ‘ODE Function’ module in step 2 and step 3, while x n1  hk 3
s
vqs (tn−1 + h) and vds s
(tn−1 + h) are generated during step 3 ODE Function
f (tn1  0.5h, x n1  hk 3 )
and stored for the usage of the ‘ODE Function’ module in step (17 Clock Cycles)
4 and step 1 of the next iteration. Note that the ‘Stator Voltage k4
Step 5
Input’ module and the ‘ODE Function’ module are executed in Vector Update
parallel in step 1. A similar parallel execution is also performed (9 Clock Cycles) x n Memory
in step 3. On the other hand, the ‘ODE Function’ module and on
Step 6 Board
the ‘Vector Update’ module have to be executed in serial pattern
because the inputs of one strictly depend on the outputs of the
s
v qds (tn1  h) xn Torque
(5 Clock Cycles) Te (tn )
other.
To design a sinusoidal function involved in the ‘Stator ODE Function
iteration f (tn , x n )
Voltage Input’ module, a look-up table approach is followed. n+1 (17 Clock Cycles)
The value of sin(x) is obtained from a 1000-element long look-
up table, while cos(x) is obtained from the same table using
an offset of π/2, which corresponds to a searching index offset
Fig. 3. RK4 iteration process
of 250 in the look-up table. As shown in Fig. 4, the START
signal (active high) starts the sin–cos function block, and the
DONE signal (active high) signifies the end of operations. The CLK DONE
operations are allocated in six clock cycles, as follows: START sin-cos sin(x)
1. calculate x 2π
1
(a multiplication operation); x
function cos(x)
2. obtain the fractional part of |x 2π
1
|: xf ;
3. calculate N xf , where N = 1000; START
4. obtain sin(|x|) from the look-up table, where the searching
index is the integer part of N xf : xi ; DONE
5. obtain cos(x) from the look-up table, where the searching
index is xi +250; meanwhile, determine the value of sin(x) CLK
according to sin(|x|) and the sign of x; 1 2 3 4 5 6
6. write the values of sin(x) and cos(x) to the output ports.
The ‘ODE Function’ module shown in Fig. 5 includes three Fig. 4. sin–cos function block
blocks. The ‘Matrix Calculation’ block which yields the ma-
trix A (=[aij ]4×4 ) in (14) is implemented using one multiplier
and one subtracter. The elements (a21 , a23 , a32 , a34 ) in A, result. The remaining elements are stored in advance because
which involve multiplications with ωr , are obtained from the they are constants. The evaluation of (14) is executed by the
multiplier. The other four elements (a12 , a14 , a41 , a43 ) also ‘Equation Group’ block, which is implemented using a 5-stage
associated with ωr are easily obtained from the subtracter tree-shaped pipelined multiplier and adder structure, shown in
since each one is the opposite of a former multiplication Fig. 6 [12], [13]. The evaluation of (15) is executed by the ‘5th

1525
s
v qds DONE_M = 0 DONE_E = 0
START = 0
START_G

START_M DONE_M DONE


Matrix DONE_G ST0 ST1 ST2
Zr Calculation
A Equation START_M = 0
START_G = 0
START = 1 START_M = 0
START_G = 0
DONE_M = 1 START_M = 0
START_G = 0
(6 Clock Cycles) Group f1 , f 2 , f3 , f 4 START_M = 1 START_M = 0
START_E = 0 START_E = 0 START_E = 0

`
f START_G = 0 START_G = 1
START i (8 Clock Cycles) START_E = 0 START_E = 1

CLK
f5
DONE_E = 1

T START_E
i ª¬i
s s
i ics
ic º¼
s
i qs ds qr dr i 5th Equation
ST3
TL (6 Clock Cycles) DONE_E
legend: ST4 START_M = 0
state START_G = 0
DONE = 0
state transition START_E = 0
signals condition DONE_G = 1
signals
DONE = 1
Fig. 5. ODE function module DONE_G = 0

bk v ai1 iqss ai 2 idss ai 3 iqrcs ai 4 idrcs


Fig. 7. State diagram of FSM for ODE function module
stage 1 (5 x) A = ª¬ aij º¼
4u4 TABLE I
X ILINX V IRTEX –5 XC5VLX110T R ESOURCES U SAGE S UMMARY
ª b1 0º
stage 2 (2 +) «0 b1 » Logic Utilization Used Available
B=« »
«b2 0»
stage 3 (1 +) « » Number of 7722 69120
¬0 b2 ¼ Slice Registers
stage 4 (1 +) b1
1 Number of Slice 27503 69120
V Ls LUTs (Look Up Tables)
Lm
stage 5 (store) store b2  Number of 28927 69120
V Ls Lrc
LUT-FF (Flip Flop) pairs
START_G Number of DSP48Es 55 64

DONE_G

completely independent. Note that the number of clock cycles


CLK required for the ‘ODE Function’ module is not 14 = 6 + 8
i 1, k 1 (as implied by Fig. 5) but 17 = 6 + 8 + 3 (as shown in
5x 2+ 1+ 1+ store
v vqss
Fig. 3), because the FSM introduces 3 extra clock cycles. A
i 2, k 1
v vdss
5x 2+ 1+ 1+ store similar FSM is also used to coordinate the behaviors of the four
i 3, k 2
modules in Fig. 2, and adds an overhead of 12 clock cycles per
v vqss 5x 2+ 1+ 1+ store iteration, so that the total number of clock cycles per iteration
i 4, k 2 is 121 = 17 + 9 + · · · + 9 + 5 + 12 (see Fig. 3).
5x 2+ 1+ 1+ store
v vdss
B. Synthesis and Implementation
Fig. 6. 5-stage pipeline structure and operation After the functionality and results of all modules designed
using VHDL were validated in the ModelSim environment,
the Xilinx ISE was used to develop, synthesize and verify
Equation’ block, which is implemented using one multiplier the substantial top-level wrapper module together with the
and one subtracter. machine model. The target FPGA device was Xilinx Virtex-5
A finite state machine (FSM) [14], shown in Fig. 7, is XC5VLX110T [15]. The post-place and route report presented
designed to coordinate the behaviors of these three blocks. The the FPGA hardware resources usage as shown in Table I, and
START and DONE signals (also shown in Fig. 5) correspond to that the maximum frequency of the clock signal that can be
the main ‘ODE Function’ module. Each internal block has its applied is 89.735 MHz. Generally, the consumption of FPGA
own operation-start signal (START x) and operation-end signal hardware resources increases with model complexity. Note that
(DONE x), where ‘x’ can be either ‘M’ (‘Matrix Calculation’ the entire design for the machine model must fit within the
block), ‘G’ (‘Equation Group’ block), or ‘E’ (‘5th Equation’ resource limitation of the target FPGA device. Otherwise, an
block). For example, START M is activated (START M = 1) FPGA device with more hardware resources should be chosen
as soon as START is active. After completing the matrix calcu- or the machine model should be redesigned in order to meet
lation, the ‘Equation Group’ block and the ‘5th Equation’ block the requirement of the FPGA device.
are executed in a parallel pattern (START G and START E The final system was integrated on a XUPV5-LX110T devel-
are activated at the same time) because these two blocks are opment board [16], which features the XC5VLX110T device.

1526
TABLE II
MPMC I NDUCTION M ACHINE AND V OLTAGE S OURCE PARAMETERS
MPMCModuleInterface
DDR2 SDRAM
DDR2SDRAM SPLB
Rs 0.087 Ω P 4
Rr′ 0.228 Ω J 1.662 Kg·m2
plb_machine MicroBlaze
Ls 35.5 mH ωe 2π60 rad/s
35.5 mH 460 2/3 V
p
SPLB DPLB DPLB L′r Vs
Lm 34.7 mH Prated 50 HP

mb_plb

XilinxVirtexͲ5XC5VLX110T from the memory at the end of each simulation using the Xilinx
Microprocessor Debugger.
BusMaster BusSlave The exact same machine model was also implemented in
Matlab/Simulink. The verification of the results coming from
MPMC:MultiͲPortMemoryController
the FPGA implementation was performed versus a Simulink
SPLB:SlaveProcessorLocalBus
simulation using the ODE23tb solver with a maximum time
DPLB:DataProcessorLocalBus step of 10−5 s. Fig. 9 shows the transient response of all
five state variables and the electromagnetic torque during free
Fig. 8. Implementation architecture
acceleration followed by a step load change (at t = 1 s) from
both FPGA board and Simulink. The results from the FPGA
are superimposed on the Simulink waveforms, but they are so
Besides FPGA logic blocks, this device has the embedded
close that differences cannot be distinguished.
MicroBlaze soft processor whose software is written in C. The
Xilinx EDK was used to co-design the software and hardware of To compare simulation speed, we ran the simulation using
the device. The architecture of this embedded system is shown the ODE45 and ODE23 integration algorithms of Simulink
in Fig. 8. The machine model was implemented in the FPGA with maximum step size of 10−4 s (typically the two “sim-
hardware denoted by ‘plb machine.’ The software exchanges plest” available solvers), because they are implementations of
data with ‘plb machine’ through the CoreConnect Processor the explicit Runge–Kutta algorithm, albeit of a variable-step
Local Bus (PLB) denoted by ‘mb plb.’ The MicroBlaze is nature. The simulation speed was further increased by using
the ‘master’ device on the ‘mb plb,’ while other devices are the ‘Accelerator’ mode of Simulink, which replaces normal
considered ‘slaves.’ The MicroBlaze generates the clock signal, interpreted code with compiled code. The simulation times on
and is responsible for coordinating data exchanges between the an Intel Core2 Duo 2.2 GHz computer were 2.7 s for ODE45,
FPGA hardware and software. and 2.0 s for ODE23. The FPGA simulation time was 36.6 ms,
which represents a speed-up of two orders of magnitude. The
When the system starts up, the MicroBlaze sends an ini-
simulation time will be further decreased if the clock frequency
tialization signal and initial state values to the ‘plb machine.’
can be set to a higher value, if the simulation time step h is
Then it launches the simulation, and begins controlling the
increased, or if a lower order integration algorithm (e.g., the
execution of iterations, sending/updating the input data (i.e.,
trapezoidal algorithm) is used.
TL ) to the ‘plb machine’ at the beginning of each time step
calculation. The simulation output data (i.e., xn and Te ) are
stored in the registers of ‘plb machine’ as soon as each iteration V. C ONCLUSION
is completed. While the next iteration proceeds in the FPGA,
the MicroBlaze reads the data from the registers, and writes This paper presented the FPGA implementation of an induc-
it on the DDR2 memory embedded on the development board tion machine dynamic simulation, using the RK4 numerical
through ‘mb plb.’ In other words, the execution of iteration integration algorithm. The entire machine model has been
n + 1 in ‘plb machine’ takes place in parallel with the action developed using VHDL, synthesized, and implemented on an
of sending the data of iteration n to memory. FPGA board. An optimal VHDL design should be sought
for the purpose of economizing FPGA hardware resources,
IV. S IMULATION R ESULTS especially when the model has high complexity. A compari-
son between the simulation results from FPGA and Simulink
The parameters of the induction machine and voltage source demonstrates the validity of this implementation. Simulation
used for simulation are shown in Table II. Based on the options speed gains of two orders of magnitude demonstrate the perfor-
provided by the Xilinx EDK, the Processor-Bus clock frequency mance advantage of FPGAs compared to PC-based simulations.
was set to 66.67 MHz, a value less than the maximum clock FPGAs represent an interesting possibility for simulating more
frequency (89.735 MHz) in the post-place and route report of complex electrical power and power electronics-based systems
the Xilinx ISE. The simulation time-step h was 10−4 s. In because of their flexibility, high processing rates and possibility
this simple implementation, the simulation data were retrieved to parallelize numerical integration computations.

1527
Simulink FPGA

500

i sqs (A)
0
−500

0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2

500
i sds (A)

0
−500

0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2

500
qr (A)

0
i ′s

−500

0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2

500
dr (A)

0
i′s

−500

0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2


400
ωr (rad/s)

200

0
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
2000
Te (N·m)

−2000
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
Time (s)

Fig. 9. Free acceleration characteristics of induction machine

R EFERENCES for the real time digital simulator,” in Int. Conf. Power Syst. Transients,
Montreal, Canada, Jun. 2005.
[8] C. Dufour, J. Bélanger, S. Abourida, and V. Lapointe, “FPGA-based real-
[1] M. Martar, M. Adbel-Rahman, and A.-M. Soliman, “FPGA-based real- time simulation of finite-element analysis permanent magnet synchronous
time digital simulation,” in Int. Conf. Power Syst. Transients, Montreal, machine drives,” in IEEE Power Electron. Spec. Conf., Jun. 2007, pp.
Canada, Jun. 2005. 909–915.
[2] P. Le-Huy, S. Guérette, L. A. Dessaint, and H. Le-Huy, “Real-time [9] P. C. Krause, O. Wasynczuk, and S. D. Sudhoff, Analysis of Electric
simulation of power electronics in power systems using an FPGA,” in Machinery and Drive Systems, 2nd ed. IEEE Press, 2002.
Canadian Conf. Electr. Comp. Eng., May 2006, pp. 873–877. [10] W. Gautschi, Numerical Analysis: An Introduction. Boston: Birkhäuser,
[3] ——, “Dual-step real-time simulation of power electronic converters 1997.
using an FPGA,” in IEEE Int. Symp. Ind. Electron., Montreal, Canada, [11] ModelSim User’s Manual Software Version 6.4a, Mentor Graphics Cor-
Jul. 2006, pp. 1548–1553. poration, Wilsonville, Oregon.
[4] J. C. G. Pimentel and H. Le-Huy, “Hardware emulation for real-time [12] P. P. Chu, RTL Hardware Design Using VHDL: Coding for Efficiency,
power system simulation,” in IEEE Int. Symp. Ind. Electron., Montreal, Portability, and Scalability. Hoboken, New Jersey: Wiley-Interscience,
Canada, Jul. 2006, pp. 1560–1565. 2006.
[5] J. C. G. Pimentel, “Implementation of simulation algorithms in FPGA [13] J. Cavanagh, Verilog HDL: Digital Design and Modeling. Boca Raton,
for real time simulation of electrical networks with power electronics Florida: CRC Press, 2007.
devices,” in IEEE Int. Conf. Reconfig. Comp. & FPGA’s, Sep. 2006, pp. [14] V. A. Pedroni, Circuit Design with VHDL. Cambridge, Massachusetts:
1–8. MIT Press, 2004.
[6] G. G. Parma and V. Dinavahi, “Real-time digital hardware simulation of [15] Xilinx Virtex-5 FPGA Data Sheet. [Online]. Available: http://www.
power electronics and drives,” IEEE Trans. Power Del., vol. 22, no. 2, xilinx.com/onlinestore/silicon/online store v5.htm
pp. 1235–1246, Apr. 2007. [16] XUPV5-LX110T User Manual. [Online]. Available: http://www.xilinx.
[7] T. Maguire and J. Giesbrecht, “Small time-step (< 2µSec) VSC model com/univ/xupv5-lx110T-manual.htm

1528

You might also like