Professional Documents
Culture Documents
UD001 4.7 Hardware UserManual
UD001 4.7 Hardware UserManual
UD001 4.7 Hardware UserManual
This Documentation contains trade secrets or otherwise confidential information owned by Siemens Industry
Software Inc. or its affiliates (collectively, “Siemens”), or its licensors. Access to and use of this Documentation is
strictly limited as set forth in Customer’s applicable agreement(s) with Siemens. This Documentation may not be
copied, distributed, or otherwise disclosed by Customer without the express written permission of Siemens, and may
not be used in any way not expressly authorized by Siemens.
This Documentation is for information and instruction purposes. Siemens reserves the right to make changes in
specifications and other information contained in this Documentation without prior notice, and the reader should, in
all cases, consult Siemens to determine whether any changes have been made.
No representation or other affirmation of fact contained in this Documentation shall be deemed to be a warranty or
give rise to any liability of Siemens whatsoever.
If you have a signed license agreement with Siemens for the product with which this Documentation will be used,
your use of this Documentation is subject to the scope of license and the software protection and security provisions
of that agreement. If you do not have such a signed license agreement, your use is subject to the Siemens Universal
Customer Agreement, which may be viewed at https://www.sw.siemens.com/en-US/sw-terms/base/uca/, as
supplemented by the product specific terms which may be viewed at https://www.sw.siemens.com/en-US/sw-
terms/supplements/.
SIEMENS MAKES NO WARRANTY OF ANY KIND WITH REGARD TO THIS DOCUMENTATION INCLUDING,
BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR
PURPOSE, AND NON-INFRINGEMENT OF INTELLECTUAL PROPERTY. SIEMENS SHALL NOT BE LIABLE
FOR ANY DIRECT, INDIRECT, INCIDENTAL, CONSEQUENTIAL OR PUNITIVE DAMAGES, LOST DATA OR
PROFITS, EVEN IF SUCH DAMAGES WERE FORESEEABLE, ARISING OUT OF OR RELATED TO THIS
DOCUMENTATION OR THE INFORMATION CONTAINED IN IT, EVEN IF SIEMENS HAS BEEN ADVISED OF
THE POSSIBILITY OF SUCH DAMAGES.
TRADEMARKS: The trademarks, logos, and service marks (collectively, "Marks") used herein are the property of
Siemens or other parties. No one is permitted to use these Marks without the prior written consent of Siemens or the
owner of the Marks, as applicable. The use herein of third party Marks is not an attempt to indicate Siemens as a
source of a product, but is intended to indicate a product from, or associated with, a particular third party. A list of
Siemens' Marks may be viewed at: www.plm.automation.siemens.com/global/en/legal/trademarks.html. The
registered trademark Linux® is used pursuant to a sublicense from LMI, the exclusive licensee of Linus Torvalds,
owner of the mark on a world-wide basis.
Siemens Digital Industries Software is a leading global provider of product life cycle management (PLM) software
and services with 7 million licensed seats and 71,000 customers worldwide. Headquartered in Plano, Texas,
Siemens Digital Industries Software works collaboratively with companies to deliver open solutions that help them
turn more ideas into successful products. For more information on Siemens Digital Industries Software products and
services, visit www.siemens.com/plm.
Note
The previous versions of this document contained section, table, and figure numbers that are
no longer relevant. The current version of this document does not include section numbers.
Also, tables and figures follow a different nomenclature. Therefore, this revision history does
not include hyperlinks.
This document has been converted to the Siemens documentation standards in revision 4.06
which supports both HTML and PDF outputs.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Revision Date Author Comments
1.6 2013/07/09 cfranke updated sections about extension
boards: EB-PDS-MGT-MMCX-R1,
EB-PDS-FMC-R1, FMC-
PROFPGA-R1
1.7 2013/07/11 msteinacker updated chapter 6.7 4-way
Interconnect (IC-PDS-4WAY-R1)
1.8 2013/07/11 mdjekic updated figures in sections about IC-
PDS-EW-R2 and IC-PDS-NS-R2
1.9 2013/07/18 ddukaric Updated section PCIe Cable Kit
1.10 2013/07/25 mberger corrected clock #0 frequency to 100
MHz
power-up sequence corrected
proFPGA PCIe DMBI Kit added
more details to FPGA modules added
proFPGA PCIe gen2 8-lane Kit
corrected
EB-PDS-DEBUG-R1 added
MGT Debug Board corrected
FMC Mezzanine Board adapter
corrected
FMC Carrier Board adapter corrected
1.11 2013/07/29 mberger some images corrected which were
not visible correctly in PDF view
1.12 2013/08/15 msteinacker added chapter for EB-PDS-USB3-R1
added comment in chapter 5.22.1
added changes regarding ac coupling
of MGT board
1.13 2013/08/27 sfluegel update to new document naming
style
1.14 2013/08/27 sfluegel complete review
1.15 2013/09/03 msteinacker updated chapter 5.41 USB 3.0 Board
(EB-PDS-USB3-R1)
1.16 2013/09/10 msteinacker reviewed
1.17 2013/11/28 sfluegel TOC updated
1.18 2014/01/16 mberger MB-2M and MB-1M added; MB-4M
updated
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Revision Date Author Comments
1.19 2014/01/30 kkohl Added chapter 5.8 – EB-PDS-DDR3-
SODIMM-R2
1.20 2014/02/12 mberger Added chapter 5.43 GBit Ethernet
Board (EB-PDS-GBITETHERNET-
R1)
1.21 2014/03/14 cfranke Changed revision of FMC extension
board (EB-PDS-FMC) to R2
1.22 2014/03/18 sfluegel images compressed
1.23 2014/04/09 mberger (re-)added EB-PDS-FMC-R1
1.24 2014/04/14 droeder Added chapter 5.44 DVI Input and
Output Board (EB-PDS-DVI-R1)
1.25 2014/04/22 sfluegel added MGT pins to 2000T floorplan
1.26 2014/04/22 msteinacker added chapter 5.61 Riser Board (EB-
PDS-RISER-R1)
1.27 2014/05/15 msteinacker added chapter 5.45 QSFP+ Extension
Board (EB-PDS-QSFP+-R1)
added chapter 5.28 PCIe 4-lane host
interface card
(PCIex4_HostCableAdaptor-R1)
updated chapter 3.2.4 proFPGA PCIe
DMBI Kit
1.28 2014/06/11 droeder added chapter 5.47 MIPI DPHY RX
(EB-PDS-MIPI-DPHY-RX-R1)
1.29 2014/06/26 droeder updated chapter 5.47 MIPI DPHY
RX (EB-PDS-MIPI-DPHY-RX-R1)
1.30 2014/07/29 droeder updated chapter 5.55.2 Table 341:
EB-PDS-DVI-R1– extension board
operating conditions
FPGA Extension Site Compatibility
updated chapter 5.47.3 Table 358:
EB-PDS-MIPI-DPHY-RX-R1 –
extension board operating conditions
FPGA Extension Site Compatibility
1.31 2014/09/11 cfranke added chapter 4.2 concerning Zynq
FMs
added chapter 5.2
updated table 65
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Revision Date Author Comments
1.32 2014/09/25 cfranke updated chapter 4.2 Zynq7000 FPGA
Modules
1.33 2014/10/27 cfranke added chapter regarding X485T
FPGA
1.34 2014/11/26 cfranke Added chapter 5.16 proFPGA
Interface Board
1.35 2014/11/26 kkohl Added chapter 5.17 proFPGA EB-
PDS-SATA-R2
1.36 2014/11/26 sfluegel update of EB-PDS-FMC
compatibility matrix, review of
figures
1.37 2015/02/04 msteinacker, updated chapter 5.41.1 Functional
sfluegel Description (USB 3.0 Board (EB-
PDS-USB3-R1))
added description of User FPGA
LEDs (section 4.1.1)
1.38 2015/02/04 cfranke Added EB-PDS-DEBUG-R2
extension board chapter
1.39 2015/02/12 cfranke Updated chapter 4.2 Zynq7000
FPGA Modules
1.40 2015/02/26 mberger Release 2015A
1.41 2015/03/19 msteinacker Added chapter 5.42 USB 2.0(UTMI)
& 3.0(ULPI) Interface Board (EB-
PDS-USB2-3-R1/R2)
1.42 2015/03/25 sfluegel added direction of UART pins
correct connector naming f UNO MB
1.43 2015/04/10 cfranke Figure 64 updated
msteinacker Updated chapter 5.22 PCIe gen1 8-
lane Kit (EB-PDS-PCIe-Cable-R2)
Added chapter 5.24 PCIe gen3 8-lane
Kit (EB-PDS-PCIe-Cable-R5)
Updated chapter 5.44.5 Related
Work
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Revision Date Author Comments
1.44 2015/05/20 msteinacker added chapter 4.3 Virtex Ultrascale
FPGA Module
updated FPGA extension site
compatibility tables with FM-
XCVU440-R1 and FM-
XC7VX485T-R3
1.45 2015/06/12 msteinacker corrected error in broken link for
Table 209 where the table was shown
twice
1.46 2015/07/07 msteinacker updated Figure 64 and Table 51
1.47 2015/07/21 cfranke Added Table 381
1.48 2015/07/22 sfluegel added bank assignment of
XCVU440-R2 FPGA
1.49 2015/08/31 mberger clock frequency range for multi-
motherboard clock distribution added
1.50 2015/09/01 cfranke chapter 5.50 updated
1.51 2015/09/07 mberger Support for CLK_DIR signal
removed for FMC-PROFPGA-R1
and EB-PDS-FMC-R1/R2
1.52 2015/09/10 msteinacker added chapter 5.59 ADC Board (EB-
PDS-ADC250x16-R2)
1.53 2015/09/11 droeder
kkohl
1.54 2015/09/14 mberger IC-PDS-CABLE-R2/R3 added
Zynq 7000 to extension board
compatibility matrices added
extension board sections reorganized
document reviewed
1.55 2015/09/14 mribke added chapter 6.6 Breakout
Board(EB-PDS-BREAKOUT-R1)
1.56 2015/09/16 mberger EB-FM-XCVU440-R1 added
1.57 2015/09/18 mberger EB-PDS-DDR4-R2/R3 added
support email address added
chapter 6.6 updated
1.58 2015/09/22 kkohl EB-PDS-INTERFACE-R2
Description refined
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Revision Date Author Comments
1.59 2015/09/22 mberger images compressed
order codes for EB-FM-XCVU440-
R1 added
1.60 2015/09/29 msteinacker corrected Figure 179
corrected contents of FPGA
extension site compatibility tables for
FM-XC7V2000T-R1
updated Figure 33, Figure 34, Figure
35
1.61 2015/11/06 msteinacker updated Figure 64
updated chapter 5.1 DDR4 Extension
Board with 2.5 Gbyte (EB-PDS-
DDR4-R2/R3)
1.62 2015/11/17 cfranke/ mberger EB-PDS-ARM-EXPRESS-R1 added
1.63 2015/11/19 mberger typo fixed in feature description of
EB-PDS-GBITETHERNET-R1
1.64 2016/01/07 msteinacker updated Table 51
1.65 2016/01/13 msteinacker updated 5.1.4 FPGA Pin Constraints:
added a hint by using the XILINX
MIG to not change the automatically
generated FPGA pin constraints
1.66 2016/02/03 mribke add EB-PDS-RnS-Tester R1, EP-
PDS-DEBUG-R3 and update EB-
PDS-BREAKOUT-R2
1.67 2016/02/04 msteinacker updated Figure 45: Mapping of FM-
XC7VX485T-R3 I/O banks to
connectors. All banks are 7-series
High-Performance banks
(PV_IOmax=1.8V).
updated Table 29: FM-XC7VX485T-
R3 – Board connectors.
Updated chapter 5.1.5 Related Work
updated chapter 5.42.1 Functional
Description
added chapter 5.19 286490
Flash Board (EB-PDS-FLASH-R1/
R2)
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Revision Date Author Comments
1.68 2016/02/12 cfranke added hint to chapter 5.50 regarding
stacking height
chapter 5.50.1 updated
1.69 2016/03/10 msteinacker Updated chapter 5.11 DDR3
Extension Board with 2 Gbyte (EB-
PDS-DDR3-R2) due to component
discontinuation
1.70 2016/03/14 kkohl Added Erratum in SATA Extension
Board (EB-PDS-SATA-R2/R3)
1.71 2016/03/21 mberger License agreement changed; link
fixed in section 5.57; I/O constraints
for clock and sync signals added;
document name of reference
[UD006] fixed; I/O standards of
UART and user LEDs added to each
FPGA module; description for
200 MHz fixed reference clock to
EB-PDS-DDR3-R2/R3 added
1.72 2016/03/30 cfranke Figure 8 updated
1.73 2016/05/03 cfranke Zynq FPGA added to compatibility
lists in chapters 5.22.3, 5.23.3, 5.25.3
1.74 2016/05/04 msteinacker updated chapter 5.1 DDR4 Extension
Board with 2.5 Gbyte (EB-PDS-
DDR4-R2/R3)
1.75 2016/05/18 mribke EB-PDS-MULTIMEMORY-R1
added
1.76 2016/06/06 msteinacker updated chapter 5.41 USB 3.0 Board
(EB-PDS-USB3-R1)
updated chapter 5.23 PCIe gen3 8-
lane Kit (EB-PDS-PCIe-Cable-R2/
R3)
updated chapter 5.24 PCIe gen3 8-
lane Kit (EB-PDS-PCIe-Cable-R5)
PCIe 4-lane host interface card
(PCIex4_HostCableAdaptor-R1)
1.77 2016/06/21 droeder added revision R3 of FMC extension
board
added EB-PDS-FMC-LPC-R1
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Revision Date Author Comments
1.78 2016/07/04 cfranke Warning about limitation of ARM
JTAG connector added to chapters
5.31, 5.32 and 5.33
1.79 2016/07/29 cfranke Table 153, Table 157, Table 53, and
Table 172 updated
1.80 2016/08/10 msteinacker updated Table 203, Table 209
1.81 2016/09/05 msteinacker updated chapter 2.3.2 Clocking
Hardware
updated chapter 2.5 JTAG
updated chapter 2.6 MMI-64
Communication
updated chapter 2.7.1 Clock
Distribution
updated Table 203, Table 209, Table
232
1.82 2016/09/27 msteinacker added chapter 5.26 PCIe Root
Complex and M.2 Extension Board
(EB-PDS-ROOT-COMPLEX-M.2-
R1)
1.83 2016/10/21 droeder added chapter 4.4 Kintex Ultrascale
FPGA Module
added FM-XCVU190-R1
added FM-XCVU160-R1
added FM-XCVU125-R1
added FM-XCVU095-R1
added FM-XCVU080-R1
added FM-XCKU115-R1
updated FPGA extension site
compatibility tables with new FPGA
Modules
1.84 2016/10/28 cfranke chapter 4 reviewed
demo designs updated
1.85 2016/12/12 msteinacker updated Table 203, Table 209, Table
232, Table 238 for Ultrascale
Devices
added additional information for
boards which uses Si5338 clock
generator for better usability
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Revision Date Author Comments
1.86 2017/01/06 cfranke Chapter ‘Known Issues’ added to
5.51 Interface Board (EB-PDS-
INTERFACE-R2)
1.87 2017/01/16 cfranke added EB-PDS-DEBUG-R4 board
chapter
Warnings regarding JTAG debugger
drive strength added to chapters 5.31,
5.32, 5.33 and 0
1.88 2017/01/20 mlangner added package of FPGAs and
minimum firmware requirements,
information regarding 200MHz
refclk of EB-PDS-DDR3-R2/3 board
added
1.89 2017/02/01 cfranke updated Table 60: FM-XCVUxxx
FPGA pin constraints
updated Table 68: FM-XCKU115-R1
FPGA pin constraints
updated chapter 2.3.3
added chapter 4.1.10, 4.2.17, 4.3.10
and 0
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Revision Date Author Comments
1.90 2017/02/08 msteinacker updated chapter 5.1.2 Table 108: EB-
PDS-DDR4-R2/R3 – extension board
operating conditions
FPGA Extension Site Compatibility
updated chapter 5.19.2 Table 187:
EB-PDS-FLASH-R1/R2– extension
board operating conditions
FPGA Extension Site Compatibility,
updated chapter 5.21.2 Table 197:
EB-PDS-SRAM-R1/2 – extension
board operating conditions
FPGA Extension Site Compatibility,
updated chapter 5.22.2 Table 202:
EB-PDS-PCIE-Cable-R2 – extension
board operating conditions
FPGA Extension Site Compatibility
updated chapter 5.23.3 Table 208:
EB-PDS-PCIE-Cable-R3 – extension
board operating conditions
FPGA Extension Site Compatibility
updated chapter 5.25.3 Table 231: :
EB-PDS-PCIE-Cable-R4 – extension
board operating conditions
FPGA Extension Site Compatibility
updated chapter 5.26.3 Table 237:
EB-PDS-ROOT-COMPLEX-M.2-
R1 – extension board operating
conditions
FPGA Extension Site Compatibility
1.91 2017/02/17 mribke Update chapter 5.33
1.92 2017/02/27 mberger Legal information updated, images
compressed
1.93 2017/03/07 msteinacker Added chapter 5.17 LPDDR4
Extension Board with 2 Gbyte (EB-
PDS-LPDDR4-R1)
Added chapter 5.29 Mini PCIe host
interface card
Updated chapter 5.28 PCIe 4-lane
host interface card
(PCIex4_HostCableAdaptor-R1)
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Revision Date Author Comments
1.94 2017/03/20 kkohl Updated chapter 5.50.2 Table 387:
EB-PDS-INTERFACE-R2 –
extension board operating conditions
1.95 2017/04/06 kkohl Corrected 5.57.4 example config
entries
1.96 2017/04/10 kkohl Corrected CLK1/3_SEL in Figure
374
1.97 2017/04/13 cfranke Added chapter 5.3.4
1.98 2017/04/20 droeder Added chapter 4.5 Virtex Ultrascale+
FPGA Module
added FM-XCVU7P-R1
updated FPGA extension site
compatibility tables with new FPGA
Modules
1.99 2017/04/21 mribke Add Chapter 5.2 EB-PDS-DDR4-R4
board
2.0 2017/04/26 msteinacker Added several order codes
Updated titles of memory boards
with capacity
Updated DDR4 memory groups that
can be used in 40- / 48-bit mode
2.1 2017/05/04 kkohl Cross reference restored in 5.57
2.2 2017/05/22 mribke Add DDR4 18Gbyte Board
2.3 2017/06/07 cfranke Table 321 added for VIO voltage
jumper settings
2.4 2017/07/18 droeder Add Stratix 10 FPGA Module
2.5 2017/07/21 msteinacker Updated chapter 5.29 Mini PCIe host
interface card
(MPCIe_HostCableAdapter-R1)
Updated description of Table 109
2.6 2017/07/27 ddukaric Updated sections for 5.2 and 5.3
2.7 2017/08/01 kkohl Updated chapter 5.20
2.8 2017/08/11 mribke Update EB-PDS-DEBUG-SWDIO-
R3
2.9 2017/08/18 cfranke Figure 409 in chapter 6.1 updated/
reworked
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Revision Date Author Comments
2.10 2017/08/23 cfranke Figure 409 in chapter 6.1 simplified
2.11 2017/09/01 droeder Updated Figure 75 and Table 62 in
Chapter 4.4
2.12 2017/09/06 cfranke Wrong reference to non-existing
clock reference manual removed
2.13 2017/09/14 mberger I/O standard for DMBI/UART/LED
signals of 7-Series FPGAs corrected
2.14 2017/09/14 droeder Added Table 99: Stratix10
Temperature Monitor IP Status
2.15 2017/09/15 cfranke Outdated references to AN034
changed to AN037
2.16 2017/11/01 cfranke Removed mistakenly documented
MGT connections in East-West and
North-South EB chapters
2.17 2017/11/17 cfranke Added FM-XCVU9P FPGA module
2.18 2018/02/09 cfranke Added Stratix X Extension Board
Compatibilities
2.19 2018/02/22 mberger Added draft for Zynq Ultrascale+
FPGA modules
2.20 2018/02/22 cfranke Added EB-FM-XCZUxxEG-R2
chapter
3.00 2018/02/23 mribke Add MB-4M-R3 (Generation 2
Motherboard)
3.01 2018/03/02 droeder Added FM-XCVU5P-R1 FPGA
chapter
Added FM-XCVU440-HP-R1 FPGA
chapter
Added FM-1SG280L-S2-R1 chapter
3.02 2018/03/13 kkohl Added chapter Flexible Riser Board
(EB-PDS-FLEXRISER-R1)
3.03 2018/03/13 msteinacker Reviewed chapter Motherboards
Added PCIe DMBI Kit Gen2
Reviewed chapter ZYNQ Ultrascale+
modules
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Revision Date Author Comments
3.04 2018/03/13 Mribke Added a distinction of Generation 1
and Generation 2
motherboards in Chapter:
Systemoverview -> Board Types and
Board Connections, Coordinate
System, Naming Conventions
Added differences between
Generation 1 and Generation 2 in
chapter Clocks, JTAG and MMI64
Added Advice for Multimotherboard
system
Expanded an example for
Multmotherboard system with a
Generation 2 Motherboard
Splitted chapter Motherboards into
Generation 1 and Generation 2
Motherboards
Added list of Generation 2 feautures
Moved Chapter Motherboards ->
PCIe DMBI Kit into Motherboard ->
Generation 1 -> PCIe DMBI Kit
Updated DDR4-R4/R5 compatibility
list
3.5 2018/03/13 vscholz Typo review
3.6 2018/03/15 mribke Added note for multi motherboard
setup with Gen1 and Gen2 in chapter
Mutli-Motherboard-Systems
3.7 2018/03/27 droeder Added FM-1SG280L-S2-R2 chapter
updated Table 232
3.8 2018/04/12 cfranke Fixed Figure 179
3.9 2018/04/16 droeder Added DDR4 Extension Board for
Intel FPGAs with 4 GByte (EB-PDS-
DDR4-R8)
Added Known issues to FM-
1SG280L-R1 chapter
Added Chapter Differences between
FM-1SG280L-R1 and FM-
1SG280L-R2
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Revision Date Author Comments
3.10 2018/04/20 kkohl Updated chapter 5.51.13 SD Card
Slot
Added chapter Interface Board (EB-
PDS-INTERFACE-R3)
Added chapter Interface Board (EB-
PDS-INTERFACE-R4)
Added chapter Interface Board (EB-
PDS-INTERFACE-R5)
3.11 2018/04/24 msteinacker Updated Chapter 5.66 Zynq US+
Interface Board (EB-FM-
XCZUxxEG-R2/R3)
Updated Table 238
mberger
Usage of XILINX Memory Interface
Generator for EB-PDS-DDR4-R4
and EB-PDS-DDR4-R5 updated
3.12 2018/05/28 msteinacker Updated chapter 5.66.13 Clock
Generator
Updated Figure 103: Zynq
Ultrascale+ FPGA Module Overview
3.13 2018/08/06 mstacheter Added chapter FMC Bank A
Mezzanine Board Adapter (EB-PDS-
FMC-BANK-A-R1)
3.14 2018/09/11 cfranke Missing VU+ modules added to
compatibility lists
rwinkler ZU+ modules added to compatibility
lists
FM-XC7Z100 and FMXC7Z045
compatibilities of TA1 connector
fixed
3.15 2018/09/21 cfranke Noise values of the system added
3.16 2018/10/16 kkohl EB-PDS-INTERFACE-R2 – R4
marked as obsolete (ch. 5.51, 5.52,
5.53)
3.17 2018/10/17 ddukaric Added FM-1SG280H-S3-R1 module
3.18 2018/10/19 droeder FM-1SG280L-R2 module added to
compatibility lists
3.19 2018/11/09 mribke Added EB-PDS-INTERFACE-R7
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Revision Date Author Comments
3.20 2018/11/20 msteinacker Updated Table 460: EB-FM-
XCZUxxEG-R2/R3– FPGA
extension site compatibility
3.21 2018/11/26 mstacheter Added R2 device to Chapter 5.42
USB 2.0(UTMI) & 3.0(ULPI)
Interface Board (EB-PDS-USB2-3-
R1/R2)
3.22 2019/02/01 kkohl Added chapter 5.15 DDR3 SODIMM
Board (EB-PDS-DDR3-SODIMM-
R4)
3.23 2019/02/08 msteinacker Updated 5.19 Flash Board (EB-PDS-
FLASH-R1/R2) with information
about EB-PDS-FLASH-R2
3.24 2019/02/08 mribke Updated 5.23 EB-PDS-DEBUG-
SWDIO-R3)
3.25 2019/02/20 cfranke Examples in chapter 2.1.2.2 fixed
3.26 2019/04/08 cfranke Missing flash memories in chapter
msteinacker 5.19 added
Updated chapter 5.66 Zynq US+
Interface Board (EB-FM-
XCZUxxEG-R2/R3)Updated Figure
103: Zynq Ultrascale+ FPGA
Module Overview
3.27 2019/04/12 droeder added chapter 4.8 Arria 10 FPGA
Module
FM-10AX115-R1 module added to
compatibility lists
3.28 2019/04/17 cfranke Updated chapter 5.44.5 concerning
Register Map Generation
3.29 2019/04/24 mberger Discontinued EB-PDS-DDR4-R4.
3.30 2019/04/26 mberger Order code PROF-A-I-PCIe-4-AO
replaced with PROF-A-MI-HICPCI
and PROF-A-MI-HIC
3.31 2019/05/02 mribke Update EB-PDS-DDR4-R5 with new
measurement results
3.32 2019/05/10 cfranke Figure 133 fixed
Title of chapter 5.56 fixed
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Revision Date Author Comments
3.33 2019/05/10 mribke Added option for plugin-async-event
to:
all DDR4 Ebs
PCIe Root Complex and M.2 EB
DVI Input Output EB
QSFP+ EB
SATA EB
ADC EB
DUAL DAC EB
ZYNQ US+ Interface EB
Set order status of LPDDR4 EB to
discontinued
3.34 2019/05/15 mberger Hints to generate MIG for EB-PDS-
DDR3-R3 added
3.35 2019/05/16 cfranke Warning message in chapter 5.54.12
fixed
3.36 2019/05/27 ddukaric Update EB-PDS-DDR4-R8 with
Arria 10 results
3.37 2019/06/14 mribke Added additional informations for a
plugin async event option
Update EB-Interface-R7 product
picture
3.38 2019/06/19 cfranke Reviewed changes for plugin async
event option
3.39 2019/07/01 mribke Added workaround proFPGA file
generation in Builder for ARM
Express Adapter Board
3.40 2019/07/12 cfranke Compatibiliy list of chapter 5.60.2
for VUS+ fixed
3.41 2019/08/22 msteinacker Added chapter 4.6.8 PUDC_B
(ZYNQ Ultrascale+)
3.42 2019/08/28 mberger Legal notice added
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Revision Date Author Comments
3.43 2019/09/29 msteinacker Changed Pro Design to PRO
DESIGN in several cases
Fixed Table 125: EB-PDS-DDR4-R8
– FPGA extension site compatibility
Fixed Table 92: FM-XCZUxxEG-R2
FPGA Modules Demo Designs
Added ordercode for EB-PDS-
FLASH-R2 (5.19.6)
3.44 2019/10/18 msteinacker Updated Figure 409 in chapter 6.1
Interconnect Cable (IC-PDS-
CABLE-R1/R6)
3.45 2019/10/24 mberger Misleading sub section “Signal
Mapping” of IC-PDS-CABLE-R2/
R3 removed.
3.46 2019/11/05 droeder added FM-1SG280H-R2
3.47 2019/11/07 msteinacker Added FM-1SG10M-R1
3.48 2019/11/08 cfranke Figure 390 fixed
3.49 2019/11/27 mberger proFPGA PCIe gen3 8-lane Kit for
Stratix 10 added to section 5.23 PCIe
gen3 8-lane Kit (EB-PDS-PCIe-
Cable-R2/R3)
3.50 2020/01/06 msteinacker Added FM-XCVU13P-R1
Added IC-PHS-CABLE-R1
3.51 2020/01/30 cfranke Chapter 4.5 concerning MGT
reference clocks at V2 connectors
3.52 2020/02/10 cfranke chapter 6.2 for 1:1 Interconnection
Cable added
3.53 2020/02/12 pudhardt Added FM-XCVU37P-R1
3.54 2020/02/12 mribke Added EB-PDS-QSFP28-R1
3.55 2020/02/19 mribke Added EB-PDS-FMC-REDUCED-
R1
3.56 2020/02/24 pudhardt Virtex Ultrascale+ rework, XCVU-
x7P-R1 optionally supporting power
connector added
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Revision Date Author Comments
3.57 2020/02/25 mstacheter Added SATA Extension Board (EB-
PDS-SATA-R4)
Added hint to SATA Extension
Board (EB-PDS-SATA-R2/R3) that
boards are obsolete
3.58 2020/03/05 cfranke Footnotes of Note 1 : XMBA2 does
not provide an I/O Voltage, the
PV_IO_RUN is driven low by the
FPGA Module
Note 2 : XMBB2 supports only an I/
O voltage of 1.8V
Table 73 fixed
3.59 2020/03/13 mribke Added additional information for
Clock generation of QSFP28
Extension Board (EB-PDS-QSFP28-
R1)
3.60 2020/04/02 ddukaric Updated multi-motherboard
frequency range for distributed
clocks
3.61 2020/04/03 msteinacker Merged UD013 information for FM-
1SG10M-R1 into this document (4.7)
Added motherboard compatibility
information for FM-1SG10M-R1
(4.7.6)
3.62 2020/04/03 cfranke Direction of Display Port clarified in
5.66
3.63 2020/04/17 droeder Added FM-XCVU19P-R1 (4.5.2.6)
Added FM-XCVU47P-R1 (4.5.2.5)
Updated FPGA extension site
compatibility tables
3.64 2020/04/24 msteinacker Added chapter 5.62 V2 Riser Board
(EB-PHS-RISER-R1)
Added chapter 6.9 V2 Breakout
Board (EB-PDS-BREAKOUT-R3)
Updated chapter 6.8 Breakout Board
(EB-PDS-BREAKOUT-R2)
3.65 2020/05/08 msteinacker Added additional information for
Stratix FPGA modules (4.7.1)
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Revision Date Author Comments
3.66 2020/05/19 msteinacker Added additional information for
EB-PDS-BREAKOUT-R3 (6.9.4)
3.67 2020/05/27 msteinacker Added EB-PDS-USB2-3-R3 (5.42)
Added explanation for vref_source
and oct_resistor to Stratix 10 FPGAs
(4.7.2.2, 4.7.2.3)
3.68 2020/07/14 mribke Added EB-PDS-DDR3-R6
3.69 2020/08/19 msteinacker Updated chapter 4.5.3 Reference
Clocks at V2 Connectors
3.70 2020/09/04 droeder Added DDR4 Extension Board for
Intel FPGAs witch 8 GByte (EB-
PDS-DDR4-R10)
3.71 2020/09/15 msteinacker Added chapter 5.27 PCIe Root
Complex Extension Board (EB-PDS-
ROOT-COMPLEX-R1)
3.72 2020/10/30 droeder Added chapter 5.7 DDR4 Extension
Board for Intel FPGAs with 16
GByte (EB-PDS-DDR4-R11)
Added memory capacity to chapters
DDR4 Extension Board for Intel
FPGAs with 4 GByte (EB-PDS-
DDR4-R8) and DDR4 Extension
Board for Intel FPGAs witch 8
GByte (EB-PDS-DDR4-R10)
Added FM-XCVU19P-R1 device
package to chapter 4.5.8 Order Codes
Packages
3.73 2020/11/09 pudhardt EB-PDS-PCIe-Cable-R3 Redriver
configuration added to chapter 5.23
3.74 2020/11/18 msteinacker Added chapter 5.63 V2 QSFP28
Extension Board (EB-PHS-QSFP28-
R1)
Updated chapter 5.62.6 Order Code
Updated chapter 5.46.3 FPGA
Extension Site Compatibility
3.75 2020/11/26 msteinacker Added chapter 6.9.7 Firmware
Requirement
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Revision Date Author Comments
3.76 2020/12/02 cfranke Fixed given voltage for Bank 500 in
chapter 4.6.3.1
Added warning regarding UART on
VU19P and VU37P in chapter 4.5.4
3.77 2021/01/15 rdill Added chapter DisplayPort
Extension Board (EB-PDS-DP-R1)
3.78 2021/02/10 msteinacker Updated chapter 2.3.2.2 Generation 2
Updated chapter 4.7.2.3 FM-
1SG10M-R1
Updated chapter 6.7 4-way
Interconnect (IC-PDS-4WAY-R1)
3.79 2021/03/04 mribke Added chapter 5.25 M.2 Endpoint
Extension Board (EB-PDS-M.2-EP-
FLEX-R2
3.80 2021/04/07 cfranke Chapter 5.30 reviewed
3.81 2021/05/18 msteinacker Updated Table 5
3.82 2021/05/20 cfranke Changes reviewed
3.83 2021/08/10 mribke Update 5.40 QSFP+ Extension Board
3.84 2021/09/28 mribke Added additional DDR4-Memory to
EB-PDS-DDR4-R2/R3
Added IC-FMC-CABLE-A/B-R2 to
FMC-PROFPGA-R1
3.85 2021/10/28 kkohl Added EB-PDS-MIPI-DPHY-TX-R1
(chapter 5.48)
3.86 2021/10/29 kkohl Added IC-PDS-CABLE-R6 (chapter
6.1)
3.87 2021/11/16 droeder Added EB-PDS-DDR3-R7 (chapter
5.13)
Added EB-PDS-INTERFACE-R8
(chapter 5.50)
3.88 2022/02/02 msteinacker Updated chapter 6.9.2 Signal
mapping
3.89 2022/03/08 rdill Added chapter 5.20 Single MCP
HyperBus Flash/RAM Board (EB-
PDS-HYPER-RAM-FLASH-R1)
3.90 2022/03/11 kkohl Added EB-PDS-PCIe-Cable-R5
(chapter 5.24)
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Revision Date Author Comments
3.91 2022/03/18 msteinacker Changed document owner to
Siemens and added legal information
Changed styles to Siemens
3.92 2022/03/21 msteinacker Updated chapter 5.4 DDR4
Extension Board with 5 Gbyte (EB-
PDS-DDR4-R6)
Added chapter 5.8 DDR4 Extension
Board with 5 Gbyte (EB-PDS-
DDR4-R12)
Added chapter 5.9 V3-SODIMM-R3
Board (EB-PDS-V3-SODIMM-R3)
Added chapter 5.10 V3-SODIMM-
R4 Board (EB-PDS-V3-SODIMM-
R4)
Changed Altera to Intel
Corrected some typing errors
Replaced Order Codes
3.93 2022/03/31 kkohl Removed support for Xilinx 7-series
FPGA modules in Table 221
3.94 2022/04/11 droeder Fixed memory timing for DDR4
Extension Board for Intel FPGAs
with 16 GByte (EB-PDS-DDR4-
R11)
3.95 2022/04/12 msteinacker Added chapter 5.67 Multi-Cluster
Clock Synchronization Boards
Added order code for EB-PDS-
DDR3-R7 (Fehler! Verweisquelle
konnte nicht gefunden werden.)
4.0 2022/05/20 Nikgau3n Added Siemens template
4.1 2022/06/16 pudhardt Removed license agreement link
4.2 2022/06/21 kkohl Edited order codes of selected
products
Edited PCIe 4-lane host interface
card (to Rev. 2)
Edited PCIe gen2 dual 4-lane Kit
Added PCIe gen3 8-lane Kit
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Revision Date Author Comments
4.3 2022/07/14 susehgal Updated the Document Title to
remove the extra ‘User Guide‘
subtitle.
4.4 2022/08/03 droeder Added I/O Voltage foot note on FM-
XCVU19P – Board connectors table
4.5 2022/08/03 mdonauer Corrected order codes for:
IC-PDS-CABLE-R1 (80cm)
IC-PDS-CABLE-R4
IC-PDS-CABLE-R5
EB-PDS-SATA-R4
EB-PDS-FMC-BANK-A-R1
Remove speed grade “-3” from
availability list of FM-XCVU19P-R1
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Revision Date Author Comments
4.6 2022/10/07 sunuma8x • Converted the document to the
Siemens documentation standards
that supports both HTML and
PDF outputs.
• Added section EB-PDS-
FIREFLY-R1 under Extension
Boards.
• Added section Skew under
Interconnect Cable (IC-PHS-
CABLE-R1).
• Added section Skew under EB-
PDS-FIREFLY-R1.
• Added order codes to the
following sections:
• FMC Mezzanine Board
Adapter (EB-PDS-FMC-R1/
R2/R3)
• FMC LPC Mezzanine Board
Adapter (EB-PDS-FMC-LPC-
R1)
• FMC Carrier Board Adapter
(FMC-PROFPGA-R1)
• Added a note to section
Interconnect Cable (IC-PHS-
CABLE-R1).
• Replaced section “Flash Board
(EB-PDS-FLASH-R1/R2)” with
Flash Board (EB-PDS-FLASH-
R1/R2/R3).
• Updated the following figures:
• EB-PDS-MGT-MMCX-R1
Components under “Functional
Description” on page 538.
• MGT Debug Board (EB-PDS-
MGT-MMCX-R1) under
“Functional Description” on
page 538.
• EB-PDS-BREAKOUT-R3:
Functional Description under
“Signal mapping” on page 976.
• Updated table FM-XCVU19P –
Board Connectors under
“Extension Board Connector
Bank Assignment” on page 187.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Revision Date Author Comments
4.7 2022/11/15 ctyagi • Removed “Specification” from
the document title
• Updated the following figures:
• Figure 7-17 under Signal
mapping
• Figure 6-171 under Functional
Description
• Added two cautions to the topic
Reference Clocks at V2
Connectors
• Updated the following tables:
• Table 5-69 under Extension
Board Connector Bank
Assignment
• Table 5-55 under Functional
Description
• Updated the description for ONFI
flash in the topic Functional
Description
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Table of Contents
Revision History
Chapter 1
References and Glossary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 45
Chapter 2
Abstract . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 47
Chapter 3
System-Level Hardware . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 49
System Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 50
Board Types and Board Connections . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 50
Coordinate System . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 52
System Management . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55
Naming Conventions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 56
Power Supply . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 60
External Power Supply . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 60
Extension Board Power Supply . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 60
Power and Temperature Protection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 61
Power Sequences . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 62
Clocks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 64
Master Beats . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 64
Clocking Hardware . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 65
Generation 1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 65
Generation 2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 68
Clocks inside User FPGAs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 72
Using Native Clock Signals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 72
Using Advanced Clock Managers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 72
Providing User Clock Signals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 73
I/O Constraints . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 74
I²C . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 75
JTAG . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 78
Generation 1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 78
Generation 2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 79
MMI-64 Communication . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 80
Multi-Motherboard Systems . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 83
Clock Distribution . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 84
Data Connections . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 85
External Communication . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 86
Connector Layouts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 88
Motherboard Interconnect Cable . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 88
Extension Board Connectors and FPGA Module Connectors . . . . . . . . . . . . . . . . . . . . . . 90
Noise values of system . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 96
Chapter 4
Motherboards . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 97
Functional Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 97
Generation 1 Motherboards . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 98
MB-4M-R1, MB-4M-R2 (Quad Motherboard) and MB-2M-R1 (Duo Motherboard) . . . 98
Status LEDs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 102
MB-1M-R1, MB-1M-R2 (Uno Motherboard) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 106
proFPGA PCIe DMBI Kit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 111
Generation 2 Motherboards . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 114
Features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 114
MB-4M-R3 (Quad Motherboard) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 114
proFPGA PCIe DMBI Kit Gen 2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 125
Motherboard Interconnect Cable . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 126
Chapter 5
FPGA Modules . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 129
Virtex 7 FPGA Modules . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 132
Functional Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 132
Extension Board Connector Bank Assignment . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 132
Other Connectors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 138
Status LEDs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 139
I/O Power Supply . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 140
JTAG . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 141
Order Codes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 141
Packages . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 142
Firmware Requirements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 142
Service Banks Pin Constraints . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 142
Related Work . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 143
Zynq7000 FPGA Modules . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 145
Functional Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 145
Extension Board Connector Bank Assignment . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 148
Other Connectors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 150
Status LEDs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 151
I/O Power Supply . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 152
JTAG . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 152
Reset Buttons . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 153
SD Memory Card . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 154
Gigabit Ethernet . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 154
USB OTG . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 155
Local clock sources . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 156
DDR3 memory . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 157
Dual Quad SPI Memory . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 158
Order Codes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 159
Packages . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 159
Firmware Requirements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 159
Service Banks Pin Constraints . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 159
Related Work . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 160
Virtex Ultrascale FPGA Module . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 161
Chapter 6
Extension Boards . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 261
DDR4 Extension Board with 2.5 Gbyte (EB-PDS-DDR4-R2/R3) . . . . . . . . . . . . . . . . . . . 264
Functional Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 264
Extension Board Operating Conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 266
FPGA Extension Site Compatibility . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 266
FPGA Pin Constraints . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 268
Related Work . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 269
Order Code . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 271
DDR4 Extension Board with 9 Gbyte (EB-PDS-DDR4-R4) . . . . . . . . . . . . . . . . . . . . . . . . 272
Functional Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 272
Extension Board Operating Conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 274
FPGA Extension Site Compatibility . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 274
FPGA Pin Constraints . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 276
Related Work . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 277
Order Code . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 279
DDR4 Extension Board with 18 Gbyte (EB-PDS-DDR4-R5) . . . . . . . . . . . . . . . . . . . . . . . 280
Functional Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 280
Extension Board Operating Conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 282
Chapter 7
Interconnect Boards and Cables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 919
Interconnect Cable (IC-PDS-CABLE-R1/R6) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 921
Functional Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 921
Extension Board Operating Conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 921
FPGA Extension Site Compatibility . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 922
FPGA Pin Constraints . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 926
Related Work . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 926
Order Code . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 927
1:1 Interconnect Cable (IC-PDS-CABLE-R4/R5) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 928
Functional Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 928
Extension Board Operating Conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 928
FPGA Extension Site Compatibility . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 929
FPGA Pin Constraints . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 933
Related Work . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 933
Order Code . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 934
Interconnect Cable (IC-PDS-CABLE-R2/R3) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 935
Chapter 8
Board Assembly Checklist . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 989
Glossary
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
References and Glossary
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Chapter 2
Abstract
The proFPGA prototyping system consists of a set of modular building blocks. This allows
highly customized prototyping solutions to match the project-specific resource requirements
with a minimum of system complexity.
Figure 2-1. proFPGA Hardware Assembly
• Chapter 3: system level (infrastructure, common functions for all proFPGA boards)
• Chapters 4 to 6: board-specific properties
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Abstract
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Chapter 3
System-Level Hardware
System Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 50
Board Types and Board Connections . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 50
Coordinate System . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 52
System Management. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55
Naming Conventions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 56
Power Supply . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 60
External Power Supply . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 60
Extension Board Power Supply . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 60
Power and Temperature Protection. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 61
Power Sequences . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 62
Clocks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 64
Master Beats . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 64
Clocking Hardware . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 65
Clocks inside User FPGAs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 72
I²C . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 75
JTAG. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 78
Generation 1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 78
Generation 2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 79
MMI-64 Communication . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 80
Multi-Motherboard Systems. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 83
Clock Distribution. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 84
Data Connections . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 85
External Communication . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 86
Connector Layouts. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 88
Motherboard Interconnect Cable . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 88
Extension Board Connectors and FPGA Module Connectors . . . . . . . . . . . . . . . . . . . . . . 90
Noise values of system . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 96
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
System-Level Hardware
System Overview
System Overview
This section discusses system overview of proFPGA boards.
Motherboards
Motherboards provide the proFPGA system infrastructure. They offer mechanical fixture,
power supply, I²C-based system management, clocking infrastructure, and MMI-64
communication for multiple FPGA modules.
Motherboards have FPGA module connectors (carrying user I/O, power supply, service) on the
top side and Extension board connectors (carrying user I/O, power supply) on the bottom side.
The user I/O pins of top-side and bottom-side connectors are directly connected with each other,
providing a transparent connection from the FPGA module on the top-side to the extension
board on the bottom side.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
System-Level Hardware
Board Types and Board Connections
Note
With release of 2018A there are two generation of Motherboards (Generation 1 and
Generation 2). This manual describes the different behaviours or features of the different
Motherboard generations in separate chapters. The description of common behaviours and
features are ummarized for both generations in the appropriate chapters.
FPGA Modules
The FPGA modules contain the user design. They offer up to 8 connectors to extension sites (4x
top, 4x bottom). Each FPGA module has access to MMI-64 communication from the
motherboard.
FPGA modules have 4 FPGA module connectors (user I/O, power supply, service) on the
bottom side and up to 4 Extension board connectors (user I/O, power supply) on the top-side.
Because the motherboard transparently converts the FPGA module connector into an Extension
board connector, each FPGA module can access up to 8 extension boards.
Note
The FPGA module must be assembled with the FPGA facing downwards. The holes in the
motherboard are intended for the FPGA heat sinks.
Extension Boards
Extension boards provide hardware functions to user designs inside the FPGA modules, e.g.
SDRAM memory, user PCIe connection, debug access. One extension board occupies one or
more extension board connectors of one FPGA module, giving the user design inside the FPGA
module exclusive access to the extension board. The Extension board connectors of the FPGA
module are located on the bottom side.
Note
Extension boards and interconnect boards on the bottom side of the motherboard must be
assembled upside-down.
Some extension boards (e.g. the user PCIe adapter) are stackable. Unused I/O pins from the
FPGA module are mapped to a top-side connector, allowing further extension boards to be
added.
Note
Only use extension boards provided by Siemens or boards that comply with the proFPGA
extension board design guide. DO NOT CONNECT BOARDS WITH OTHER
CONNECTOR STANDARDS, EVEN IF THEY FIT MECHANICALLY (E.G. FMC).
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
System-Level Hardware
Coordinate System
Interconnects
Interconnects are special extension boards to connect I/O pins of different FPGA modules. They
are available as boards and cables. Interconnect cables connect two extension sites. Interconnect
boards connect two or more extension sites. Connections are either broadcast (e.g. the 4-way
interconnect board) or point-to-point (e.g. all two-way interconnect boards and cables).
Coordinate System
This section discusses the coordinate systems in proFPGA boards.
Note
The Coordinate system has been changed from generation 1 to generation 2 motherboards.
The reason is to have the option to support larger FPGA modules in the future on all FPGA
sites.
Generation 1
The modules of the proFPGA prototyping system are assembled into a 3-dimensional structure.
To identify the location of any board connector, there are two coordinate systems.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
System-Level Hardware
Coordinate System
Examples:
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
System-Level Hardware
Coordinate System
Generation 2
The modules of the proFPGA prototyping system are assembled into a 3-dimensional structure.
Any location of the extension boards can be identified by the coordinate systems.
The horizontal and vertical directions in the coordinate system are counted in different ways. In
horizontal direction it is counted with characters (A,B,C,…). In vertical direction it is counted
with numbers (1,2,3,…).
If an FPGA mounted onto an FPGA module has such amount of IOs, the FPGA module has to
be expanded. The expansion will be done in “north” direction, because it is the only possibility
to expand it without colliding with other FPGA modules. The connectors for this expansion are
called “0” connectors. This is done to have a reference to the motherboard where A1 connectors
are aligned. These new connectors are not connected to the motherboard on the bottom
connector.
The first part of the coordinate is the number of the motherboard where the board is plugged on.
If only one motherboard is used, it is always MB1. Other examples are MB2, MB3, …
The second part of the coordinate is the coordinate of the FPGA module plugged on the
motherboard. Possible options are shown in Figure 3-3. For a quad motherboard FA1, FA2,
FB1 and FB2 are possible.
The third part of the coordinate is a combination of two things. First of all, the direction where
the board is plugged - top or bottom (T for top and B for bottom). The second part is the
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
System-Level Hardware
System Management
connector on the FPGA module where the board is plugged (see Figure 3-3 for reference). For
example TB2 or BA2.
The last part of the coordinate is the height level where the extension board is plugged. Because
extension boards can have top connectors where other extension boards can be plugged onto,
the height of the coordinate is also an important information.
Figure 3-3 shows that FA2 and FB2 are turned by 180° in comparison to FA1 and FB2. The
idea is that even if a proFPGA FPGA module is bigger, it will fit on every position on the
motherboard.
Examples:
• MB1 FA1 TA1 1 - motherboard 1, top-left FPGA module, top side, top-left connector,
level 1
• MB2 FB1 BA1 3 - motherboard 2, top-right FPGA module, bottom side, top-left
connector, level 3
System Management
The system management is conducted by the motherboard. It consists of a Supervisor CPU and
a Control FPGA.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
System-Level Hardware
Naming Conventions
Naming Conventions
This section discusses the naming convention of proFPGA boards.
Note
The Naming Conventions has been changed due the change of the Coordinate system.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
System-Level Hardware
Naming Conventions
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
System-Level Hardware
Naming Conventions
5. The fifth letter denotes the Y coordinate (‘1’, ‘2’, …) or ‘0’ if the Y coordinate is not
applicable.
Examples:
MB-4M-R3 quad motherboard (revision 3)
FM- FPGA module Virtex-7 2000T (revision 2)
XC7V2000T-
R2
EB-PDS- DDR3 extension board (revision 2)
DDR3-R2
IC-PDS-EW- East-West interconnect board with Siemens connector (revision 1)
R1
EB-MB-PCIe- PCIe cable adapter for proFPGA motherboard (revision 1)
Cable-R1
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
System-Level Hardware
Naming Conventions
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
System-Level Hardware
Power Supply
Power Supply
This section discusses power supply in proFPGA boards.
Each FPGA extension site1of the proFPGA prototyping system has its individual external
power supply. The proFPGA prototyping system supports separate power supplies (or different
power rails of one power supply) on each of the power connectors by keeping the power
domains separated.
Note
All power connectors on the motherboard must be connected to 12V power supplies,
regardless if FPGA modules are plugged.
Custom-specific external power supplies must provide at least 25 A (300 W) per connector.
1. FPGA extension sites include the FPGA module and all 8 extension sites connected to it.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
System-Level Hardware
Power and Temperature Protection
User logic on the extension board can be powered by P12V and P3V3.
PV_IO will be provided either by the FPGA module or the extension board, depending on the
results of the hardware scan and the system configuration.
Note
Do not short-circuit power pins from different extension board connectors! Only data
signals and ground may be connected.
Further information:
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
System-Level Hardware
Power Sequences
Note
Power protection alerts indicate electrical problems that must be corrected before powering
up the system again.
Note
Temperature alerts may indicate rough ambient conditions. Consider increasing the air flow
or lowering the ambient temperature.
After removing the cause of the protection alert, the proFPGA prototyping system is ready for
operation again, with one exception:
Note
In case of a motherboard temperature alert, the user must turn off or disconnect all external
power supplies and wait until the system has cooled down, before powering up again.
Power Sequences
This section discusses power sequences in proFPGA prototyping system.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
System-Level Hardware
Power Sequences
Power-up sequence
The following sequence is executed after turning on the external power supply:
Power-down
Either of the following actions will turn off the whole system:
The following actions will turn off the FPGA extension sites, but leave the motherboard active:
• Software power-down command turns off P3V3_AUX, P3V3, P12V, and PV_IO.
• Launching a new system run implies a software power-down during system
initialization.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
System-Level Hardware
Clocks
Clocks
This section discusses clocks used in proFPGA boards.
Master Beats . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 64
Clocking Hardware . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 65
Clocks inside User FPGAs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 72
Master Beats
This section discusses master beats clocking mechanism.
The proFPGA prototyping system uses a special clocking mechanism where every clock signal
CLK[i] is associated with a synchronization signal SYNC[i]. The clock signal is free-running at
constant frequency. The synchronization signal carries event information which arrives
simultaneously on all user FPGAs. The 8-bit event ID is transmitted serially, as shown in
Figure 3-6. SYNC[i] flip-flops are triggered by CLK[i].
In the figure below, the signals clk[i] and sync[i] are input pins of the User FPGA,
event_id[i][7:0] and event_en[i] are internal registers which are triggered by clk[i].
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
System-Level Hardware
Clocking Hardware
Clocking Hardware
This section discusses clocking hardware in proFPGA.
Note
This section describes the clocking hardware for MB-4M motherboards. On MB-2M
motherboards ‘User FPGA #3’ and ‘User FPGA #4’ do not exists. On MB-1M
motherboards only ‘User FPGA #1’ exists and there is no clock mux.
Each proFPGA motherboard contains LVDS clock multiplexers and buffers for 8 master beats.
The signal layout on both, motherboard and FPGA module ensures that clock and sync arrive
simultaneously on all User FPGAs.
Generation 1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 65
Generation 2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 68
Generation 1
This section discusses generation 1 clocking hardware in proFPGA.
Figure 3-7. Clocking Hardware on Each Motherboard
Each clock buffer is driven by a clock multiplexing scheme as shown in Figure 3-8.
In the figure below, all signals are implemented as LVDS pairs. CLK and SYNC signal
multiplexers are implemented identically.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
System-Level Hardware
Clocking Hardware
Note
The frequency of clk/sync[0] is fixed to 100 MHz.
In the table beneath user FPGAs TA3 and TC3 are not available on the Duo motherboard (MB-
2M). Since clk/sync[0] is fixed to 100 MHz, it cannot be driven by user FPGAs.
Table 3-3. Clock Multiplexer Sources
Master Beat ClkMux=0 ClkMux=1 ClkMux=2 ClkMux=3 ClkMux=3
SrcClkMux SrcClkMux
=0 =1
clk/sync[0] PMB.clk/ NMB.clk/ GEN.clk/ n/a n/a
sync[0] sync[0] sync[0]
clk/sync[1] PMB.clk/ NMB.clk/ GEN.clk/ TA1.srcclk/ TA3.srcclk/
sync[1] sync[1] sync[1] sync[1] sync[1]
clk/sync[2] PMB.clk/ NMB.clk/ GEN.clk/ TC1.srcclk/ TC3.srcclk/
sync[2] sync[2] sync[2] sync[0] sync[0]
clk/sync[3] PMB.clk/ NMB.clk/ GEN.clk/ TC1.srcclk/ TC3.srcclk/
sync[3] sync[3] sync[3] sync[1] sync[1]
clk/sync[4] PMB.clk/ NMB.clk/ GEN.clk/ TA1.srcclk/ TA3.srcclk/
sync[4] sync[4] sync[4] sync[2] sync[2]
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
System-Level Hardware
Clocking Hardware
Note
Sync event generators are implemented to provide ‘internal generated’ sync events only.
External sync event s inputs are missing.
The clock generators are implemented inside the control FPGA. Figure 3-9 gives a functional
overview.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
System-Level Hardware
Clocking Hardware
The generated clock frequency can be derived from one of the following sources:
As explained earlier, the SYNC signals carry serially encoded event information. The event can
either be issued by the software (write to SYNC_EVENT register) or through external SYNC
strobes (signals on RF connectors). The SYNC transmitter requires 10 cycles of the generated
clock to transmit the event. When using ACM with very slow derived clocks, the SYNC
transmitter will automatically insert additional delay cycles between events to ensure that every
single event reaches the target clock domain (can be several thousand cycles). This may reduce
the sampling rate of external sync signals.
Note
Software-generated events will never get lost because of the design of MMI-64
communication.
For further information regarding clock configuration and sync transmitter/receiver, consult:
Generation 2
This section discusses generation 2 clocking hardware in proFPGA.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
System-Level Hardware
Clocking Hardware
Each proFPGA motherboard has crossbars for 8 master beats. The signal layout on both,
motherboard and FPGA module ensures that clock and sync signals arrive simultaneously on all
User FPGAs.
Note
The frequency of clk/sync[0] is fixed to 100 MHz.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
System-Level Hardware
Clocking Hardware
Note
Since clk/sync[0] is fixed to 100 MHz, it cannot be driven by user FPGAs.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
System-Level Hardware
Clocking Hardware
The generated clock frequency can be derived from one of the following sources:
As explained earlier, the SYNC signals carry serially encoded event information. The event can
either be issued by the software (write to SYNC_EVENT register) or through external SYNC
strobes (signals on RF connectors). The SYNC transmitter requires 10 cycles of the generated
clock to transmit the event. When using ACM with very slow derived clocks, the SYNC
transmitter will automatically insert additional delay cycles between events to ensure that every
single event reaches the target clock domain (can be several thousand cycles). This may reduce
the sampling rate of external sync signals.
Note
Software-generated events will never get lost because of the design of MMI-64
communication.
For further information regarding clock configuration and sync transmitter/receiver, consult:
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
System-Level Hardware
Clocks inside User FPGAs
Sync signals must be decoded using a SYNC receiver HDL module. The SYNC receiver HDL
module provides raw event information as well as the pre-decoded signals reset, user_strobe1,
and user_strobe2. The user strobes at the output of the SYNC receiver are delayed copies of the
user strobes at the clock generator. The SYNC signal propagation mechanism ensures that
changes in the user strobes as well as all other events arrive simultaneously on all user FPGAs.
Tip
To achieve timing closure for user FPGA designs using a PLL, consider providing the
SYNC receiver with a 1:1 source synchronous PLL output rather than the raw clock input.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
System-Level Hardware
Clocks inside User FPGAs
Further Information:
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
System-Level Hardware
Clocks inside User FPGAs
I/O Constraints
The differential clk and sync input signals operate at LVDS standard using FPGA internal
termination. Depends on the used FPGA technology the following constraints must be set for
each input pair:
For all Virtex-7 based FPGA modules:
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
System-Level Hardware
I²C
I²C
The I²C busses are controlled by the Control FPGA.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
System-Level Hardware
I²C
Each FPGA extension site has its individual I²C bus. The I²C components on the motherboard
are connected to a separate I²C bus, as shown in Figure 3-15. The I²C devices on each board are
described in the board-specific sections later in this document.
In the image below the duo motherboard (MB-2M), the I²C chains A3 and C3 are not available.
Each FPGA site has its separate I²C bus. The I²C commands are controlled by the supervisor
CPU to avoid potentially harmful settings (e.g. bad I/O voltage)
Each of the FPGA site I²C busses is switchable. This allows the use of the same I²C address on
several extension boards, e.g. when using the same extension board multiple times. As shown in
Figure 3-16, there are two types of I²C switches.
In the figure below, for each FPGA site, there is a separate 1:8 mux for the eight extension-sites.
Stackable extension boards provide a 1:2 mux to walk along the extension board. The I²C
address of the 1:2 mux address can be reconfigured to avoid aliasing with other stackable
extension boards.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
System-Level Hardware
I²C
Further information:
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
System-Level Hardware
JTAG
JTAG
This section discusses JTAG chains in proFPGA
Generation 1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 78
Generation 2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 79
Generation 1
This section discusses generation 1 JTAG.
The proFPGA prototyping system contains two independent JTAG chains. By default, the User
JTAG Chain is selected. It provides access to all FPGA modules and extension boards of the
proFPGA prototyping system. The Control JTAG Chain is required for firmware update, as
described in the proFPGA Firmware Update Guide.
The JTAG chain operates at 2.5V supply voltage. Devices with other JTAG voltages (e.g.
Virtex-7) require level shifters.
The JTAG devices appear in the scan chain as shown in Figure 3-17. If multiple boards are
stacked, devices on the boards closest to the motherboard appear first.
Example: The top-left FPGA module (connected to TA1, etc.) is always the first device in the
JTAG chain.
For each board connector, there is a bypass switch that connects TDI with TDO. The bypass
will be activated on either of the following conditions:
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
System-Level Hardware
Generation 2
Generation 2
This section discusses generation 2 JTAG.
Note
In Comparison to the generation 1 motherboards the JTAG is reduced to TA1 connector of
the FPGA modules. Extension boards which need JTAG have to be placed on TA1 of the
FPGA module.
The proFPGA prototyping system contains two independent JTAG chains. By default, the User
JTAG Chain is selected. It provides access to all FPGA modules and extension boards of the
proFPGA prototyping system.
The JTAG chain operates at 2.5V supply voltage. Devices with other JTAG voltages (e.g.
Virtex-7) require level shifters.
The JTAG devices appear in the scan chain as shown in Figure 3-18. If multiple boards are
stacked, devices on the boards closest to the motherboard appear first.
Example: The top-left FPGA module (connected to FA1TA1, etc.) is always the first device in
the JTAG chain.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
System-Level Hardware
MMI-64 Communication
On each FPGA module there is a bypass switch that connects TDI with TDO. The bypass will
be activated on either of the following conditions:
MMI-64 Communication
The proFPGA communication infrastructure is based on MMI-64.
It serves two purposes:
Tip
MMI-64 acts as the transport medium for DMBI system management tools.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
System-Level Hardware
MMI-64 Communication
The MMI-64 infrastructure communicates upon commands by the host software. The host PC
can use one of the following access methods:
Note
100-BaseTX is not available on MB-1M-R1 motherboards.
The system can be configured without host PC by inserting a prepared USB stick into the USB
connector.
Multiple motherboards can be combined into a single prototyping system using a motherboard
interconnect cable.
The proFPGA prototyping system supports only one external communication channel.
Note
The Control FPGA of Generation 2 is able to communicate via a QSFP to PCIe bridge with
a PC. Even if a QSFP connector and cable is used, there is no QSFP protocol used for
communication to the PC.
In the image below the numbers in circles indicate the priority of external connections.
M=communication master, S=communication slave.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
System-Level Hardware
MMI-64 Communication
The incoming connections will be multiplexed and processed by the MMI-64 main router
(Figure 3-20).
Figure 3-20. MMI-64 System Address Map (simplified) Left: Generation 1, Right:
Generation 2
For a complete list of MMI-64 system addresses and configuration registers, see:
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
System-Level Hardware
Multi-Motherboard Systems
Multi-Motherboard Systems
This section discusses multi-motherboard systems.
Note
MB-1M motherboards do not support multi-motherboard systems.
Note
The Multi-Motherboard System implementation for Generation 1 and Generation 2
Motherboards is equal. Both generations can be connected together in various
configurations.
Note
The following feature of a multi motherboards setup, which includes Generation 1 and
Generation 2, are not implemented yet:
Clock synchronization
Note
The following issue of a multi motherboards setup which includes Generation 1 and
Generation 2 exists:
Reset for MB-4M-R3 Motherboard does not work correctly. If system hangs a power cycle is
needed.
Note
Only the master motherboard (the one without connection on XPMB1) is able to
communicate with the user. A yellow LED on the motherboard indicates who is master.
Clock Distribution . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 84
Data Connections . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 85
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
System-Level Hardware
Clock Distribution
External Communication . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 86
Clock Distribution
This section discusses clock distribution in multi-motherboard systems.
Clock signals can be distributed over multiple motherboards using motherboard-to-motherboard
interconnect cables. Without additional effort, the clock signal on the target motherboard will be
delayed by the motherboard interconnect cable and the clock multiplexer on the target
motherboard. The proFPGA prototyping system uses a PLL-based phase compensation
technique which is located on the source motherboard (Figure 3-21a). The phase compensation
is accomplished in an initial training sequence which involves a special training hardware
configuration.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
System-Level Hardware
Data Connections
Note
Clocks which are distributed across multiple motherboards must stay within the frequency
range of 20MHz to 220MHz for generation 1 motherboards (MB-4M-R<1,2> and MB-2M-
R1) and 22MHz to 220MHz for generation 2 motherboard (MB-4M-R3).
Data Connections
This section discusses data connections in multi-motherboard systems.
In addition to clock and control, the user may want to exchange signals between FPGAs on
different motherboards by using interconnects.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
System-Level Hardware
External Communication
External Communication
This section discusses external communication in multi-motherboard systems.
Multiple motherboards can be combined into a single prototyping system by connecting them
through motherboard interconnect cables. The cable determines which motherboard is system
master Figure 3-23.
Note
Motherboards different generations cannot be combined into single prototyping system.
In the image below the XPMB1 connector on the master motherboard is open. The other
connectors are available for host and system communication. Slave motherboards (with
XPMB1 connected to the previous motherboard) will ignore communication attempts on the
other connectors.
The cascaded structure of the motherboards also reflects in the MMI-64 addresses
(Figure 3-24).
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
System-Level Hardware
External Communication
Figure 3-25 shows the MMI addressing scheme in multi-motherboard systems. The MMI
address is extended by a route through XNMB1 (port 0) for every preceding motherboard.
In the image below : (a) address of User FPGA A1 at master MB, (b) address of User FPGA A1
at master MB when using router inside user HDL design, (c) address of User FPGA A1 on slave
MB, (d) with router inside user HDL
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
System-Level Hardware
Connector Layouts
Connector Layouts
This section discusses connector layouts.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
System-Level Hardware
Motherboard Interconnect Cable
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
System-Level Hardware
Extension Board Connectors and FPGA Module Connectors
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
System-Level Hardware
Extension Board Connectors and FPGA Module Connectors
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
System-Level Hardware
Extension Board Connectors and FPGA Module Connectors
A1 Motherboard-to-FPGA Connector
Table 3-8. Pins 281 to 400 of the Connectors XMTA1, XMTC1, XMTA3, and
XMTC3 (on Motherboard) and XMBA1 (on FPGA Module)
+1 +2 +3 +4 +5 +6 +7 +8 +9 +10
280 GND CLK_I GND CLK_I GND n.c. GND SRC_S GND SRC_S
O_N_6 O_N_4 YNC_ YNC_
N_3 N_2
290 GND CLK_I GND CLK_I GND n.c. GND SRC_S GND SRC_S
O_P_6 O_P_4 YNC_ YNC_
P_3 P_2
300 n.c. GND n.c. GND n.c. GND SRC_ GND SRC_ GND
CLK_ CLK_
N_3 N_2
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
System-Level Hardware
Extension Board Connectors and FPGA Module Connectors
Table 3-8. Pins 281 to 400 of the Connectors XMTA1, XMTC1, XMTA3, and
XMTC3 (on Motherboard) and XMBA1 (on FPGA Module) (cont.)
+1 +2 +3 +4 +5 +6 +7 +8 +9 +10
310 n.c. GND n.c. GND n.c. GND SRC_ GND SRC_ GND
CLK_ CLK_
P_3 P_2
320 GND SRC_S GND SRC_S GND SYNC GND SYNC GND SYNC
YNC_ YNC_ _N_7 _N_6 _N_5
N_1 N_0
330 GND SRC_S GND SRC_S GND SYNC GND SYNC GND SYNC
YNC_ YNC_ _P_7 _P_6 _P_5
P_1 P_0
340 SRC_ GND SRC_ GND CLK_ GND CLK_ GND CLK_ GND
CLK_ CLK_ N_7 N_6 N_5
N_1 N_0
350 SRC_ GND SRC_ GND CLK_ GND CLK_ GND CLK_ GND
CLK_ CLK_ P_7 P_6 P_5
P_1 P_0
360 GND SYNC GND SYNC GND SYNC GND SYNC GND SYNC
_N_4 _N_3 _N_2 _N_1 _N_0
370 GND SYNC GND SYNC GND SYNC GND SYNC GND SYNC
_P_4 _P_3 _P_2 _P_1 _P_0
380 CLK_ GND CLK_ GND CLK_ GND CLK_ GND CLK_ GND
N_4 N_3 N_2 N_1 N_0
390 CLK_ GND CLK_ GND CLK_ GND CLK_ GND CLK_ GND
P_4 P_3 P_2 P_1 P_0
A2 Motherboard-to-FPGA Connector
Table 3-9. Pins 281 to 400 of the Connectors XMTA2, XMTC2, XMTA4, and
XMTC4 (on Motherboard) and XMBA2 (on FPGA Module)
+1 +2 +3 +4 +5 +6 +7 +8 +9 +10
280 GND CLK_I GND CLK_I GND n.c. GND n.c. GND n.c.
O_N_6 O_N_4
290 GND CLK_I GND CLK_I GND n.c. GND n.c. GND n.c.
O_P_6 O_P_4
300 DMBI GND DMBI GND DMBI GND DMBI GND DMBI GND
_F2H_ _F2H_ _F2H_ _F2H_ _F2H_
18 16 14 12 10
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
System-Level Hardware
Extension Board Connectors and FPGA Module Connectors
Table 3-9. Pins 281 to 400 of the Connectors XMTA2, XMTC2, XMTA4, and
XMTC4 (on Motherboard) and XMBA2 (on FPGA Module) (cont.)
+1 +2 +3 +4 +5 +6 +7 +8 +9 +10
310 DMBI GND DMBI GND DMBI GND DMBI GND DMBI GND
_F2H_ _F2H_ _F2H_ _F2H_ _F2H_
19 17 15 13 11
320 GND DMBI GND DMBI GND DMBI GND DMBI GND DMBI
_F2H_ _F2H_ _F2H_ _F2H_ _F2H_
08 06 04 02 00
330 GND DMBI GND DMBI GND DMBI GND DMBI GND DMBI
_F2H_ _F2H_ _F2H_ _F2H_ _F2H_
09 07 05 03 01
340 DMBI GND DMBI GND DMBI GND DMBI GND DMBI GND
_H2F_ _H2F_ _H2F_ _H2F_ _H2F_
18 16 14 12 10
350 DMBI GND DMBI GND DMBI GND DMBI GND DMBI GND
_H2F_ _H2F_ _H2F_ _H2F_ _H2F_
19 17 15 13 11
360 GND DMBI GND DMBI GND DMBI GND DMBI GND DMBI
_H2F_ _H2F_ _H2F_ _H2F_ _H2F_
08 06 04 02 00
370 GND DMBI GND DMBI GND DMBI GND DMBI GND DMBI
_H2F_ _H2F_ _H2F_ _H2F_ _H2F_
09 07 05 03 01
380 CFG_n GND CFG_ GND CFG_n GND CFG_ GND CFG_ GND
CS CLK_ CLEA DONE STAT
N R US
390 CFG_ GND CFG_ GND n.c. GND n.c. GND n.c. GND
RDnW CLK_
R P
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
System-Level Hardware
Extension Board Connectors and FPGA Module Connectors
Table 3-10. Pins 281 to 400 of the Connectors Xexxx (Extension Board
Connectors), XMTBx and XMTDx (on Motherboard), and XMBBx (on FPGA
Module) (cont.)
+1 +2 +3 +4 +5 +6 +7 +8 +9 +10
290 GND CLK_I GND CLK_I GND MGT_ GND MGT_ GND MGT_
O_P_6 O_P_4 RX_P_ RX_P_ RX_P_
11 10 09
300 MGT_ GND MGT_ GND MGT_ GND MGT_ GND MGT_ GND
RX_N RX_N RX_N RX_N RX_N
_08 _07 _06 _05 _04
310 MGT_ GND MGT_ GND MGT_ GND MGT_ GND MGT_ GND
RX_P_ RX_P_ RX_P_ RX_P_ RX_P_
08 07 06 05 04
320 GND MGT_ GND MGT_ GND MGT_ GND MGT_ GND MGT_
RX_N RX_N RX_N RX_N TX_N
_03 _02 _01 _00 _11
330 GND MGT_ GND MGT_ GND MGT_ GND MGT_ GND MGT_
RX_P_ RX_P_ RX_P_ RX_P_ TX_P_
03 02 01 00 11
340 MGT_ GND MGT_ GND MGT_ GND MGT_ GND MGT_ GND
TX_N TX_N TX_N TX_N TX_N
_10 _09 _08 _07 _06
350 MGT_ GND MGT_ GND MGT_ GND MGT_ GND MGT_ GND
TX_P_ TX_P_ TX_P_ TX_P_ TX_P_
10 09 08 07 06
360 GND MGT_ GND MGT_ GND MGT_ GND MGT_ GND MGT_
TX_N TX_N TX_N TX_N TX_N
_05 _04 _03 _02 _01
370 GND MGT_ GND MGT_ GND MGT_ GND MGT_ GND MGT_
TX_P_ TX_P_ TX_P_ TX_P_ TX_P_
05 04 03 02 01
380 MGT_ GND MGT_ GND MGT_ GND MGT_ GND MGT_ GND
TX_N REFC REFC REFC REFC
_00 LK_N LK_N LK_N LK_N
_3 _2 _1 _0
390 MGT_ GND MGT_ GND MGT_ GND MGT_ GND MGT_ GND
TX_P_ REFC REFC REFC REFC
00 LK_P_ LK_P_ LK_P_ LK_P_
3 2 1 0
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
System-Level Hardware
Noise values of system
• ATX Power Supply with FAN setting to ‘Normal’ (FAN on all the time)
• Quad Motherboard
• Four FM-XCVU440-R2 FPGA modules
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Chapter 4
Motherboards
This chapter lists special implementation details of each mother board. A general description of
motherboard functionality is provided in the previous chapter.
Functional Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 97
Generation 1 Motherboards . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 98
Generation 2 Motherboards . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 114
Motherboard Interconnect Cable. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 126
Functional Description
This topic is about Functional Description.
The proFPGA motherboard provides the majority of system-level hardware, including:
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Motherboards
Generation 1 Motherboards
Generation 1 Motherboards
This topic is about Generation 1 Motherboards.
MB-4M-R1, MB-4M-R2 (Quad Motherboard) and MB-2M-R1 (Duo Motherboard) . 98
Status LEDs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 102
MB-1M-R1, MB-1M-R2 (Uno Motherboard) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 106
proFPGA PCIe DMBI Kit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 111
Hardware Overview
Figure 4-1. MB-4M-R2 Top-side Components
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Motherboards
MB-4M-R1, MB-4M-R2 (Quad Motherboard) and MB-2M-R1 (Duo Motherboard)
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Motherboards
MB-4M-R1, MB-4M-R2 (Quad Motherboard) and MB-2M-R1 (Duo Motherboard)
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Motherboards
MB-4M-R1, MB-4M-R2 (Quad Motherboard) and MB-2M-R1 (Duo Motherboard)
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Motherboards
Status LEDs
Status LEDs
This topic is about Status LEDs
In the table below, elements marked with (1) are not available on MB-4M-R1 motherboards.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Motherboards
Status LEDs
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Motherboards
Status LEDs
Electrical Characteristics
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Motherboards
Status LEDs
Order Codes
286413 (MB-4M-R2)
286412 (MB-2M-R1)
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Motherboards
MB-1M-R1, MB-1M-R2 (Uno Motherboard)
Variants
Two variants exist of the 1-FPGA motherboard:
Table 4-5. Variants of MB-1M Motherboards
Board/ Comment
Product
Name
MB-1M-R1 • Four extension board site connectors (top site)
• USB 2.0 MMI64 communication interface
MB-1M-R2 • Eight extension board site connectors (top and bottom site)
• USB 2.0 MMI64 communication interface
• 100 Mbit/s Ethernet MMI64 communication interface
Compared to the MB-2M and MB-4M motherboards there are the following major differences:
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Motherboards
MB-1M-R1, MB-1M-R2 (Uno Motherboard)
Hardware Overview
Figure 4-5. MB-1M-R1 and MB-1M-R2 Top-side Components
In the table below elements marked with (1) are not available on MB-1M-R1 motherboards
Table 4-6. MB-4M-R1 and MB-1M-R2 Top-side Connectors and Switches
Number Designator Function Notes
1 S7 On/Off Switch
3 - System status LEDs
4 XMTA1 FPGA A1 Connector
5 XMTA2 FPGA A2 Connector
8 XMTB1 FPGA B1 Connector
9 XMTB2 FPGA B2 Connector
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Motherboards
MB-1M-R1, MB-1M-R2 (Uno Motherboard)
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Motherboards
MB-1M-R1, MB-1M-R2 (Uno Motherboard)
Status LEDs
In the table below, elements marked with (R2) are only available MB-1M-R2.
Table 4-8. LEDs On MB-1M
LED Marking Color Description
System Status
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Motherboards
MB-1M-R1, MB-1M-R2 (Uno Motherboard)
Speed 100(R2) green Ethernet link 100 Mbit (LED off: 10 Mbit)
Electrical Characteristics
Table 4-9. MB-1M Electrical Characteristics
Parameter Description Min Max
External Power Supply
V(XPWR1) external supply voltage Note: min/max is limited 10V 14V
by overvoltage/undervoltage protection
I(XPWR1) supply current (per power connector)Note: max is - 25A
limited by overcurrent detection
Power Protection
- Overcurrent detection - 25A
- Voltage Reverse DetectionNote: Detection of - -
wrong polarity of power input voltage
- Short circuit protection - -
- All Inputs powered detectionNote: Detection if all - -
XPWR are connected and powered
- Overvoltage detection 14V -
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Motherboards
proFPGA PCIe DMBI Kit
Oder Codes
286411
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Motherboards
proFPGA PCIe DMBI Kit
Functional Description
Figure 4-8. proFPGA PCIe DMBI Kit
The proFPGA solution provides the user the possibility to configure, monitor and manage the
system remotely from the host with help of the DMBI (Device Message Box Interface) over
several interfaces like USB2.0 and Ethernet. While the Ethernet or USB interface offers the user
a data exchange rate of max. 100 Mbps the proFPGA PCIe DMBI Interface Kit significantly
increases the data exchange performance with up to 3.2 Gbps, which is 32 times faster than over
the Ethernet interface.
Because of this high data exchange rate, the user can benefit besides the remote system
configuration from the capability to use this high speed interface most efficiently for debugging
purposes like data streaming or sending and receiving of test pattern and so on.
The kit consists of a proFPGA PCIe gen1 DMBI connector board, which will be plugged on a
dedicated connector of the proFPGA duo or quad system, a proFPGA PCIe gen3 4-lane host
interface card and a dedicated high performance cable.
For further information to the proFPGA PCIe gen3 4-lane host interface card please refer to
PCIe 4-lane Host Interface Card (PCIex4_HostCableAdaptor-R2).
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Motherboards
proFPGA PCIe DMBI Kit
Order Code
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Motherboards
Generation 2 Motherboards
Generation 2 Motherboards
This chapter is about generation 2 motherboards.
Features. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 114
MB-4M-R3 (Quad Motherboard) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 114
proFPGA PCIe DMBI Kit Gen 2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 125
Features
This topic is about features.
Generation 2 is a further development of the Motherboards with updated and extended features.
Additional to the improvements of performance in comparision to Generation 1, the Generation
2 Motherboards are prepared for future demands of new FPGA generations. Following list will
give an overview of the improvements and new features:
• The use of PCIe is possible without rebooting the PC because the PCIe PHY is on the
extension board card.
• The motherboard PCIe connection is upgraded to PCIe gen 2 x4.
• Due to the turn of the TA3 and TC3 FPGA sections by 180° (now called FA2 and FB2)
there is more room for extensions because the direction of the upper edge of each FPGA
module is now away from the motherboard.
• Ethernet speed is now 1 Gbit/s instead of 100 Mbit/s.
• The generation 2 motherboard has a more powerful controller.
• Firmware upgrades on the board are easier to handle.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Motherboards
MB-4M-R3 (Quad Motherboard)
Hardware Overview
Figure 4-9. MB-4M-R3 Top-side Components
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Motherboards
MB-4M-R3 (Quad Motherboard)
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Motherboards
MB-4M-R3 (Quad Motherboard)
Caution
Please consider changed function modul orientation for Sites FA2 and FB2!
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Motherboards
MB-4M-R3 (Quad Motherboard)
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Motherboards
MB-4M-R3 (Quad Motherboard)
Status LEDs
Table 4-14. Status LEDs of MB-4M-R3
LED Marking Color Description
Temperature
Alerts
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Motherboards
MB-4M-R3 (Quad Motherboard)
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Motherboards
MB-4M-R3 (Quad Motherboard)
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Motherboards
MB-4M-R3 (Quad Motherboard)
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Motherboards
MB-4M-R3 (Quad Motherboard)
Electrical Characteristics
Table 4-15. Electrical Characteristics of MB-4M-R3
Parameter Description Min Max
External Power Supply
V(XPWR1…4) external supply 10V 14V
voltageNote: min/
max is limited by
over-/undervoltage
protection
I(XPWR1…4) supply current (per - 25A
power connector)
Note: max is limited
by overcurrent
detection
Power Protection
- Overcurrent - 25A
detection
- Voltage Reverse - -
DetectionNote:
Detection of wrong
polarity of power
input voltage
- Short circuit - -
protection
- All Inputs powered - -
detectionNote:
Detection if all
XPWR are
connected and
powered
- Overvoltage 14V -
detection
- Undervoltage - 10V
detection
Extension Board Power Supply
V(P12V) 12V supply voltage ±5%
tolerance
I(P12V_FPGA) 12V supply current - 20A
limit per FPGA site
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Motherboards
MB-4M-R3 (Quad Motherboard)
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Motherboards
proFPGA PCIe DMBI Kit Gen 2
Order Codes
286414 (MB-4M-R3)
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Motherboards
Motherboard Interconnect Cable
Functional Description
Figure 4-13. proFPGA PCIe DMBI Kit Gen 2
Generation 2 motherboards PCIe connection is realized with new adapter card plugged into the
PC. The connection between proFPGA motherboard and adapter board is established with a
QSFP+ cable. A PCIe gen 2 x4 connection will be established between the PC and the proFPGA
motherboard. The PCIe core is running on the adapter board. Therefore, the proFPGA
motherboard can be started and rebooted at any time without rebooting the PC.
Order Code
286549
Functional description
Caution
This section does not apply to MB-1M motherboards.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Motherboards
Motherboard Interconnect Cable
Functional description
The motherboard interconnect cable (IC-MB-CABLE) provides connections for bidirectional
DMBI communication and clock distribution. Each motherboard has two connectors:
Only the master motherboard is available for external DMBI access (USB cable, Ethernet,
DMBI PCIe cable).
Caution
Motherboards with a valid connection on XPMB1 will ignore external communication
channels.
Tip
For systems with three or more motherboards, it is recommended to distribute the clock
from a motherboard close to the system center (e.g. Slave #1 in Figure 4-14). This will
decrease the system clock skew.
Related Work
Multi-motherboard system operations are described in Multi-Motherboard Systems. The
connector pin layout is described in Motherboard Interconnect Cable.
Order Code
286529
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Motherboards
Motherboard Interconnect Cable
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Chapter 5
FPGA Modules
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Virtex 7 FPGA Modules
Functional Description
The proFPGA FPGA modules are intended for the user designs.
Several FPGA types and speed grades are available. Each proFPGA FPGA module provides the
following functions:
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Extension Board Connector Bank Assignment
Note
The detailed pin assignment is specified in the UCF/XDC constraints files provided with the
design data.
Note
With the profpga_brdgen tool top level HDL files and pin location constraints files can be
generated depending on the FPGA module and connector position the extension board is
plugged onto.
Please refer to the “proFPGA Software Reference Manual” [SWRM] and the “proFPGA
Builder User Manual” [UD004] for more information.
Note
Information about the I/O connector pin assignment and the mapping of the MGTs within
the FPGA can be found in the “proFPGA Extension Board Design Guide” [UD003].
In the table below, the resources marked with (R1) or (R2) are only available on FM-
XC7V2000T-R1 or FM-XC7V2000T-R2 respectively. R2 boards support DCI and require two
pins per connector for reference voltage.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Extension Board Connector Bank Assignment
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Extension Board Connector Bank Assignment
In the table below, “HR” marks 7-series High-Range banks (PV_IOmax=3.3V). All other banks
are “HP” 7-series High-Performance banks (PV_IOmax=1.8V).
Table 5-2. FM-XC7VX330T-R3 – Board Connectors
Connector I/O Banks Pins MGT Banks Channels Service
XETA1 17,18,19 148 118, 119 8x RX, 8x
TX
XETA2HR 13 HR 48
XETB1 37,38,39 148 116,117 8x RX, 8x
TX
XETB2 33 48 113, 114,115 12x RX, 12x
TX
XMBA1 34,35,36 148 clk/sync,
srcclk/
srcsync
XMBA2 16 48 MMI-64,
FPGA
configuration
XMBB1 -
XMBB2 -
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Extension Board Connector Bank Assignment
In the table below, “HR” marks 7-series High-Range banks (PV_IOmax=3.3V). All other banks
are 7-series “HP” High-Performance banks (PV_IOmax=1.8V).
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Extension Board Connector Bank Assignment
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Other Connectors
Other Connectors
This topic discusses other connectors in Virtex 7 FPGA modules.
The debug connector XDBG1 provides 4 user debug pins (Figure 5-6). The pin assignment is
compatible with the FTDI TTL-232R-3V3 cable which provides a UART. As the signals are
directly accessible by the user FPGA design, the pins may be used otherwise.
Note
All signals on XDBG1 run at 3.3V.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Status LEDs
Note
Because of the presence of voltage level shifters, there are two dedicated FPGA inputs
(UART_RXD, UART_CTS_B) and two dedicated FPGA outputs (UART_TXD,
UART_RTS_B).
Figure 5-6. FPGA Modules – XDBG1 connector. All pins run at 3.3V.
Status LEDs
This topic discusses status LEDs in Virtex 7 FPGA modules.
In the table below, LEDs marked with (R2/R3) are only available on FM-XC7V2000T-R2 and
FM-XC7Vxxx-R3.
Table 5-7. FPGA Modules – Status LEDs.
LED Marking Color Description
Power Supply
D1: BB2 green XMBB2 IO power good
D2: BB1 green XMBB1 IO power good
D3: BA2 green XMBA2 IO power good
D4: BA1 green XMBA1 IO power good
D5: TB2 green XETB2 IO power good
D6: TB1 green XETB1 IO power good
D7: TA2 green XETA2 IO power good
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
I/O Power Supply
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
JTAG
JTAG
This topic discusses JTAG in Virtex 7 FPGA modules.
The Virtex-7 JTAG port links into the A1 JTAG chain, making it the first device in the list. If no
JTAG devices are present (i.e. JTAG_PRESENT is 0 or floating), the JTAG chain will short-
circuit back to XMBA1. On all other board connectors, JTAG signals of top and bottom side are
directly connected (Figure 5-9).
Order Codes
This topic discusses order codes of Virtex 7 FPGA modules.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Packages
Packages
This topic discusses packages of Virtex 7 FPGA modules.
Firmware Requirements
This topic discusses firmware requirements for Virtex 7 FPGA modules.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Related Work
Related Work
This section discusses related work of Virtex 7 FPGA modules.
Please refer to the “proFPGA Software Reference Manual” [SWRM] and the “proFPGA
Builder User Manual” [UD004] for more information.
Demo Designs
Table 5-9. Virtex 7 FPGA Modules Demo Designs
Title Author Description
blinking_led Siemens User LED demo for Virtex 7
devices
mmi64_basic Siemens MMI64 demo for Virtex 7
devices
mmi64_axim Siemens MMI64 AXI Master demo
for Virtex 7 devices
mmi64_fm_pcie Siemens MMI64 PCIe Extension
Board demo for FM-
XC7V2000T-R2 and
XC7VX690T-R3
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Related Work
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Zynq7000 FPGA Modules
Functional Description
The proFPGA Zynq FPGA modules combine a user FPGA with an ARM Core processor
(System-On-Chip) and are intended for user designs.
The main features of all Zynq FPGA modules are:
• PL (Programmable Logic)
• PS (Processing System) – ARM Cortex A9 Dual Core
• USB 2.0 OTG
• USB UART Debug interface
• Gigabit Ethernet
• SD memory card holder for programming files
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Functional Description
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Functional Description
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Extension Board Connector Bank Assignment
Note
With the profpga_brdgen tool top level HDL files and pin location constraints files can be
generated depending on the FPGA module and connector position the extension board is
plugged onto.
Please refer to the “proFPGA Software Reference Manual” [SWRM] and the “proFPGA
Builder User Manual” [UD004] for more information.
Note
Information about the I/O connector pin assignment and the mapping of the MGTs within
the FPGA can be found in the “proFPGA Extension Board Design Guide” [UD003].
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Extension Board Connector Bank Assignment
In the table below, “HR” marks 7-series High-Range banks (PV_IOmax=3.3V). All other banks
are “HP” 7-series High-Performance banks (PV_IOmax=1.8V).
Table 5-11. FM-XC7Z100-R1 – Board Connectors
Connector I/O Banks Pins MGT Banks Channels Service
XETA1HR 9, 10, 11 112 109, 110 8x RX, 8x
TX
XETA2 33, 34, 35 148 111, 112 8x RX, 8x
TX
XMBA1 clk/sync,
srcclk/
srcsync
XMBA2 MMI-64
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Other Connectors
In the table below, “HR” marks 7-series High-Range banks (PV_IOmax=3.3V). All other banks
are 7-series “HP” High-Performance banks (PV_IOmax=1.8V).
Table 5-12. FM-XC7Z045-R1 – Board Connectors
Connector I/O Banks Pins MGT Banks Channels Service
XETA1HR 9, 10, 11 112 109, 110 8x RX, 8x
TX
XETA2 33, 34, 35 148 111, 112 8x RX, 8x
TX
XMBA1 clk/sync,
srcclk/
srcsync
XMBA2 MMI-64
Other Connectors
This topic discusses other connectors in Zynq7000 FPGA modules.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Status LEDs
Status LEDs
This topic discusses status LEDs in Zynq7000 FPGA modules.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
I/O Power Supply
JTAG
This topic discusses JTAG in Zynq7000 FPGA modules.
The Zynq7000 JTAG port links into the A1 JTAG chain, making it the first device in the list. If
no JTAG devices are present (i.e. JTAG_PRESENT is 0 or floating), the JTAG chain will short-
circuit back to XMBA1. On all other board connectors, JTAG signals of top and bottom side are
directly connected (Figure 5-15).
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Reset Buttons
In addition the ARM core can be programmed and debugged via a JTAG ICE interface
connector which is located on the FM (X23).
Reset Buttons
This section discusses reset buttons in Zynq7000 FPGA modules.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
SD Memory Card
SD Memory Card
This section discusses SD memory card in Zynq7000 FPGA modules.
With the SD-Card Holder X8 and a compatible SD memory card the Zynq can be programmed
with files stored on the SD without any programming cables connected. With the Zynq FM
there will be an 8GB memory card provided.
Gigabit Ethernet
This section discusses gigabit ethernet in Zynq7000 FPGA modules.
The ARM PS supports a Gigabit Ethernet interface which is provided on the FM-XC7Zxxx-R1
boards via connector X15. It can be configured via GPIO expanders and the status of the
Ethernet link can be seen by three status LEDs (see Table 5-14).
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
USB OTG
USB OTG
This section discusses USB OTG in Zynq7000 FPGA modules.
The Zynq FPGA Module has an USB 2.0 OTG interface which is provided by the Processing
System and which can be used in Host or Device mode to connect peripherals.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Local clock sources
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
DDR3 memory
DDR3 memory
This section discusses DDR3 memory in Zynq7000 FPGA modules.
The Processing System of the Zynq FPGA Module can draw on 1GB DDR3 dynamic memory.
Through an AXI interface this memory can be also available for the PL. The memory consists
of four memory modules which provide a 32 Bit wide data interface to the ARM processor.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Dual Quad SPI Memory
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Order Codes
Order Codes
This section discusses order codes of Zynq7000 FPGA modules.
These FPGA modules are no longer available.
Packages
This section discusses packages of Zynq7000 FPGA modules.
Firmware Requirements
This section discusses firmware requirements for Zynq7000 FPGA modules.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Related Work
Related Work
This section discusses related work of Zynq7000 FPGA modules.
Please refer to the “proFPGA Software Reference Manual” [SWRM] and the “proFPGA
Builder User Manual” [UD004] for more information.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Virtex Ultrascale FPGA Module
Functional Description
This topic discusses functional description of Virtex Ultrascale FPGA module.
The proFPGA Virtex Ultrascale FPGA module is intended for the user designs. Several FPGA
types and speed grades are available. The proFPGA FPGA module provides the following
functions:
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Extension Board Connector Bank Assignment
Note
With the profpga_brdgen tool top level HDL files and pin location constraints files can be
generated depending on the FPGA module and connector position the extension board is
plugged onto.
Please refer to the “proFPGA Software Reference Manual” [SWRM] and the “proFPGA
Builder User Manual” [UD004] for more information.
Note
Information about the I/O connector pin assignment and the mapping of the MGTs within
the FPGA can be found in the “proFPGA Extension Board Design Guide” [UD003].
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Extension Board Connector Bank Assignment
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Extension Board Connector Bank Assignment
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Extension Board Connector Bank Assignment
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Extension Board Connector Bank Assignment
1. XMBA[12] does not provide an I/O Voltage, the PV_IO_RUN is driven low by the FPGA Module
2. XMBB[12] supports only an I/O voltage of 1.8V
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Extension Board Connector Bank Assignment
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Extension Board Connector Bank Assignment
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Extension Board Connector Bank Assignment
1. XMBA[12] does not provide an I/O Voltage, the PV_IO_RUN is driven low by the FPGA Module
2. XMBB[12] supports only an I/O voltage of 1.8V
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Other Connectors
1. XMBA[12] does not provide an I/O Voltage, the PV_IO_RUN is driven low by the FPGA Module
2. XMBB[12] supports only an I/O voltage of 1.8V
Other Connectors
This topic discusses other connectors in Virtex Ultrascale FPGA module.
The debug connector XDBG1 provides 4 user debug pins (Figure 5-28). The pin assignment is
compatible with the FTDI TTL-232R-3V3 cable which provides a UART. As the signals are
directly accessible by the user FPGA design, the pins may be used otherwise.
Note
All signals on XDBG1 run at 3.3V.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Status LEDs
Note
Because of the presence of voltage level shifters, there are two dedicated FPGA inputs
(UART_RXD, UART_CTS_B) and two dedicated FPGA outputs (UART_TXD,
UART_RTS_B).
Figure 5-28. FPGA Modules – XDBG1 connector. All Pins Run at 3.3V.
Status LEDs
This topic discusses status LEDs in Virtex Ultrascale FPGA module.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Status LEDs
Four groups of four LEDs with different colors (green, red, yellow, blue) are available on each
FPGA module. Two groups are placed in the upper left (TA1) and two groups in the lower left
corner (TB2) of the FPGA module. The LED groups are labeled with USR1 and USR1. The
LEDs with the same color and the same label are connected to each other. This means only eight
outputs are available at the FPGA: LED_green1, LED_green2, LED_red1, LED_red2,
LED_yellow1, LED_yellow2, LED_blue1 and LED_blue2. E.g. when output LED_green1 is
driven high by the FPGA, both green LEDs will glow.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
I/O Power Supply
JTAG
This topic discusses JTAG in Virtex Ultrascale FPGA module.
The Virtex-Ultrascale JTAG port links into the A1 JTAG chain, making it the first device in the
list. If no JTAG devices are present (i.e. JTAG_PRESENT is 0 or floating), the JTAG chain will
short-circuit back to XMBA1. On all other board connectors, JTAG signals of top and bottom
side are directly connected (Figure 5-31).
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Order Codes
Order Codes
This topic discusses order codes for Virtex Ultrascale FPGA module.
Packages
This topic discusses packages of Virtex Ultrascale FPGA module.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Firmware requirements
Firmware requirements
This topic discusses firmware requirements for Virtex Ultrascale FPGA module.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Related Work
Related Work
This section discusses related work of Virtex Ultrascale FPGA module.
Please refer to the “proFPGA Software Reference Manual” [SWRM] and the “proFPGA
Builder User Manual” [UD004] for more information.
Demo Designs
Table 5-35. Virtex-Ultrascale FPGA Modules Demo Designs
Title Author Description
mmi64_basic Siemens MMI64 demo for FM-XCVUXXX-R1/R2
mmi64_axim Siemens MMI64 AXI Master demo for FM-XCVUXXX-
R1/R2
mmi64_fm_pcie Siemens MMI64 PCIe Extension Board demo for FM-
XCVU440-R2
mmi64_reg Siemens MMI64 Register Interface demo for FM-
XCVUXXX-R1/R2
mmi64_upstream Siemens MMI64 Upstream demo for FM-XCVUXXX-R1/
R2
pd_muxdemux2 Siemens pd_muxdemux2 demo for FM-XCVU440-R2
reset Siemens Reset demo for FM-XCVUXXX-R1/R2
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Kintex Ultrascale FPGA Module
Functional Description
This topic discusses functional description of Kintex Ultrascale FPGA module.
The proFPGA Kintex Ultrascale FPGA module is intended for the user designs. At the moment
one FPGA type is available in several speed grades. The proFPGA FPGA module provides the
following functions:
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Extension Board Connector Bank Assignment
Note
With the profpga_brdgen tool top level HDL files and pin location constraints files can be
generated depending on the FPGA module and connector position the extension board is
plugged onto.
Please refer to the “proFPGA Software Reference Manual” [SWRM] and the “proFPGA
Builder User Manual” [UD004] for more information.
Note
Information about the I/O connector pin assignment and the mapping of the MGTs within
the FPGA can be found in the “proFPGA Extension Board Design Guide” [UD003].
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Other Connectors
1. XMBA[12] does not provide an I/O Voltage, the PV_IO_RUN is driven low by the FPGA Module
2. XMBB[12] supports only an I/O voltage of 1.8V
Other Connectors
This topic discusses other connectors in Kintex Ultrascale FPGA module.
The debug connector XDBG1 provides 4 user debug pins (Figure 5-34). The pin assignment is
compatible with the FTDI TTL-232R-3V3 cable which provides a UART. As the signals are
directly accessible by the user FPGA design, the pins may be used otherwise.
Note
All signals on XDBG1 run at 3.3V.
Note
Because of the presence of voltage level shifters, there are two dedicated FPGA inputs
(UART_RXD, UART_CTS_B) and two dedicated FPGA outputs (UART_TXD,
UART_RTS_B).
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Status LEDs
Figure 5-34. FPGA Modules – XDBG1 Connector. All Pins Run at 3.3V.
Status LEDs
This topic discusses status LEDs in Kintex Ultrascale FPGA module.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
I/O Power Supply
I/O standard of the user LEDs: LED_yellow2 LVCMOS25, all other LEDs LVCMOS18
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
JTAG
In the image below, each of the board connectors has its individual power supply.
JTAG
This topic discusses status JTAG in Kintex Ultrascale FPGA module.
The Kintex-Ultrascale JTAG port links into the A1 JTAG chain, making it the first device in the
list. If no JTAG devices are present (i.e. JTAG_PRESENT is 0 or floating), the JTAG chain will
short-circuit back to XMBA1. On all other board connectors, JTAG signals of top and bottom
side are directly connected (Figure 5-37).
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Order Codes
Order Codes
This topic discusses status order codes of Kintex Ultrascale FPGA module.
Packages
This topic discusses packages of Kintex Ultrascale FPGA module.
Firmware requirements
This topic discusses firmware requirements of Kintex Ultrascale FPGA module.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Service Banks Pin Constraints
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Service Banks Pin Constraints
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Related Work
Related Work
This topic discusses related work in Kintex Ultrascale FPGA module.
Please refer to the “proFPGA Software Reference Manual” [SWRM] and the “proFPGA
Builder User Manual” [UD004] for more information.
Demo Designs
Table 5-43. Kintex-Ultrascale FPGA Modules Demo Designs
Title Author Description
mmi64_basic Siemens MMI64 demo for FM-XCVUXXX-R1/R2
mmi64_axim Siemens MMI64 AXI Master demo for FM-XCVUXXX-R1/
R2
mmi64_reg Siemens MMI64 Register Interface demo for FM-
XCVUXXX-R1/R2
mmi64_upstream Siemens MMI64 Upstream demo for FM-XCVUXXX-R1/R2
reset Siemens Reset demo for FM-XCVUXXX-R1/R2
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Virtex Ultrascale+ FPGA Module
Functional Description
This topic discusses functional description in Virtex Ultrascale+ FPGA module.
The proFPGA Virtex Ultrascale+ FPGA module is intended for the user designs. At the moment
one FPGA type is available in several speed grades. The proFPGA FPGA module provides the
following functions:
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Extension Board Connector Bank Assignment
Note
The detailed pin assignment is specified in the UCF/XDC constraints files provided with the
design data.
Note
With the profpga_brdgen tool top level HDL files and pin location constraints files can be
generated depending on the FPGA module and connector position the extension board is
plugged onto.
Please refer to the “proFPGA Software Reference Manual” [SWRM] and the “proFPGA
Builder User Manual” [UD004] for more information.
Note
Information about the I/O connector pin assignment and the mapping of the MGTs within
the FPGA can be found in the “proFPGA Extension Board Design Guide” [UD003].
FM-XCVU5P-R1 (Virtex-Ultrascale+ 5)
Figure 5-38. Mapping of FM-XCVU5P I/O Banks to Connectors
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Extension Board Connector Bank Assignment
1. XMBA[12] does not provide an I/O Voltage, the PV_IO_RUN is driven low by the FPGA Module
2. XMBB[12] supports only an I/O voltage of 1.8V
FM-XCVU7P-R1 (Virtex-Ultrascale+ 7)
Figure 5-39. Mapping of FM-XCVU7P I/O Banks to Connectors
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Extension Board Connector Bank Assignment
1. XMBA[12] does not provide an I/O Voltage, the PV_IO_RUN is driven low by the FPGA Module
2. XMBB[12] supports only an I/O voltage of 1.8V
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Extension Board Connector Bank Assignment
FM-XCVU9P-R1 (Virtex-Ultrascale+ 9)
Figure 5-40. Mapping of FM-XCVU9P I/O Banks to Connectors
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Extension Board Connector Bank Assignment
1. XMBA[12] does not provide an I/O Voltage, the PV_IO_RUN is driven low by the FPGA Module
2. XMBB[12] supports only an I/O voltage of 1.8V
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Extension Board Connector Bank Assignment
1. XMBA2 does not provide an I/O Voltage, the PV_IO_RUN is driven low by the FPGA Module
2. XMBB2 supports only an I/O voltage of 1.8V
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Extension Board Connector Bank Assignment
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Extension Board Connector Bank Assignment
1. XMBA[12] does not provide an I/O Voltage, the PV_IO_RUN is driven low by the FPGA Module
2. XMBB[12] supports only an I/O voltage of 1.8V
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Reference Clocks at V2 Connectors
XETA2V11 93, 98 48
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Reference Clocks at V2 Connectors
The MGT reference clock inputs of the FPGA are driven by a Si5332 clock generator. There are
3 possible input clocks: XA/XB (internal 50MHz crystal), CLKIN_2 (driven by
MGT_REFCLK_0 from the extension board) or CLKIN_3 (driven by MGT_REFCLK_1 from
the extension board). If only one FPGA MGT bank is connected to the V2 connector CLKIN_3
is not being driven and therefore not available as reference clock. Please refer to the FPGA
subsection Extension Board Connector Bank Assignment to determine if one or two FPGA
banks are being used.
Caution
If two connectors are interconnected with a cable, no clock will be transferred in the cable
because there is no driver. In this case, an asynchronous clock must be generated on each
side of the connector using the clock generator.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Reference Clocks at V2 Connectors
Each clock input at the clock generator can either be fed through a buffer without modifications
or routed through a PLL with the possibility of modifying the frequency of the clock.
Caution
The clocks are inputs for the V2 connectors. If two connectors are interconnected with a
cable, no clock will be transferred in the cable because there is no driver. In this case, an
asynchronous clock must be generated on each side of the connector using the clock generator.
The generation of the Register Map File in the Clock Builder Pro Software is guided through a
wizard.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Reference Clocks at V2 Connectors
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Reference Clocks at V2 Connectors
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Reference Clocks at V2 Connectors
h. The outputs and their desired frequency values (in case of a PLL selection
previously) can be defined here.
Note
If a cable is used to connect MGTs of 2 FPGAs with each other the internal
crystal (XA/XB) needs to be used as clock source.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Reference Clocks at V2 Connectors
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Reference Clocks at V2 Connectors
• To generate the file click onto ‘Save To File …’ button and choose the location and the
name of the file.
• It is also recommended to save the ‘ClockBuilder Pro’ project. In this case the project
can be opened again at a later point to easily change a single parameter.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Other Connectors
Other Connectors
This topic discusses other connectors in Virtex Ultrascale+ FPGA module.
The debug connector XDBG1 provides 4 user debug pins (Figure 5-53). The pin assignment is
compatible with the FTDI TTL-232R-3V3 cable which provides a UART. As the signals are
directly accessible by the user FPGA design, the pins may be used otherwise.
Note
The modules FM-XCVU19P-R1 and FM-XCVU37P-R1 don’t have the UART connector
available.
Note
All signals on XDBG1 run at 3.3V.
Note
Because of the presence of voltage level shifters, there are two dedicated FPGA inputs
(UART_RXD, UART_CTS_B) and two dedicated FPGA outputs (UART_TXD,
UART_RTS_B).
Figure 5-53. FPGA Modules – XDBG1 Connector. All Pins Run at 3.3V.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Status LEDs
Status LEDs
This topic discusses status LEDs in Virtex Ultrascale+ FPGA module.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Status LEDs
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Status LEDs
FM-XCVU13P-R1
Figure 5-55. FM-XCVU13P-R1 Status LED
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Status LEDs
FM-XCVU37P-R1/ FM-XCVU47P-R1
Figure 5-56. FM-XCVU37P, FM-XCVU47P Status LED
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
I/O Power Supply
FM-XCVU19P-R1
Figure 5-57. FM-XCVU19P Status LED
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
I/O Power Supply
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
JTAG
JTAG
This topic discusses JTAG in Virtex Ultrascale+ FPGA module.
The Virtex-Ultrascale+ JTAG port links into the A1 JTAG chain, making it the first device in
the list. If no JTAG devices are present (i.e. JTAG_PRESENT is 0 or floating), the JTAG chain
will short-circuit back to XMBA1. On all other board connectors, JTAG signals of top and
bottom side are directly connected (Figure 5-60).
Order Codes
This topic discusses order codes of Virtex Ultrascale+ FPGA module.
Packages
This topic discusses packages of Virtex Ultrascale+ FPGA module.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Firmware requirement
Firmware requirement
This topic discusses firmware requirements of Virtex Ultrascale+ FPGA module.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Related Work
Related Work
This topic discusses related work of Virtex Ultrascale+ FPGA module.
Please refer to the “proFPGA Software Reference Manual” [SWRM] and the “proFPGA
Builder User Manual” [UD004] for more information.
fpga_module_fa1:
{
type = "FM-XCVU13P-R1";
speed_grade = 1;
temp_grade = "E";
bitstream = "output/top.bit";
v_io_ta2 = "AUTO";
v_io_tb2 = "AUTO";
v_io_ba1 = "AUTO";
v_io_bb1 = "AUTO";
v_io_bb2 = "AUTO";
v_io_tb1v2 = "AUTO";
v_io_td1v2 = "AUTO";
v_io_tf1v2 = "AUTO";
v_io_th1v2 = "AUTO";
tb1v2_si5332_registermap_file = "RegisterMap.txt";
td1v2_si5332_registermap_file = "RegisterMap.txt";
tf1v2_si5332_registermap_file = "OFF";
th1v2_si5332_registermap_file = "OFF";
};
For each V2 connector a Register Map file generated from the ClockBuilder Pro Tool has to be
given in case the reference clock inputs are meant to be used. In case they are not needed, the
value “OFF” can be used as a valid value.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Related Work
fpga_module_fa1:
{
type = "FM-XCVU7P-R1";
speed_grade = 1;
bitstream = "output/top.bit";
v_io_ba1 = "AUTO";
v_io_ba2 = "AUTO";
v_io_bb1 = "AUTO";
v_io_bb2 = "AUTO";
v_io_ta1 = "AUTO";
v_io_ta2 = "AUTO";
v_io_tb1 = "AUTO";
v_io_tb2 = "AUTO";
};
Demo Designs
Table 5-53. Virtex-Ultrascale+ FPGA Modules Demo Designs
Title Author Description
mmi64_basic Siemens MMI64 demo for FM-XCVUXXX-R1/R2
mmi64_axim Siemens MMI64 AXI Master demo for FM-
XCVUXXX-R1/R2
mmi64_reg Siemens MMI64 Register Interface demo for FM-
XCVUXXX-R1/R2
mmi64_upstream Siemens MMI64 Upstream demo for FM-
XCVUXXX-R1/R2
reset Siemens Reset demo for FM-XCVUXXX-R1/R2
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Zynq Ultrascale+ MPSoC FPGA Modules
Functional Description
This topic discusses functional description of Zynq Ultrascale+ MPSoC FPGA module.
The proFPGA Zynq Ultrascale+ MPSoC FPGA modules combine a user FPGA with ARM
Core processors (System-On-Chip) and are intended for user designs. The main features of all
Zynq Ultrascale+ FPGA modules are:
• PL (Programmable Logic)
• PS (Processing System) – ARM Cortex-A53 Based Application Processing Unit (APU)
and Dual-core ARM Cortex-R5 Based Real-Time Processing Unit (RPU)
• 3 (2 in case of the XCZU11) x153 I/Os to top and bottom side connectors (HP)
• I/O voltage up to 1.8V on HP bank
• 1x72 I/Os to 1 top connector (HD)
• I/O voltage up to 3.3V on HD bank
• 8 global clock and sync signal inputs
• 4 global clock and sync signal outputs
• 16 MGTs (16.0 Gb/s)
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Functional Description
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Functional Description
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Functional Description
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Functional Description
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Extension Board Connector Bank Assignment
Table 5-55. Zynq Ultrascale+ FM Top Side Interfaces and Components (cont.)
Number Designator Function Notes
9, 12 XUSB1, XUSB2 PS (ARM) USB Both UARTs are identical.
UART Depending on the
mechanical space either the
XUSB1 or XUSB2
connector can be used.
Using both connectors at the
same time is not supported.
10 XBAT1 Encryption key SR621SW /1V55
backup battery
11 XDBG1 USB UART UART interface for PL
(FPGA)
13 S2 nSRST reset button
14 S1 nPOS reset button
15 XUSB1 SD-Card Holder Connected to PS
Note
With the profpga_brdgen tool top level HDL files and pin location constraints files can be
generated depending on the FPGA module and connector position the extension board is
plugged onto.
Please refer to the “proFPGA Software Reference Manual” [SWRM] and the “proFPGA
Builder User Manual” [UD004] for more information.
Note
Information about the I/O connector pin assignment and the mapping of the MGTs within
the FPGA can be found in the “proFPGA Extension Board Design Guide” [UD003].
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Extension Board Connector Bank Assignment
FM-XCZU19EG-R2
Figure 5-64. Mapping of FM-XCZU19EG-R2 I/O Banks to Connectors
In the table below, “HD” marks Ultrascale+ High Density-Range banks (PV_IOmax=3.3V).
“PS” marks Ultrascale+ PS banks (PV_IOmax=3.3V). All other I/O banks are “HP”
Ultrascale+ High-Performance banks (PV_IOmax=1.8V).
Table 5-56. FM-XCZU19EG-R2 – Board Connectors
Connector I/O Banks Pins MGT Banks Channels Service
XETA2 64, 65, 66 153 224, 225 8x RX, 8x
TX
XETB1 67, 68, 69 153
XETB2HD 90, 91, 93 72 226, 227 8x RX, 8x
TX
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Extension Board Connector Bank Assignment
FM-XCZU17EG-R2
Figure 5-65. Mapping of FM-XCZU17EG-R2 I/O Banks to Connectors
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Extension Board Connector Bank Assignment
In the table below, “HD” marks Ultrascale+ High Density-Range banks (PV_IOmax=3.3V).
“PS” marks Ultrascale+ PS banks (PV_IOmax=3.3V). All other I/O banks are “HP”
Ultrascale+ High-Performance banks (PV_IOmax=1.8V).
Table 5-57. FM-XCZU17EG-R2 – Board Connectors
Connector I/O Banks Pins MGT Banks Channels Service
XETA2 64, 65, 66 153 224, 225 8x RX, 8x
TX
XETB1 67, 68, 69 153
XETB2HD 90, 91, 93 72 226, 227 8x RX, 8x
TX
XMBA1 clk/sync,
srcclk/
srcsync
XMBA2 MMI-64
XMBB1PS 501, 502 52 505 4x RX, 4x
TX
XMBB2 72, 73, 74 153
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Extension Board Connector Bank Assignment
FM-XCZU11EG-R2
Figure 5-66. Mapping of FM-XCZU11EG-R2 I/O Banks to Connectors
In the table below, “PS” marks Ultrascale+ PS banks (PV_IOmax=3.3V). All other I/O banks
are “HP” Ultrascale+ High-Performance banks (PV_IOmax=1.8V).
Table 5-58. FM-XCZU11EG-R2 – Board Connectors
Connector I/O Banks Pins MGT Banks Channels Service
XETA2 64, 65, 66 153 224, 225 8x RX, 8x
TX
XETB1 67, 68, 69 153
XETB2HD 88, 89, 90 72 226, 227 8x RX, 8x
TX
XMBA1 clk/sync,
srcclk/
srcsync
XMBA2 MMI-64
XMBB1PS 501, 502 52 505 4x RX, 4x
TX
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
PS Specific
PS Specific
This topic discusses PS specific of Zynq Ultrascale+ MPSoC FPGA module.
PS Interface Concept
Only Bank 500 with MIO[0..25] signals are fixed connected to the on-board functions QSPI,
SDIO and UART interfaces. The bank 500 is powered with 3.3 V.
Table 5-59. Zynq Ultrascale+ Bank 500 Pin Assignment
MIO Pin Interface Signal Function
MIO0 QSPI QSPI0_CLK
MIO1 QSPI0_IO1
MIO2 QSPI0_IO2
MIO3 QSPI0_IO3
MIO4 QSPI0_IO0
MIO5 QSPI0_nCS
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
PS Specific
Please refer to the compatibility list of each extension board in this document for other
interfaces.
• EB-PDS-PCIe-Cable-R3
• EB-PDS-ROOT-COMPLEX-M.2-R1
• EB-PDS-SATA-R2
Note
The SDIO_CLK speed has to be set to fast. All other SDIO_* speeds have to staty at slow.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
PS Specific
Figure 5-67. Zynq Ultrascale+ FPGA Modules - MGT Lane Switching for PS
MGTs
With the MGT lane switching it is possible to use for example the
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Other Connectors
Other Connectors
This topic discusses other connectors in Zynq Ultrascale+ MPSoC FPGA module.
The debug connector XDBG1 provides 4 user debug pins (Figure 5-68). The pin assignment is
compatible with the FTDI TTL-232R-3V3 cable which provides a UART. As the signals are
directly accessible by the user FPGA design, the pins may be used otherwise.
Note
All signals on XDBG1 run at 3.3V.
Note
Because of the presence of voltage level shifters, there are two dedicated FPGA inputs
(UART_RXD, UART_CTS_B) and two dedicated FPGA outputs (UART_TXD,
UART_RTS_B).
Figure 5-68. FPGA Modules – XDBG1 Connector. All Pins Run at 3.3V.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Status LEDs
Status LEDs
This topic discusses status LEDs in Zynq Ultrascale+ MPSoC FPGA module.
D2: red
PS_ERROR_OUT
D3: red
PS_ERROR_STAT
US
User LEDs (from tot o bottom)
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
I/O Power Supply
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
JTAG
JTAG
This topic discusses JTAG in Zynq Ultrascale+ MPSoC FPGA module.
The Zynq Ultrascale+ JTAG port links into the A1 JTAG chain, making it the first device in the
list. If no JTAG devices are present (i.e. JTAG_PRESENT is 0 or floating), the JTAG chain will
short-circuit back to XMBA1. On all other board connectors, JTAG signals of top and bottom
side are directly connected.
PUDC_B
This topic discusses PUDC_B in Zynq Ultrascale+ MPSoC FPGA module.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Order Codes
• LOW means, that weak preconfiguration I/O pull-up resistors are enabled.
• HIGH means, that weak preconfiguration I/O pull-up resistors are disabled.
Each FPGA module has to have its own pudc_b entry if needed. The syntax is as followed:
Order Codes
This topic discusses order codes of Zynq Ultrascale+ MPSoC FPGA module.
Packages
This topic discusses packages of Zynq Ultrascale+ MPSoC FPGA module.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Firmware Requirements
Firmware Requirements
This topic discusses firmware requirements of Zynq Ultrascale+ MPSoC FPGA module.
Related Work
This topic discusses related work of Zynq Ultrascale+ MPSoC FPGA module.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Related Work
Please refer to the “proFPGA Software Reference Manual” [SWRM] and the “proFPGA
Builder User Manual” [UD004] for more information.
Profpga_run
For this board the following entry is required within the system configuration file:
fpga_module_ta1:
{
type = „FM-XCZU19EG-R2”;
speed_grade = 1;
temp_grade = „E”;
v_io_ta1 = „AUTO”; # for connector TA1
v_io_ta2 = „AUTO”; # for connector TA2
v_io_tb1 = „AUTO”; # for connector TB1
v_io_tb2 = “AUTO”; # for connector TB2
v_io_ba1 = “AUTO”; # for connector BA1
v_io_ba2 = “AUTO”; # for connector BA2
v_io_bb1 = “AUTO”; # for connector BB1
v_io_bb2 = “AUTO”; # for connector BB2
boot_mode = “SD-CARD”;
ps_npor = “SWITCH”;
ps_nsrst = “SWITCH”;
ps_mgt_lane_0 = “profpga_mgt_03”;
ps_mgt_lane_1 = “profpga_mgt_02”;
ps_mgt_lane_2 = “profpga_mgt_01”;
ps_mgt_lane_3 = “profpga_mgt_00”;
pudc_b = “LOW”; # optional entry, can be “LOW” or “HIGH”
};
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Related Work
Demo Designs
Table 5-66. FM-XCZUxxEG-R2 FPGA Modules Demo Designs
Title FPGAs Author Description
EB-PDS-GBITETHERNET- ZU19EG Siemens This verification design
R1_GMII supports GMII GbitEthernet
via EMIO and EB-PDS-
GBITETHERNET-R1
extension board.
EB-PDS-GBITETHERNET- This verification design
R1_RGMII supports RGMII GbitEthernet
via EMIO and EB-PDS-
GBITETHERNET-R1
extension board.
EB-PDS-ROOT-COMPLEX- This verification design
M.2-R1_PCIe supports a PCIe interfacevia
EB-PDS-ROOT-COMPLEX-
M.2-R1 extension board.
NVME device supportis
included.
blinking_led all Siemens Blinking User LED demo
EB-FM-XCZUxx-R2 This verification design
supports the
basicfunctionality with all
interfaces with EB-FM-
XCZUxxEG-R2
extensionboard.
EB-PDS-ROOT-COMPLEX- This verification design
M.2-R1 supports an M.2 interfacevia
EB-PDS-ROOT-COMPLEX-
M.2-R1 extension board.
NVME device supportis
included.
mmi64_ahbm ???
mmi64_basic MMI64 demo
mmi64_reg MMI64 register interface
demo
profpga_acm ACM demo
reset Reset demo
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Stratix 10 FPGA Modules
Functional Description
This topic discusses functional description of Stratix 10 FPGA module.
The proFPGA Stratix 10 FPGA modules are intended for the user designs. At the moment two
FPGA types are available in several speed grades. The proFPGA FPGA modules provide the
following functions:
Note
Master clock signals clk[6] and clk[7] generated by the proFPGA motherboard are
connected on Stratix proFPGA FPGA modules to non-clock-capable IO pins. It is not
possible to connect them directly to a PLL.
To workaround this limitation, use a “Clock Control Intel FPGA IP” between pin and PLL.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Extension Board Connector Bank Assignment
Note
With the profpga_brdgen tool top level HDL files and pin location constraints files can be
generated depending on the FPGA module and connector position the extension board is
plugged onto.
Please refer to the “proFPGA Software Reference Manual” [SWRM] and the “proFPGA
Builder User Manual” [UD004] for more information.
Note
Information about the I/O connector pin assignment and the mapping of the MGTs within
the FPGA can be found in the “proFPGA Extension Board Design Guide” [UD003].
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Extension Board Connector Bank Assignment
Caution
Know Issues:
• Three signals at TA1, TA2, TB1, TB2, BA1, BB1 and BB2 are not delay matched
(IO122, IO123 and IO124). This can cause issues with Highspeed Interfaces using these
signals (e.g. Intel EMIF).
• The transceiver tile related I/O Bank 6A is not available to customers. Some Stratix 10
IPs require their sideband signals located in this bank (e.g. NPERSTL for PCIe). These
signals cannot be remapped to other banks.
Some Intel PSG Transceiver IPs (e.g. PCIe) require some sideband signals at the Transceiver
Tile related I/O bank (nPERSTL in case of PCIe) which cannot be remapped to other pins. Due
to this fact the Transceiver Tile I/O bank (bank 6A) is now available for the customer. There are
two options how the signals of this bank can be connected. Both options are controlled by the
boot option “tile_io” in the system config file by setting it either to “ONBOARD” or
“EXTERN”.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Extension Board Connector Bank Assignment
• Option 1: The bank 6A signals can be connected to the I/O bank 3C on the FPGA
Module itself (see Figure 5-71). To use this option the “tile_io” must be set to
“ONBOARD”. If an extension board is plugged onto the system (at any site) which uses
those dedicated sideband signals, the user needs to route them to the related pins at bank
3C in the FPGA design. The on board loopback requires eight pins of the bank 3C,
therefore only 27 signals are available at XMBA2 (IO000…IO010 and all CLKIOs).
• Option 2: The bank 6A is available at XMBA2 (IO019…IO026, see Figure 5-72). The
user needs an extension board connected to XMBA2 to have access to these signals. For
this purpose, the Debug Board (EB-PDS-DEBUG-R1) can be used. This feature must be
enabled by setting “tile_io” to “EXTERN”. Because there are no additional I/O pins
from bank 3C needed, the eight signals (required for option 1) are also available at
XMBA2 (IO011…IO018).
Figure 5-71. FM-1SG280L-R2 Tile I/O Onboard Loopback (option 1)
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Extension Board Connector Bank Assignment
vref_source
The VREF is required for some I/O standards (refer to Intel Stratix 10 documentation for
detailed information). With this option it can be defined if the VREF will be
generated on the extension board (“vref_source_[tb][ab][12]” is set to "EB") or on the FPGA
module via resistance divider from the corresponding PV_IO voltage
(“vref_source_[tb][ab][12]” is set to "FM"). All I/O-banks connected to an extension board
connector will use the same setting.
oct_resistor
This option can be used to select the resistance value on the RZQ pins of the I/O banks. This
value depends on the I/O standard (refer to Intel Stratix 10 documentation for detailed
information). Each I/O-bank has its own resistor, but all I/O-banks of an extension board
connector will use the same value (“oct_resistor_[tb][ab][12]” can be set to “100R” or “240R”).
The Transceiver tile I/O bank is available at connector BA2 or can be connected to I/O Bank 3C
via onboard loopback (see above).
The I/O voltage of BA2 supports only 1.8V and cannot be changed.
Due to this modification the following pin assignments have been changed:
The FM-1SG280H-R2 are assembled with Stratix10 AS devices (advanced security) which
supports Intel Bitstream encryption.
FM-1SG10M-R1
On this FPGA module, a Stratix 10 GX 10M is mounted. The main difference to other FPGAs
is, that it consists of 2 dies. These dies are connected internally wit the DIB interface with 6,480
connections. The dies are named F1 and F2.
Table 5-69. FM-1SG10M-R1 – Board Connectors
Connector Die I/O Banks Pins MGT Channels Service
Banks
XETA0 F2 2A, 2B, 2C 141
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Extension Board Connector Bank Assignment
Some Intel PSG Transceiver IPs (e.g. PCIe) require some sideband signals at the Transceiver
Tile related I/O bank (nPERSTL in case of PCIe) which cannot be remapped to other pins. Due
to this fact the Transceiver Tile I/O banks T[1..4] cab be remapped. There are two options how
the signals of these banks can be connected. Both options are controlled by the boot option
“tile[1..4]_io” in the system configuration file by setting it either to “ONBOARD” or
“EXTERN”.
• Option 1: The signals of banks T[1..4] can be connected to the I/O bank 3C of each
concerning FPGA die on the FPGA Module itself (see Figure 5-73). To use this option
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Extension Board Connector Bank Assignment
vref_source
The VREF is required for some I/O standards (refer to Intel Stratix 10 documentation for
detailed information). With this option it can be defined if the VREF will be generated on the
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Other Connectors
oct_resistor
This option can be used to select the resistance value on the RZQ pins of the I/O banks. This
value depends on the I/O standard (refer to Intel Stratix 10 documentation for detailed
information). Each I/O-bank has its own resistor, but all I/O-banks of an extension board
connector will use the same value (“oct_resistor_[t,b][a,ab,b][012][,v1]” can be set to “100R”
or “240R”).
Other Connectors
This topic discusses other connectors of Stratix 10 FPGA module.
The debug connector XDBG1 provides 4 user debug pins (Figure 5-75). The pin assignment is
compatible with the FTDI TTL-232R-3V3 cable which provides a UART. As the signals are
directly accessible by the user FPGA design, the pins may be used otherwise.
Note
All signals on XDBG1 run at 3.3V.
Note
Because of the presence of voltage level shifters, there are two dedicated FPGA inputs
(UART_RXD, UART_CTS_B) and two dedicated FPGA outputs (UART_TXD,
UART_RTS_B).
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Status LEDs
Figure 5-75. FPGA Modules – XDBG1 Connector. All Pins Run at 3.3V.
uart_switch = "F1";
or
uart_switch = "F2";
Status LEDs
This topic discusses status LEDs of Stratix 10 FPGA module.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Status LEDs
FM-1SG280[H,L]-R[1,2]
Table 5-72. Status LEDs at FM-1SG280X-R[1,2]
LED Marking Color Description
Power Supply
D20: BB2 green XMBB2 IO power good
D21: BB1 green XMBB1 IO power good
D22:BA2 green XMBA2 IO power good
D23:BA1 green XMBA1 IO power good
D24: TB2 green XETB2 IO power good
D25: TB1 green XETB1 IO power good
D26: TA2 green XETA2 IO power good
D27: TA1 green XETA1 IO power good
D34: PMBUS Fault red PMBus Error indicator
Stratix 10 Status
D28: S10 Core green FPGA core power good
D29: S10 DONE blue FPGA programming and
initialization done
D11: Temp Fault red FPGA temperature alert
User LEDs
D12/D16: green green driven together by User
FPGA
D13/D17: red red driven together by User
FPGA
D14/D18: yellow yellow driven together by User
FPGA
D15/D119: blue blue driven together by User
FPGA
FM Controller FPGA
D36: A7 Core green FM Controller core power
good
D37: A7 Done blue FM Controller programming
done
Two groups of four LEDs with different colors (green, red, yellow, blue) are available on each
FPGA module. One group is placed in the upper left (TA1) and one group in the lower left
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Status LEDs
corner (TB2) of the FPGA module. The LED groups are labeled with USR. The LEDs with the
same color and the same label are connected to each other. This means four outputs are
available at the FPGA: LED_green, LED_red, LED_yellow, and LED_blue. E.g. when output
LED_green is driven high by the FPGA, both green LEDs will glow.
FM-1SG10M-R1
Figure 5-76. Status LEDs at FM-1SG10M-R1 FPGA Module
Two groups of four LEDs with different colors (green, red, yellow, blue) are available for each
FPGA die.
LEDs of die F1 are located in the middle left and on the right lower corner.
LEDs of die F2 are located in the left upper corner and on the right upper corner.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
I/O Power Supply
The LED groups are labeled with USR LED. The LEDs with the same color and the same
FPGA die are connected to each other. This means four outputs are available at the each FPGA
die:
• F[1,2]_LED_GREEN
• F[1,2]_LED_RED
• F[1,2]_LED_YELLOW
• F[1,2]_LED_BLUE
• E.g. when output F1_LED_GREEN is driven high by the FPGA, both green LEDs will
glow.
I/O standard of the user LEDs: LVCMOS18
JTAG
This topic discusses JTAG of Stratix 10 FPGA module.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Temperature Monitoring
The Stratix 10 JTAG port links into the A1 JTAG chain, making it the first device in the list. If
no JTAG devices are present (i.e. JTAG_PRESENT is 0 or floating), the JTAG chain will short-
circuit back to XMBA1. On all other board connectors, JTAG signals of top and bottom side are
directly connected (Figure 5-78).
Temperature Monitoring
This topic discusses temperature monitoring of Stratix 10 FPGA module.
FM-1SG280[H,L]-R[1,2]
The user needs to implement the Stratix 10 temperature monitor IP provide with the proFPGA
HDL in every user design. The monitoring and fault detection procedure is running in the FM
System Controller. One second after the Stratix 10 has been configured, the monitoring starts. If
the IP is not implemented or an over temperature occurs, the Power supply of the Stratix 10 will
be turned off immediately and the temperature error LED will be activated.
Table 5-73. Stratix10 Temperature Monitor IP Status
FM Temperature Monitor Temperature Fault LED proFPGA Board Status
Status (on FM) (proFPGA Builder and
Command line)
User FPGA is not configured OFF FPGA xxxx temperature :
NO IP
FPGA temperature error :
OK
Temperature Monitoring is OFF FPGA xxxx temperature :
active Shows temperature
FPGA temperature error :
OK
No Temperature Monitoring Blinkling FPGA xxxx temperature :
IP was found NO IP
FPGA temperature error :
FAIL
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Order Codes
FM-1SG10M-R1
Remote diode temperature sensors are used to measure the temperatures of the FPGA dies as
well as the temperatures of the transceiver tiles.
Order Codes
This topic discusses order codes of Stratix 10 FPGA module.
Packages
This topic discusses packages of Stratix 10 FPGA module.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Firmware requirement
Firmware requirement
This topic discusses firmware requirement of Stratix 10 FPGA module.
Related Work
This topic discusses related work of Stratix 10 FPGA module.
Synthesis constraints
The Synthesis of the User design requires a specific set of constrains in order to setup the
configuration and the SmartVID feature of the FPGA. If the constraints are not used the FPGA
will not be configured or initialized. Please refer to the Demo designs for more information.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
FM-1SG10M-R1 Motherboard Compatibility
Please refer to the “proFPGA Software Reference Manual” [SWRM] and the “proFPGA
Builder User Manual” [UD004] for more information.
Demo Designs
Table 5-75. Stratix 10 FPGA Modules Demo Designs
Title Author Description
blinking_led Siemens Blinking User LED demo
mmi64_reg Siemens MMI64 Register Interface
demo for FM-1SG280L-S1-
R1 and FM-1SG10M-R1
mmi64_upstream Siemens MMI64 Upstream demo for
FM-1SG280L-S1-R1 and
FM-1SG10M-R1
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Arria 10 FPGA Modules
Functional Description
This topic discusses functional description of Arria 10 FPGA module.
The proFPGA Arria 10 FPGA module is intended for the user designs. At the moment one
FPGA type is available in several speed grades. The proFPGA FPGA module provides the
following functions:
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Extension Board Connector Bank Assignment
Note
The detailed pin assignment is specified in the SDC/TCL constraints files provided with the
design data.
Note
With the profpga_brdgen tool top level HDL files and pin location constraints files can be
generated depending on the FPGA module and connector position the extension board is
plugged onto.
Please refer to the “proFPGA Software Reference Manual” [SWRM] and the “proFPGA
Builder User Manual” [UD004] for more information.
Note
Information about the I/O connector pin assignment and the mapping of the MGTs within
the FPGA can be found in the “proFPGA Extension Board Design Guide” [UD003].
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Other Connectors
Caution
Know Issues
• The volatile security key storage (using backup battery) is not available, due to the high
VCCBAT current of the Arria 10 (see Intel Arria10 Device Errata ES-1057).
Other Connectors
This topic discusses other connectors of Arria 10 FPGA module.
The debug connector XDBG1 provides 4 user debug pins (Figure 5-79). The pin assignment is
compatible with the FTDI TTL-232R-3V3 cable which provides a UART. As the signals are
directly accessible by the user FPGA design, the pins may be used otherwise.
Note
All signals on XDBG1 run at 3.3V.
Note
Because of the presence of voltage level shifters, there are two dedicated FPGA inputs
(UART_RXD, UART_CTS_B) and two dedicated FPGA outputs (UART_TXD,
UART_RTS_B).
Figure 5-79. FPGA Modules – XDBG1 connector. All Pins Run at 3.3V.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Status LEDs
Status LEDs
This topic discusses status LEDs of Arria 10 FPGA module.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
I/O Power Supply
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
JTAG
JTAG
This topic discusses JTAG of Arria 10 FPGA module.
The Arria 10 JTAG port links into the A1 JTAG chain, making it the first device in the list. If no
JTAG devices are present (i.e. JTAG_PRESENT is 0 or floating), the JTAG chain will short-
circuit back to XMBA1. On all other board connectors, JTAG signals of top and bottom side are
directly connected (Figure 5-81).
Order Codes
This topic discusses order codes of Arria 10 FPGA module.
This FPGA module is not available anymore.
Packages
This topic discusses packages of Arria 10 FPGA module.
Firmware requirement
This topic discusses firmware requirements of Arria 10 FPGA module.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Service Banks Pin Constraints
Boot options
This topic discusses boot options for Arria 10 FPGA module.
tile_io
The Intel Arria 10 PCIe Hard IPs assume their nPERST[LH][01] signals on specific I/O-Pins at
I/O bank 2A. But this bank is used for MMI-64, FPGA configuration and clocking. However,
these signals are available for the customer. There are two options how they can be connected.
Both options are controlled by the boot option “tile_io” in the system configuration file by
setting it either to “onboard” or “extern”.
• Option 1: The nPERST signals can be connected to the I/O bank 3H on the FPGA
Module itself (see Figure 5-82). To use this option the “tile_io” must be set to
“onboard”. If an extension board is plugged onto the system (at any site) which uses
those dedicated sideband signals, the user needs to route them to the related pins at bank
3H in the FPGA design. The on board loopback requires four pins of the bank 3H,
therefore only 35 signals are available at XMBB2 (IO000…IO018 and all CLKIOs).
• Option 2: The nPERST signals are available at XMBB2 (IO023…IO026, see
Figure 5-83). The user needs an extension board connected to XMBB2 to have access to
these signals. For this purpose, the Debug Board (EB-PDS-DEBUG-R1) can be used.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Boot options
This feature must be enabled by setting “tile_io” to “extern”. Because there are no
additional I/O pins from bank 3C needed, the eight signals (required for option 1) are
also available at XMBB2 (IO019…IO022).
Figure 5-82. FM-10AX115-R1 Tile I/O Onboard Loopback (option 1)
bitstream_option
The Arria 10 configuration interface (FPP x16) requires a different DCLK-to-DATA ratio when
the compression or the encryption is used. Therefore, the proFPGA toolchain needs to know
which setting is used for bitstream generation in Quartus. If the bitstream compression is on, the
“bitstream_option” must be set to “compressed” - otherwise it should be set to “uncompressed”.
Encrypted bitstreams are currently not supported by the proFPGA toolchain.
vref_source
The VREF is required for some I/O standards (refer to Intel Arria 10 documentation for detailed
information). With this option it can be defined if the VREF will be generated on the extension
board (“vref_source_[tb][ab][12]” is set to "EB") or on the FPGA module via resistance divider
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
FPGA Modules
Related Work
from the corresponding PV_IO voltage (“vref_source_[tb][ab][12]” is set to "FM"). All I/O-
banks connected to an extension board connector will use the same setting.
oct_resistor
This option can be used to select the resistance value on the RZQ pins of the I/O banks. This
value depends on the I/O standard (refer to Intel Arria 10 documentation for detailed
information). Each I/O-bank has its own resistor, but all I/O-banks of an extension board
connector will use the same value (“oct_resistor_[tb][ab][12]” can be set to “100R” or “240R”).
Related Work
This topic discusses related work of Arria 10 FPGA module.
Please refer to the “proFPGA Software Reference Manual” [SWRM] and the “proFPGA
Builder User Manual” [UD004] for more information.
Demo Designs
Table 5-81. Arria 10 FPGA Modules Demo Designs
Title Author Description
mmi64_reg Siemens MMI64 register interface
demo for FM-10AX115-R1
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Chapter 6
Extension Boards
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
DDR4 Extension Board with 2.5 Gbyte (EB-PDS-DDR4-R2/R3)
Functional Description
This topic is about Functional Description.
The DDR4 extension board provides:
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Extension Board Operating Conditions
• target tRCD-tRP-CL16-16-16
(1)
EB-PDS-DDR4-R2 usable with 80Bit memory data bus and XILINX MIG(**),
(2)
EB-PDS-DDR4-R2 usable with 48Bit memory data bus and XILINX MIG(**) - DQ groups
DQ[0,1,4,5,6,7] (as mentioned in the generated xdc file),
(3)
EB-PDS-DDR4-R3 usable with 80Bit memory data bus and XILINX MIG(**),
(4)
EB-PDS-DDR4-R3 usable with 48Bit memory data bus and XILINX MIG(**) - DQ groups
DQ[0,1,4,5,6,7] (as mentioned in the generated xdc file)
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
(5)
EB-PDS-DDR4-R3 usable with 48Bit memory data bus and XILINX MIG(**) - DQ groups
DQ[2,3,4,5,8,9] (as mentioned in the generated xdc file)
(**)
Usage of AXI4 interface with XILINX MIG restricts data bus to 8, 16, 32, 64bit or 64bit +
ECC.
Table 6-2. EB-PDS-DDR4-R2/R3 – FPGA Extension Site Compatibility
FPGA Module TA1 TA2 TB1 TB1 BA1 BA2 BB1 BB2 TA1 TA2
V1 V1
FM-XC7V2000T-R1
FM-XC7V2000T-R2
FM-XC7VX330T-R3
FM-XC7VX485T-R3
FM-XC7V585T-R3
FM-XC7VX690T-R3
FM-XC7Z100-R1
FM-XC7Z045-R1
FM-XCVU440-R1 √(1) √(1) √(1) √(1) √(1) √(2) √(1) √(2)
FM-XCVU440-R2 √(3) √(3) √(3) √(3) √(3) √(4) √(3) √(4)
FM-XCVU190-R1 √(3) √(3) √(3)
FM-XCVU160-R1 √(3) √(3) √(3)
FM-XCVU125-R1 √(3) √(3) √(3)
FM-XCVU095-R1 √(3) √(3) √(3)
FM-XCVU080-R1 √(3) √(3) √(3)
FM-XCKU115-R1 √(3) √(3) √(3)
FM-XCVU5P-R1 √(3) √(3) √(3)
FM-XCVU5P-R1 √(3) √(3) √(3)
FM-XCVU7P-R1 √(3) √(3) √(3)
FM-XCVU9P-R1 √(3) √(3) √(3)
FM-XCVU13P-R1 √(3) √(3) √(3) √(3)
FM-XCVU19P-R1 √(3) √(3) √(3) √(3) √(5) √(3) √(4)
FM-XCVU37P-R1 √(3) √(3) √(3)
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Pin Constraints
In the table below, (1) EB-PDS-DDR4-R3 usable with 80Bit memory data bus and XILINX
MIG(**),
(2)
EB-PDS-DDR4-R3 usable with 48Bit memory data bus and XILINX MIG(**) - DQ groups
DQ[2,3,4,5,8,9] (as mentioned in the generated xdc file
(**)
Usage of AXI4 interface with XILINX MIG restricts data bus to 8, 16, 32, 64bit or 64bit +
ECC
Table 6-3. EB-PDS-DDR4-R2/R3 – FPGA Extension Site Compatibility,
[B,T][A,AB,B]0 Connectors
FPGA Module TA0 TAB0 TB0 BA0 BAB0 BB0
FM-1SG10M-R1
FM-XCVU19P-R1 √(1) √(1) √(2) √(2)
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
Tip
Please be advised that these FPGA pin constraints recommendations are intended for the use
of an (user specific) IP core.
Caution
By using the XILILNX Memory Interface Generator (MIG) the FPGA pin constraints in the
xdc files can differ (e.g. POD12_DCI, DIFF_POD12_DCI). Please do not change these
automatically generated FPGA pin constraints.
Caution
When the DDR4 board is placed on a Virtex 7 FPGA module, not all switches and LEDs are
available. If DDR4 on a Virtex 7 is needed, please use the EB-PDS-DDR4-R1 extension
board (please ask for availability of this board). Since there is no integrated DDR4 controller for
the Virtex 7 available, it is needed that the customer has to use his own memory controller or IP
core.
Related Work
This topic is about Related Work.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
Tip
Please use 3.3 V LVDS as output type because the output driver is connected to 3.3 V.
Because the clock is ac-coupled the offset of the voltage does not matter.
Profpga_run
If the Si5338 is used, it will be configured with a profpga plugin. To load the plugin the
plugin_list entry before the system_configuration section must be added:
...
plugin_list = ( “si5338 ProDesign EB-PDS-DDR4-R3” );
system_configuration:
{
...
If there is already a plugin_list entry the plugin list must be extended. Example:
...
plugin_list = (“dp83865dvh ProDesign EB-PDS-GBITETHERNET-R1”, “si5338
ProDesign EB-PDS-DDR4-R3” );
system_configuration:
{
...
For this board the following x-board entry is required within the system configuration file:
<instance name>:
{
type = “BOARD”;
vendor = “ProDesign”;
name = „EB-PDS-DDR4-R3“;
size = “A1A1”;
positions = (“<position>”);
v_io_ba1 = “AUTO”;
<instance name> and <position> must be replaced with the correct value according to the
system configuration. Please refer to the „proFPGA Software Reference Manual” [UD002] for
more information.
<register map file> must be replaced with the path and the filename to the register map file
created with the Si5338 software.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Order Code
Please ensure that the system configuration file which is the input to the profpga_brdgen tool
contains a valid x-board entry using the extension board name “EB-PDS-DDR4-R2” or “EB-
PDS-DDR4-R3”. The system configuration file can be created manually or with the
profpga_builder tool.
Please refer to the „proFPGA Software Reference Manual” [SWRM] and the “proFPGA
Builder User Manual” [UD004] for more information.
Order Code
This topic is about Order Code.
286472
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
DDR4 Extension Board with 9 Gbyte (EB-PDS-DDR4-R4)
Functional Description
This topic is about Functional description.
The DDR4 extension board provides:
Caution
The demonstration design can be found in the $PROFPGA/hdl/demo_designs/EB-PDS-
DDR4-R4 directory for reference.
The design was generated using Vivado 2017.4.
Tip
In order to reproduce test with the XILINX External Memory Interface during IP generation
please select the custom memory part and choose the ‘MT40A1G8PM-083E.csv ‘file from
the $PROFPGA/hdl/demo_designs/EB-PDS-DDR4-R4/source/constraints. With this file the
memory device ‘MT40A1G8PM-083E’ must be chosen.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
Tip
Based on 1600ps must be selected for the memory clock period and 6400ps for the memory
interface input clock. The CAS latency value must be set to 16.
Once bitsteam is available the reference clock frequency must be set to 87.6666MHz using the
si5338 register map file Si5338_RegisterMap_87.6666MHz.txt which can be found in the
$PROFPGA/hdl/demo_designs/EB-PDS-DDR4-R4/ready_to_run directory.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Extension Board Operating Conditions
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Pin Constraints
(1)
usable with 72Bit memory data bus and XILINX MIG(**),
(2)
usable with 40Bit memory data bus and XILINX MIG(**) - DQ groups DQ[0,1,4,5,7] (as
mentioned in the generated xdc file),
(3)
usable with 40Bit memory data bus and XILINX MIG(**) - DQ groups DQ[2,3,5,8,9] (as
mentioned in the generated xdc file)
(**) Usage
of AXI4 interface with XILINX MIG restricts data bus to 8, 16, 32, 64bit or 64bit +
ECC.
Table 6-7. EB-PDS-DDR4-R4 – FPGA Extension Site Compatibility,
[B,T][A,AB,B]0 Connectors
FPGA Module TA0 TAB0 TB0 BA0 BAB0 BB0
FM-1SG10M-R1
FM-XCVU19P-R1 √(1) √(1) √(2) √(2)
(1) usable with 72Bit memory data bus and XILINX MIG(**),
(2)
usable with 40Bit memory data bus and XILINX MIG(**) - DQ groups DQ[2,3,5,8,9] (as
mentioned in the generated xdc file)
(**) Usage of AXI4 interface with XILINX MIG restricts data bus to 8, 16, 32, 64bit or 64bit +
ECC.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
Tip
Please be advised that these FPGA pin constraints recommendations are intended for the use
of an (user specific) IP core.
Caution
By using the XILILNX Memory Interface Generator (MIG) the FPGA pin constraints in the
xdc files can differ (e.g. POD12_DCI, DIFF_POD12_DCI). Please do not change these
automatically generated FPGA pin constraints.
Caution
When the DDR4 board is placed on a Virtex 7 FPGA module, not all switches and LEDs are
available. If DDR4 on a Virtex 7 is needed, please use the EB-PDS-DDR4-R1 extension
board (please ask for availability of this board). Since there is no integrated DDR4 controller for
the Virtex 7 available, it is needed that the customer has to use his own memory controller or IP
core.
Related Work
This topic is about Related Work
Tip
Please use 3.3 V LVDS as output type because the output driver is connected to 3.3 V.
Because the clock is ac-coupled the offset of the voltage does not matter.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
Profpga_run
If the Si5338 is used, it will be configured with a profpga plugin. To load the plugin the
plugin_list entry before the system_configuration section must be added:
...
plugin_list = ( “si5338 ProDesign EB-PDS-DDR4-R4” );
system_configuration:
{
...
If there is already a plugin_list entry the plugin list must be extended. Example:
...
plugin_list = (“dp83865dvh ProDesign EB-PDS-GBITETHERNET-R1”, “si5338
ProDesign EB-PDS-DDR4-R4” );
system_configuration:
{
...
This will load the Si5338 plugin. For this board the following x-board entry is required within
the system configuration file:
<instance name>:
{
type = “BOARD”;
vendor = “ProDesign”;
name = "EB-PDS-DDR4-R4“;
size = “A1A1”;
positions = (“<position>”);
v_io_ba1 = “AUTO”;
<instance name> and <position> must be replaced with the correct value according to the
system configuration. Please refer to the „proFPGA Software Reference Manual” [UD002] for
more information.
<register map file> must be replaced with the path and the filename to the register map file
created with the Si5338 software.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Order Code
Please ensure that the system configuration file which is the input to the profpga_brdgen tool
contains a valid x-board entry using the extension board name “EB-PDS-DDR4-R4”. The
system configuration file can be created manually or with the profpga_builder tool.
Please refer to the „proFPGA Software Reference Manual” [SWRM] and the “proFPGA
Builder User Manual” [UD004] for more information.
Order Code
This topic is about Order ode.
Discontinued.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
DDR4 Extension Board with 18 Gbyte (EB-PDS-DDR4-R5)
Functional Description
This topic is about Functional Description.
The DDR4 extension board provides:
Caution
The design, created with Vivado 2017.4 and the XILINX external memory interface
(previously MIG) with the V2.2 (Rev. 3), was successfully tested up to app. 677 MT/s. The
test ran with an input clock of 87.6666MHz, which was generated by the onboard clock
generator.
The design, created with Vivado 2018.03 and the XILINX external memory interface
(previously MIG) with the V2.2 (Rev. 6), was successfully tested up to app. 1259 MT/s on TA1,
TA2, TB1 and TB2. The test ran with an input clock of 90MHz, which was generated by the
onboard clock generator. The demonstration design can be found in the $PROFPGA/hdl/
demo_designs/EB-PDS-DDR4-R5 directory for reference.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
Tip
In order to reproduce test with the XILINX External Memory Interface during IP generation
please select the custom memory part and choose the ‘MT40A2G8FSE-083E.csv‘ file from
the $PROFPGA/hdl/demo_designs/EB-PDS-DDR4-R5/source/constraints. With this file the
memory device ‘EB-PDS-DDR4-R5_MT40A2G8FSE-083E’1must be chosen.
Tip
For external memory interface V2.2 (Rev. 3) (Vivado 2017.4):
• Based on 1600ps must be selected for the memory clock period and 6400ps for the
memory interface input clock. The CAS latency value must be set to 16.
• Once bitsteam is available the reference clock frequency must be set to 87.6666MHz
using the si5338 register map file Si5338_RegisterMap_87.6666MHz.txt which can be
found in the $PROFPGA/hdl/demo_designs/EB-PDS-DDR4-R5/ready_to_run
directory.
Tip
For external memory interface V2.2 (Rev. 6) (Vivado 2018.3):
• Based on 938ps must be selected for the memory clock period and 6567ps for the
memory interface input clock. The CAS latency value must be set to 16.
• Once bitsteam is available the reference clock frequency must be set to 90MHz using the
si5338 register map file Si5338_RegisterMap_90Hz.txt which can be found in the
$PROFPGA/hdl/demo_designs/EB-PDS-DDR4-R5/ready_to_run directory.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Extension Board Operating Conditions
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
All DDR3 and DDR4 memory extension boards are optimized to meet pin location
requirements for the XILILNX Memory Interface Generator (MIG). There for if the XILNX
MIG should be used the following constraints exist:
(1) EB-PDS-DDR4-R6
usable with 80Bit memory data bus and XILINX MIG(**),
(2) EB-PDS-DDR4-R6
usable with 48Bit memory data bus and XILINX MIG(**) - DQ groups
DQ[2,3,4,5,8,9] (as mentioned in the generated xdc file
(**) Usage of AXI4 interface with XILINX MIG restricts data bus to 8, 16, 32, 64bit or 64bit +
ECC
Table 6-10. EB-PDS-DDR4-R5 – FPGA Extension Site Compatibility
FPGA Module TA1 TA2 TB1 TB2 BA1 BA2 BB1 BB2 TA1 Ta2
V1 V1
FM-XC7V2000T-R1
FM-XC7V2000T-R2
FM-XC7VX330T-R3
FM-XC7VX485T-R3
FM-XC7V585T-R3
FM-XC7VX690T-R3
FM-XC7Z100-R1
FM-XC7Z045-R1
FM-XCVU440-R1 √(1) √(1) √(1) √(1) √(1) √(2) √(1) √(2)
FM-XCVU440-R2 √(1) √(1) √(1) √(1) √(1) √(2) √(1) √(2)
FM-XCVU190-R1 √(1) √(1) √(1)
FM-XCVU160-R1 √(1) √(1) √(1)
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
In the table below, (1) usable with 72Bit memory data bus and XILINX MIG(**),
(2)
usable with 40Bit memory data bus and XILINX MIG(**) - DQ groups DQ[2,3,5,8,9] (as
mentioned in the generated xdc file)
(**)
Usage of AXI4 interface with XILINX MIG restricts data bus to 8, 16, 32, 64bit or 64bit +
ECC.
Table 6-11. EB-PDS-DDR4-R5 – FPGA Extension Site Compatibility,
[B,T][A,AB,B]0 Connectors
FPGA Module TA0 TAB0 TB0 BA0 BAB0 BB0
FM-1SG10M-R1
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Pin Constraints
ck_pck_n IOSTANDARD =
DIFF_SSTL12_DCI
dm[*] IOSTANDARD =
a[*] SSTL12_DCI
ba[*]
ras_n
cas_ncke
odt
cs_n
reset_nled[*]sw[*] IOSTANDARD =
LVCMOS12
Note
Please be advised that these FPGA pin constraints recommendations are intended for the use
of an (user specific) IP core.
Caution
By using the XILILNX Memory Interface Generator (MIG) the FPGA pin constraints in the
xdc files can differ (e.g. POD12_DCI, DIFF_POD12_DCI). Please do not change these
automatically generated FPGA pin constraints.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
Caution
When the DDR4 board is placed on a Virtex 7 FPGA module, not all switches and LEDs are
available. If DDR4 on a Virtex 7 is needed, please use the EB-PDS-DDR4-R1 extension
board (please ask for availability of this board). Since there is no integrated DDR4 controller for
the Virtex 7 available, it is needed that the customer has to use his own memory controller or IP
core.
Related Work
This topic is about Related work.
Note
Please use 3.3 V LVDS as output type because the output driver is connected to 3.3 V.
Because the clock is ac-coupled the offset of the voltage does not matter.
Profpga_run
If the Si5338 is used, it will be configured with a profpga plugin. To load the plugin the
plugin_list entry before the system_configuration section must be added:
...
plugin_list = ( “si5338 ProDesign EB-PDS-DDR4-R5” );
system_configuration:
{
...
If there is already a plugin_list entry the plugin list must be extended. Example:
...
plugin_list = (“dp83865dvh ProDesign EB-PDS-GBITETHERNET-R1”,
“si5338 ProDesign EB-PDS-DDR4-R5” );
system_configuration:
{
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Order Code
This will load the Si5338 plugin. For this board the following x-board entry is required within
the system configuration file:
<instance name>:
{
type = “BOARD”;
vendor = “ProDesign”;
name = „EB-PDS-DDR4-R5“;
size = “A1A1”;
positions = (“<position>”);
v_io_ba1 = “AUTO”;
# Si5338 Plugin settings
si5338_registermap_file = “<register map file>”;
si5338_validate_input_clocks_1_2_3 = “yes”;
si5338_validate_input_clocks_4_5_6 = “no”;
si5338_execute_plugin_async_event = “no”;
};
<instance name> and <position> must be replaced with the correct value according to the
system configuration. Please refer to the „proFPGA Software Reference Manual” [UD002] for
more information.
<register map file> must be replaced with the path and the filename to the register map file
created with the Si5338 software.
Please ensure that the system configuration file which is the input to the profpga_brdgen tool
contains a valid x-board entry using the extension board name “EB-PDS-DDR4-R5”. The
system configuration file can be created manually or with the profpga_builder tool.
Please refer to the „proFPGA Software Reference Manual” [SWRM] and the “proFPGA
Builder User Manual” [UD004] for more information.
Order Code
This topic is about Order Code.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Order Code
286473
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
DDR4 Extension Board with 5 Gbyte (EB-PDS-DDR4-R6)
Functional Description
This topic is about Functional Description.
The DDR4 extension board provides:
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Extension Board Operating Conditions
(3)
EB-PDS-DDR4-R6 usable with 80Bit memory data bus and XILINX MIG(**),
(4)
EB-PDS-DDR4-R6 usable with 48Bit memory data bus and XILINX MIG(**) - DQ groups
DQ[0,1,4,5,6,7]
(5)
EB-PDS-DDR4-R6 usable with 48Bit memory data bus and XILINX MIG(**) - DQ groups
DQ[2,3,4,5,8,9]
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
(**)
Usage of AXI4 interface with XILINX MIG restricts data bus to 8, 16, 32, 64bit or 64bit +
ECC.
Table 6-14. EB-PDS-DDR4-R6 – FPGA Extension Site Compatibility
FPGA Module TA1 TA2 TB1 TB2 BA1 BA2 BB1 BB2 TA1 Ta2
V1 V1
FM-XC7V2000T-R1
FM-XC7V2000T-R2
FM-XC7VX330T-R3
FM-XC7VX485T-R3
FM-XC7V585T-R3
FM-XC7VX690T-R3
FM-XC7Z100-R1
FM-XC7Z045-R1
FM-XCVU440-R1
FM-XCVU440-R2 √(3) √(3) √(3) √(3) √(3) √(4) √(3) √(4)
FM-XCVU190-R1 √(3) √(3) √(3)
FM-XCVU160-R1 √(3) √(3) √(3)
FM-XCVU125-R1 √(3) √(3) √(3)
FM-XCVU095-R1 √(3) √(3) √(3)
FM-XCVU080-R1 √(3) √(3) √(3)
FM-XCKU115-R1 √(3) √(3) √(3)
FM-XCVU5P-R1 √(3) √(3) √(3)
FM-XCVU7P-R1 √(3) √(3) √(3)
FM-XCVU9P-R1 √(3) √(3) √(3)
FM-XCVU13P-R1 √(1) √(1) √(1) √(1)
FM-XCVU19P-R1 √(3) √(3) √(3) √(3) √(5) √(3) √(4)
FM-XCVU37P-R1 √(3) √(3) √(3)
FM-XCVU47P-R1 √(3) √(3) √(3)
FM-1SG280<L,H>-R1
FM-XCZU19EG-R2 √(3) √(3) √(3)
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Pin Constraints
In the table below, (1) EB-PDS-DDR4-R6 usable with 80Bit memory data bus and XILINX
MIG(**),
(2)EB-PDS-DDR4-R6
usable with 48Bit memory data bus and XILINX MIG(**) - DQ groups
DQ[2,3,4,5,8,9] (as mentioned in the generated xdc file)
(**)
Usage of AXI4 interface with XILINX MIG restricts data bus to 8, 16, 32, 64bit or 64bit +
ECC
Table 6-15. FPGA Extension Site Compatibility, [B,T][A,AB,B]0 Connectors
FPGA Module TA0 TAB0 TB0 BA0 BAB0 BB0
FM-1SG10M-R1
FM-XCVU19P-R1 √(1) √(1) √(2) √(2)
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
Tip
Please be advised that these FPGA pin constraints recommendations are intended for the use
of an (user specific) IP core.
Caution
By using the XILILNX Memory Interface Generator (MIG) the FPGA pin constraints in the
xdc files can differ (e.g. POD12_DCI, DIFF_POD12_DCI). Please do not change these
automatically generated FPGA pin constraints.
Caution
When the DDR4 board is placed on a Virtex 7 FPGA module, not all switches and LEDs are
available. If DDR4 on a Virtex 7 is needed, please use the EB-PDS-DDR4-R1 extension
board (please ask for availability of this board). Since there is no integrated DDR4 controller for
the Virtex 7 available, it is needed that the customer has to use his own memory controller or IP
core.
Related Work
This topic is about Related Work.
Note
Please use 3.3 V LVDS as output type because the output driver is connected to 3.3 V.
Because the clock is ac-coupled the offset of the voltage does not matter.
Profpga_run
If the Si5338 is used, it will be configured with a profpga plugin. To load the plugin the
plugin_list entry before the system_configuration section must be added:
...
plugin_list = ( “si5338 ProDesign EB-PDS-DDR4-R6” );
system_configuration:
{
...
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
If there is already a plugin_list entry the plugin list must be extended. Example:
...
plugin_list = (“dp83865dvh ProDesign EB-PDS-GBITETHERNET-R1”, “si5338
ProDesign EB-PDS-DDR4-R6” );
system_configuration:
{
...
This will load the Si5338 plugin. For this board the following x-board entry is required within
the system configuration file:
<instance name>:
{
type = “BOARD”;
vendor = “ProDesign”;
name = „EB-PDS-DDR4-R6“;
size = “A1A1”;
positions = (“<position>”);
v_io_ba1 = “AUTO”;
<instance name> and <position> must be replaced with the correct value according to the
system configuration. Please refer to the „proFPGA Software Reference Manual” [UD002] for
more information.
<register map file> must be replaced with the path and the filename to the register map file
created with the Si5338 software.
Please ensure that the system configuration file which is the input to the profpga_brdgen tool
contains a valid x-board entry using the extension board name “EB-PDS-DDR4-R6”. The
system configuration file can be created manually or with the profpga_builder tool.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Order Code
Please refer to the „proFPGA Software Reference Manual” [SWRM] and the “proFPGA
Builder User Manual” [UD004] for more information.
Order Code
This topic is about Order Code.
286483
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
DDR4 Extension Board for Intel FPGAs with 4 GByte (EB-PDS-DDR4-R8)
Functional Description
This topic is about Functional Description.
The DDR4 extension board provides:
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Extension Board Operating Conditions
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
In the table below, (1) Intel EMIF IP example design (Quartus 17.1.1, Synthesis timing models
are not final in this version)
(2)
Tests for this connector pending
(3)
tested with 1866 MT/s (tests with higher rates pending)
(4)
tested with 1600 MT/s (tests with higher rates pending)
(5)
tested with 1333 MT/s (tests with higher rates pending)
(6) Intel EMIF IP example design (Quartus 19.1.0)(7) tested with 2000 MT/s(8) tested with 2400
MT/s
Table 6-18. EB-PDS-DDR4-R10 – FPGA Extension Site Compatibility
FPGA Module TA1 TA2 TB1 TB2 BA1 BA2 BB1 BB2 TA1 Ta2
V1 V1
FM-XC7V2000T-R1
FM-XC7V2000T-R2
FM-XC7VX330T-R3
FM-XC7VX485T-R3
FM-XC7V585T-R3
FM-XC7VX690T-R3
FM-XC7Z100-R1
FM-XC7Z045-R1
FM-XCVU440-R1
FM-XCVU440-R2
FM-XCVU190-R1
FM-XCVU160-R1
FM-XCVU125-R1
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
FM-XCZU19EG-R2
FM-XCZU17EG-R2
FM-XCZU11EG-R2
FM-1SG280H-R<1,2> √(6,8 √(6,8 √(6,8 √(6,8 √(6,7 √(6,7 √(6,7
) ) ) ) ) ) )
In the table below, (1) EB-PDS-DDR4-R6 usable with 80Bit memory data bus and XILINX
MIG(**),
(2)
EB-PDS-DDR4-R6 usable with 48Bit memory data bus and XILINX MIG(**) - DQ groups
DQ[2,3,4,5,8,9] (as mentioned in the generated xdc file)
(**)
Usage of AXI4 interface with XILINX MIG restricts data bus to 8, 16, 32, 64bit or 64bit +
ECC
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Pin Constraints
Note
Please be advised that these FPGA pin constraints recommendations are intended for the use
of an EMIF from Intel.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
Related Work
Under demo design folder of proFPGA installation the EB-PDS-DDR4-R8 folder can be found
containing scripts for generation of Intel EMIF test design with all required settings for this
board.
Note
Please use 3.3 V LVDS as output type because the output driver is connected to 3.3 V.
Because the clock is ac-coupled the offset of the voltage does not matter.
Profpga_run
If the Si5338 is used, it will be configured with a profpga plugin. To load the plugin the
plugin_list entry before the system_configuration section must be added:
...
plugin_list = ( “si5338 ProDesign EB-PDS-DDR4-R8” );
system_configuration:
{
...
If there is already a plugin_list entry the plugin list must be extended. Example:
...
plugin_list = (“dp83865dvh ProDesign EB-PDS-GBITETHERNET-R1”, “si5338
ProDesign EB-PDS-DDR4-R8” );
system_configuration:
{
...
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Order Code
This will load the Si5338 plugin. For this board the following x-board entry is required within
the system configuration file:
<instance name>:
{
type = “BOARD”;
vendor = “ProDesign”;
name = "EB-PDS-DDR4-R8“;
size = “A1A1”;
positions = (“<position>”);
v_io_ba1 = “AUTO”;
<instance name> and <position> must be replaced with the correct value according to the
system configuration. Please refer to the „proFPGA Software Reference Manual” [UD002] for
more information.
<register map file> must be replaced with the path and the filename to the register map file
created with the Si5338 software.
Please ensure that the system configuration file which is the input to the profpga_brdgen tool
contains a valid x-board entry using the extension board name “EB-PDS-DDR4-R8”. The
system configuration file can be created manually or with the profpga_builder tool.
Please refer to the „proFPGA Software Reference Manual” [SWRM] and the “proFPGA
Builder User Manual” [UD004] for more information.
Order Code
This topic is about Order Code
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Order Code
286474
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
DDR4 Extension Board for Intel FPGAs witch 8 GByte (EB-PDS-DDR4-R10)
Functional Description
This topic is about Functional Description.
The DDR4 extension board provides:
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Extension Board Operating Conditions
In the table below, (1) Intel EMIF IP example design (Quartus 17.1.1, Synthesis timing models
are not final in this version)
(2) Tests
for this connector pending
(3)
tested with 1866 MT/s (tests with higher rates pending)
(4)
tested with 1600 MT/s (tests with higher rates pending)
(5) tested with 1333 MT/s (tests with higher rates pending)
(8)
tested with 2400 MT/s
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
FM-XCZU19EG-R2
FM-XCZU17EG-R2
FM-XCZU11EG-R2
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Pin Constraints
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
Note
Please be advised that these FPGA pin constraints recommendations are intended for the use
of an EMIF from Intel.
Related Work
Under demo design folder of proFPGA installation the EB-PDS-DDR4-R10 folder can be found
containing schripts for generation of Intel EMIF test design with all required settings for this
board.
Note
Please use 3.3 V LVDS as output type because the output driver is connected to 3.3 V.
Profpga_run
If the Si5338 is used, it will be configured with a profpga plugin. To load the plugin the
plugin_list entry before the system_configuration section must be added:
...
plugin_list = ( “si5338 ProDesign EB-PDS-DDR4-R10” );
system_configuration:
{
...
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
If there is already a plugin_list entry the plugin list must be extended. Example:
...
plugin_list = (“dp83865dvh ProDesign EB-PDS-GBITETHERNET-R1”, “si5338
ProDesign EB-PDS-DDR4-R10” );
system_configuration:
{
...
This will load the Si5338 plugin. For this board the following x-board entry is required within
the system configuration file:
<instance name>:
{
type = “BOARD”;
vendor = “ProDesign”;
name = "EB-PDS-DDR4-R10“;
size = “A1A1”;
positions = (“<position>”);
v_io_ba1 = “AUTO”;
<instance name> and <position> must be replaced with the correct value according to the
system configuration. Please refer to the „proFPGA Software Reference Manual” [UD002] for
more information.
<register map file> must be replaced with the path and the filename to the register map file
created with the Si5338 software.
Please ensure that the system configuration file which is the input to the profpga_brdgen tool
contains a valid x-board entry using the extension board name “EB-PDS-DDR4-R10”. The
system configuration file can be created manually or with the profpga_builder tool.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Order Code
Please refer to the „proFPGA Software Reference Manual” [SWRM] and the “proFPGA
Builder User Manual” [UD004] for more information.
Order Code
This topic is about Order Code
286491
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
DDR4 Extension Board for Intel FPGAs with 16 GByte (EB-PDS-DDR4-R11)
Functional Description
This topic is about Functional Description.
The DDR4 extension board provides:
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Extension Board Operating Conditions
For applications which use their own memory controller (e.g., Rapid Prototyping of ASIC
designs) such constraints do not exist since the FPGA internal memory controller is not used.
This means for such applications all DDR3/DDR4 memory extension boards can be used on all
FPGA technologies according to the table below.
In the table below, (1) Intel EMIF IP example design (Quartus 20.3.0)
(2) Tests
for this connector pending.
(3)
tested with 2000 MT/s
(4)
tested with 2400 MT/s
Table 6-26. EB-PDS-DDR4-R11 – FPGA Extension Site Compatibility
FPGA Module TA1 TA2 TB1 TB2 BA1 BA2 BB1 BB2 TA1 Ta2
V1 V1
FM-XC7V2000T-R1
FM-XC7V2000T-R2
FM-XC7VX330T-R3
FM-XC7VX485T-R3
FM-XC7V585T-R3
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Pin Constraints
Note
Please be advised that these FPGA pin constraints recommendations are intended for the use
of an EMIF from Intel.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
Related Work
Under demo design folder of proFPGA installation the EB-PDS-DDR4-R11 folder can be found
containing scripts for generation of Intel EMIF test design with all required settings for this
board.
Tip
Please use 3.3 V LVDS as output type because the output driver is connected to 3.3 V.
Profpga_run
If the Si5338 is used, it will be configured with a profpga plugin. To load the plugin the
plugin_list entry before the system_configuration section must be added:
...
plugin_list = ( “si5338 ProDesign EB-PDS-DDR4-R11” );
system_configuration:
{
...
If there is already a plugin_list entry the plugin list must be extended. Example:
...
plugin_list = (“dp83865dvh ProDesign EB-PDS-GBITETHERNET-R1”, “si5338
ProDesign EB-PDS-DDR4-R11” );
system_configuration:
{
...
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Order Code
For this board the following x-board entry is required within the system configuration file:
<instance name>:
{
type = “BOARD”;
vendor = “ProDesign”;
name = "EB-PDS-DDR4-R11“;
size = “A1A1”;
positions = (“<position>”);
v_io_ba1 = “AUTO”;
<instance name> and <position> must be replaced with the correct value according to the
system configuration. Please refer to the “proFPGA Software Reference Manual” [UD002] for
more information.
<register map file> must be replaced with the path and the filename to the register map file
created with the Si5338 software.
Please ensure that the system configuration file which is the input to the profpga_brdgen tool
contains a valid x-board entry using the extension board name “EB-PDS-DDR4-R11”. The
system configuration file can be created manually or with the profpga_builder tool.
Please refer to the „proFPGA Software Reference Manual” [SWRM] and the “proFPGA
Builder User Manual” [UD004] for more information.
Order Code
This topic is about Order Code.
286492
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
DDR4 Extension Board with 5 Gbyte (EB-PDS-DDR4-R12)
Functional Description
This topic is about Functional Description.
The DDR4 extension board provides:
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Extension Board Operating Conditions
• DDR4 SODIMM -> only FPGA modules which have full support will be listed
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
(1) Tested
with 64-bit dual rank 18ASF4G72HZ-3G2B1 / M471A4G43MB1-CTD and speed
grade 2 FPGA @ 2400Mbit/s, tested with 72-bit single rank without DM / DBI 9ASF1G72HZ-
2G6E2 and speed grade 2 FPGA @ 2400Mbit
• Custom extension boards -> all combinations will be listet, independently from the
number of available IOs
FM-XCVU190-R1 √ √ √ √ √ √
FM-XCVU160-R1 √ √ √ √ √ √
FM-XCVU125-R1 √ √ √ √ √ √
FM-XCVU095-R1 √ √ √ √ √ √
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Pin Constraints
FM-XCVU37P-R1 √ √ √ √
FM-XCVU47P-R1 √ √ √ √
FM-1SG280<L,H>-R1 √ √ √ √ √ √ √ √
FM-XCZU19EG-R2 √ √ √ √ √
FM-XCZU17EG-R2 √ √ √ √ √
FM-XCZU11EG-R2 √ √ √ √
FM-1SG280<L,H>-R2 √ √ √ √ √ √ √ √
FM-10AX115-R1 √ √ √ √ √ √ √ √
FM-1SG10M-R1 √ √ √ √ √ √ √ √ √(EB √(EB
) )
(EB)
using EB-FM-XCVU440-R1.
Table 6-33. EB-PDS-V3-SODIMM-R4 – Custom Extension Board FPGA
Extension Site Compatibility, [B,T][A,AB,B]0 Connectors
FPGA Module TA0 TAB0 TB0 BA0 BAB0 BB0
FM-1SG10M-R1 √ √ √ √ √ √
FM-XCVU19P-R1 √ √ √ √
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
Note
Please be advised that these FPGA pin constraints recommendations are intended for the use
of an (user specific) IP core.
Caution
By using the XILILNX Memory Interface Generator (MIG) the FPGA pin constraints in the
xdc files can differ (e.g. POD12_DCI, DIFF_POD12_DCI). Please do not change these
automatically generated FPGA pin constraints.
Caution
When the DDR4 board is placed on a Virtex 7 FPGA module, not all switches and LEDs are
available. If DDR4 on a Virtex 7 is needed, please use the EB-PDS-DDR4-R1 extension
board (please ask for availability of this board). Since there is no integrated DDR4 controller for
the Virtex 7 available, it is needed that the customer has to use his own memory controller or IP
core.
Related Work
This topic is about Related Work.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
Note
Please use 3.3 V LVDS as output type because the output driver is connected to 3.3 V.
Because the clock is ac-coupled the offset of the voltage does not matter.
Profpga_run
If the Si5338 is used, it will be configured with a profpga plugin. To load the plugin the
plugin_list entry before the system_configuration section must be added:
...
plugin_list = ( “si5338 Siemens EB-PDS-DDR4-R12” );
system_configuration:
{
...
If there is already a plugin_list entry the plugin list must be extended. Example:
...
plugin_list = (“dp83865dvh ProDesign EB-PDS-GBITETHERNET-R1”,
“si5338 Siemens EB-PDS-DDR4-R12” );
system_configuration:
{
...
This will load the Si5338 plugin. For this board the following x-board entry is required within
the system configuration file:
<instance name>:
{
type = “BOARD”;
vendor = “Siemens”;
name = „EB-PDS-DDR4-R12“;
size = “A1A1”;
positions = (“<position>”);
v_io_ba1 = “AUTO”;
# Si5338 Plugin settings
si5338_registermap_file = “<register map file>”;
si5338_validate_input_clocks_1_2_3 = “yes”;
si5338_validate_input_clocks_4_5_6 = “no”;
si5338_execute_plugin_async_event = “no”;
};
<instance name> and <position> must be replaced with the correct value according to the
system configuration. Please refer to the „proFPGA Software Reference Manual” [UD002] for
more information.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Order Code
<register map file> must be replaced with the path and the filename to the register map file
created with the Si5338 software.
Please ensure that the system configuration file which is the input to the profpga_brdgen tool
contains a valid x-board entry using the extension board name “EB-PDS-DDR4-R12”. The
system configuration file can be created manually or with the profpga_builder tool.
Please refer to the „proFPGA Software Reference Manual” [SWRM] and the “proFPGA
Builder User Manual” [UD004] for more information.
Order Code
The Order Code is mentioned in this topic.
290084 Veloce PF DDR4 w/o SODIMM Xilinx
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
V3-SODIMM-R3 Board (EB-PDS-V3-SODIMM-R3)
Functional Description
This topic is about Functional Description.
The V3-SODIMM-R3 board was developed for Xilinx FPGA modules to make SODIMM
modules available. It can be used with every common DDR4-SODIMM module available on
the market. For bringup it was tested with the following 3 SODIMM modules:
• 18ASF4G72HZ-3G2B1 (Micron)
• 9ASF1G72HZ-2G6E2 (Micron)
• M471A4G43MB1-CTD (Samsung)
Beside the support of DDR4-SODIMM modules, also custom extension boards can be used.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Extension Board Operating Conditions
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
• DDR4 SODIMM -> only FPGA modules which have full support will be listed
(2)
Tested with 18ASF4G72HZ-3G2B1 and speed grade 3 FPGA @ 1866Mbit/s
(3)Since all regular IO and CLK_IO signals are simply routed through from bottom to top
connector this board does not have any specific requirements regarding the IO voltage. If an
extension board or cable is used on top of this board the IO voltage requirements of this upper-
level hardware will be applied.
Table 6-36. EB-PDS-V3-SODIMM-R3 – DDR4 SODIMM FPGA Extension Site
Compatibility
FPGA Module TA1 TA2 TB1 TB2 BA1 BA2 BB1 BB2 TA1 TA2
V1 V1
FM-XC7V2000T-R1
FM-XC7V2000T-R2
FM-XC7VX330T-R3
FM-XC7VX485T-R3
FM-XC7V585T-R3
FM-XC7VX690T-R3
FM-XC7Z100-R1
FM-XC7Z045-R1
FM-XCVU440-R1 (p) (p) (p) (p) (p) (p)
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
FM-1SG280<L,H>-R1
FM-1SG280<L,H>-R2
FM-10AX115-R1
FM-1SG10M-R1
FM-1SG10M-R1
• Custom extension boards -> all combinations will be listet, independently from the number of
available IOs
Table 6-38. EB-PDS-V3-SODIMM-R3 – DDR4 SODIMM FPGA Extension Site
Compatibility
FPGA Module TA1 TA2 TB1 TB2 BA1 BA2 BB1 BB2 TA1 TA2
V1 V1
FM-XC7V2000T-R1 √ √ √ √ √ √ √ √
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
FM-XCVU190-R1 √ √ √ √ √ √
FM-XCVU160-R1 √ √ √ √ √ √
FM-XCVU125-R1 √ √ √ √ √ √
FM-XCVU095-R1 √ √ √ √ √ √
FM-XCVU080-R1 √ √ √ √ √ √
FM-XCKU115-R1 √ √ √ √ √ √
FM-XCVU5P-R1 √ √ √ √ √ √
FM-XCVU7P-R1 √ √ √ √ √ √
FM-XCVU9P-R1 √ √ √ √ √ √
FM-XCVU13P-R1 √ √ √ √ √
FM-XCVU19P-R1 √ √ √ √ √ √ √ √ √(EB √(EB
) )
FM-XCVU37P-R1 √ √ √ √
FM-XCVU47P-R1 √ √ √ √
FM-1SG280<L,H>-R1 √ √ √ √ √ √ √ √
FM-XCZU19EG-R2 √ √ √ √ √
FM-XCZU17EG-R2 √ √ √ √ √
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Pin Constraints
Related Work
This topic is about Related Work
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
Caution
Please use 3.3 V LVDS as output type because the output driver is connected to 3.3 V.
Because the clock is ac-coupled the offset of the voltage does not matter.
profpga_run
If the Si5338 is used, it will be configured with a profpga plugin. To load the plugin, the
plugin_list entry before the system_configuration section must be added:
...
plugin_list = ( "si5338 Siemens EB-PDS-V3-SODIMM-R3" );
system_configuration:
{
...
If there is already a plugin_list entry the plugin list must be extended. Example:
...
plugin_list = ("dp83865dvh ProDesign EB-PDS-GBITETHERNET-R1",
"si5338 Siemens EB-PDS-V3-SODIMM-R3" );
system_configuration:
{
...
For this board the following x-board entry is required within the system configuration file:
<instance name>:
{
type = "BOARD";
vendor = "Siemens";
name = "EB-PDS-V3-SODIMM-R3";
size = "A1A1";
positions = ("<position>");
v_io_ba1 = "AUTO";
# Si5338 Plugin settings
si5338_registermap_file = "<register map file>";
si5338_validate_input_clocks_1_2_3 = "yes";
si5338_validate_input_clocks_4_5_6 = "no";
si5338_execute_plugin_async_event = “no”;
};
<instance name> and <position> must be replaced with the correct value according to the
system configuration. Please refer to the „proFPGA Software Reference Manual” [UD002] for
more information.<register map file> must be replaced with the path and the filename to the
register map file created with the Si5338 software.si5338_execute_plugin_async_event: A
"yes" for this option enables the ability for reconfiguration of the Si5338 clock generator during
runtime. The reconfiguration, based on the register map file, is triggered by the command line
option --plugin-async-events for profpga_run. Please refer to the „proFPGA Software
Reference Manual” [UD002] for more information.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Order Code
Order Code
This topic is about Order Code.
Order code not yet available
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
V3-SODIMM-R4 Board (EB-PDS-V3-SODIMM-R4)
Functional Description
This topic is about Functional Description.
The V3-SODIMM-R4 board was developed for Stratix 10 FPGA modules to make SODIMM
modules available. It can be used with every common DDR4-SODIMM module available on
the market. For bringup it was tested with the following 3 SODIMM modules:
• 18ASF4G72HZ-3G2B1 (Micron)
• 9ASF1G72HZ-2G6E2 (Micron)
• M471A4G43MB1-CTD (Samsung)
Beside the support of DDR4-SODIMM modules, also custom extension boards can be used.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Extension Board Operating Conditions
At the FPGA module 3 FPGA banks are connected to one proFPGA V0 connector. At 3 banks,
the Intel Stratix 10 FPGA supports DDR4 SODIMM in the following constellations:
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
1. Since all regular IO and CLK_IO signals are simply routed through from bottom to top connector this
board does not have any specific requirements regarding the IO voltage. If an extension board or cable
is used on top of this board the IO voltage requirements of this upper-level hardware will be applied.
• DDR4 SODIMM -> only FPGA modules which have full support will be listed
(1)
Tested with 64-bit dual rank 18ASF4G72HZ-3G2B1 / M471A4G43MB1-CTD and speed
grade 2 FPGA @ 2400Mbit/s, tested with 72-bit single rank without DM / DBI 9ASF1G72HZ-
2G6E2 and speed grade 2 FPGA @ 2400Mbit
(2) Tested
with 64-bit dual rank 18ASF4G72HZ-3G2B1 / M471A4G43MB1-CTD and speed
grade 2 FPGA @ 2133Mbit/s, tested with 72-bit single rank without DM / DBI 9ASF1G72HZ-
2G6E2 and speed grade 2 FPGA @ 2133Mbit
Table 6-42. EB-PDS-V3-SODIMM-R3– DDR4 SODIMM FPGA Extension Site
Compatibility
FPGA Module TA1 TA2 TB1 TB2 BA1 BA2 BB1 BB2 TA1 TA2
V1 V1
FM-XC7V2000T-R1
FM-XC7V2000T-R2
FM-XC7VX330T-R3
FM-XC7VX485T-R3
FM-XC7V585T-R3
FM-XC7VX690T-R3
FM-XC7Z100-R1
FM-XC7Z045-R1
FM-XCVU440-R1
FM-XCVU440-R2
FM-XCVU190-R1
FM-XCVU160-R1
FM-XCVU125-R1
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Pin Constraints
FM-1SG10M-R1
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
Related Work
This topic is about Related Work.
Caution
Please use 3.3 V LVDS as output type because the output driver is connected to 3.3 V. The
clock is dc-coupled. No other IO standard than LVDS should be chosen. Otherwise, the
FPGA could be damaged.
profpga_run
If the Si5338 is used, it will be configured with a profpga plugin. To load the plugin, the
plugin_list entry before the system_configuration section must be added:
...
plugin_list = ( "si5338 Siemens EB-PDS-V3-SODIMM-R4" );
system_configuration:
{
...
If there is already a plugin_list entry the plugin list must be extended. Example:
...
plugin_list = ("dp83865dvh ProDesign EB-PDS-GBITETHERNET-R1",
"si5338 Siemens EB-PDS-V3-SODIMM-R4" );
system_configuration:
{
...
For this board the following x-board entry is required within the system configuration file:
<instance name>:
{
type = "BOARD";
vendor = "Siemens";
name = "EB-PDS-V3-SODIMM-R4";
size = "A1A1";
positions = ("<position>");
v_io_ba1 = "AUTO";
# Si5338 Plugin settings
si5338_registermap_file = "<register map file>";
si5338_validate_input_clocks_1_2_3 = "yes";
si5338_validate_input_clocks_4_5_6 = "no";
si5338_execute_plugin_async_event = “no”;
};
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Order Code
<instance name> and <position> must be replaced with the correct value according to the
system configuration. Please refer to the „proFPGA Software Reference Manual” [UD002] for
more information.<register map file> must be replaced with the path and the filename to the
register map file created with the Si5338 software.si5338_execute_plugin_async_event: A
"yes" for this option enables the ability for reconfiguration of the Si5338 clock generator during
runtime. The reconfiguration, based on the register map file, is triggered by the command line
option --plugin-async-events for profpga_run. Please refer to the „proFPGA Software
Reference Manual” [UD002] for more information.
Order Code
This topic is about Order Code.
Order code not yet available.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
DDR3 Extension Board with 2 Gbyte (EB-PDS-DDR3-R2)
Functional Description
This topic is about is Functional Description.
The DDR3 extension board provides:
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Extension Board Operating Conditions
Caution
The DDR3 memory devices MT41J256M16-125 were replaced because of component
discontinuation by the MT41K256M16-107. For maximum compatibility the boards with
the older and the newer DDR3 memory devices have the same name and can be replaced by
each other. Due to this and changes in the Vivado MIG from version 2.3 and higher, the
maximum recommended operating speed is 1500 MT/s. Please use the new verification designs
to validate your DDR3 hardware.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Pin Constraints
It is recommended to connect the board on one of the top-side connectors (TA1, TA2, TB1,
TB2) to achieve maximum signal integrity.It is not recommended to plug this board on top of
other stackable extension boards, because this will decrease signal integrity.
Related Work
This topic is about Related Work.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Order Code
profpga_run
For this board the following x-board entry is required within the system configuration file:
<instance name>:
{
type = “BOARD”;
vendor = “ProDesign”;
name = „EB-PDS-DDR3-R2“;
size = “A1A1”;
positions = (“<position>”);
top_connectors = ();
v_io_ba1 = “AUTO”;
};
Please ensure that the system configuration file which is the input to the profpga_brdgen tool
contains a valid x-board entry using the extension board name “EB-PDS-DDR3-R2”. The
system configuration file can be created manually or with the profpga_builder tool.
Please refer to the „proFPGA Software Reference Manual” [SWRM] and the “proFPGA
Builder User Manual” [UD004] for more information.
Table 6-48. Specification
Title Author Description
4Gb_DDR3_SDRAM.pdf Micron DDR3 memory module data
sheet
Order Code
This topic is about Order Code.
286479
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
DDR3 Extension Board with 4 Gbyte (EB-PDS-DDR3-R3)
Functional Description
This topic is about Functional Description.
The DDR3 extension board provides:
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
Note
Some considorations apply if this board should be used with the XILINX DDR3 SDRAM
controller (MIG). XILINX Vivado does not have direct support for the
MT41K512M16TNA-125 device. Neverless, following there are instructions how to configure
the MIG IP to get this to work.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
Caution
The maximum throuput which can be achieved with a 7-Series FPGAs (speed grade 1) is
750MT/s. This was tested with the XILINX MIG 4.2 and Vivado 2018.3. The reasons for
this limit are:
• Due to the fact that this memory is a dual-rank chip the FPGA pin load is doubled.
Especially the load of the address and control signals increases from 4 to 8.
• The XILINX MIG does not really support dual-rank memories. For a full support the
SDRAM controller should train (read and write leveling) each rank separately and adjust
the I/O delays dynamically depends on which rank is currently being accessed. Instead
of the MIG only train on one rank and uses the delay values for both.
There are some issues with the MIG IP generator to propagate the SDRAM device parameters
correctly to the IP core. The following steps are recommended to generated and synthesize a
correct IP core:
1. Use profpga_brdgen to generate a MIG project file (e.g. mig.prj) which fits to your
FPGA module (see the sessions/bist.profpga_brdgen for more details). The project file
uses the MT41K1G8TRF-125 device to generate the core. Some parameters will be
asjusted later to make the core fully compatible to the MT41K512M16TNA-125. Also
this project file contains the pin locations constraints.
2. Generate the MIG IP using the following Tcl script (replace the FPGA part if different):
set FPGA_PART xc7v2000tflg1925-1
set IP_NAME ddr3_0
set MIG_PRJ_FILE "mig.prj"
create_project ip_syntesis -force
set_property part ${FPGA_PART} [current_project]
create_ip -name mig_7series -vendor xilinx.com -library ip \
-version 4.2 -module_name ${IP_NAME}
file copy ${MIG_PRJ_FILE}
ip_syntesis.srcs/sources_1/ip/${IP_NAME}/mig.prj
set_property -dict [list \
CONFIG.XML_INPUT_FILE {mig.prj} \
CONFIG.RESET_BOARD_INTERFACE {Custom} \
CONFIG.MIG_DONT_TOUCH_PARAM {Custom} \
CONFIG.BOARD_MIG_PARAM {Custom}] [get_ips
${IP_NAME}]
generate_target all [get_ips]
close_project
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Extension Board Operating Conditions
3. Patch the generated MIG source files (the automatically patching via Tcl scripting is
demonstrated in the sessions/bist.vivado/generate_ip.tcl file):
./ip_syntesis.srcs/sources_1/ip/ddr3_0/
ddr3_0/user_design/rtl/ddr3_0.v
- change bit width of ddr3_addr to 14:0
- change bit width of app_add to 28:0
./ip_syntesis.srcs/sources_1/ip/ddr3_0/ddr3_0/user_design/rtl/
ddr3_0_mig.v
./ip_syntesis.srcs/sources_1/ip/ddr3_0/ddr3_0/user_design/rtl/
ddr3_0_mig_sim.v
- change value of parameter ROW_WIDTH to 15
- change value of parameter ADDR_WIDTH to 29
- change value of parameter MEM_SPEEDGRADE to "125"
- change value of parameter MEM_DEVICE_WIDTH to 16
- change value of parameter PHY_0_BITLANES to
48'h3FE_3FE_3FE_2FF
4. Synthezise the MIG together with the other design sources as shown in the sessions/
bist.vivado/vivado.tcl file.
By default the MIG core is generated for a input clock frequency of 375MHz (2.667ps clock
period). These values can be changed in the mig.prj file.
Note
Prior to 2019B this boards was ratet with a IO voltage of 1.35V. For higher performance
especially with the XILINX DDR3 SDRAM controller (MIG 4.2) the IO voltage was
changed to 1.5V. If your board is programmed for 1.35V operation, please contact the proFPGA
support to get instructions how to re-program it for 1.5V operation.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
All DDR3 and DDR4 memory extension boards are optimized to meet pin location
requirements for the XILILNX Memory Interface Generator (MIG). There for if the XILNX
MIG should be used the following constraints exist:
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
It is recommended to connect the board on one of the top-side connectors (TA1, TA2, TB1,
TB2) to achieve maximum signal integrity.
It is not recommended to plug this board on top of other stackable extension boards, because
this will decrease signal integrity.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Pin Constraints
Related Work
This topic is about Related Work.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Order Code
profpga_run
For this board the following x-board entry is required within the system configuration file:
<instance name>:
{
type = “BOARD”;
vendor = “ProDesign”;
name = „EB-PDS-DDR3-R3“;
size = “A1A1”;
positions = (“<position>”);
top_connectors = ();
v_io_ba1 = “AUTO”;
};
<instance name> and <position> must be replaced with the correct value according to the
system configuration. Please refer to the „proFPGA Software Reference Manual” [SWRM] for
more information.
Please ensure that the system configuration file which is the input to the profpga_brdgen tool
contains a valid x-board entry using the extension board name “EB-PDS-DDR3-R3”. The
system configuration file can be created manually or with the profpga_builder tool.
Please refer to the „proFPGA Software Reference Manual” [SWRM] and the “proFPGA
Builder User Manual” [UD004] for more information.
Table 6-53. Specification
Title Author Description
8Gb_DDR3_SDRAM.pdf Micron DDR3 memory module data
sheet
Order Code
This topic is about Order Code.
286481
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
DDR3 Extension Board with 4 Gbyte (EB-PDS-DDR3-R6/R7)
Functional Description
This topic is about Functional Description.
The DDR3 extension board provides:
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Extension Board Operating Conditions
Tip
In order to reproduce test with the XILINX External Memory Interface during IP generation
please select the custom memory part and choose the ‘custom_mems.csv‘ file from the
$PROFPGA/hdl/demo_designs/EB-PDS-DDR3-R6/source/vivado/. With this file the memory
device ‘IS43TR16512AL-125KBLI’ must be chosen.
Once bitsteam is available the reference clock frequency must be set to 125MHz using the
si5338 register map file 125MHZ_CLK0.txt which can be found in the $PROFPGA/hdl/
demo_designs/EB-PDS-DDR3-R6/ready_to_run directory.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
For applications which uses their own memory controller (e.g. Rapid Prototyping of ASIC
designs) such constraints do not exists since the FPGA internal memory controller is not used.
This means for such applications all DDR3/DDR3 memory extension boards can be used on all
FPGA technologies.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
It is recommended to connect the board on one of the top-side connectors (TA1, TA2, TB1,
TB2) to achieve maximum signal integrity.
It is not recommended to plug this board on top of other stackable extension boards, because
this will decrease signal integrity.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Pin Constraints
Related Work
This topic is about Related Work.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
Note
Please use 3.3 V LVDS as output type because the output driver is connected to 3.3 V.
Because the clock is ac-coupled the offset of the voltage does not matter.
Profpga_run
If the Si5338 is used, it will be configured with a profpga plugin. To load the plugin the
plugin_list entry before the system_configuration section must be added:
...
plugin_list = ( “si5338 ProDesign EB-PDS-DDR3-R7” );
system_configuration:
{
...
If there is already a plugin_list entry the plugin list must be extended. Example:
...
plugin_list = (“dp83865dvh ProDesign EB-PDS-GBITETHERNET-R1”, “si5338
ProDesign EB-PDS-DDR3-R7” );
system_configuration:
{
...
profpga_run
For this board the following x-board entry is required within the system configuration file:
<instance name>:
{
type = “BOARD”;
vendor = “ProDesign”;
name = "EB-PDS-DDR3-R3“;
size = “A1A1”;
positions = (“<position>”);
top_connectors = ();
v_io_ba1 = “AUTO”;
};
<instance name> and <position> must be replaced with the correct value according to the
system configuration. Please refer to the „proFPGA Software Reference Manual” [SWRM] for
more information.
<register map file> must be replaced with the path and the filename to the register map file
created with the Si5338 software.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Order Code
profpga_run. Please refer to the „proFPGA Software Reference Manual” [UD002] for more
information.
Please ensure that the system configuration file which is the input to the profpga_brdgen tool
contains a valid x-board entry using the extension board name “EB-PDS-DDR3-R3”. The
system configuration file can be created manually or with the profpga_builder tool.
Please refer to the „proFPGA Software Reference Manual” [SWRM] and the “proFPGA
Builder User Manual” [UD004] for more information.
Table 6-58. Specification
Title Author Description
8Gb_DDR3_SDRAM.pdf Micron DDR3 memory module data
sheet
Order Code
This topic is about Order Code.
The EB-PDS-DDR3-R6 has been discontinued. Please consider the latest version of this
Memory board (EB-PDS-DDR3-R7) instead.
Extension Board Order Code
EB-PDS-DDR3-R7 289438 Veloce PF DDR3 SDRAM 4GB VU440 R2
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
DDR3 SODIMM Board (EB-PDS-DDR3-SODIMM-R2)
Functional Description
This topic is about Functional Description
The EB-PDS-DDR3-SODIMM-R2 uses one site and provides the following.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Extension Board Operating Conditions
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Pin Constraints
Related Work
This topic is about Related Work.
proFPGA_run
For this board the following x-board entry is required within the system configuration file:
<instance name>:
{
type = “BOARD”;
vendor = “ProDesign”;
name = „EB-PDS-DDR3-SODIMM-R2“;
size = “A1A1”;
positions = (“<position>”);
top_connectors = ();
v_io_ba1 = “AUTO”;
};
<instance name> and <position> must be replaced with the correct value according to the
system configuration. Please refer to the „proFPGA Software Reference Manual” [SWRM] for
more information.
Order Code
This topic is about Order Code.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Order Code
286477
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
DDR3 SODIMM Board (EB-PDS-DDR3-SODIMM-R4)
Functional Description
This topic is about Functional Description.
The EB-PDS-DDR3-SODIMM-R4 uses one site and provides the following.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Extension Board Operating Conditions
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
All DDR3 and DDR4 memory extension boards are optimized to meet pin location
requirements for the XILINX Memory Interface Generator (MIG). Therefore, if the XILNX
MIG should be used the following constraints exist:
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Pin Constraints
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
Related Work
This topic is about Related Work.
profpga_run
For this board the following x-board entry is required within the system configuration file:
<instance name>:
{
type = “BOARD”;
vendor = “ProDesign”;
name = „EB-PDS-DDR3-SODIMM-R4“;
size = “A1A1”;
positions = (“<position>”);
top_connectors = ();
v_io_ba1 = “AUTO”;
};
<instance name> and <position> must be replaced with the correct value according to the
system configuration. Please refer to the „proFPGA Software Reference Manual” [SWRM] for
more information.
Please ensure that the system configuration file which is the input to the profpga_brdgen tool
contains a valid x-board entry using the extension board name “EB-PDS-DDR3-SODIMM-
R4”. The system configuration file can be created manually or with the profpga_builder tool.
Please refer to the „proFPGA Software Reference Manual” [SWRM] and the “proFPGA
Builder User Manual” [UD004] for more information.
Order Code
This topic is about Order Code.
No Order Code available.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
LPDDR2 Extension Board with 512 MByte (EB-PDS-LPDDR2-R1)
Functional Description
This topic is about Functional Description.
The LPDDR2 extension board provides:
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
Caution
Due to limitation of Xilinx MIG it is not possible to generate memory controller using both
memory devices. It can only be used to generate a controller for either device 1 or device 2.
If only one memory is used, MEM_CS_N and MEM_CKE of the unused device need to be
driven by the FPGA design where MEM_CS_N must be high and MEM_CKE low.
There are two softtouch probe connectors at the board to make the memory signals available for
logic analyzer. The pinout of both connectors is shown below.
Table 6-65. Softtouch Probes Pinout
Pin name Pin Number Probe 1(X1) Probe 2(X2)
CK2N_Even/D11N B20 n.c. MEM_DQS_N2
CK2P_Even/D11P B21 n.c. MEM_DQS_P2
CK1N_Odd/D4N AB MEM_CK_N MEM_DQS_N0
CK1P_Odd/D4P A7 MEM_CK_P MEM_DQS_P0
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Extension Board Operating Conditions
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
It is recommended to connect the board on one of the top-side connectors (TA1, TA2, TB1,
TB2) to achieve maximum signal integrity.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Pin Constraints
It is not recommended to plug this board on top of other stackable extension boards, because
this will decrease signal integrity.
Related Work
This topic is about Related Work.
profpga_run
For this board the following x-board entry is required within the system configuration file:
<instance name>:
{
type = “BOARD”;
vendor = “ProDesign”;
name = „EB-PDS-LPDDR2-R1“;
size = “A1A1”;
positions = (“<position>”);
top_connectors = ();
v_io_ba1 = “AUTO”;
};
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Order Code
<instance name> and <position> must be replaced with the correct value according to the
system configuration. Please refer to the „proFPGA Software Reference Manual” [SWRM] for
more information.
Order Code
This topic is about Order Code.
286486
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
LPDDR4 Extension Board with 2 Gbyte (EB-PDS-LPDDR4-R1)
Functional Description
This topic is about Functional description.
The LPDDR4 extension board provides:
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Extension Board Operating Conditions
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
Due to limitations of the IO voltage of the LPDDR4 memory (1.1 V) and the IO voltage
specification of the Xilinx Virtex 7 FPGAs it is not possible to use the board on Xilinx Virtex 7
FPGAs.
Table 6-71. EB-PDS-LPDDR4-R1 – FPGA Extension Site Compatibility
FPGA Module TA1 TA2 TB1 TB2 BA1 BA2 BB1 BB2 TA1 TA2
V1 V1
FM-XC7V2000T-R1
FM-XC7V2000T-R2
FM-XC7VX330T-R3
FM-XC7VX485T-R3
FM-XC7V585T-R3
FM-XC7VX690T-R3
FM-XC7Z100-R1
FM-XC7Z045-R1
FM-XCVU440-R1 √ √ √ √ √ √ √ √
FM-XCVU440-R2 √ √ √ √ √ √ √ √
FM-XCVU190-R1 √ √ √
FM-XCVU160-R1 √ √ √
FM-XCVU125-R1 √ √ √
FM-XCVU095-R1 √ √ √
FM-XCVU080-R1 √ √ √
FM-XCKU115-R1 √ √ √
FM-XCVU5P-R1 √ √ √
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Pin Constraints
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
Tip
Please be advised that these FPGA pin constraints recommendations are intended for the use
of an (user specific) IP core.
Related Work
This topic is about Related Work.
profpga_run
For this board the following x-board entry is required within the system configuration file:
<instance name>:
{
type = “BOARD”;
vendor = “ProDesign”;
name = "EB-PDS-LPDDR4-R1“;
size = “A1A1”;
positions = (“<position>”);
v_io_ba1 = “AUTO”;
};
<instance name> and <position> must be replaced with the correct value according to the
system configuration. Please refer to the “proFPGA Software Reference Manual” [UD002] for
more information.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Order Code
Please ensure that the system configuration file which is the input to the profpga_brdgen tool
contains a valid x-board entry using the extension board name “EB-PDS-LPDDR4-R1”. The
system configuration file can be created manually or with the profpga_builder tool.
Please refer to the „proFPGA Software Reference Manual” [SWRM] and the “proFPGA
Builder User Manual” [UD004] for more information.
Order Code
This topic is about Order Code.
This Extension Board has been discontinued.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Multi Memory Board (EB-PDS-MULTIMEMORY-R1)
Functional Description
This topic is about Functional Description.
The Multimemory Board provides 3 different types of memory with several debug
opportunities:
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
Peripherals
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Signal mapping
Signal mapping
This topic is about Signal mapping.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Extension Board Operating Conditions
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
Related Work
Tis topic is about Related Work.
profpga_run
For this board the following x-board entry is required within the system configuration file:
<instance name>:
{
type = “BOARD”;
vendor = “ProDesign”;
name = “EB-PDS-FLASH-R1”;
size = “A1A1”;
positions = (“<position>”);
top_connectors = ();
v_io_ba1 = “AUTO”;
};
<instance name>:
{
type = “BOARD”;
vendor = “ProDesign”;
name = “EB-PDS-FLASH-R2”;
size = “A1A1”;
positions = (“<position>”);
top_connectors = ();
v_io_ba1 = “AUTO”;
};
<instance name> and <position> must be replaced with the correct value according to the
system configuration. Please refer to the „proFPGA Software Reference Manual” [UD002] for
more information.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Order Code
Order Code
This topic is about Order Code.
286490
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Flash Board (EB-PDS-FLASH-R1/R2/R3)
Functional Description
This topic is about Functional Description.
The flash board provides several different memory devices and several debug opportunities:
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
Peripherals
Note
Be careful by connecting external peripherals here. Voltages higher than 1.8 V
will permanently damage the ONFI flash.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
• SPI debug
o Is connected to the common SPI interface where the quad SPI flash, quad SPI
MRAM and the NVSRAM is connected.
o The operating voltage is PV_IO.
Caution
Be careful when connecting external peripherals here. Voltages higher than
PV_IO will permanently damage the FPGA.
• The heartbeat LED is controlled by FPGA. A high signal at the output pin of the FPGA
means the blue LED is on. A low signal will turn off the LED.
• I²C debug
o Is connected to the I²C interface of each EEPROM.
o The pin assignment can be seen in Figure 6-34. It is identical for both connectors.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
• UART headers (can also be used as user defined headers, RX and TX is dependent on
the FPGA settings)
o Is directly connected to FPGA pins.
o The operating voltage is PV_IO.
Note
Be careful by connecting external peripherals here. Voltages higher than PV_IO
will permanently damage the FPGA.
• The three debug LEDs (1 red LED, 2 green LEDs) are controlled by FPGA. A high
signal at the output pin of the FPGA means the LED is on. A low signal will turn of the
LED.
• PMBus header (can also be used as user defined header)
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
Caution
Be careful when connecting external peripherals here. Voltages higher than
PV_IO will permanently damage the FPGA.
• Debug header
o Is directly connected to FPGA pins.
o Pins are pulled high.
o Each pin can be set in input mode to low with a jumper.
o The operating voltage is PV_IO.
Caution
Be careful when connecting external peripherals here. Voltages higher than
PV_IO will permanently damage the FPGA.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
• Push button
o The signal of the push button (DBG_PB) is normally pulled high and low if the
button is pressed.
• A15 Dstream connector
o Is directly connected to FPGA pins.
o Pins are pulled high.
o The operating voltage is PV_IO.
Note
Be careful when connecting external peripherals here. Voltages higher than
PV_IO will permanently damage the FPGA.
• GPIO header
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
Note
Be careful when connecting external peripherals here. Voltages higher than
PV_IO will permanently damage the FPGA.
Devices
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Extension Board Operating Conditions
Note
The signals WP, HOLD, CS and RESET are low active.
(b) without
GPIO 6 and GPIO 7
Table 6-83. EB-PDS-FLASH-R1/R2/R3 – FPGA extension site compatibility
FPGA Module TA1 TA2 TB1 TB2 BA1 BA2 BB1 BB2 TA1 TA2
V1 V1
FM-C7V2000T-R1 √ √ √ √ √ √ √
FM-C7V2000T-R2 √ √ √ √ √ √ √
FM-C7V330T-R3 √ √ √
FM-C7V485T-R3 √ √ √
FM-C7V585T-R3 √ √(a) √ √ √
FM-C7V690T-R3 √ √(a) √ √ √
FM-C7Z100-R1 √(b) √
FM-C7Z045-R1 √(b) √
FM-CVU440-R1 √ √ √ √ √ √ √ √
FM-CVU440-R2 √ √ √ √ √ √ √ √
FM-CVU190-R1 √ √ √ √(a)
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Pin Constraints
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
Related Work
This topic is about Related
profpga_run
For this board the following x-board entry is required within the system configuration file:
<instance name>:
{
type = "BOARD";
vendor = "ProDesign";
name = "EB-PDS-FLASH-R1";
size = "A1A1";
positions = ("<position>");
top_connectors = ();
v_io_ba1 = "AUTO";
};
<instance name>:
{
type = "BOARD";
vendor = "ProDesign";
name = "EB-PDS-FLASH-R2";
size = "A1A1";
positions = ("<position>");
top_connectors = ();
v_io_ba1 = "AUTO";
};
<instance name>:
{
type = "BOARD";
vendor = "Siemens";
name = "EB-PDS-FLASH-R3";
size = "A1A1";
positions = ("<position>");
top_connectors = ();
v_io_ba1 = "AUTO";
};
<instance name> and <position> must be replaced with the correct value according to the
system configuration. Please refer to the “proFPGA Software Reference Manual" [SWRM] for
more information.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Order Code
Please ensure that the system configuration file which is the input to the profpga_brdgen tool
contains a valid x-board entry using the extension board name "EB-PDS-FLASH-R1", "EB-
PDS-FLASH-R2" or "EB-PDS-FLASH-R3". The system configuration file can be created
manually or with the profpga_builder tool.
Please refer to the “proFPGA Software Reference Manual" [UD002] and the "proFPGA Builder
User Manual" [UD004] for more information.
Order Code
This topic is about Order Code.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Single MCP HyperBus Flash/RAM Board (EB-PDS-HYPER-RAM-FLASH-R1)
Functional Description
This topic is about Functional Description.
The EB-PDS-HYPER-RAM-FLASH-R1 delivers a JESD251A conformal interface for the
MCP HyperBus device S71KS512SC0, containing a HyperRAM and HyprFlash chip within the
same 24-FBGA package and connected to an octal SPI data interface.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Extension Board Operating Conditions
Nethertheless, as long as the common DQ/DQS distribution among the I/O banks is respected
on the FPGA module side and the first 36 pins (IO_[000:035]) of the proFPGA connectors are
connected to FPGA pins, the secondary socket should be valid as well.
Table 6-87. EB-PDS-HYPER-RAM-FLASH-R1 - FPGA Extension Site
Compatibility
FPGA Module TA1 TA2 TB1 TB2 BA1 BA2 BB1 BB2 TA1 TA2
V1 V1
FM-XC7V2000T-R1 √ √ √ √ √ √ √ √
FM-XC7V2000T-R2 √ √ √ √ √ √ √ √
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Pin Constraints
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
HyperBus Memory S71KS512SC0
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
HyperBus Memory S71KS512SC0
According to the internal structure of the S71KS512SC0 as shown in Figure 173, both chips can
be accessed individually via separated low-active CS signals. This allows to use the flash and
RAM chips in a combined multiplexed operation mode, as well as in a stand-alone mode. An
appropriate IP/HDL design acting as the master in any of the previously described operation
modes is mandatory.
One should note, that S71KS512SC0 only supports 1.8V on both VCC and VCCQ supply rails,
making the EB-PDS-HYPER-RAM-FLASH-R1 incompatible with 3.3V flash memories. The
supply voltage to be used by the proFPGA system is defined based on the IDPROM
configuration.
Table 6-90. EB-PDS-HYPER-RAM-FLASH-R1 MCP Hyperbus Memory
Manufacturer Description Mounting Order Code
Cypress FLASH, DRAM 24-FBGA S71KS512SC0BHV
Semiconductor Memory IC 512Mbit 00x
Flash, 64Mbit RAM
Parallel 166MHz
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Pin Mapping of XEBA1 Connector
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
Related Work
This topic is about Related Work.
profpga_run
For this board the following x-board entry is required within the system configuration file:
<instance name>:
{
type = “BOARD”;
vendor = “Siemens”;
name = “ EB-PDS-HYPER-RAM-FLASH-R1”;
size = “A1A1”;
positions = (“<position>”);
top_connectors = (“TA1”);
v_io_ba1 = “AUTO”;
};
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Order Code
<instance name> and <position> must be replaced with the correct value according to the
system configuration. Please refer to the „proFPGA Software Reference Manual” [UD002] for
more information.
Order Code
This topic is about Order Code.
No order code available.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Triple SSRAM Board (EB-PDS-SRAM-R1/R2)
Functional Description
This topic is about Functional Description.
The EB-PDS-SRAM board has one proFPGA bottom connector. Since it uses all I/Os there is
no proFPGA top connector. It provides fast and flexible memory expansion to the user FPGAs.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Extension Board Operating Conditions
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
FM-XCVU440-R1 √ √ √ √ √ √ √ √
FM-XCVU440-R2 √ √ √ √ √ √ √ √
FM-XCVU190-R1 √ √ √
FM-XCVU160-R1 √ √ √
FM-XCVU125-R1 √ √ √
FM-XCVU095-R1 √ √ √
FM-XCVU080-R1 √ √ √
FM-XCKU115-R1 √ √ √
FM-XCVU5P-R1 √ √ √
FM-XCVU7P-R1 √ √ √
FM-XCVU9P-R1 √ √ √
FM-XCVU13P-R1 √ √ √ √
FM-XCVU19P-R1 √ √ √ √ √ √ √ √
FM-XCVU37P-R1 √ √ √
FM-XCVU47P-R1 √ √ √
FM-1SG280<L,H>-R1
FM-XCZU19EG-R2 √ √ √
FM-XCZU17EG-R2 √ √ √
FM-XCZU11EG-R2 √ √
FM-1SG280<L,H>-R2
FM-10AX115-R1
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Pin Constraints
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
SSRAM Assembly Options
This Extension Board features three synchronous SRAMs connected in parallel to the proFPGA
connector. For maximum flexibility no signals are shared between devices. Thus each SRAM
can be used standalone which gives three 144 Mbit (72 Mbit) memories with an 18 bit wide data
bus. They can also be combined for maximum bandwidth with up to 54 bit data bus width
depending on the actual SRAM controller implementation in the FPGA.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
Related Work
This topic is about Related Work.
profpga_run
For this board the following x-board entry is required within the system configuration file:
<instance name>:
{
type = “BOARD”;
vendor = “ProDesign”;
name = “ EB-PDS-SRAM-R1”;
size = “A1”;
positions = (“<position>”);
v_io_ba1 = “AUTO”;
};
<instance name> and <position> must be replaced with the correct value according to the
system configuration. Please refer to the „proFPGA Software Reference Manual” [UD002] for
more information.
Order Code
This topic is about Order Code.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Order Code
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
PCIe gen1 8-lane Kit (EB-PDS-PCIe-Cable-R2)
Functional Description
This topic is about Functional Description.
proFPGA PCIe Kit provides up to 8 lanes gen1 PCIe communication interface between PC and
FPGA Module to implement a PCIe downstream system at the proFPGA system. All unused
FPGA Module pins are routed to top side connector and are available for user applications.
• proFPGA PCIe gen1 8-lane daughter card, which will be plugged on a free extension
site of the proFPGA FPGA Module, which provides the required high speed serial
transceivers (MGTs)
• PCIe gen1 8-lane host interface card
• Dedicated high performance cable
Figure 6-51. proFPGA PCIe gen1 8-lane Kit (Host Interface Board, PCIe Cable,
EB-PDS-PCIe-Cable-R2 proFPGA Extension Board)
The beneath figure shows the pin assignment of the external PCIe connector. All sideband
signals are decoupled from the host system via optocouplers (Figure 6-53 on page 426).
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Extension Board Operating Conditions
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
(**) Arria
10 PCIe Hard IP is not supported
Table 6-98. EB-PDS-PCIE-Cable-R2 – FPGA Extension Site Compatibility
FPGA Module TA1 TA2 TB1 TB2 BA1 BA2 BB1 BB2 TA1 TA2
V1 V1
FM-XC7V2000T-R1 √ √
FM-XC7V2000T-R2 √ √
FM-XC7VX330T-R3 √(*) √(*) √(*)
FM-XC7VX485T-R3 √(*) √(*) √(*)
FM-XC7V585T-R3 √(*) √ √(*) √(*)
FM-XC7VX690T-R3 √(*) √ √(*) √(*)
FM-XC7Z100-R1 √(*) √
FM-XC7Z045-R1 √(*) √
FM-XCVU440-R1 √ √ √ √ √ √
FM-XCVU440-R2 √ √ √ √ √ √
FM-XCVU190-R1 √(*) √(*) √(*) √(*) √ √
FM-XCVU160-R1 √(*) √(*) √(*) √(*) √ √
FM-XCVU125-R1 √(*) √(*) √(*) √(*) √ √
FM-XCVU095-R1 √(*) √(*) √(*) √(*) √(*) √(*)
FM-XCVU080-R1 √(*) √(*) √(*) √ √(*) √
FM-XCKU115-R1 √(*) √(*) √ √ √ √
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
Note
Please refer to AN037 for further information on using the Xilinx Integrated Block for PCI
Express.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Pin Constraints
MGT Pins:
Table 6-101. EB-PDS-PCIE-Cable-R2 - Top Connector Pin Mapping of MGT
pins
Top connector Bottom connector / FPGA Module
MGT_REFCLK_<N,P>_0 MGT_REFCLK_<N,P>_1
MGT_REFCLK_<N,P>_1 MGT_REFCLK_<N,P>_2
MGT_REFCLK_<N,P>_2 MGT_REFCLK_<N,P>_3
MGT_<RX,TX>_<N,P>_00 MGT_<RX,TX>_<N,P>_08
MGT_<RX,TX>_<N,P>_01 MGT_<RX,TX>_<N,P>_09
MGT_<RX,TX>_<N,P>_02 MGT_<RX,TX>_<N,P>_10
MGT_<RX,TX>_<N,P>_03 MGT_<RX,TX>_<N,P>_11
IO_000 IO_004
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
Related Work
This topic is about Related Work.
profpga_run
For this board the following x-board entry is required within the system configuration file:
<instance name>:
{
type = “BOARD”;
vendor = “ProDesign”;
name = “EB-PDS-PCIe-Cable-R2”;
size = “A1A1”;
positions = (“<position>”);
top_connectors = (“TA1”);
v_io_ba1 = “AUTO”;
};
<instance name> and <position> must be replaced with the correct value according to the
system configuration. Please refer to the „proFPGA Software Reference Manual” [SWRM] for
more information.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Order Code
Demo Designs
Order Code
This topic is about Order Code.
286520 (EB-PDS-PCIe-Cable-R2, 3m PCIe Cable, PCIe gen1, 8-lane host interface card)
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
PCIe gen3 8-lane Kit (EB-PDS-PCIe-Cable-R2/R3)
Functional Description
This topic is about Functional Description
proFPGA PCIe Kit provides up to 8 lanes gen3 PCIe communication interface between PC and
FPGA Module to implement a PCIe downstream system at the proFPGA system. All unused
FPGA Module pins are routed to top side connector and are available for user applications.
• proFPGA PCIe gen3 8-lane daughter card, which will be plugged on a free extension
site of the proFPGA FPGA Module, which provides the required high speed serial
transceivers (MGTs)
• PCIe gen3 8-lane host interface card
• Dedicated high performance cable
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
Figure 6-54. proFPGA PCIe gen3 8-lane Kit (Host Interface Board, PCIe Cable,
EB-PDS-PCIe-Cable-R3 proFPGA Extension Board)
There are two different kits available. One kit is dedicated to XILINX FPGA which identifies
itself as EB-PDS-PCIe_Cable-R3. The second kit is specific to all INTEL Arria-10 and Stratix-
10 FPGAs which identifies itself as EB-PDS-PCIe_Cable-R2.
Figure 6-55 shows the pin assignment of the external PCIe connector. All sideband signals are
decoupled from the host system via optocouplers (Figure 6-56).
Caution
The EB-PDS-PCIe-Cable-R3 extension board contained in the proFPGA PCIe gen3 8-lane
Kit for Xilinx is no longer available for new orders. It has been replaced by EB-PDS-PCIe-
Cable-R5. Please refer to chapter PCIe gen3 8-lane Kit (EB-PDS-PCIe-Cable-R5)for more
information.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Extension Board Operating Conditions
Peripherals
• The LED D1 (silkscreen nCPERST) signals the reset signal of the PCIe port. On means,
the reset is active and the FPGA is an off-state. Off means, the reset is not active. The
signal is driven by the PCIe host.
• The LED D3 (silkscreen nCPRSNT) signals the present signal of the PCIe port. On
means, the present signal is on. Off means, the present signal is off. The signal is driven
by the FPGA and is therefore an output of the FPGA. It has to be driven low and then the
LED is on.
• The DIP switches do not have to be changed. They are set in the factory.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Pin Constraints
Note
Please refer to AN037 for further information on using the Xilinx Integrated Block for PCI
Express.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Top-side extension board connector
MGT Pins:
Table 6-108. EB-PDS-PCIE-Cable-R3 - Top Connector Pin Mapping of MGT
Pins
Top connector Bottom connector / FPGA Module
MGT_REFCLK_<N,P>_0 MGT_REFCLK_<N,P>_3
MGT_REFCLK_<N,P>_1 MGT_REFCLK_<N,P>_1
MGT_REFCLK_<N,P>_2 MGT_REFCLK_<N,P>_2
MGT_<RX,TX>_<N,P>_00 MGT_<RX,TX>_<N,P>_08
MGT_<RX,TX>_<N,P>_01 MGT_<RX,TX>_<N,P>_09
MGT_<RX,TX>_<N,P>_02 MGT_<RX,TX>_<N,P>_10
MGT_<RX,TX>_<N,P>_03 MGT_<RX,TX>_<N,P>_11
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
Related Work
This topic is about Related Work.
profpga_run
For this board the following x-board entry is required within the system configuration file:
<instance name>:
{
type = “BOARD”;
vendor = “ProDesign”;
name = “EB-PDS-PCIe-Cable-R3”;
size = “A1A1”;
positions = (“<position>”);
top_connectors = (“TA1”);
v_io_ba1 = “AUTO”;
};
<instance name> and <position> must be replaced with the correct value according to the
system configuration. Please refer to the „proFPGA Software Reference Manual” [SWRM] for
more information.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Redriver Configuration
Redriver Configuration
This topic is about Redriver Configuration.
The extension board EB-PDS-PCIe-Cable-R3 includes two PCIe redrivers between the FPGA
and host system side. The first redriver is for channels 0 to 3, the second one for channels 4 to 7.
Both of the redrivers can be configured by a profpga plugin or by the DIP-switches S3 - S6
itself.
If the I2C redriver configuration is used, it will be configured with a profpga plugin. To load the
plugin, the plugin_list entry before the system_configuration section must be added:
...
plugin_list = ( "pi2eqx8804 ProDesign EB-PDS-PCIe-Cable-R3" );
system_configuration:
{
...
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Redriver Configuration
This will load the PI2EQX8804 plugin. For this board the following x-board entry is required
within the system configuration file:
<instance name>:
{
type = "BOARD";
vendor = "ProDesign";
name = "EB-PDS-PCIe-Cable-R3";
size = "A1A1";
positions = ("<position>");
v_io_ba1 = "AUTO";
# pi2eqx8804 Plugin settings
A0:
{
EQ = <Equalization Setting>; # Expected values 0 - 15
PREEMP = <Pre-emphasis Setting>; # Expected values 0 - 3
SWING = <Swing Setting>; # Expected values 0 - 1
PRESHOT = <Pre-shoot Setting>; # Expected values 0 - 1
};
A1:
{
EQ = <Equalization Setting>;
PREEMP = <Pre-emphasis Setting>;
SWING = <Swing Setting>;
PRESHOT = <Pre-shoot Setting>;
};
.
.
.
A7:
{
EQ = <Equalization Setting>;
PREEMP = <Pre-emphasis Setting>;
SWING = <Swing Setting>;
PRESHOT = <Pre-shoot Setting>;
};
B0:
{
EQ = <Equalization Setting>;
PREEMP = <Pre-emphasis Setting>;
SWING = <Swing Setting>;
PRESHOT = <Pre-shoot Setting>;
};
B1:
{
EQ = <Equalization Setting>;
PREEMP = <Pre-emphasis Setting>;
SWING = <Swing Setting>;
PRESHOT = <Pre-shoot Setting>;
};
.
.
.
B7:
{
EQ = <Equalization Setting>;
PREEMP = <Pre-emphasis Setting>;
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Redriver Configuration
};
<instance name> and <position> must be replaced with the correct value according to the
system configuration. Please refer to the „proFPGA Software Reference Manual” [UD002] for
more information.
The redriver configuration plugin expects up to 8 channels with 4 parameter each. Each channel
is divided in two directions. A0 - A7 configures the equalization circuit between the host system
and the EB-PDS-PCIe-Cable-R3 extension board. B0 - B7 adjusts the equalization circuit
between the FPGA and the EB-PDS-PCIe-Cable-R3 extension board. Each channel and
equalization circuit can be configured separately. All parameters of a channel have to be
configured. Otherwise, these channels will not be configured. The same applies to channels
which have no entry in the configuration file. A channel that is omitted due to missing entries
will be marked with a hint during the power up sequence of the proFPGA system.
Note
Figure 6-57 on page 440 shows the structure of the EB-PDS-PCIe-Cable-R3 extension
board. Bit 0 of DIP-switchs S4 and S6 are makred in red. All configurations made by the
plugin are only accepted by the redriver if both red marked bits are set. If these two bits are not
set, the values will be written into the redriver, but the redriver will ignore it.
Table 6-110 to Table 6-113 on page 443 show the adjustable configuration parameters of the
PI2EQX8804 through the corresponding plugin.
Table 6-110. PI2EQX8804 Equalization Setting (EQ)
EQ @ 1.25 GHz @ 2.5GHz [dB] @ 4GHz [dB] @ 5GHz [dB]
[dB]
0 0.5 1.6 2.9 3.5
1 0.8 2.4 4.1 4.7
2 0.9 2.7 4.5 5.2
3 1.2 3.5 5.6 6.3
4 1.7 4.3 6.6 7.3
5 2 5.2 7.7 8.5
6 3.2 6.8 9.3 10.1
7 3.5 7.6 10.5 11.2
8 5.1 9.3 11.9 12.6
9 5.3 10.1 13 13.7
10 5.7 10.2 12.8 13.6
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Order Code
Order Code
This topic is about Order Code
286522 - proFPGA PCIe gen3 8-lane Kit for Stratix 10
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
PCIe gen3 8-lane Kit (EB-PDS-PCIe-Cable-R5)
Functional Description
This topic is about Functional Description.
proFPGA PCIe Kit provides up to 8 lanes gen3 PCIe communication interface between PC and
FPGA Module to implement a PCIe downstream system at the proFPGA system. All unused
FPGA Module pins are routed to top side connector and are available for user applications.
• proFPGA PCIe gen3 8-lane daughter card, which will be plugged on a free extension
site of the proFPGA FPGA Module, which provides the required high speed serial
transceivers (MGTs)
• PCIe gen3 8-lane host interface card
• Dedicated high performance cable
Figure 6-58. proFPGA PCIe gen3 8-lane Kit (Host Interface Board, PCIe cable,
EB-PDS-PCIe-Cable-R5 proFPGA Extension Board)
There are two different kits available. One kit is dedicated to XILINX FPGAs which identifies
itself as EB-PDS-PCIe-Cable-R5. The second kit is specific to all INTEL Arria-10 and Stratix-
10 FPGAs which identifies itself as EB-PDS-PCIe-Cable-R2.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
Note
For information on the PCIe Kit for INTEL FPGAs (EB-PDS-PCIe-Cable-R2) please refer
to PCIe gen3 8-lane Kit (EB-PDS-PCIe-Cable-R2/R3).
Figure 6-59 shows the pin assignment of the external PCIe connector. All sideband signals are
decoupled from the host system via optocouplers (Figure 6-60).
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Extension Board Operating Conditions
Peripherals
• The LED D1 (silkscreen nCPERST) signals the reset signal of the PCIe port. On means,
the reset is active and the FPGA is an off-state. Off means, the reset is not active. The
signal is driven by the PCIe host.
• The LED D3 (silkscreen nCPRSNT) signals the present signal of the PCIe port. On
means, the present signal is on. Off means, the present signal is off. The signal is driven
by the FPGA and is therefore an output of the FPGA. It has to be driven low and then the
LED is on.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Pin Constraints
Note
Please refer to AN037 for further information on using the Xilinx Integrated Block for PCI
Express.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Top-side extension board connector
MGT Pins:
Table 6-120. EB-PDS-PCIE-Cable-R5 - Top Connector Pin Mapping of MGT
Pins
Top connector Bottom connector / FPGA Module
MGT_REFCLK_<N,P>_0 MGT_REFCLK_<N,P>_3
MGT_REFCLK_<N,P>_1 MGT_REFCLK_<N,P>_1
MGT_REFCLK_<N,P>_2 MGT_REFCLK_<N,P>_2
MGT_<RX,TX>_<N,P>_00 MGT_<RX,TX>_<N,P>_08
MGT_<RX,TX>_<N,P>_01 MGT_<RX,TX>_<N,P>_09
MGT_<RX,TX>_<N,P>_02 MGT_<RX,TX>_<N,P>_10
MGT_<RX,TX>_<N,P>_03 MGT_<RX,TX>_<N,P>_11
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
Related Work
This topic is about Related Work.
profpga_run
For this board the following x-board entry is required within the system configuration file:
<instance name>:
{
type = “BOARD”;
vendor = “Siemens”;
name = “EB-PDS-PCIe-Cable-R5”;
size = “A1A1”;
positions = (“<position>”);
top_connectors = (“TA1”);
v_io_ba1 = “AUTO”;
};
<instance name> and <position> must be replaced with the correct value according to the
system configuration. Please refer to the „proFPGA Software Reference Manual” [SWRM] for
more information.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Redriver Configuration
Redriver Configuration
This topic is about Redriver Configuration.
The extension board EB-PDS-PCIe-Cable-R5 includes two PCIe redrivers between the FPGA
and host system side. The first redriver is for channels 0 to 3, the second one for channels 4 to 7.
Both redrivers are configured by a profpga plugin.
To load the plugin, the plugin_list entry before the system_configuration section must be added:
...
plugin_list = ( "pi3eqx16904 Siemens EB-PDS-PCIe-Cable-R5" );
system_configuration:
{
...
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Redriver Configuration
This will load the PI3EQX16904 plugin. For this board the following x-board entry is required
within the system configuration file:
<instance name>:
{
type = "BOARD";
vendor = "Siemens";
name = "EB-PDS-PCIe-Cable-R5";
size = "A1A1";
positions = ("<position>");
v_io_ba1 = "AUTO";
# pi3eqx16904 Plugin settings
A0RX:
{
EQ = <Equalization Setting>; # Expected values 0 - 7
GAIN = <Flat Gain Setting>; # Expected values 0 - 3
SWING = <Amplitude Setting>; # Expected values 0 - 3
};
A0TX:
{
EQ = <Equalization Setting>;
GAIN = <Flat Gain Setting>;
SWING = <Amplitude Setting>;
};
.
.
.
A7RX:
{
EQ = <Equalization Setting>;
GAIN = <Flat Gain Setting>;
SWING = <Amplitude Setting>;
};
A7TX:
{
EQ = <Equalization Setting>;
GAIN = <Flat Gain Setting>;
SWING = <Amplitude Setting>;
};
};
<instance name> and <position> must be replaced with the correct value according to the
system configuration. Please refer to the „proFPGA Software Reference Manual” [UD002] for
more information.
The redriver configuration plugin expects 8 channels with 3 parameters each. Each channel is
divided in two directions. A0RX - A7RX configures the equalization circuit between the host
system and the EB-PDS-PCIe-Cable-R5 extension board. A0TX - A7TX adjusts the
equalization circuit between the FPGA and the EB-PDS-PCIe-Cable-R5 extension board. Each
channel and equalization circuit is configured separately. All parameters of all channels must be
configured. If an entry is missing an error is raised during the systems’ power-up.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Order Code
In case the pi3eqx16904 plugin is not present in the plugin_list the extension board still powers
up. This is not handled as a fault condition by the proFPGA software. All parameters for all
channels are then set to the redrivers built-in default which is maximum.
Table 6-122 to Table 6-124 show the adjustable configuration parameters of the PI3EQX16904
through the corresponding plugin.
Table 6-122. PI3EQX16904 Equalization Setting (EQ)
EQ @ 1.25 GHz @ 2.5GHz [dB] @ 4GHz [dB] @ 8GHz [dB]
[dB]
0 0.2 1.0 2.3 5.6
1 0.2 1.1 2.6 6.2
2 1.8 2.7 3.9 7.0
3 2.1 3.3 4.8 8.5
4 3.0 4.2 5.8 9.4
5 3.2 4.6 6.5 10.4
6 4.3 5.8 7.8 11.7
7 4.5 6.5 8.8 13.0
Order Code
This topic is about Order Code
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Order Code
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
PCIe gen2 dual-4-lane Kit (EB-PDS-PCIe-Cable-R6)
Functional Description
This topic is about Functional Description.
proFPGA PCIe Kit provides up to two 4 lane gen2 PCIe communication interfaces between PC
and FPGA Module to implement two PCIe downstream systems at the proFPGA system. All
unused FPGA Module pins are routed to top side connector and are available for user
applications.
• proFPGA PCIe gen2 dual-4-lane daughter card, which will be plugged on a free
extension site of the proFPGA FPGA Module, which provides the required high speed
serial transceivers (MGTs)
• One PCIe gen2 4-lane host interface card
• One dedicated high performance cable
Note
If the use of 2 ports is required, one additional PCIe gen2 4-lane host interface card and one
additional dedicated high performance cable are required (order codes: Board: 286531 and
cable: 286530).
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
Figure 6-61. proFPGA PCIe gen2 dual-4-lane Kit (Host Interface Board, PCIe
cable, EB-PDS-PCIe-Cable-R2 proFPGA Extension Board)
The beneath figure shows the pin assignment of the external PCIe connector. All sideband
signals are decoupled from the host system via optocouplers (EB-PDS-PCIe-Cable-R6 -
Sideband signals).
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Extension Board Operating Conditions
Peripherals
• The LEDs D6 and D7 signal the reset signals of the two PCIe ports. On means, the reset
is active and the FPGA is an off-state. Off means, the reset is not active. The signal is
driven by the PCIe host.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Pin Constraints
Note
Please refer to AN037 for further information on using the Xilinx Integrated Block for PCI
Express.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Top-side extension board connector
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
Related Work
This topic is about Related Work.
profpga_run
Please refer to “Redriver Configuration” on page 461.
Redriver Configuration
This topic is about Redriver Configuration.
The extension board EB-PDS-PCIe-Cable-R6 includes two PCIe redrivers between the FPGA
and host system side. The first redriver is for channels 0 to 3, the second one for channels 4 to 7.
Both redrivers are configured by a profpga plugin.
To load the plugin, the plugin_list entry before the system_configuration section must be added:
...
plugin_list = ( "pi3eqx16904 Siemens EB-PDS-PCIe-Cable-R6" );
system_configuration:
{
...
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Redriver Configuration
This will load the PI3EQX16904 plugin. For this board the following x-board entry is required
within the system configuration file:
<instance name>:
{
type = "BOARD";
vendor = "Siemens";
name = "EB-PDS-PCIe-Cable-R6";
size = "A1A1";
positions = ("<position>");
v_io_ba1 = "AUTO";
# pi3eqx16904 Plugin settings
P0RX0:
{
EQ = <Equalization Setting>; # Expected values 0 - 7
GAIN = <Flat Gain Setting>; # Expected values 0 - 3
SWING = <Amplitude Setting>; # Expected values 0 - 3
};
P0TX0:
{
EQ = <Equalization Setting>;
GAIN = <Flat Gain Setting>;
SWING = <Amplitude Setting>;
};
.
.
.
P1RX3:
{
EQ = <Equalization Setting>;
GAIN = <Flat Gain Setting>;
SWING = <Amplitude Setting>;
};
P1TX3:
{
EQ = <Equalization Setting>;
GAIN = <Flat Gain Setting>;
SWING = <Amplitude Setting>;
};
};
<instance name> and <position> must be replaced with the correct value according to the
system configuration. Please refer to the „proFPGA Software Reference Manual” [UD002] for
more information.
The redriver configuration plugin expects 8 channels (4 channels per port) with 3 parameters
each. Each channel is divided in two directions. P[0,1]RX0 – P[0,1]RX3 configures the
equalization circuit between the host system and the EB-PDS-PCIe-Cable-R6 extension board.
P[0,1]TX0 – P[0,1]TX3 adjusts the equalization circuit between the FPGA and the EB-PDS-
PCIe-Cable-R6 extension board. Each channel and equalization circuit is configured separately.
All parameters of all channels must be configured. If an entry is missing an error is raised
during the systems power-up.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Redriver Configuration
Note
It is recommended to use the maximum settings (EQ=7, GAIN=3, SWING=3) for use with
the EB-PDS-PCIe-Cable-R6. The DIP switches on the PCIeHostCableAdapter card should
be in the factory set position.
In case the pi3eqx16904 plug is not present in the plugin_list the extension board still powers
up. This is not handled as a fault condition by the proFPGA software. All parameters for all
channels are then set to the redrivers built-in default which is maximum.
Table 6-131 to Table 6-133 show the adjustable configuration parameters of the PI3EQX16904
through the corresponding plugin.
Table 6-131. : EB-PDS-PCIe-Cable-R6 - PI3EQX16904 Equalization Setting
(EQ)
EQ @ 1.25 GHz @ 2.5GHz [dB] @ 4GHz [dB] @ 8GHz [dB]
[dB]
0 0.2 1.0 2.3 5.6
1 0.2 1.1 2.6 6.2
2 1.8 2.7 3.9 7.0
3 2.1 3.3 4.8 8.5
4 3.0 4.2 5.8 9.4
5 3.2 4.6 6.5 10.4
6 4.3 5.8 7.8 11.7
7 4.5 6.5 8.8 13.0
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Order Code
Order Code
This topic is about Order Code.
289462 Veloce PF PCIe Gen2 4-lane Rev2
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
PCIe Root Complex and M.2 Extension Board (EB-PDS-ROOT-COMPLEX-M.2-R1)
Functional Description
This topic is about Functional Description.
The proFPGA root complex and M.2 extension board has a PCIe x16 socket where 8 MGT
lanes are connected and an M.2 socket with Key M where 4 MGT lanes are connected.
Note
8 MGT lanes of the PCIe x16 socket can only be used if the FPGA module supports 12
MGTs on the extension board connector. If the FPGA module supports only 8 MGTs on the
extension board connector, only 4 MGTs are connected to the PCIe socket.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
Peripherals
• PCIe socket
o Connect your PCIe card here.
• PCIe bracket
o The PCIe card can be fixed here.
• Button
o Can be assigned for user usage. The signal has a pull-up and is low, when the button
is pressed.
• LEDs
o There are 3 user LEDs on the board. The signals have to be driven high if the LED
should glow.
• proFPGA TOP connector
o The proFPGA TOP connector makes unused Ios from the BOTTOM connector
available.
• M.2 socket
o Connect your M.2 card with Key M here.
• Holes for M.2 card mounting
o There are several holes available to support any kind of M.2 card which is specified
in the appropriate specification.
o To mount the M.2 card, please connect the screw and the nut at the right hole. Then
connect the M.2 card into the M.2 socket and fix it on the board with the washer and
the screw.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Extension Board Operating Conditions
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
BB2 X1Y0 *
BB2 X1Y0 *
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Pin Constraints
Note
If Xilinx FPGAs are used and the integrated block for PCIe should be used: For each FPGA
site the integrated block for PCIe is listed which should be used for the PCIe design in
Vivado. It should be verified that the right PCIe lanes are connected to the according MGT
channels. The information for the according connector can be generated by proFPGA builder or
proFPGA brdgen. The information of the PCIe lanes is not given in the common xdc file in
Vivado. There is another xdc file in the project where this information is given and has to be
modified.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Top-side Extension Board Connector
MGT Pins:
Table 6-137. EB-PDS-ROOT-COMPLEX-M.2-R1 - Top Connector Pin Mapping
of MGT Pins
Top connector Bottom connector / FPGA Module
MGT_REFCLK_<N,P>_0 MGT_REFCLK_<N,P>_3
MGT_REFCLK_<N,P>_1 MGT_REFCLK_<N,P>_1
MGT_REFCLK_<N,P>_2 MGT_REFCLK_<N,P>_2
MGT_<RX,TX>_<N,P>_00 MGT_<RX,TX>_<N,P>_08
MGT_<RX,TX>_<N,P>_01 MGT_<RX,TX>_<N,P>_09
MGT_<RX,TX>_<N,P>_02 MGT_<RX,TX>_<N,P>_10
MGT_<RX,TX>_<N,P>_03 MGT_<RX,TX>_<N,P>_11
Related Work
This topic is about Related Work.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
Note
Please use 3.3 V LVDS as output type because the output driver is connected to 3.3 V.
Because the clock is ac-coupled the offset of the voltage does not matter.
profpga_run
If the Si5338 is used, it will be configured with a profpga plugin. To load the plugin the
plugin_list entry before the system_configuration section must be added:
...
plugin_list = ( "si5338 ProDesign EB-PDS-ROOT-COMPLEX-M.2-R1" );
system_configuration:
{
...
If there is already a plugin_list entry the plugin list must be extended. Example:
...
plugin_list = ("dp83865dvh ProDesign EB-PDS-GBITETHERNET-R1",
"si5338 ProDesign EB-PDS-ROOT-COMPLEX-M.2-R1" );
system_configuration:
{
...
This will load the Si5338 plugin. For this board the following x-board entry is required within
the system configuration file:
<instance name>:
{
type = "BOARD";
vendor = "ProDesign";
name = "EB-PDS-ROOT-COMPLEX-M.2-R1";
size = "A1A1";
positions = ("<position>");
v_io_ba1 = "AUTO";
# Si5338 Plugin settings
si5338_registermap_file = "<register map file>";
si5338_validate_input_clocks_1_2_3 = "yes";
si5338_validate_input_clocks_4_5_6 = "no";
si5338_execute_plugin_async_event = “no”;
};
<instance name> and <position> must be replaced with the correct value according to the
system configuration. Please refer to the „proFPGA Software Reference Manual” [UD002] for
more information.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Order Code
<register map file> must be replaced with the path and the filename to the register map file
created with the Si5338 software.
Please ensure that the system configuration file which is the input to the profpga_brdgen tool
contains a valid x-board entry using the extension board name “EB-PDS-ROOT-COMPLEX-
M.2-R1”. The system configuration file can be created manually or with the profpga_builder
tool.
Please refer to the „proFPGA Software Reference Manual” [SWRM] and the “proFPGA
Builder User Manual” [UD004] for more information.
Order Code
This topic is about Order Code.
286518
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
PCIe Root Complex Extension Board (EB-PDS-ROOT-COMPLEX-R1)
Functional Description
The proFPGA root complex extension board has a PCIe x16 socket where 8 MGT lanes are
connected. In comparison to the EB-PDS-ROOT-COMPLEX-M.2-R1 board, the pinout of this
board is optimized for Stratix 10 FPGA modules.
The board provides:
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
Peripherals
• PCIe socket
Connect your PCIe card here.
• PCIe bracket
The PCIe card can be fixed here.
• Button
Can be assigned for user usage. The signal has a pull-up and is low, when the button is
pressed.
• LEDs
There are 2 user LEDs on the board. The signals have to be driven high if the LED
should glow.
• proFPGA TOP connector
The proFPGA TOP connector makes unused Ios from the BOTTOM connector
available
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Extension Board Operating Conditions
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
In the table below, (1) lanes are inversed connected, could lead to timing errors, not officially
supported by Xilinx Integrated Block for PCIe, it is recommended to use the EB-PDS-ROOT-
COMPLEX-M.2-R1 extension board instead - this may fit better
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Pin Constraints
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Top-side Extension Board Connector
MGT Pins
Table 6-143. EB-PDS-ROOT-COMPLEX-R1 —Top Connector Pin Mapping of
MGT Pins
Top connector Bottom connector / FPGA Module
MGT_REFCLK_<N,P>_0 MGT_REFCLK_<N,P>_3
MGT_<RX,TX>_<N,P>_00 MGT_<RX,TX>_<N,P>_08
MGT_<RX,TX>_<N,P>_01 MGT_<RX,TX>_<N,P>_09
MGT_<RX,TX>_<N,P>_02 MGT_<RX,TX>_<N,P>_10
MGT_<RX,TX>_<N,P>_03 MGT_<RX,TX>_<N,P>_11
Related Work
This topic describes EB-PDS-ROOT-COMPLEX-R1 related work.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
Note
Please use 3.3 V LVDS as output type because the output driver is connected to 3.3 V.
Because the clock is ac-coupled the offset of the voltage does not matter.
profpga_run
If the Si5338 is used, it will be configured with a profpga plugin. To load the plugin the
plugin_list entry before the system_configuration section must be added:
...
plugin_list = ( "si5338 ProDesign EB-PDS-ROOT-COMPLEX-R1" );
system_configuration:
{
...
Example:
...
plugin_list = ("dp83865dvh ProDesign EB-PDS-GBITETHERNET-R1",
"si5338 ProDesign EB-PDS-ROOT-COMPLEX-R1" );
system_configuration:
{
...
For this board the following x-board entry is required within the system configuration file:
<instance name>:
{
type = "BOARD";
vendor = "ProDesign";
name = "EB-PDS-ROOT-COMPLEX-R1";
size = "A1A1";
positions = ("<position>");
v_io_ba1 = "AUTO";
# Si5338 Plugin settings
si5338_registermap_file = "<register map file>";
si5338_validate_input_clocks_1_2_3 = "yes";
si5338_validate_input_clocks_4_5_6 = "no";
si5338_execute_plugin_async_event = “no”;
};
<instance name> and <position> must be replaced with the correct value according to the
system configuration. Please refer to the proFPGA Software Reference Manual [UD002] for
more information.
<register map file> must be replaced with the path and the filename to the register map file
created with the Si5338 software.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Order Code
Please ensure that the system configuration file which is the input to the profpga_brdgen tool
contains a valid x-board entry using the extension board name “EB-PDS-ROOT-COMPLEX-
R1”. The system configuration file can be created manually or with the profpga_builder tool.
Please refer to the proFPGA Software Reference Manual [SWRM] and the proFPGA Builder
User Manual [UD004] for more information.
Order Code
The order code for EB-PDS-ROOT-COMPLEX-R1 is 286523.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
PCIe 4-lane Host Interface Card (PCIex4_HostCableAdaptor-R2)
Functional Description
The proFPGA 4-lane host interface card provides 4-lane PCIe cable connection to a subsystem.
It is designed to fit in a standard PC’s 4-lane (or higher) slot.
Features of the board:
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
DIP Switch Settings
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Order Code
Order Code
This topic describes the order code for PCIex4_HostCableAdaptor-R2.
• Board: 289461 Veloce PF Host Brd PCIe 4l G3 Rev2
• Cable: 286530
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Mini PCIe Host Interface Card (MPCIe_HostCableAdapter-R1)
Functional Description
The proFPGA mini PCIe host interface card provides 1-lane PCIe gen2 cable connection to a
subsystem. It is designed to fit into a mini PCIe slot. Because of the connector, the size is not
conforming to the PCIe specification.
It is used to build up a PCIe connection between a Mini PCIe subsystem and the proFPGA
system in combination with a PCIe extension board.
Caution
Due to the direction of the sideband signals, it is designed to work in a PCIe root complex
system. The direction of the sideband signals cannot be changed. So it will not work to
connect 2 root complex systems together.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
DIP Switch Settings
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Order Code
Order Code
This product is no longer available.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
M.2 Endpoint Extension Board (EB-PDS-M.2-EP-FLEX-R2)
Functional Description
The proFPGA M.2 Endpoint extension board has an M.2 edge connector with Key M where 4
MGT lanes are connected.
The board provides:
• M.2 edge connector with Key M in 22110 formfactor, where 4 MGT lanes are connected
• proFPGA top connector for interconnection cables only
• A flexible connection between edge connector and proFPGA connector
• A button for individual use
• A 2.54mm Header for SMBus incl. #Alert signal
• Microchip Technology 24AA025E48-I/SN IDPROM on SMBUS with Adress 0xA6
• A JTAG connector with DIP-Switch for change between external JTAG or FPGA
• A 2.54mm Header for Manufacturing Data and Clock line
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
Peripherals
• M.2 Edge Connector Key M
o Connects to a M.2 Key M slot.
• Holes for M.2 card mounting
o There are several holes available for best fitting option to the target system.
o To mount the M.2 connector, please connect the screw and the nut at the right hole.
Then connect the M.2 card into the M.2 socket and fix it on the target with the
washer and the screw.
• Button
o Can be assigned for custom usage. The signal has a pull-up and is driven low, when
the button is pressed.
• SMBUS Header
o Connector provides SMBUS with pull-ups for CLK and Data to PV_IO.
o Additional nAlert Pin.dedicated M.2 edge connector pins.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Extension Board Operating Conditions
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Pin Constraints
USB Interface
This topic describes the USB interface of EB-PDS-M.2-EP-FLEX-R2.
Table 6-154.
Component Manufacturer Order Code Comment
IDPROM Microchip 24AA025E48-I/SN
Crystal Abracon ABM8-24.000MHZ-D2-T/24MHz 24 Mhz
Levelshifter Texas SN74AVCH8T245RHLR
Instruments
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
SMBUS Interface and ID-PROM
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Top-side Extension Board Connector
Related Work
This topic describes the EB-PDS-M.2-EP-FLEX-R2 related work.
profpga_run
For this board the following x-board entry is required within the system configuration file:
<instance name>:
{
type = "BOARD";
vendor = "ProDesign";
name = "EB-PDS-M.2-EP-FLEX-R2";
size = "A1A1";
positions = (“<position>”);
top_connectors = ();
v_io_ba1 = "AUTO";
};
<instance name> and <position> must be replaced with the correct value according to the
system configuration. Please refer to the proFPGA Software Reference Manual [UD002] for
more information.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Order Code
Please ensure that the system configuration file which is the input to the profpga_brdgen tool,
contains a valid x-board entry using the extension board name “EB-PDS-M.2-EP-FLEX-R2”.
The system configuration file can be created manually or with the profpga_builder tool.
Please refer to the proFPGA Software Reference Manual [SWRM] and the proFPGA Builder
User Manual [UD004] for more information.
Order Code
Use the order code 286543 for EB-PDS-M.2-EP-FLEX-R2.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Debug Board (EB-PDS-DEBUG-R1)
Functional Description
The EB-PDS-DEBUG-R1 daughter board occupies one extension site of the proFPGA system
and offers various connectors and interfaces for debugging purpose.
Figure 6-75. EB-PDS-DEBUG-R1
• Three Mictor connectors to interface the proFPGA system to standard Logic Analyzers
or other measurement equipment (Figure 201)
• One 20x2 pin connector for general purpose IOs (Figure 202)
• One USB-UART debug interface over a micro USB connector (UART_TXD,
UART_RXD, UART_RTSn, UART_CTSn)
• One CPUARM JTAG interface (Figure 203)
• 16 LEDs (D1…D16 connected to IO_000…IO_015)
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
Caution
SWDIO function is not available with this connector due to unidirectional levelshifters
used.
Caution
Some JTAG programmers need to be adjusted/ increased regarding drive strength to work
properly.
The UART pins are connected to the FTDI FT232R. The direction of each pin is shown in the
following table:
Table 6-156. EB-PDS-DEBUG-R1 — Pinout of UART Connector
Signal FT232R FPGA
UART_TXD output input
UART_RXD input output
UART_CTSN input output
UART_RTSN output input
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Extension Board Operating Conditions
In the table below, (1) LEDs, X2 (not all GPIOs), UART, JTAG (without JTAG_P1V8_NSRST)
can be used,
(2)
all but without Mictor CON #2 and #3,
(3)
using EB-FM-XCVU440-R1,
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Pin Constraints
Related Work
This topic describes the EB-PDS-DEBUG-R1 related work.
profpga_run
For this board the following x-board entry is required within the system configuration file:
<instance name>:
{
type = "BOARD";
vendor = "ProDesign";
name = "EB-PDS-DEBUG-R1";
size = "A1A1";
positions = ("<position>");
top_connectors = ();
v_io_ba1 = "AUTO";
};
<instance name> and <position> must be replaced with the correct value according to the
system configuration. Please refer to the proFPGA Software Reference Manual [SWRM] for
more information.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Order Code
Please ensure that the system configuration file which is the input to the profpga_brdgen tool
contains a valid x-board entry using the extension board name “EB-PDS-DEBUG-R1”. The
system configuration file can be created manually or with the profpga_builder tool.
Please refer to the proFPGA Software Reference Manual [SWRM] and the proFPGA Builder
User Manual [UD004] for more information.
Order Code
Use the order code 286513 for EB-PDS-DEBUG-R1.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Debug Board (EB-PDS-DEBUG-R2)
Functional Description
The EB-PDS-DEBUG-R2 daughter board occupies one extension site of the proFPGA system
and offers various connectors and interfaces for debugging purpose.
Figure 6-79. EB-PDS-DEBUG-R2
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Extension Board Operating Conditions
Caution
SWDIO function is not available with this connector due to unidirectional levelshifters
used.
Caution
Some JTAG programmers need to be adjusted/ increased regarding drive strength to work
properly.
The UART pins are connected to the FTDI FT232R. The direction of each pin is shown in the
following table:
Table 6-161. EB-PDS-DEBUG-R2 — Pinout of UART Connector
Signal FT232R FPGA
UART_TXD output input
UART_RXD input output
UART_CTSN input output
UART_RTSN output input
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
In the table below, (1) without SoftTouch connector3, IO13, IO14 and IO15
(2)
without SoftTouch connectors 2 and 3, JTAG, UART, IO[0…15]
Table 6-163. EB-PDS-DEBUG-R2 — FPGA Extension Site Compatibility
FPGA Module TA1 TA2 TB1 TB2 BA1 BA2 BB1 BB2 TA1 TA2
V1 V1
FM-XC7V2000T-R1 √ √ √ √ √ √ √
FM-XC7V2000T-R2 √ √ √ √ √ √ √
FM-XC7VX330T-R3 √ √ √
FM-XC7VX485T-R3 √ √ √
FM-XC7V585T-R3 √ √ √ √
FM-XC7VX690T-R3 √ √ √ √
FM-XC7Z100-R1 √(1) √
FM-XC7Z045-R1 √(1) √
FM-XCVU440-R1 √ √ √ √ √ √ √ √
FM-XCVU440-R2 √ √ √ √ √ √ √ √
FM-XCVU190-R1 √ √ √ √
FM-XCVU160-R1 √ √ √ √
FM-XCVU125-R1 √ √ √ √
FM-XCVU095-R1 √ √ √ √
FM-XCVU080-R1 √ √ √ √
FM-XCKU115-R1 √ √ √ √
FM-XCVU5P-R1 √ √ √ √
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
The SoftTouch connectors are only fully compatible to the following sites:
X9
Table 6-165. EB-PDS-DEBUG-R2 — X9 SoftTouch FPGA Extension Site
Compatibility
FPGA Module TA1 TA2 TB1 TB2 BA1 BA2 BB1 BB2 TA1 TA2
V1 V1
FM-XC7V2000T-R1 √ √ √ √ √ √
FM-XC7V2000T-R2 √ √ √ √ √ √
FM-XC7VX330T-R3 √ √
FM-XC7VX485T-R3 √ √
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
X10
Table 6-167. EB-PDS-DEBUG-R2 — X11 SoftTouch FPGA Extension Site
Compatibility
FPGA Module TA1 TA2 TB1 TB2 BA1 BA2 BB1 BB2 TA1 TA2
V1 V1
FM-XC7V2000T-R1 √ √ √ √ √ √
FM-XC7V2000T-R2 √ √ √ √ √ √
FM-XC7VX330T-R3 √ √ √
FM-XC7VX485T-R3 √ √ √
FM-XC7V585T-R3 √ √ √
FM-XC7VX690T-R3 √ √ √
FM-XC7Z100-R1 √
FM-XC7Z045-R1 √
FM-XCVU440-R1 √ √ √ √ √ √ √ √
FM-XCVU440-R1 √ √ √ √ √ √ √ √
FM-XCVU190-R1 √ √ √
FM-XCVU160-R1 √ √ √
FM-XCVU125-R1 √ √ √
FM-XCVU095-R1 √ √ √
FM-XCVU080-R1 √ √ √
FM-XCKU115-R1 √ √ √
FM-XCVU5P-R1 √ √ √ √
FM-XCVU7P-R1 √ √ √ √
FM-XCVU9P-R1 √ √ √ √
FM-XCVU13P-R1 √ √ √ √
FM-XCVU19P-R1 √ √ √ √ √ √ √ √
FM-XCVU37P-R1 √ √ √
FM-XCVU47P-R1 √ √ √
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
X11
Table 6-169. EB-PDS-DEBUG-R2 — X11 SoftTouch FPGA Extension Site
Compatibility
FPGA Module TA1 TA2 TB1 TB2 BA1 BA2 BB1 BB2 TA1 TA2
V1 V1
FM-XC7V2000T-R1 √ √ √ √ √ √ √
FM-XC7V2000T-R2 √ √ √ √ √ √ √
FM-XC7VX330T-R3 √ √ √
FM-XC7VX485T-R3 √ √ √
FM-XC7V585T-R3 √ √ √ √
FM-XC7VX690T-R3 √ √ √ √
FM-XC7Z100-R1 √
FM-XC7Z045-R1 √
FM-XCVU440-R1 √ √ √ √ √ √ √ √
FM-XCVU440-R1 √ √ √ √ √ √ √ √
FM-XCVU190-R1 √ √ √
FM-XCVU160-R1 √ √ √
FM-XCVU125-R1 √ √ √
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Pin Constraints
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
Related Work
This topic describes the EB-PDS-DEBUG-R2 related work.
profpga_run
For this board the following x-board entry is required within the system configuration file:
<instance name>:
{
type = "BOARD";
vendor = "ProDesign";
name = "EB-PDS-DEBUG-R2";
size = "A1A1";
positions = ("<position>");
top_connectors = ();
v_io_ba1 = "AUTO";
};
<instance name> and <position> must be replaced with the correct value according to the
system configuration. Please refer to the proFPGA Software Reference Manual [SWRM] for
more information.
Please ensure that the system configuration file which is the input to the profpga_brdgen tool
contains a valid x-board entry using the extension board name “EB-PDS-DEBUG-R2”. The
system configuration file can be created manually or with the profpga_builder tool.
Please refer to the proFPGA Software Reference Manual [SWRM] and the proFPGA Builder
User Manual [UD004] for more information.
Order Code
Use the order code 286515 for EB-PDS-DEBUG-R2.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Debug Board (EB-PDS-DEBUG-SWDIO-R3)
Functional Description
The EB-PDS-DEBUG-SWDIO-R3 daughter board occupies one extension site of the proFPGA
system and offers various connectors and interfaces for debugging purpose.
Figure 6-83. EB-PDS-DEBUG-R3
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Connectors
Connectors
This topic describes the EB-PDS-DEBUG-R3 connectors.
The available connectors are:
• JTAG Connectors
• µSD Interface
• SIM Interface
• µSD Interface, UART Over USB Interface
• MICTOR Connectors
• DEBUG Header
• DEBUG Button and DIP-Switch
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Connectors
JTAG Connectors
The board provides 3 Types of JTAG connectors.
The direction of the TMS signal of the JTAG interface ca be controlled by the FPGA. This
allow the usage of the SWDIO functionality.
Table 6-172. Direction Settings for TMS Signal
Voltage Level of SWDIO_direction Signal Direction of JTAG_TMS / SWDIO Pin
LOW input
HIGH output
Caution
The direction signal of the Levelshifter (SWDIO_direction) has to be driven low, by the
FPGA for a stable JTAG connection.
Caution
Some JTAG programmers need to be adjusted/ increased regarding drive strength to work
properly.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Connectors
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Connectors
µSD Interface
Figure 6-85. EB-PDS-DEBUG-R3 - µSD-Interface
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Connectors
SIM Interface
Figure 6-86. EB-PDS-DEBUG-R3 Mini-SIM interface
The direction of the SIM_IO and SIM_SPU signals of the SIM interface ca be controlled by the
FPGA.
Table 6-175. Direction Settings for SIM-Signal
Voltage Level of Control-Signals Direction of SIM_*** Pin
LOW input
HIGH output
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Connectors
MICTOR Connectors
Figure 6-88. Mictor Connectors
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Connectors
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Connectors
DEBUG Header
Figure 6-89. DEBUG Header
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Connectors
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Extension Board Operating Conditions
In the table below, (1) LEDs, X2 (not all GPIOs), Button and ìSD without CD pin
(2)
LEDs, X2 (not all GPIOs), Button, ìSD without CD pin, JTAG, CORTEX DEBUG include
ETM,UART, all but without Mictor CON #2 and #3
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Pin Constraints
Related Work
This topic describes EB-PDS-DEBUG-R3 related work.
profpga_run
For this board the following x-board entry is required within the system configuration file:
<instance name>:
{
type = "BOARD";
vendor = "ProDesign";
name = "EB-PDS-DEBUG-R3";
size = "A1A1";
positions = ("<position>");
top_connectors = ();
v_io_ba1 = "AUTO";
};
<instance name> and <position> must be replaced with the correct value according to the
system configuration. Please refer to the proFPGA Software Reference Manual [SWRM] for
more information.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Order Code
Please ensure that the system configuration file which is the input to the profpga_brdgen tool
contains a valid x-board entry using the extension board name “EB-PDS-DEBUG-R1”. The
system configuration file can be created manually or with the profpga_builder tool.
Please refer to the proFPGA Software Reference Manual [SWRM] and the proFPGA Builder
User Manual [UD004] for more information.
Order Code
Use the order code 286514 for EB-PDS-DEBUG-R3.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Debug Board (EB-PDS-DEBUG-R4)
Functional Description
The EB-PDS-DEBUG-R4 daughter board occupies one extension site of the proFPGA system
and offers various connectors and interfaces for debugging purpose.
Figure 6-90. EB-PDS-DEBUG-R4
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Extension Board Operating Conditions
Note
SWDIO function is not available with this connector due to unidirectional levelshifters
used.
Note
Some JTAG programmers need to be adjusted/ increased regarding drive strength to work
properly.
The UART pins are connected to the FTDI FT232R. The direction of each pin is shown in the
following table:
Table 6-185. EB-PDS-DEBUG-R4 – Pinout of UART Connector
Signal FT232R FPGA
UART_TXD output input
UART_RXD input output
UART_CTSN input output
UART_RTSN output input
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
In the table below, (1) without SoftTouch connector3, IO13, IO14 and IO15
Table 6-187. EB-PDS-DEBUG-R4 – FPGA Extension Site Compatibility
FPGA Module TA1 TA2 TB1 TB2 BA1 BA2 BB1 BB2 TA1 TA2
V1 V1
FM-XC7V2000T-R1 √ √ √ √ √ √ √
FM-XC7V2000T-R2 √ √ √ √ √ √ √
FM-XC7VX330T-R3 √ √ √
FM-XC7VX485T-R3 √ √ √
FM-XC7V585T-R3 √ √ √ √
FM-XC7VX690T-R3 √ √ √ √
FM-XC7Z100-R1 √ (1) √
FM-XC7Z045-R1 √(1) √
FM-XCVU440-R1 √ √ √ √ √ √ √ √
FM-XCVU440-R2 √ √ √ √ √ √ √ √
FM-XCVU190-R1 √ √ √ √
FM-XCVU160-R1 √ √ √ √
FM-XCVU125-R1 √ √ √ √
FM-XCVU095-R1 √ √ √ √
FM-XCVU080-R1 √ √ √ √
FM-XCKU115-R1 √ √ √ √
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
The SoftTouch connectors are only fully compatible to the following sites:
X9
Table 6-189. EB-PDS-DEBUG-R4 – X9 SoftTouch FPGA Extension Site
Compatibility
FPGA Module TA1 TA2 TB1 TB2 BA1 BA2 BB1 BB2 TA1 TA2
V1 V1
FM-XC7V2000T-R1 √ √ √ √ √ √
FM-XC7V2000T-R2 √ √ √ √ √ √
FM-XC7VX330T-R3 √ √
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
X10
Table 6-191. EB-PDS-DEBUG-R4 – X10 SoftTouch FPGA Extension Site
Compatibility
FPGA Module TA1 TA2 TB1 TB2 BA1 BA2 BB1 BB2 TA1 TA2
V1 V1
FM-XC7V2000T-R1 √ √ √ √ √ √
FM-XC7V2000T-R2 √ √ √ √ √ √
FM-XC7VX330T-R3 √ √ √
FM-XC7VX485T-R3 √ √ √
FM-XC7V585T-R3 √ √ √
FM-XC7VX690T-R3 √ √ √
FM-XC7Z100-R1 √
FM-XC7Z045-R1 √
FM-XCVU440-R1 √ √ √ √ √ √ √ √
FM-XCVU440-R1 √ √ √ √ √ √ √ √
FM-XCVU190-R1 √ √ √
FM-XCVU160-R1 √ √ √
FM-XCVU125-R1 √ √ √
FM-XCVU095-R1 √ √ √
FM-XCVU080-R1 √ √ √
FM-XCKU115-R1 √ √ √
FM-XCVU5P-R1 √ √ √ √
FM-XCVU7P-R1 √ √ √ √
FM-XCVU9P-R1 √ √ √ √
FM-XCVU13P-R1 √ √ √ √
FM-XCVU19P-R1 √ √ √ √ √ √ √ √
FM-XCVU37P-R1 √ √ √
FM-XCVU47P-R1 √ √ √
FM-1SG280<L,H>-R1 √ √ √ √ √ √ √
FM-XCZU19EG-R2 √ √ √
FM-XCZU17EG-R2 √ √ √
FM-XCZU11EG-R2 √ √
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
X11
Table 6-193. EB-PDS-DEBUG-R4 – X11 SoftTouch FPGA Extension Site
Compatibility
FPGA Module TA1 TA2 TB1 TB2 BA1 BA2 BB1 BB2 TA1 TA2
V1 V1
FM-XC7V2000T-R1 √ √ √ √ √ √ √
FM-XC7V2000T-R2 √ √ √ √ √ √ √
FM-XC7VX330T-R3 √ √ √
FM-XC7VX485T-R3 √ √ √
FM-XC7V585T-R3 √ √ √ √
FM-XC7VX690T-R3 √ √ √ √
FM-XC7Z100-R1 √
FM-XC7Z045-R1 √
FM-XCVU440-R1 √ √ √ √ √ √ √ √
FM-XCVU440-R1 √ √ √ √ √ √ √ √
FM-XCVU190-R1 √ √ √
FM-XCVU160-R1 √ √ √
FM-XCVU125-R1 √ √ √
FM-XCVU095-R1 √ √ √
FM-XCVU080-R1 √ √ √
FM-XCKU115-R1 √ √ √
FM-XCVU5P-R1 √ √ √ √
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Pin Constraints
Related Work
This topic discusses related work of Debug Board (EB-PDS-DEBUG-R4).
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Order Code
profpga_run
For this board the following x-board entry is required within the system configuration file:
<instance name>:
{
type = "BOARD";
vendor = "ProDesign";
name = "EB-PDS-DEBUG-R4";
size = "A1A1";
positions = ("<position>");
top_connectors = ();
v_io_ba1 = "AUTO";
};
<instance name> and <position> must be replaced with the correct value according to the
system configuration. Please refer to the „proFPGA Software Reference Manual” [SWRM] for
more information.
Please ensure that the system configuration file which is the input to the profpga_brdgen tool
contains a valid x-board entry using the extension board name “EB-PDS-DEBUG-R4”. The
system configuration file can be created directly or with the profpga_builder tool.
Please refer to the “proFPGA Software Reference Manual” [SWRM] and the “proFPGA
Builder User Manual” [UD004] for more information.
Order Code
This topic discusses order code of Debug Board (EB-PDS-DEBUG-R4).
286516
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
MGT Debug Board (EB-PDS-MGT-MMCX-R1)
Functional Description
This topic discusses functional description of MGT Debug Board (EB-PDS-MGT-MMCX-R1).
Figure 6-94. MGT Debug Board (EB-PDS-MGT-MMCX-R1)
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Extension Board Operating Conditions
• proFPGA top connector to make all unused IOs available for further connections or
extension boards
All MMCX MGT REFCLK inputs are AC-coupled via 100 nF capacitors.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Pin Constraints
Related Work
This topic discusses related work of MGT Debug Board (EB-PDS-MGT-MMCX-R1).
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Order Code
profpga_run
For this board the following x-board entry is required within the system configuration file:
<instance name>:
{
type = "BOARD";
vendor = "ProDesign";
name = "EB-PDS-MGT-MMCX-R1";
size = "A1A1";
positions = ("<position>");
top_connectors = ("TA1");
v_io_ba1 = "AUTO";
};
<instance name> and <position> must be replaced with the correct value according to the
system configuration. Please refer to the „proFPGA Software Reference Manual” [SWRM] for
more information.
Please ensure that the system configuration file which is the input to the profpga_brdgen tool
contains a valid x-board entry using the extension board name “EB-PDS-MGT-MMCX-R1”.
The system configuration file can be created directly or with the profpga_builder tool.
Please refer to the “proFPGA Software Reference Manual” [SWRM] and the “proFPGA
Builder User Manual” [UD004] for more information.
Order Code
This topic discusses order code of MGT Debug Board (EB-PDS-MGT-MMCX-R1).
286517
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FMC Mezzanine Board Adapter (EB-PDS-FMC-R1/R2/R3)
Functional Description
This topic discusses functional description of FMC Mezzanine Board Adapter (EB-PDS-FMC-
R1/R2/R3).
The FMC Mezzanine adapter board provides a HPC (high pin count) connector which is
compliant to the FMC standard [FMC] and supports FMC Mezzanine boards.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
Caution
The IO voltage and IO standard of the regular IOs must meet the capabilities of both
systems which need to be connected (the proFPGA system and the FMC mezzanine
module).
Especially for FMC_VIO_B_M2C which is generated by the mezzanine board the user needs to
take care about the correct IO voltage levels. For example the FM-XC7V2000T-R2 supports
PV_IO voltage levels up to 1.8V only.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Extension Board Operating Conditions
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Known Issues
Known Issues
This topic is about Known Issues in FMC Mezzanine Board Adapter (EB-PDS-FMC-R1/R2/
R3).
Caution
The signals LA01_N_CC and LA01_P_CC are swapped. This means if this signal pair is
used for a differential signal the signal value must be negated within the FPGA to correct
the phase.
This issue applies to EB-PDS-FMC-R1 and EB-PDS-FMC-R2. For signal standards other than
differential signals this issue has no impact.
Caution
The CLK_DIR signal is not supported by EB-PDS-FMC-R1/R2 and must not be driven
with 3.3V. Before plugging a FMC mezzanine board onto EB-PDS-FMC-R1/R2 please
double check if CLK_DIR is unconnected or not driven higher than the used FPGA IO voltage.
With the proFPGA software 2015C or later the schematic revision can be obtained using the
following steps:
1. plug the EB-PDS-FMC-R2 onto the proFPGA system for example on connectors TA1/
TA2
2. power-on proFPGA
3. read out the IDPROM using the profpga_run tool (please refer [UD002] for more
information how to use the profpga_run tool):
$ profpga_run profpga.cfg --get-idprom MB1
TA1 1
...
Vendor: ProDesign
Name: EB-PDS-FMC-R2
Serial No: Bxxxxxx
Revision: 2.00
Size: A1A2
PROM version: 2
...
Caution
The level shifter for I2C and the GA signals are not connected to PV_IO. Due to this the I2C
and GA are not available.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
This issue applies to EB-PDS-FMC-R2 schematic revision < 3.0. For EB-PDS-FMC-R2
schematic revision 3.0 or higher I2C and GA are fully operative.
With the proFPGA software 2015C or later the schematic revision can be obtained using the
following steps:
1. plug the EB-PDS-FMC-R2 onto the proFPGA system for example on connectors TA1/
TA2
2. power-on proFPGA
3. read out the IDPROM using the profpga_run tool (please refer [UD002] for more
information how to use the profpga_run tool):
$ profpga_run profpga.cfg --get-idprom MB1
TA1 1
...
Vendor: ProDesign
Name: EB-PDS-FMC-R2
Serial No: Bxxxxxx
Revision: 3.00
Size: A1A2
PROM version: 2
...
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Pin Constraints
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Differences between EB-PDS-FMC-R2 and EB-PDS-FMC-R3
Caution
The LA/HA/HB signal assignment of the EB-PDS-FMC-R3 is not compatible with the EB-
PDS-FMC-R1/R2 variants.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
Related Work
This topic discusses related work of FMC Mezzanine Board Adapter (EB-PDS-FMC-R1/R2/
R3).
profpga_run
For this board the following x-board entry is required within the system configuration file:
<instance name>:
{
type = "BOARD";
size = "A1A2";
vendor = "ProDesign";
name = "EB-PDS-FMC-R3";
positions = ("motherboard_1.TA1", "motherboard_1.TA2");
top_connectors = ("TA1", "TA2");
v_io_ba1 = "<value>"; # set this value to the IO voltage
# provided by the FMC mezzanine board
# on FMC bank B
v_io_ba2 = "<value>"; # set this value to the IO voltage
# which should be provided to the FMC
# mezzanine board on FMC bank A
};
<instance name> and <position> must be replaced with the correct value according to the
system configuration. Please refer to the „proFPGA Software Reference Manual” [SWRM] for
more information.
Caution
Special care must be taken to set the voltage values for connector BA1 and BA2. They must
match the capabilities of the proFPGA FPGA module and the FMC Mezzanine board and
must not exceed the maximum IO voltage values of both components. If the maximum IO
voltage values will be exceeded the FPGA module and/or FMC Mezzanine module will be
damaged.
Please ensure that the system configuration file which is the input to the profpga_brdgen tool
contains a valid x-board entry using the extension board name “EB-PDS-FMC-R1”, “EB-PDS-
FMC-R2”, “EB-PDS-FMC-R3”. The system configuration file can be created directly or with
the profpga_builder tool.
Please refer to the “proFPGA Software Reference Manual” [UD002] and the “proFPGA
Builder User Manual” [UD004] for more information.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Order Code
Order Code
This topic discusses order code of FMC Mezzanine Board Adapter (EB-PDS-FMC-R1/R2/R3).
286534
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FMC Bank A Mezzanine Board Adapter (EB-PDS-FMC-BANK-A-R1)
Functional Description
This topic discusses functional description of FMC Bank A Mezzanine Board Adapter (EB-
PDS-FMC-BANK-A-R1).
The FMC Bank A Mezzanine adapter board provides an HPC (high pin count) connector which
is compliant to the FMC standard [FMC] and supports FMC Mezzanine boards.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
Caution
The IO voltage and IO standard of the regular IOs must meet the capabilities of both
systems which need to be connected (the proFPGA system and the FMC mezzanine
module).
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Extension Board Operating Conditions
(2)
MGT signals not available
Table 6-207. EB-PDS-FMC-BANK-A-R1 – FPGA Extension Site Compatibility
FPGA Module TA1 TA2 TB1 TB2 BA1 BA2 BB1 BB2 TA1 TA2
V1 V1
FM-XC7V2000T-R1 √(2) √(2) √(1) √(1) √(2) √(2) √(2)
FM-XC7V2000T-R2 √(2) √(2) √(1) √(1) √(2) √(2) √(2)
FM-XC7VX330T-R3 √(1) √(1) √(2)
FM-XC7VX485T-R3 √(1) √(1) √(2)
FM-XC7V585T-R3 √(1) √(1) √ √(2)
FM-XC7VX690T-R3 √(1) √(1) √ √(2)
FM-XC7Z100-R1 √(1)
FM-XC7Z045-R1 √(1)
FM-XCVU440-R1 √(1) √(1) √(1) √(1) √(2) √(2) √(1) √(1)
FM-XCVU440-R2 √(1) √(1) √(1) √(1) √(2) √(2) √(1) √(1)
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Pin Constraints
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
Related Work
This topic discusses FMC Bank A Mezzanine Board Adapter (EB-PDS-FMC-BANK-A-R1).
profpga_run
For this board the following x-board entry is required within the system configuration file:
<instance name>:
{
type = "BOARD";
size = "A1A1";
vendor = "ProDesign";
name = "EB-PDS-FMC-BANK-A-R1";
positions = ("motherboard_1.TA1");
top_connectors = ();
v_io_ba1 = "<value>"; # set this value to the IO voltage
# provided by the FMC mezzanine board
# on FMC bank A
};
<instance name> and <position> must be replaced with the correct value according to the
system configuration. Please refer to the “proFPGA Software Reference Manual” [SWRM] for
more information.
Caution
Special care must be taken to set the voltage values for connector BA1. They must match
the capabilities of the proFPGA FPGA module and the FMC Mezzanine board and must not
exceed the maximum IO voltage values of both components. If the maximum IO voltage values
will be exceeded the FPGA module and/or FMC Mezzanine module will be damaged.
Please ensure that the system configuration file which is the input to the profpga_brdgen tool
contains a valid x-board entry using the extension board name “EB-PDS-FMC-BANK-A-R1”.
The system configuration file can be created directly or with the profpga_builder tool.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Order Code
Please refer to the “proFPGA Software Reference Manual” [UD002] and the “proFPGA
Builder User Manual” [UD004] for more information.
Order Code
This topic discusses order code of FMC Bank A Mezzanine Board Adapter (EB-PDS-FMC-
BANK-A-R1).
No order code available.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FMC Reduced Mezzanine Board Adapter (EB-PDS-FMC-REDUCED-R1)
Functional Description
This topic discusses functional description of FMC Reduced Mezzanine Board Adapter (EB-
PDS-FMC-REDUCED-R1).
The FMC Reduced Mezzanine adapter board provides an HPC (high pin count) connector
which is compliant to the FMC standard [FMC] and supports FMC Mezzanine boards.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
Note
The IO voltage and IO standard of the regular IOs must meet the capabilities of both
systems which need to be connected (the proFPGA system and the FMC mezzanine
module).
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Extension Board Operating Conditions
• The CLK_DIR signal (to control the bidirectional clocks directions) is not connected to
FPGA
• FMC Bank B HB[12-21] is not available
(2)
MGT signals not available
Table 6-211. EB-PDS-FMC-BANK-A-R1 – FPGA Extension Site Compatibility
FPGA Module TA1 TA2 TB1 TB2 BA1 BA2 BB1 BB2 TA1 TA2
V1 V1
FM-XC7V2000T-R1 √(2) √(2) √(1) √(1) √(2) √(2) √(2)
FM-XC7V2000T-R2 √(2) √(2) √(1) √(1) √(2) √(2) √(2)
FM-XC7VX330T-R3 √(1) √(1) √(2)
FM-XC7VX485T-R3 √(1) √(1) √(2)
FM-XC7V585T-R3 √(1) √(1) √ √(2)
FM-XC7VX690T-R3 √(1) √(1) √ √(2)
FM-XC7Z100-R1 √(1)
FM-XC7Z045-R1 √(1)
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Pin Constraints
Related Work
This topic discusses related work of FMC Reduced Mezzanine Board Adapter (EB-PDS-FMC-
REDUCED-R1).
profpga_run
For this board the following x-board entry is required within the system configuration file:
<instance name>:
{
type = "BOARD";
size = "A1A1";
vendor = "ProDesign";
name = "EB-PDS-FMC-REDUCED-R1";
positions = ("motherboard_1.TA1");
top_connectors = ();
v_io_ba1 = "<value>"; # set this value to the IO voltage
# provided by the FMC mezzanine board
};
<instance name> and <position> must be replaced with the correct value according to the
system configuration. Please refer to the „proFPGA Software Reference Manual” [SWRM] for
more information.
Caution
Special care must be taken to set the voltage values for connector BA1. They must match
the capabilities of the proFPGA FPGA module and the FMC Mezzanine board and must not
exceed the maximum IO voltage values of both components. If the maximum IO voltage values
will be exceeded the FPGA module and/or FMC Mezzanine module will be damaged.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Order Code
Please ensure that the system configuration file which is the input to the profpga_brdgen tool
contains a valid x-board entry using the extension board name “EB-PDS-FMC-REDUCED-
R1”. The system configuration file can be created directly or with the profpga_builder tool.
Please refer to the “proFPGA Software Reference Manual” [UD002] and the “proFPGA
Builder User Manual” [UD004] for more information.
Order Code
This topic discusses order code of FMC Reduced Mezzanine Board Adapter (EB-PDS-FMC-
REDUCED-R1).
No order code available.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FMC LPC Mezzanine Board Adapter (EB-PDS-FMC-LPC-R1)
Functional Description
This topic discusses functional description of FMC LPC Mezzanine Board Adapter (EB-PDS-
FMC-LPC-R1).
The FMC LPC Mezzanine adapter board provides a LPC (low pin count) connector which is
compliant to the FMC standard [FMC] and supports FMC Mezzanine boards.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
Caution
The IO voltage and IO standard of the regular IOs must meet the capabilities of both
systems which need to be connected (the proFPGA system and the FMC mezzanine
module).
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Extension Board Operating Conditions
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Pin Constraints
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
Related Work
This topic discusses related work of FMC LPC Mezzanine Board Adapter (EB-PDS-FMC-
LPC-R1).
profpga_run
For this board the following x-board entry is required within the system configuration file:
<instance name>:
{
type = "BOARD";
size = "A1A1";
vendor = "ProDesign";
name = "EB-PDS-FMC-LPC-R1";
positions = ("motherboard_1.TA1” );
top_connectors = ("TA1");
v_io_ba1 = "<value>"; # set this value to the IO voltage
# which should be provided to the FMC
# mezzanine board
};
<instance name> and <position> must be replaced with the correct value according to the
system configuration. Please refer to the „proFPGA Software Reference Manual” [SWRM] for
more information.
Caution
Special care must be taken to set the voltage values for connector BA1. They must match
the capabilities of the proFPGA FPGA module and the FMC Mezzanine board and must not
exceed the maximum IO voltage values of both components. If the maximum IO voltage values
will be exceeded the FPGA module and/or FMC Mezzanine module will be damaged.
Please ensure that the system configuration file which is the input to the profpga_brdgen tool
contains a valid x-board entry using the extension board name “EB-PDS-FMC-LPC-R1”. The
system configuration file can be created directly or with the profpga_builder tool.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Order Code
Please refer to the “proFPGA Software Reference Manual” [UD002] and the “proFPGA
Builder User Manual” [UD004] for more information.
Order Code
This topic discusses order code of FMC LPC Mezzanine Board Adapter (EB-PDS-FMC-LPC-
R1).
286535
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FMC Carrier Board Adapter (FMC-PROFPGA-R1)
Functional Description
This topic discusses functional description of FMC Carrier Board Adapter (FMC-PROFPGA-
R1).
The FMC Carrier Board Adapter provides a connection between an FMC carrier card and the
proFPGA system.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
In the figure below, each FMC bank requires a dedicated FMC cable (IC-PDS-FMC-CABLE-
A-R1 (40 cm), IC-PDS-FMC-CABLE-B-R1 (40 cm), IC-PDS-FMC-CABLE-A-R2 (80 cm),
IC-PDS-FMC-CABLE-B-R2 (80 cm))
If only the regular IOs should be connected with the proFPGA system, the system setup shown
in Figure 6-109 can be used. In this setup the IC-PDS-FMC-… cables are directly plugged on
the proFPGA FPGA module.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
If MGTs should be connected as well the system setup of Figure 6-110 can be used. In this case
an additional EB-PDS-MGT-MMCX-R1 board is used to make the MGT connections via
MMCX BNC cables.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
Caution
The IO voltage and IO standard of the regular IOs must meet the capabilities of both
systems which need to be connected (the proFPGA system and the FMC carrier card).
Especially for FMC_VIO_B_M2C which is generated by the mezzanine board and the VADJ
voltage which is generated by the FMC carrier card, the user needs to take care about the correct
IO voltage levels. For example, the FM-XC7V2000T-R2 supports PV_IO voltage levels up to
1.8V only.
For example, if a Zynq-7000 SoC ZC706 Evaluation Kit should be connected to an FM-
XC7V2000T-R2 FPGA module of a proFPGA system the I/O voltage FMC-VADJ of the
ZC706 must be changed to 1.8V (the default value is 2.5V) because the XC7V2000T FPGA
does not support I/O voltages higher than 1.8V. In the same regards the PV_IO voltage of the
used proFPGA connectors must be programmed to P1V8 as well.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Extension Board Operating Conditions
(1)
only 1 FPGA bank,
(2)only
2 FPGA banks,
(3)
using EB-FM-XCVU440-R1,
(4)
only 3 HD banks available (72 IOs),
(5)
only 2 HD banks available (48 IOs).
Table 6-220. FMC-PROFPGA-R1 – FPGA Extension Site Compatibility
FPGA Module TA1 TA2 TB1 TB2 BA1 BA2 BB1 BB2 TA1 TA2
V1 V1
FM-XC7V2000T-R1 √ √ √ √(M) √ √ √ √(M
,1)
FM-XC7V2000T-R2 √ √ √(M) √(M) √ √ √ √(1)
FM-XC7VX330T-R3 √(M) √(1) √(M √(M) √ √(1)
,1)
FM-XC7VX485T-R3 √(M) √(1) √(M √(M) √ √(1)
,1)
FM-XC7V585T-R3 √(M) √(M √(M) √(M) √ √(1)
,2)
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Pin Constraints
FM-10AX115-R1 √ √ √ √
(M) (M) (M) (M)
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
Related Work
This topic discusses related work of FMC Carrier Board Adapter (FMC-PROFPGA-R1).
profpga_run
For this board the following x-board entry is required within the system configuration file:
<cable1>:
{
type = "BOARD";
size = "A1A1";
vendor = "ProDesign";
name = "IC-PDS-FMC-CABLE-B-R1";
positions = ( "<position1>" );
top_connectors = ( );
v_io_ba1 = "<voltage1>";
};
<cable2>:
{
type = "BOARD";
size = "A1A1";
vendor = "ProDesign";
name = "IC-PDS-FMC-CABLE-A-R1";
positions = ( "<position2>" );
top_connectors = ( );
v_io_ba1 = "<voltage2>";
};
Instance names <cable1> and <cable2> and position entries <position1> and <position2> must
be replaced with the correct value according to the system configuration. Please refer to the
„proFPGA Software Reference Manual” [UD002] for more information. The voltage entries
<voltage1> and <voltage2> must be set to the correct values. The name of the cable depends on
the selected cable length, IC-PDS-FMC-CABLE-<A,B>-R1 for 40 cm and IC-PDS-FMC-
CABLE-<A,B>-R2 for 80cm.
Caution
Special care must be taken to set the voltage values for both cable instances. They must
match the capabilities of the proFPGA FPGA module and the FMC carrier card and must
not exceed the maximum IO voltage values of both components. If the maximum IO voltage
values will be exceeded the FPGA module and/or FMC carrier card will be damaged.
Please ensure that the system configuration file which is the input to the profpga_brdgen tool
contains a valid x-board entry using the extension board name “FMC-PROFPGA-A-R1” and
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Order Code
“FMC-PROFPGA-B-R1”. The system configuration file can be created directly or with the
profpga_builder tool.
Please refer to the “proFPGA Software Reference Manual” [UD002] and the “proFPGA
Builder User Manual” [UD004] for more information.
Order Code
This topic discusses order code of FMC Carrier Board Adapter (FMC-PROFPGA-R1).
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
USB 3.0 Board (EB-PDS-USB3-R1)
Functional Description
This topic is about Functional Description.
proFPGA USB 3.0 Board provides two USB 3.0 A female connectors which can be used either
in host or in device mode. The USB 3.0 connection is provided with the TUSB1310A from
Texas Instruments. All IO and Clock IO pins of the extension board bottom connector are used.
The MGT ports are not used. So it’s preferred to put this board onto an FPGA connector without
MGT ports or to put in onto an extension board with top connector which uses the MGT ports
and only a short amount of IO pins.
• proFPGA USB 3.0 daughter card, which will be plugged on a free extension site of the
proFPGA FPGA Module
• Two AK669/3-3-R cables for use in host mode
• Two AK670/3-2-R cables for use in device mode
Figure 6-112. proFPGA USB 3.0 Kit (USB 3.0 Board, USB 3.0 A Male - A Female
Cable, USB 3.0 A Male - A Male Cable)
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
The figure below shows the pin assignment of the USB 3.0 board bottom connector.
Figure 6-113. Signal Connections of the proFPGA USB 3.0 Board Bottom
Connector
The nets are named to their corresponding function of the TUSB1310A. The signals are divided
into several priority regions:
1. The first four IOs are connected to control the user LEDs.
2. USB port 1 high priority signals
3. USB port 2 high priority signals
4. USB port 1 low priority signals
5. USB port 2 low priority signals
All IO signals connected to Clock IO pins are high priority signals. All low priority signals are
also connected to DIP switches.
Note
If the low priority signals should be controlled by FPGA, the DIP switches have to be set to
“off” state
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
Priority regions have been introduced to support a large range of IO signal count. The proFPGA
USB 3.0 board can be stacked on other extension board, which reduces the number of available
IO signal connections to the FPGA. The following use cases are supported:
• 2 USB ports, configuration pins driven by FPGA (all IO signals connected to FPGA)
• 2 USB ports, configuration pins driven by DIP switches (low-priority signals
unconnected)
• 1 USB port, configuration pins driven by DIP switches (low-priority signals and USB
port 2 high-priority signals unconnected)
The signals USB3_[1,2]_nEN_P5V0_OUT for activating the external 5 V for each port (used in
USB host mode) are active low.
Peripherals
Table 6-223. DIP Switches of the USB 3.0 Board and the Connected Signals
USB PORT USB PORT # Signal off on
1 2
S1 S4 1 PHY_MODE 0k pull-down 225R pull-up
0
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
Table 6-223. DIP Switches of the USB 3.0 Board and the Connected Signals
USB PORT USB PORT # Signal off on
1 2
2 PHY_MODE 0k pull-down 225R pull-up
1
3 OUT_ENAB 0k pull-down 225R pull-up
LE
4 TX_ONESZ 0k pull-down 225R pull-up
EROS
S2 S5 1 TX_DEEMP 10k pull- 225R pull-up
H1 down
2 TX_DEEMP 10k pull- 225R pull-up
H0 down
3 TX_MARGI 10k pull- 225R pull-up
N2 down
4 TX_MARGI 10k pull- 225R pull-up
N1 down
5 TX_MARGI 10k pull- 225R pull-up
N0 / down
SSC_DIS
6 TX_SWING 10k pull- 225R pull-up
down
7 RX_POLARI 10k pull- 225R pull-up
TY down
8 RX_TERMI 10k pull- 225R pull-up
NATION down
9 RATE 10k pull- 225R pull-up
down
10 ELAS_BUF_ 10k pull- 225R pull-up
MODE down
S3 S6 1 ULPI_DATA 10k pull- 10k pull-up
7/ down
ISO_START
• JTAG of the TUSB1310A is not connected.
• Preconfigured strap signals of the TUSB1310A:
o RX_ELECIDLE / XTAL_DIS - 10k pull-down
o TX_ELECIDLE - 10k pull-down
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Extension Board Operating Conditions
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Pin Constraints
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
Related Work
This topic is about Related Work.
profpga_run
For this board the following x-board entry is required within the system configuration file:
<instance name>:
{
type = "BOARD";
vendor = "ProDesign";
name = "EB-PDS-USB3-R1";
size = "A1A1";
positions = ("<position>");
top_connectors = ();
v_io_ba1 = "AUTO";
};
<instance name> and <position> must be replaced with the correct value according to the
system configuration. Please refer to the „proFPGA Software Reference Manual” [UD002] for
more information.
Please ensure that the system configuration file which is the input to the profpga_brdgen tool
contains a valid x-board entry using the extension board name “EB-PDS-USB3-R1”. The
system configuration file can be created directly or with the profpga_builder tool.
Please refer to the „proFPGA Software Reference Manual” [UD002] and the “proFPGA Builder
User Manual” [UD004] for more information.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Order Code
module and voltage in respect to the connector where the board is plugged. The _ta1_ entry has
to be changed to the connector where the board is plugged onto. Possible options are [ta1, ta2,
tb1, tb2, ba1, ba2, bb1, bb2].
Order Code
This topic is about Order Code.
286511
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
USB 2.0(UTMI) & 3.0(ULPI) Interface Board (EB-PDS-USB2-3-R1/R2)
Functional Description
This topic is about Functional Description
This extension board has two USB ports. One USB port is available through a mini USB
connector and is connected to a USB3250 (Microchip). The USB3250 is a hi-speed USB device
transceiver with UTMI interface. This USB port can be used to implement a USB 2.0 device in
the FPGA with UTMI interface.
Caution
EB-PDS-USB2-3-R2/R3 is required if 12 Mbit/s Full Speed (USB 1.0) is needed!
Otherwise, the LINESTATE signals are not available if using EB-PDS-USB2-3-R1.
However, both devices support 480 Mbit/s High Speed (USB 2.0).
Conditions:
• USB3250 is used.
• Windows operating system is used.
• PC is booted before the design is loaded into the FPGA.
• The board is already connected via USB cable to the PC.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
Caution
If the board is used under these conditions, the device manager will identify the board as
“Unknown device”. This can only be reset by unplugging and then replugging the USB
cable.
The second USB port is available through a USB A female connector and is connected to a
TUSB1310A (Texas Instruments). The TUSB1310A is a USB 3.0 Transceiver which can be
either used as an USB host or device. To avoid incompatibilities with the USB 3.0 connector in
host or device mode, two different USB 3.0 cables are delivered to fit both options. In host
mode the VUSB is generated on the extension board itself. Up to 1.5 A can be delivered to the
device. In device mode, the VUSB on the extension board can be disabled.
Twelve LEDs are on the extension board which can be programmed by user. There are blue,
green, red and yellow LEDs on the board. Each color is three times available.
One GPIO header is on the board where 18 FPGA pins are connected and can be used for debug
purposes. The GPIOs are directly connected to the FPGA so be careful by using these pins for
input into the FPGA that the voltage levels do not exceed 1.8 V. 1.8 V are connected to pin 1
and 2. On pin 21 and 22 GND is connected.
One 14-pin JTAG connector is on the board. The pins are connected to FPGA pins. The layout
of the JTAG connector is related to ARM JTAG.
All IO and Clock IO pins of the extension board bottom connector are used. The MGT ports are
not used. So it’s preferred to put this board onto an FPGA connector without MGT ports or to
put in onto an extension board with top connector which uses the MGT ports and only a short
amount of IO pins.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
Peripherals
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
• The pin assignment of the user JTAG connector can be seen in the figure below.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Extension Board Operating Conditions
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Pin Constraints
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
Related Work
This topic is about Related Work.
profpga_run
For this board the following x-board entry is required within the system configuration file:
<instance name>:
{
type = "BOARD";
vendor = "ProDesign";
name = "EB-PDS-USB2-3-R1"; # R2 or R3 for other revisions
size = "A1A1";
positions = ("<position>");
top_connectors = ();
v_io_ba1 = "AUTO";
};
<instance name> and <position> must be replaced with the correct value according to the
system configuration. Please refer to the „proFPGA Software Reference Manual” [UD002] for
more information.
Please ensure that the system configuration file which is the input to the profpga_brdgen tool
contains a valid x-board entry using the extension board name “EB-PDS-USB2-3-R1” (R2 or
R3 for other revisions). The system configuration file can be created directly or with the
profpga_builder tool.
Please refer to the „proFPGA Software Reference Manual” [UD002] and the “proFPGA Builder
User Manual” [UD004] for more information.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Order Code
error, the entry v_io_ta1_force = 1; has to be added to the cfg file for the according FPGA
module and voltage in respect to the connector where the board is plugged. The _ta1_ entry has
to be changed to the connector where the board is plugged onto. Possible options are [ta1, ta2,
tb1, tb2, ba1, ba2, bb1, bb2].
Order Code
This topic is about Order Code.
EB-PDS-USB2-3-R2: 286510
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
GBit Ethernet Board (EB-PDS-GBITETHERNET-R1)
Functional Description
This topic is about Functional Description.
proFPGA GBit Ethernet Board provides two GBit Ethernet RJ45 connectors. The GBit Ethernet
connection is provided with the DP83865DVH PHY from Texas Instruments.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Extension Board Operating Conditions
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Pin Constraints
Related Work
This topic is about Related Work.
profpga_run
The boot-strap signals of both GBit Ethernet PHYs will be set with a profpga plugin. To load
the plugin the plugin_list entry before the system_configuration section must be added:
...
plugin_list = ( "dp83865dvh ProDesign EB-PDS-GBITETHERNET-R1" );
system_configuration:
{
...
If there is already a plugin_list entry the plugin list must be extended. Example:
...
plugin_list = ("si5338 ProDesign EB-PDS-DVI-R1",
"dp83865dvh ProDesign EB-PDS-GBITETHERNET-R1" );
system_configuration:
{
...
This will load the dp83865dvh plugin, which is part of the 2014A release. This plugin reads the
boot strap configuration parameter from the related section of the extension board instance and
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
apply thee to the PHY chip before FPGA configuration.For this board the following x-board
entry is required within the system configuration file:
<instance name>:
{
type = "BOARD";
vendor = "ProDesign";
name = "EB-PDS-GBITETHERNET-R1";
size = "A1A1";
positions = ("<position>");
top_connectors = ("TA1");
v_io_ba1 = "AUTO";
#--- Configuration options ----------------------
# CLK_MAC_FREQ
# 1 CLOCK TO MAC output is 125 MHz
# 0 CLOCK TO MAC output is 25 MHz
#------------------------------------------------
# MAN_MDIX
# 1 PHY is manually set to cross-over mode (MDIX)
# 0 PHY is manually set to straight mode (MDI)
#------------------------------------------------
# MAC_CLK_EN
# 1 CLK_TO_MAC clock output enabled
# 0 CLK_TO_MAC disabled
#------------------------------------------------
# MDIX_EN
# 1 enables pair swap mode
# 0 disables the Auto-MDIX and defaults the part into the
mode preset by the MAN_MDIX_STRAP pin.
#------------------------------------------------
# MULTI_EN
# 1 Selects multiple node priority (switch or hub)
# 0 Selects single node priority (NIC)
#--------------------------------------------
# | RGMII_SEL1| RGMII_SEL0| MAC Interface
# ---------------------------------------
# | 0 | 0 | GMII
# | 0 | 1 | GMII
# | 1 | 0 | RGMII - HP
# | 1 | 1 | RGMII - 3COM
#--------------------------------------------
# PHY ADDRESS [4:1]: The DP83865 provides five PHY address-
# sensing pins for multiple PHY applications.
# The setting on these five pins provides the base
# address of the PHY. The five PHYAD[4:0] bits are
# registered as inputs at reset with PHYADDR4 being
# the MSB of the 5-bit PHY address.BIT 0 is fixed
# to logic 1
#-------------------------------------------------------------
# ACT_SPEED0 and LNK10_SPEED1
#
# Auto-Neg disabled:
#
# | Speed[1] | Speed[0] | Speed enabled
# ---------------------------------------
# | 1 | 1 | Reserved
# | 1 | 0 | 1000BASE-T
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
# | 0 | 1 | 100BASE-TX
# | 0 | 0 | 10BASE-T
#
# Auto-Neg enabled:
#
# | Speed[1] | Speed[0] | Speed enabled
# ---------------------------------------
# | 1 | 1 | 1000BASE-T, 10BASE-T
# | 1 | 0 | 1000BASE-T
# | 0 | 1 | 1000BASE-T, 100BASE-TX
# | 0 | 0 | 1000BASE-T, 100BASE-TX, 10BASE-T
#-------------------------------------------------------------
# LNK1G_AUTO_NEG
# 1 Enables Auto-Neg
# 0 Disables Auto-Neg
#-------------------------------------------------------------
# LNK100_DUPLEX
# 1 Enables Full Duplex by default
# 0 Enables Half Duplex only
#-------------------------------------------------------------
eth_phy1:
{
CLK_MAC_FREQ = 0;
MAN_MDIX = 0;
MAC_CLK_EN = 0;
MDIX_EN = 0;
MULTI_EN = 0;
RGMII_SEL0 = 0;
RGMII_SEL1 = 0;
PHY_ADDR1 = 0;
PHY_ADDR2 = 0;
PHY_ADDR3 = 0;
PHY_ADDR4 = 0;
ACT_SPEED0 = 0;
LNK10_SPEED1 = 0;
LNK1G_AUTO_NEG = 1;
LNK100_DUPLEX = 1;
}
eth_phy2:
{
CLK_MAC_FREQ = 0;
MAN_MDIX = 0;
MAC_CLK_EN = 0;
MDIX_EN = 0;
MULTI_EN = 0;
RGMII_SEL0 = 0;
RGMII_SEL1 = 1;
PHY_ADDR1 = 0;
PHY_ADDR2 = 0;
PHY_ADDR3 = 0;
PHY_ADDR4 = 0;
ACT_SPEED0 = 0;
LNK10_SPEED1 = 0;
LNK1G_AUTO_NEG = 1;
LNK100_DUPLEX = 1;
}
};
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Order Code
<instance name> and <position> must be replaced with the correct value according to the
system configuration. Please refer to the „proFPGA Software Reference Manual” [UD002] for
more information.The eth_phy1 and eth_phy2 section must be adjusted according to the design
requirements (see also the DP83865DVH data sheet for more details).
Please ensure that the system configuration file which is the input to the profpga_brdgen tool
contains a valid x-board entry using the extension board name “EB-PDS-GBITETHERNET-
R1”. The system configuration file can be created directly or with the profpga_builder tool.
Please refer to the „proFPGA Software Reference Manual” [UD002] and the “proFPGA Builder
User Manual” [UD004] for more information.
To avoid this error, the entry v_io_ta1_force = 1; has to be added to the cfg file for the
according FPGA module and voltage in respect to the connector where the board is plugged.
The _ta1_ entry has to be changed to the connector where the board is plugged onto. Possible
options are [ta1, ta2, tb1, tb2, ba1, ba2, bb1, bb2].
Note
When using RGMII as protocol the clock has to be shifted 1.5-2ns in relation to the used
clock. This has either to be taken care of in the design itself by using delaying elements or
by using the 3COM mode where the PHY handles this by itself.
Note
Before using the Ethernet interface inside the logic, the nReset signal of the used PHY has
to be toggled once so that the strap pins are being read in by the PHY correctly. Otherwise,
it is not reliable if the settings which have been made in the configuration file will be applied
always.
Order Code
This topic is about Order Code.
286512
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
DVI Input and Output Board (EB-PDS-DVI-R1)
Functional Description
This topic is about Functional Description.
proFPGA DVI Board provides a DVI input and a DVI output with HDMI connectors.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
• proFPGA DVI daughter card, which will be plugged on a free extension site of the
proFPGA FPGA Module
• Two HDMI cables (for use with DVI connectors an HDMI to DVI adapter is required,
not included)
Figure 6-118. EB-PDS-DVI-R1
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Extension Board Operating Conditions
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Pin Constraints
Related Work
This topic is about Related Work.
EDID EEPROM
The board provides a 4Kbit EDID EEPROM at the DVI receiver side. This EEPROM is not
programmed by Siemens. Some DVI sources (e.g. PC) need valid entries in the EEPROM for
proper operations. In these cases the EEPROM need to be programmed with timing and
resolution parameters supported by the FPGA design. The content of the EEPROM is specified
in the VESA Enhanced EDID Standard.
Caution
Disconnect the cable from the DVI input connector during the configuration of EEPROM.
http://www.silabs.com/products/clocksoscillators/Pages/Timing-Software-Development-
Tools.aspx
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
Figure 6-120 on page 615 shows the Frequency Plan tab of the SiLabs Clock Builder. The
output frequencies in this picture are just examples and can be changed to the desired frequency.
Furthermore it is not necessary to use all outputs. Several steps are necessary to generate the
register map file for the Si5338.
Note
For other boards than the EB-PDS-DVI-R1 use the recommended voltage which is
mentioned in the section of the board.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
After you have finished your clocking setup Save register map file (not for factory
programming).For the other tabs, refer to the SibLabs Clock Builder manual.Using Clock
Builder Pro SoftwareThe generation of the Register Map File in the Clock Builder Pro Software
is guided through a wizard.After ‘Create New Project’ > ‘Clock Generators’ > ‘Si5338’ you
have to do the following steps:
• Choose the ‘Universal Input Pin Configuration’ which is ‘CLKIN’ for Pin 3 and
‘I2C_LSB’ for Pin 4
• Select the I2C address of the device which is ‘0x70’ in hex
• The ‘I2C Bus Voltage’ value has to be set to ‘2.5/3.3V’
• Enter the ‘Input’ frequency ‘25 MHz’ and choose ‘XA/XB’ as ‘Type/Format’
• Choose your ‘Output Frequencies’ by writing the according value into each field
• set ‘Format’ of each used output to ‘CMOS Only A 1.8V’
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
Caution
For other boards than the EB-PDS-DVI-R1 use the recommended voltage which is
mentioned in the section of the board.
• After finishing the wizard click onto ‘Export’ to generate the register map file
• In the ‘Export’ menu select the tab ‘Register File’
• As ‘Export Type’ select ‘Comma Separated Values (CSV) File’
• To generate the file, click onto ‘Save To File …’ button and choose the location and the
name of the file
Figure 6-122. Clock Builder Pro Universal Pin Configuration
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
Figure 6-123. Clock Builder Pro Supply Voltages and Host Interface
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
profpga_run
If the Si5338 is used, it will be configured with a profpga plugin. To load the plugin the
plugin_list entry before the system_configuration section must be added:
...
plugin_list = ( "si5338 ProDesign EB-PDS-DVI-R1" );
system_configuration:
{
...
If there is already a plugin_list entry the plugin list must be extended. Example:
...
plugin_list = ("dp83865dvh ProDesign EB-PDS-GBITETHERNET-R1",
"si5338 ProDesign EB-PDS-DVI-R1" );
system_configuration:
{
...
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
This will load the Si5338 plugin. For this board the following x-board entry is required within
the system configuration file:
<instance name>:
{
type = "BOARD";
vendor = "ProDesign";
name = " EB-PDS-DVI-R1";
size = "A1A1";
positions = ("<position>");
v_io_ba1 = "AUTO";
# Si5338 Plugin settings
};
<instance name> and <position> must be replaced with the correct value according to the
system configuration.
Please refer to the „proFPGA Software Reference Manual” [UD002] for more
information.<register map file> must be replaced with the path and the filename to the register
map file created with the Si5338 software.si5338_validate_input_clocks_1_2_3 and
si5338_validate_input_clocks_4_5_6 has to be set to yes or no in order which input clock will
be used. For the DVI board the input clocks 1 and 2 (IN1 and IN2) are used. Therefore, the input
clocks on these two inputs have to be valid before proceeding with the programming procedure
of the Si5338.
Refer to Si5338 manual for more information (figure 9 in Rev. 1.4 -> “Is input clock
valid?”).si5338_execute_plugin_async_event: A "yes" for this option enables the ability for
reconfiguration of the Si5338 clock generator during runtime. The reconfiguration, based on the
register map file, is triggered by the command line option --plugin-async-events for
profpga_run. Please refer to the „proFPGA Software Reference Manual” [UD002] for more
information.
Please ensure that the system configuration file which is the input to the profpga_brdgen tool
contains a valid x-board entry using the extension board name “EB-PDS-DVI-R1”. The system
configuration file can be created directly or with the profpga_builder tool.
Please refer to the “proFPGA Software Reference Manual” [UD002] and the “proFPGA Builder
User Manual” [UD004] for more information.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Order Code
Order Code
This topic discusses order code of DVI Input and Output Board (EB-PDS-DVI-R1)
286500
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
QSFP+ Extension Board (EB-PDS-QSFP+-R1)
Functional Description
This topic discusses functional description of QSFP+ Extension Board (EB-PDS-QSFP+-R1).
The proFPGA QSFP+ extension board provides two sockets for QSFP or QSFP+ modules and
supports the maximum transfer rate of the QSFP+ modules of 10 Gbit/s per Channel.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Extension Board Operating Conditions
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Pin Constraints
Related Work
This topic discusses related work of QSFP+ Extension Board (EB-PDS-QSFP+-R1).
Tip
Please use 3.3 V LVDS as output type because the output driver is connected to 3.3 V.
Because the clock is ac-coupled the offset of the voltage does not matter.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
profpga_run
If the Si5338 is used, it will be configured with a profpga plugin. To load the plugin the
plugin_list entry before the system_configuration section must be added:
...
plugin_list = ( "si5338 ProDesign EB-PDS-QSFP+-R1" );
system_configuration:
{
...
If there is already a plugin_list entry the plugin list must be extended. Example:
...
plugin_list = ("dp83865dvh ProDesign EB-PDS-GBITETHERNET-R1",
"si5338 ProDesign EB-PDS-QSFP+-R1" );
system_configuration:
{
...
For this board the following x-board entry is required within the system configuration file:
<instance name>:
{
type = "BOARD";
vendor = "ProDesign";
name = " EB-PDS-QSFP+-R1";
size = "A1A1";
positions = ("<position>");
v_io_ba1 = "AUTO";
# Si5338 Plugin settings
si5338_registermap_file = "<register map file>";
si5338_validate_input_clocks_1_2_3 = "yes";
si5338_validate_input_clocks_4_5_6 = "no";
si5338_execute_plugin_async_event = “no”;
};
<instance name> and <position> must be replaced with the correct value according to the
system configuration. Please refer to the “proFPGA Software Reference Manual” [UD002] for
more information.
<register map file> must be replaced with the path and the filename to the register map file
created with the Si5338 software.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Benchmark
Please ensure that the system configuration file which is the input to the profpga_brdgen tool
contains a valid x-board entry using the extension board name “EB-PDS-QSFP+-R1”. The
system configuration file can be created directly or with the profpga_builder tool.
Please refer to the “proFPGA Software Reference Manual” [UD002] and the “proFPGA
Builder User Manual” [UD004] for more information.
Benchmark
This topic discusses benchmark for QSFP+ Extension Board (EB-PDS-QSFP+-R1).
Some measurements were done to validate the functionality of the EB-PDS-QSFP+-R1
extension board. For these measurements the following components were used:
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Order Code
The test was done for nearly half an hour with zero errors on each channel (RX Bit Error
Count).
The analyzer also allows creating an eye diagram. Please keep in mind, that this are not
optimized settings. With optimized settings, the eye could be much bigger.
Order Code
This topic discusses order code of QSFP+ Extension Board (EB-PDS-QSFP+-R1).
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Order Code
286507
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
QSFP28 Extension Board (EB-PDS-QSFP28-R1)
Functional Description
This topic discusses functional description of QSFP28 Extension Board (EB-PDS-QSFP28-
R1).
The proFPGA QSFP28 extension board provides three sockets for QSFP28 modules and
supports the common transfer rate 25.78125 Gbit/s on each socket.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Extension Board Operating Conditions
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Pin Constraints
Related Work
This topic discusses related work of QSFP28 Extension Board (EB-PDS-QSFP28-R1).
The profpa_run tool requires a register map file to configure the output clocks of the SI5328B.
This file can be generated by the ‘Precision Clock EVB Software V5.1’ from SiLabs. It can be
downloaded here: https://www.silabs.com/products/development-tools/software/clock
Using DSPLLsim
The software suite Precision Clock EVB Software 5.1 includes a variety of tools. For generating
a proper Registermap-file the tool DSPLLsim is used (see Figure 6-137).
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
After startup a dialog for the planed task is shown (see Figure 6-138). The task "Create a new
frequency plan with free run mode enabled" has to be chosen.
After this dialog a dialog for choosing the device will open. The SI5328 has to be selected (see
Figure 6-139).
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
In the next step the clock settings have to be done (see Figure 6-140). Using the crystal input is
recommended. This frequency is fixed to 114.285 MHz. So, the CKIN1 settings will be 114.285
MHz. The specified number of outputs depends on the implemented design. The output
frequency of 171.875MHz is recommended for QSFP28 use case.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
The software optimizes the PLL settings depending on the ppm requirements and the chosen
frequencies. The settings shown in Figure 6-141 are recommended for QSFP28 use case. The
values for CKIN1 and CKOUT1 have to be set and afterwards confirmed via pressing the
Calculate Ratio Button.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
The possible Frequency settings including error rate will show up in the next dialog (see
Figure 6-142). The appropriate setting has to be set in the field and confirmed via next button.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
The next dialogs summarize the settings and can be confirmed with the next Button (see
Figure 6-143 and Figure 6-144) and finally with the OK Button (see Figure 6-145).
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
Finally, in the last dialog (see Figure 6-146) additional settings can be made. There are
additional options for the software part of the SI5328B for example error LEDs and options for
adjusting the clock such as skew offsets, signal format and drive strength.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
The Register Map File can be saved via options menu (see Figure 6-147).
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
profpga_run
If the Si5338 is used, it will be configured with a profpga plugin. To load the plugin the
plugin_list entry before the system_configuration section must be added:
...
plugin_list = ( " si5328B ProDesign EB-PDS-QSFP28-R1" );
system_configuration:
{
...
If there is already a plugin_list entry the plugin list must be extended. Example:
...
plugin_list = ("dp83865dvh ProDesign EB-PDS-GBITETHERNET-R1",
" si5328B ProDesign EB-PDS-QSFP28-R1" );
system_configuration:
{
...
For this board the following x-board entry is required within the system configuration file:
<instance name>:
{
type = "BOARD";
vendor = "ProDesign";
name = " EB-PDS-QSFP28-R1";
size = "A1A1";
positions = ("<position>");
v_io_ba1 = "AUTO";
# Si5328 Plugin settings
si5328B_1 :
{
registermap_file = "<register map file>";
};
si5328B_2 :
{
registermap_file = "<register map file>";
};
};
<instance name> and <position> must be replaced with the correct value according to the
system configuration. Please refer to the “proFPGA Software Reference Manual” [UD002] for
more information.
<register map file> must be replaced with the path and the filename to the register map file.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Benchmark
Please ensure that the system configuration file which is the input to the profpga_brdgen tool
contains a valid x-board entry using the extension board name “EB-PDS-QSFP28-R1”. The
system configuration file can be created directly or with the profpga_builder tool.
Please refer to the “proFPGA Software Reference Manual” [UD002] and the “proFPGA
Builder User Manual” [UD004] for more information.
Benchmark
This topic discusses benchmark of QSFP28 Extension Board (EB-PDS-QSFP28-R1).
Some measurements were done to validate the functionality of the EB-PDS-QSFP28-R1
extension board. For these measurements the following components were used:
Order Code
This topic discusses order code of QSFP28 Extension Board (EB-PDS-QSFP28-R1).
286508
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
EB-PDS-FIREFLY-R1
EB-PDS-FIREFLY-R1
This topic discusses EB-PDS-FIREFLY-R1.
Functional Description
This topic discusses functional description of EB-PDS-FIREFLY-R1.
The proFPGA FireFly extension board is intended to be used in conjunction with Samtec's
exclusive optical cable product family of the type ECUO FireFly and provides individual
mounting sockets for R12 and T12 FireFly HOC (Half-Optical-Cable) modules.
Those FireFly cables can be used to exclusively connect different high-speed data lanes
between proFPGA FPGA modules over long distances, as required by server rack applications
or data center solutions. This feature allows the usage of the EB-PDS-FIREFLY-R1 extension
board as some kind of breakout board in terms of MGT connectivity.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
• 1x proFPGA V0 top connector to make all unused regular IOs of the bottom connector
available for further use
• 1x IDPROM for board identification
• 1x FireFly HOC socket delivering 12x TxD lanes for an optical transmitter HOC of type
ECUO/ETUO (T12 or Y12 compatible, no hot-plug capability)
• 1x FireFly HOC socket delivering 12x RxD lanes for an optical receiver HOC of type
ECUO/ETUO (R12 or Y12 compatible, no hot-plug capability)
• Both FireFly HOC sockets can be used to interface a full-fledged HOC of type ECUO/
ETUO Y12-16G and Y12-25G
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
• Both FireFly HOC sockets are powered by on-board power modules automatically
(switchable power supply capability via plugin)
• 2x fans for active cooling of each HOC socket, each fan is plug-able via a 4-pin header
and powered from 12V (up to 200mA current consumption)
Caution
The V0 top connector shall be utilized only with proFPGA cables for interconnections to
other FPGAs.
Special care should be taken, when mounting the R12 and T12 modules on their desired socket
as there is no mechanical polarization present, but only corresponding labels in the PCB
silkscreen.
Caution
A wrong placement of the HOC modules could not be detected by the extension board and
could damage the FireFly HOC modules permanently, as well as the FPGA itself!
In case of need, each FireFly HOC module can be identified as an R12 or T12 module through a
corresponding copper-based marking underneath the HOC PCB and by the color of the
mounting tab:
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
For further instruction on how to mount the FireFly HOC modules properly, please refer to the
Samtec documentation.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
All 12x RxD and 12x TxD lanes are consolidated in a common MTP female connector with 24
fibers, with an up-counting signal mapping scheme as follows:
Tip
It is recommended, to use a male-male MTP24 patch cable instead of directly connecting
two Y12 cables with their MTP24 female endings, as the male endings deliver guiding lugs
for increased contact alignment.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
If a more flexible signal mapping is required, it is recommended to use breakout cables (also
known as harness cables) converting the MTP24 connector interface into a bunch of single optic
fiber connectors.
Utilizing this single fiber connectors (e.g LC/SC/FC), an interconnection setup equivalent to the
mapping scheme of a type A FOPC cable, as well as an individual scheme can be realized.
Note
Appropriate mechanical adapters are required to establish the connection between the
FireFly Y12 cables and the desired optical patch cable, as shown in the pictures above.
If breakout cables are used, an additional patch field or similar mechanical adapter interface for
the single fiber connectors is required as well.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
System Structure
System Structure
This topic discusses system structure of EB-PDS-FIREFLY-R1.
The extension board consists on the following main functional domains:
• Mechanical connectors forming a FireFly conformal socket for optical modules of the
type FireFly ECUO R12 and T12
• Power tree supplying each HOC socket individually from P12V directly
• Power up sequencing circuitry allowing to switch between 3.3V and 3.8V for FireFly
T12 modules
• I2C bus topology allowing to access all slave devices via the proFPGA system (SW/
HW)
• Programmable clock generator
• Thermal Monitoring circuitry consisting of a dedicated fan controller of the type
EMC2104
• Circuitry to supply up to 2 individual fans
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
System Structure
Note
The proFPGA status command delivers an easy-to-use interface to read-back several critical
status signals via the common proFPGA I2C interface. For further usage, please refer to the
chapter proFPGA proFPGA Status Command!
Thermal Shutdown
In order to avoid damage to the FireFly modules in case of a thermal runaway condition of the
system, an automatic completely hardware based thermal shutdown mechanism is available.
This automatic control loop ensures a thermal safety feature intended as a fallback solution,
even when there is no specific system monitoring software present on the upper application
levels.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
System Structure
Controlled by the EMC2104 fan controller, a low-active thermal shutdown signal is generated
which will be asserted by the EMC2104 if the temperature of its internal diode channel exceeds
a specific threshold value.
This thermal shutdown signal is connected to the enable inputs of all power modules and
deactivates them in case of need. This in turn powers down the FireFly modules in an instant,
yet the optional fans are not affected.
Due to the power down procedure of the power modules, all volatile configuration settings
written to FireFly T12 and R12 modules will be gone, when the thermal shutdown signal is
released again.
As long as the over-temperature condition is present, the thermal shutdown signal can be read-
back directly. If the over-temperature condition has vanished, the thermal shutdown signal will
be released.
Reading out a corresponding latching error flag, a previous thermal shutdown event can be
detected.
Active Cooling
The extension board delivers 2 individual 4-pin connectors allowing the optional usage of 12V
powered fans for both FireFly HOC sockets at will.
If an appropriate fan is connected, the supply voltage will be applied without any specific
restrictions and a current consumption up to 200mA is valid.
The following pinout should be taken into account, when other fans are used as shipped with the
Veloce FireFly Kit:
• GND
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Extension Board Operating Conditions
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
Related Work
This topic discusses related work of EB-PDS-FIREFLY-R1.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
profpga_run
For this board the following x-board entry is required within the system configuration file:
<instance_name>:
{
type = "BOARD";
vendor = "Siemens";
name = "EB-PDS-FIREFLY-R1";
size = "A1A1";
positions = ( "<position>" );
# Top Connector section
# Note: TA1*XMGT* entries needed for MGT Cable feature of proFPGA
Builder and Boardgen
top_connectors = ( "TA1*X1", "TA1*XMGT_RX00", "TA1*XMGT_RX01",
"TA1*XMGT_RX02", "TA1*XMGT_RX03", "TA1*XMGT_RX04", "TA1*XMGT_RX05",
"TA1*XMGT_RX06", "TA1*XMGT_RX07", "TA1*XMGT_RX08", "TA1*XMGT_RX09",
"TA1*XMGT_RX10", "TA1*XMGT_RX11", "TA1*XMGT_TX00", "TA1*XMGT_TX01",
"TA1*XMGT_TX02", "TA1*XMGT_TX03", "TA1*XMGT_TX04", "TA1*XMGT_TX05",
"TA1*XMGT_TX06", "TA1*XMGT_TX07", "TA1*XMGT_TX08", "TA1*XMGT_TX09",
"TA1*XMGT_TX10", "TA1*XMGT_TX11" );
v_io_ba1 = "AUTO";
# FireFly Y12 Plugin settings - R12 Module section
ff_r12 :
{
OUT_AMP_MODIFY = 0;
OUT_AMP_00 = 2;
OUT_AMP_01 = 2;
OUT_AMP_02 = 2;
OUT_AMP_03 = 2;
OUT_AMP_04 = 2;
OUT_AMP_05 = 2;
OUT_AMP_06 = 2;
OUT_AMP_07 = 2;
OUT_AMP_08 = 2;
OUT_AMP_09 = 2;
OUT_AMP_10 = 2;
OUT_AMP_11 = 2;
};
# FireFly Y12 Plugin settings - T12 Module section
ff_t12 :
{
INV_POL = 0;
};
# Si5332 V0 Plugin settings
si5332_registermap_file = "<register_map_file>";
};
<instance_name> and <position> must be replaced with the correct value according the system
configuration. Please refer to the “proFPGA Software Reference Manual” [UD002] for more
information.
<register_map_file> must be replaced with the path and the filename to the Si5332 conformal
register map file created with the Clock Builder Pro software.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
In order to power up the FireFly HOC modules properly and readout basic status and firmware
data, the FireFly Y12 plugin has to be called as well.
To load the plugins the plugin_list entry before the system_configuration section must be added
and/or modified as follows:
...
The Si5332 needs to be programmed through a register map file. This file can be generated by
the ‘Clock Builder Pro Software’ from SiLabs.
The generation of the Register Map File in the Clock Builder Pro Software is guided through a
wizard.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
• Step 3: In the package selection window select ‘Si5332-GM Embedded Crystal (Grades
E, F, G or H):
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
• Step 7: Input XA/XB should be set to 'Embedded Crystal' and CLKIN[2,3] to 'Unused'
for this extension board.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
• Step 8: Next the outputs and their desired frequency values (in case of a PLL selection
previously) can be defined here:
Note
The EB-PDS-FIREFLY-R1 utilizes only 4/8 output channels in a specific pattern, as
shown below. Frequency values can be changed, the rest should be left untouched.
• Step 9: The spread spectrum can only be activated if the 'Divider SSC/FS' entry is not set
'Auto'. Normally nothing has to be done here.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
• Step 10: The values have to be set to 'LVDS Fast 1.8V'! to use these clock outputs as
input lanes for the MGT reference clocks:
• Step 11: The output skew can be changed. Normally nothing has to be done here.
• Step 12: The output enable pins can be assigned here. Since INPUT[1...7] are not
connected, nothing can be done here.
• After finishing the wizard click onto 'Export' to generate the register map file.
• In the 'Export' menu select the tab 'Register File'
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
MGT-CABLE-R1
The MGT-CABLE-R1 is eventually only a virtual representation of a connected RxD and TxD
lane pair on the level of the system configuration file and can be used to emulate a single or an
aggregated physical connection like a full-patch-cable.
The EB-PDS-FIREFLY-R1 extension board can be used as a socket for the interconnection
cable MGT-CABLE-R1, allowing to define an application specific MGT lane mapping between
different extension boards or from the T12 to R12 sockets of the same board for loopback
purposes.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
Following mapping schemes are supported by the proFPGA Builder and will be represented in
the system configuration file:
• MGT lane mapping from a single XMGT_TX to a single XMGT_RX (random access on
order of XMGT_TX[00:11] to XMGT_RX[00:11])
• MGT lane mapping<all> from a T12 socket to a R12 socket with patch cable mapping
scheme type B/C (up-counting order of XMGT_TX[00:11] to XMGT_RX[00:11] )
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
};
Note
Due to the fact, that the physical FireFly cables are not accessible by the proFPGA system
directly, it is not possible to determine the amount of RxD/TxD pairs nor the mapping
scheme in respect to the R12 and T12 sockets by scanning a real system.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
Note
The FireFly Y12 plugin supports only FireFly cables which are part of the Verified Optical
Equipment listing. Using other types of cables will cause an error and the complete
proFPGA power up procedure will be aborted.
Calling the FireFly Y12 plugin, following steps will be performed to power up and initialize the
FireFly R12 and T12 modules according to the desired use-case:
Tip
Beside the default use-case of using the extension board with a fledged Y12 FireFly cable
(utilizing R12 + T12), it is allowed to leave both sockets R12 and T12 unpopulated as well.
The Plugin will detect this use-case and skip the power module configuration and I2C
initialization. Populating only one socket, the plugin will raise an error and the complete
proFPGA power up procedure will be aborted.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
The following picture shows the plugin related output for both allowed use-cases in
combination with a FireFly Y12 16G cable assembly:
In addition to these power up related steps, the following user configurable plugin parameters
can be modified by the customer, allowing to fine-tune the optical engines on the mounted
FireFly HOC modules:
Table 6-255. EB-PDS-FIREFLY-R1 - Plugin FireFly Y12
Plugin Parameter Default Value Allowed Values Description
OUT_AMP_MODIF 0b0 0b[0,1] 0 - ignores
IY OUT_AMP_XX
values, default values
from R12 module
will be applied
1 - writes
OUT_AMP_XX into
R12 module
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
Please ensure that the system configuration file which is the input to the profpga_brdgen tool
contains a valid x-board entry using the extension board name “EB-PDS-FIREFLY-R1”.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
The system configuration file can be created directly or with the profpga_builder tool.
Please refer to the “proFPGA Software Reference Manual” [UD002] and the “proFPGA
Builder User Manual” [UD004] for more information.
The additional system status parameters will be displayed as an extended section of the common
output-listing for every extension board of the type EB-PDS-FIREFLY-R1 which is present in
the actual system, including the path leading to the mounting position.
Note
The EB-PDS-FIREFLY-R1 status parameters are only available with the proFPGA 2022A-
SP1 release or newer. Older version will simply ignore the presence of the extension board.
This mechanism allows to get critical system parameters as listed below in the same manner as
used for the proFPGA FPGA modules. In addition, it allows to determine if specific critical
system conditions had occurred while the system is up and running.
Tip
If there is no FireFly module attached to the extension board, only some basic parameters
concerning the EMC2104 based monitoring are accessible.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Skew
Skew
This topic is about Skew.
The skew of the cables can be calculated with the following equations.
Cable Equation 3 m cables
25G x 12 CDR disabled 0.54 ns + 5.13 ns * (length/m) 15.93 ns
25G x 12 CDR enabled 0.64 ns + 5.13 ns * (length/m) 16.03 ns
16G x 12 1.16 ns + 5.13 ns * (length/m) 16.55 ns
Order Code
This topic discusses order code of EB-PDS-FIREFLY-R1.
In general, the EB-PDS-FIREFLY-R1 extension board will be sold as a bundle/kit in
conjunction with appropriate FireFly cables.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
MIPI DPHY RX (EB-PDS-MIPI-DPHY-RX-R1)
Functional Description
This topic discusses functional description of MIPI DPHY RX (EB-PDS-MIPI-DPHY-RX-R1).
proFPGA MIPI DPHY Board provides two MIPI D-PHY Receiver Interfaces. To be compatible
with FPGA supported IO standards two Meticon MC20901 MIPI FPGA bridges convert the
MIPI signals into highspeed LVDS and low power LVCMOS level.
• proFPGA MIPI DPHY daughter card, which will be plugged on a free extension site of
the proFPGA FPGA Module
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Extension Board Operating Conditions
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Pin Constraints
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
Related Work
This topic discusses related work of MIPI DPHY RX (EB-PDS-MIPI-DPHY-RX-R1).
profpga_run
For this board the following x-board entry is required within the system configuration file:
<instance name>:
{
type = "BOARD";
vendor = "ProDesign";
name = "EB-PDS-MIPI-DPHY-RX-R1";
size = "A1A1";
positions = ("<position>");
v_io_ba1 = "AUTO";
};
<instance name> and <position> must be replaced with the correct value according to the
system configuration. Please refer to the “proFPGA Software Reference Manual” [UD002] for
more information.
Please ensure that the system configuration file which is the input to the profpga_brdgen tool
contains a valid x-board entry using the extension board name “EB-PDS-MIPI-DPHY-RX-R1”.
The system configuration file can be created directly or with the profpga_builder tool.
Please refer to the proFPGA Software Reference Manual” [UD002] and the “proFPGA Builder
User Manual” [UD004] for more information.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Order Code
to be changed to the connector where the board is plugged onto. Possible options are [ta1, ta2,
tb1, tb2, ba1, ba2, bb1, bb2].
Order Code
This topic discusses order code of MIPI DPHY RX (EB-PDS-MIPI-DPHY-RX-R1).
286499
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
MIPI DPHY TX (EB-PDS-MIPI-DPHY-TX-R1)
Functional Description
This topic discusses functional description of MIPI DPHY TX (EB-PDS-MIPI-DPHY-TX-R1).
The proFPGA MIPI DPHY TX board provides two MIPI D-PHY transmitter interfaces. To be
compatible with FPGA supported IO standards, two Meticon MC20902 MIPI to FPGA bridges
convert LVDS and low power LVCMOS signals to a MIPI conformal signal standard.
• proFPGA MIPI DPHY TX extension board, which will be plugged on a free extension
site of a proFPGA FPGA module
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Extension Board Operating Conditions
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Pin Constraints
Related Work
This topic discusses related work of MIPI DPHY TX (EB-PDS-MIPI-DPHY-TX-R1).
profpga_run
For this board the following x-board entry is required within the system configuration file:
<instance name>:
{
type = "BOARD";
vendor = "ProDesign";
name = "EB-PDS-MIPI-DPHY-TX-R1";
size = "A1A1";
positions = ("<position>");
v_io_ba1 = "AUTO";
};
<instance name> and <position> must be replaced with the correct value according to the
system configuration. Please refer to the “proFPGA Software Reference Manual” [UD002] for
more information.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Order Code
Please ensure that the system configuration file which is the input to the profpga_brdgen tool
contains a valid x-board entry using the extension board name “EB-PDS-MIPI-DPHY-TX-R1”.
The system configuration file can be created directly or with the profpga_builder tool.
Please refer to the “proFPGA Software Reference Manual” [UD002] and the “proFPGA
Builder User Manual” [UD004] for more information.
Order Code
This topic discusses order code of MIPI DPHY TX (EB-PDS-MIPI-DPHY-TX-R1).
286493
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
DisplayPort Extension Board (EB-PDS-DP-R1)
Functional Description
This topic discusses functional description of DisplayPort extension board (EB-PDS-DP-R1).
The DisplayPort extension board provides 3 independent upstream and 3 independent
downstream channels, all accessible through full-size DisplayPort connectors.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
• HDCP support (one EEPROM for HDCP key storage on all downstream channels)
Each of the 3 upstream, and downstream channels respectively, can be used by the HDL design
individually. The following tables show all FPGA accessible signals of the upstream and
downstream interface, as well as some global signals. Please note, that all 3 instances of up- and
downstream channels are identical, and the signal direction is FGPA/HDL referenced.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Block Diagram
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
I²C Topology
I²C Topology
This topic discusses I2C topology of DisplayPort extension board (EB-PDS-DP-R1).
The EB-PDS-DP-R1 accomodates several independent I2C bus interfaces on its proFPGA
XEBA1 connector, used for different functional domains of the extension board:
• XEBA_I2C: I2C bus used by proFPGA system to identify IDPROM and communicate
with top connector mounted extension boards (refer to UD003 for details); used by the
proFPGA plguins to program clock generator and DisplayPort repeaters
• DP[0:2]_TX_I2C_AUX: I2C bus used for the I2C onto AUX feature on the DisplayPort
upstream channels
• DP[0:2]_RX_I2C_AUX: I2C bus used for the I2C onto AUX feature on the DisplayPort
downstream channels
• DP[0:2]_RX_I2C_HDCP: I2C bus used for the HDCP EEPROM storage, related to the
DisplayPort downstream channels
• DP_I2C_REP_CONF: I2C bus intended for auxiliary access to the I2C based
DisplayPort repeaters; can be used to configure the repeaters while the system is running
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
DisplayPort – Additional Features
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
DisplayPort – Additional Features
DisplayPort Power
All upstream and downstream channels deliver a 3.3V power supply on Pin 20 of their
respective DP connector, limited to 500mA current to power cable adaptor devices. According
to Figure 6-165, the 3.3V has to be enabled by the FPGA on all downstream channels, based on
a proper cable adaptor identification scheme (DisplayPort Power User Detection Method) on
the pins 13 and 14 of the DP connector.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
DisplayPort – Additional Features
To activate the I2C mapping, the CAD signal (residing on Pin 13 of the PD connector of a
DisplayPort channel) has to be set to 3.3V, independent of the fact if it is an upstream or
downstream channel.
CAD Sensing
Each upstream channel is capable of sensing a CAD signal (cable adaptor detection) present on
Pin 13 of its DP connector. This signal allows to detect an externally attached adaptor and
initiate CEC based communication.
Each downstream channel is capable of sensing the CAD signal on the same pin, as well, but the
use is restricted for the CAD/CEC short circuit detection procedure, which is part of the Display
Power User Detection Method.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Extension Board Operating Conditions
In addition, the CAD signal can be used by an external adaptor to enable the I2C onto AUX
feature on both, up- and downstream channels, as well, by driving 3.3V on CAD.
Tip
Please note, the CAD signal present on all downstream channels is intended to be used as an
input signal, only. In addition, it can be asserted by the FGPA logic for loopback tests, but
should not be used in normal operation mode (only for testing at Siemens).
CEC Communication
The EB-PDS-DP-R1 supports a minimal bidirectional CEC interface on all upstream channels,
allowing to communicate with external HDMI compliant devices, using the CEC signals for
configuration of multimedia features (e.g. remote access from multimedia players to TV
panels). The interface consists of a single open-drain signal, present on Pin 14 of the respective
DP connector. Implementation of an appropriate CEC transaction protocol is beyond the scope
of this document and has to be achieved by the customer in case of need.
Note
Please note, there is an unidirectional CEC output signal present on all downstream
channels as well, which is intended to be used for cable adaptor detection only.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
Depending on the populated proFPGA connector in conjunction with the given FPGA module,
there could be restrictions to the number of HDL accessible channels, resulting in the following
compatibility list:
In the table below, (1) only 2 Rx/Tx channel pairs (4 lanes) due to insufficient MGT channels
(2)
only 2 Rx/Tx channel pairs (4 lanes) due to insufficient IO pins
(2a)
1 Rx/Tx channel pair (4 lanes) and 1 Rx/Tx channel pair (2 lanes) due to insufficient MGT
channels
(3) only 1 Rx/Tx channel pair (4 lanes) due to insufficient MGT channels
(4)
only 1 Rx/Tx channel pair (4 lanes) due to insufficient IO pins
Table 6-276. EB-PDS-DP-R1 - Extension Site Compatibility
FPGA Module TA0 TA1 TA2 TB0 TB1 TB2 BA1 BA2 BB1 BB2
FM-XC7VX330T-R3 √(1) √(1) √(4)
FM-XC7VX485T-R3 √(1) √(1) √(4)
FM-XC7V585T-R3 √(1) √(1) √(1) √
FM-XC7VX690T-R3 √(1) √(1) √(1) √
FM-XC7V2000T-R1 √(1) √(4)
FM-XC7V2000T-R2 √(1) √(1)
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Pin Constraints
Related Work
This topic discusses related work of DisplayPort extension board (EB-PDS-DP-R1).
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
profpga_run
For this board the following x-board entry is required within the system configuration file:
<instance name>:
{
type = "BOARD";
vendor = "ProDesign";
name = " EB-PDS-DP-R1";
size = "A1A1";
positions = ("<position>");
v_io_ba1 = "AUTO";
# Si5338 Plugin settings
si5338_registermap_file = "<register map file>";
si5338_validate_input_clocks_1_2_3 = "yes";
si5338_validate_input_clocks_4_5_6 = "no";
si5338_execute_plugin_async_event = “no”;
# TDP142 Hex Plugin settings
# <tdp142_instance> = [dp_sink0, dp_sink1, dp_sink2,
# dp_source0, dp_source1, dp_source2]
<tdp142_instance> :
{
SWAP_HPDIN = 0;
EQ_OVERRIDE = 0;
HPDIN_OVRRIDE = 0;
CTLSEL0 = 1;
CTLSEL1 = 0;
AUX_SNOOP_DISABLE = 0;
DP0_DISABLE = 0;
DP1_DISABLE = 0;
DP2_DISABLE = 0;
DP3_DISABLE = 0;
DP0EQ_SEL = 0;
DP1EQ_SEL = 0;
DP2EQ_SEL = 0;
DP3EQ_SEL = 0;
};
};
<instance name> and <position> must be replaced with the correct value according to the
system configuration. Please refer to the “proFPGA Software Reference Manual” [UD002] for
more information.
<register map file> must be replaced with the path and the filename to the register map file
created with the Si5338 software.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
<tdp142_instance> has to be instantiated six times, one for each upstream (dp_source[0:2]) and
each downstream (dp_sink[0:2]) channel.
Plugin Si5338
If the Si5338 is used, it will be configured with a profpga plugin. To load the plugin the
plugin_list entry before the system_configuration section must be added:
...
plugin_list = ( "si5338 ProDesign EB-PDS-DP-R1" ,
"tdp142_hex ProDesign EB-PDS-DP-R1" );
system_configuration:
{
...
If there is already a plugin_list entry, the plugin list must be extended. Example:
...
plugin_list = ("dp83865dvh ProDesign EB-PDS-GBITETHERNET-R1",
"si5338 ProDesign EB-PDS-DP-R1" ,
"tdp142_hex ProDesign EB-PDS-DP-R1" );
system_configuration:
{
...
This will load the Si5338 and the TDP142 Hex plugins.
The previously given tdp142_instance descriptors are corresponding to the silkscreen indication
on the extension board.
Table 6-278 gives an overview of the parameters, accessible by the tdp142_hex plugin.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Order Code
Please ensure that the system configuration file which is the input to the profpga_brdgen tool
contains a valid x-board entry using the extension board name “EB-PDS-DP-R1”. The system
configuration file can be created directly or with the profpga_builder tool.
Please refer to the “proFPGA Software Reference Manual” [UD002] and the “proFPGA
Builder User Manual” [UD004] for more information.
Order Code
This topic is about Order Code of DisplayPort extension board (EB-PDS-DP-R1).
286527
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Interface Board (EB-PDS-INTERFACE-R1/R8)
Functional Description
This topic discusses about the functional description of the extension board (EB-PDS-
INTERFACE-R1/R8).
The proFPGA Interface Board has a proFPGA Bottom and a proFPGA Top Connector. It
provides several interfaces and memories to the user.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Extension Board Operating Conditions
• 2x DIP sockets
• 1x I2C Connector
• I2C Fan Control Unit
• PMBus 6-channel Power-Supply Manager
• PMBus Isolated Power-Supply Controller
• 2x I2C EEPROM
• 2x 128M SPI Flash
• 8 RGB LEDs
Figure 6-171. EB-PDS-INTERFACE-R1/R8
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
Note
The bottom connector of the EB-PDS-INTERFACE-R1/R8 board has a bigger stacking
height of 13mm instead of 10mm. Because of that, higher spacers are needed (M3x13
instead of M3x10).
In the table below, (1) I²C, DIP_SEEPROM, EEPROM, FAN, PMBUS Usable; (2)All But
Without GPIO, (3) Using EB-FM-XCVU440-R1, (4) I²C, DIP_SEEPROM, EEPROM, FAN,
(5) SGPIO, EJTAG
Table 6-280. EB-PDS-INTERFACE-R1/R8– FPGA Extension Site Compatibility
FPGA Module TA1 TA2 TB1 TB2 BA1 BA2 BB1 BB2 TA1 TA2
V1 V2
FM-XC7V2000T-R1 √ √ √ √ √ √ √ √(1)
FM-XC7V2000T-R2 √ √ √ √ √ √ √ √(1)
FM-XC7VX330T-R3 √ √(1) √ √(1) √ √(1)
FM-XC7VX485T-R3 √ √(1) √ √(1) √ √(1)
FM-XC7V585T-R3 √ √(2) √ √ √ √(1)
FM-XC7VX690T-R3 √ √(2) √ √ √ √(1)
FM-XC7Z100-R1 √(2) √
FM-XC7Z045-R1 √(2) √
FM-XCVU440-R1 √ √ √ √ √ √ √ √ √(1)( √(1)(
3) 3)
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
FM-XCVU13P-R1 √ √ √ √ √(1)
FM-XCVU19P-R1 √ √ √ √ √ √ √ √ √(1)( √(1)(
3) 3)
FM-XCVU37P-R1 √ √ √(1) √
FM-XCVU47P-R1 √ √ √(1) √
FM-1SG280<L,H>-R1 √ √ √ √ √ √ √
FM-XCZU19EG-R2 √ √ √(1,5 √(1) √
)
FM-1SG280<L,H>-R2 √ √ √ √ √ √ √
FM-10AX115-R1 √ √ √ √
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Pin Constraints
(1)
I²C, DIP_SEEPROM, EEPROM, FAN, PMBUS useable;
(3)
using EB-FM-XCVU440-R1,
(4) I²C,
DIP_SEEPROM, EEPROM, FAN ,
(5) SGPIO,
EJTAG
Table 6-281. EB-PDS-INTERFACE-R1/R8 – FPGA Extension Site Compatibility,
[B,T][A,AB,B] 0 Connectors
FPGA Module TA0 TAB0 TB0 BA0 BAB0 BB0
FM-1SG10M-R1 √ √ √ √ √ √
FM-XCVU19P-R1 √ √
The signals are named to the corresponding functional group they depend on.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Pin Constraints
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Flash Memories
Flash Memories
The following memories are being assembled on the EB-PDS-INTERFACE-R1/R8 board:
DIP Sockets
Two DIP sockets are available on the EB-PDS-INTERFACE-R1/R8 board.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FAN Control IC
FAN Control IC
On the EB-PDS-INTERFACE-R1/R8, there is a FAN control IC available which can be
configured via I2C Bus and which can control a FAN which is connected to X2.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
I²C Connector
I²C Connector
The I2C Connector X1 provides direct access to the I2C Bus I2C_USER1 with the following
pinout:
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
PMBUS Power Supply Manager
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
PMBUS Controller Isolated PSU
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
EJTAG Connector
EJTAG Connector
The EJTAG connector (X7) on the EB-PDS-INTERFACE-R1/R8 board has got the following
Pinout.
Figure 6-177. Pinout EJTAG Connector EB-PDS-INTERFACE-R1/R8
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
SGPIO Connector
SGPIO Connector
The SGPIO Connector (X6) has got the following Pinout.
Figure 6-178. Pinout SGPIO Connector on EB-PDS-INTERFACE-R1/R8
GPIO Connector
The GPIO Connector (X5) has got the following Pinout.
Figure 6-179. GPIO Connector on EB-PDS-INTERFACE-R1/R8
Related Work
This topic is about Related Work.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Order Code
profpga_run
For this board, the following x-board entry is required within the system configuration file:
<instance name>:
{
type = "BOARD";
vendor = "ProDesign";
name = " EB-PDS-INTERFACE-R8";
size = "A1A1";
positions = ("<position>");
v_io_ba1 = "AUTO";
};
<instance name> and <position> must be replaced with the correct value according to the
system configuration. Please refer to the proFPGA Software Reference Manual [UD002] for
more information.
Please ensure that the system configuration file which is the input to the profpga_brdgen tool
contains a valid x-board entry using the extension board name “EB-PDS-INTERFACE-R1” or
“EB-PDS-INTERFACE-R8”, respectively. The system configuration file can be created
directly or with the profpga_builder tool.
Please refer to the „proFPGA Software Reference Manual” [UD002] and the “proFPGA Builder
User Manual” [UD004] for more information.
Order Code
This topic is about Order Code.
EB-PDS-INTERFACE-R1: Discontinued
EB-PDS-INTERFACE-R8: 288791
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Interface Board (EB-PDS-INTERFACE-R2)
Functional Description
The EB-PDS-INTERFACE-R2 Board has two proFPGA bottom and one proFPGA top
connector. It provides several interfaces and memories.
This proFPGA extension board supports the following features:
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
• LIN Transceiver
• QSPI Flash 500 Mb / 1 Gb
• I2S Audio CoDec (Analog Devices AD1938)
• Stereo Audio Input & Output via 3.5 mm Jack
• 2x I2C EEPROMs
• SD Card Slot, Full Size, UHS-I capable
• quad USB to UART converter
• Lauterbach ARM JTAG/ETM Debugger connection (2x10-pin Header and Mictor-38)
• TotalPhase Aardvark I2C/SPI Host Adapter connection (2x5-pin Header)
• Additional 2x10-pin JTAG Header ("Secondary JTAG")
Figure 6-180. EB-PDS-INTERFACE-R2
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Extension Board Operating Conditions
Known Issues
This topic is about Known Issues.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
Note
The signal LTB_ARM_PVIO_TCK connected to CLK_IO_N_2 is a single ended clock
signal which is connected to the ‘N’ pin of the FPGA. This leads into issues during
implementation process. To resolve this, an IBUF primitive has to be instantiated before the
BUFG instance of this clock.
Afterwards the clock has to be taken out of the clock network routing via adding the following
to the constraints:
Note
The SD-Card interface which is advertised as UHS-I capable might not function correctly in
this mode. Running in UHS-I mode requires the hardware to switch the pull-up voltage of
the command and data lines from 3.3V to 1.8V. However, on this extension board also the VDD
of the SD-Card is changed to 1.8V which is not intended by the SPI specification.
Note
At the SD card socket (X4) pin 11 (DET_PROT) is floating. Because of that the Card Detect
and write protection detect signals are always pulled high regardless of the state of the
detection switches inside the SD card socket.
Do not rely on these signals when designing your interface controller.
Note
The SD card interface is level translated by IC9, IC10 and IC11. These are unidirectional
components with direction control inputs available for IC10 (SD_CMD_DIR) and IC11
(SD_DAT_DIR). However, these signals must be driven by the user HDL design because there
are no pull-down resistors which would prevent floating.
This issue is fixed since revision C by adding pull-down resistors to aforementioned signals
which sets FPGA-to-SD-Card as the default direction.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
FM-XC7V2000T-R2 √ √ √
FM-XC7VX330T-R3
FM-XC7VX485T-R3
FM-XC7V585T-R3 √
FM-XC7VX690T-R3 √ √
FM-XC7Z100-R1 √
FM-XC7Z045-R1 √
FM-XCVU440-R1 √ √ √ √
FM-XCVU440-R2 √ √ √ √
FM-XCVU190-R1 √ √(1)
FM-XCVU160-R1 √ √(1)
FM-XCVU125-R1 √ √(1)
FM-XCVU095-R1 √ √(1)
FM-XCVU080-R1 √ √(1)
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
FM-XCVU5P-R1 √ √(1)
FM-XCVU7P-R1 √ √(1)
FM-XCVU9P-R1 √ √(1)
FM-XCVU13P-R1
FM-XCVU19P-R1 √ √ √ √
FM-XCVU37P-R1 √ √
FM-XCVU47P-R1 √ √
FM-1SG280<L,H>-R1 √ √ √
FM-XCZU19EG-R2 √(2) √
FM-XCZU17EG-R2 √(2) √
FM-XCZU11EG-R2 √(2)
FM-1SG280<L,H>-R2 √ √ √
FM-10AX115-R1 √ √
FM-1SG10M-R1 √ √ √
√
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Pin Constraints
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
proFPGA Bottom Connector Signal Assignment
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
CAN Interface
CAN Interface
The CAN interface uses a CAN Controller IC to ease usage. This controller is accessed via SPI
and takes over the CAN related protocol generation in both directions. It implements the CAN
specification, version 2.0B.
Table 6-293.
Memory Type Manufacturer Order Code Interface
CAN Controller Microchip MCP2515-E/ML SPI
CAN Transceiver Microchip MCP2551-I/SN
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
LIN Interface
LIN Interface
This topic is about LIN Interface.
QSPI Flash
This topic discusses QSPI Flash of Interface Board (EB-PDS-INTERFACE-R2).
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
I²S Audio Codec
I²C EEPROMS
This topic discusses I2C EEPROMS of Interface Board (EB-PDS-INTERFACE-R2).
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
SD Card Slot
SD Card Slot
This topic discusses SD card slot of Interface Board (EB-PDS-INTERFACE-R2).
The SD Card Interfaces features a full-size SD Card socket and is UHS-I capable. The signal
SD_UHS_EN (active high) must be driven high to switch the voltage of the sd card interface
from 3.3 V to 1.8 V. See Figure 6-189 and Figure 6-183 for details.
Since the SD card interface uses 3.3 V as a default, voltage level translators are used to adapt to
PV_IO. The circuit is shown in Figure 6-190. Note that the level translators used are
unidirectional and have direction control pins. With SD_CMD_DIR the direction of SD_CMD
can be changed. Driving this signal logic high results in transmission from FPGA to SD Card
while a logic low sets the transmission direction from SD Card to FPGA.
The signal SD_DAT_DIR controls SD_DAT[0:3]. Driving this signal logic high results in
transmission from FPGA to SD Card while a logic low sets the transmission direction from SD
Card to FPGA.
There is also an active low operation enable controlled by SD_DAT_nEN which forces the sd
card data group SD_DAT[0:3] into high impedance state.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
SD Card Slot
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Quad USB to UART Converter
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Lauterbach ARM JTAG/ETM Debugger Connectors
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
TotalPhase Aardvark I²C/SPI Host Adapter Connector
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Spare I/O Connector
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Debug Header
Debug Header
This topic discusses debug header in Interface Board (EB-PDS-INTERFACE-R2).
Some interfaces are accessible for debugging purposes on a 38-pin Mictor connector. Available
interfaces are:
• Aardvark I²C
• Aardvark SPI
• All four UARTs from USB to UART converter
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
Related Work
This topic discusses related work of Interface Board (EB-PDS-INTERFACE-R2).
profpga_run
For this board the following x-board entry is required within the system configuration file
<instance name>:
{
type = "BOARD";
vendor = "ProDesign";
name = " EB-PDS-INTERFACE-R2";
size = "A1A2";
positions = ("<position>", "<position>");
v_io_ba1 = "AUTO";
v_io_ba2 = "AUTO";
};
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Order Code
<instance name> and <position> must be replaced with the correct value according to the
system configuration. Please refer to the „proFPGA Software Reference Manual” [UD002] for
more information.
Please ensure that the system configuration file which is the input to the profpga_brdgen tool
contains a valid x-board entry using the extension board name “EB-PDS-INTERFACE-R2”.
The system configuration file can be created directly or with the profpga_builder tool.
Please refer to the “proFPGA Software Reference Manual” [UD002] and the “proFPGA
Builder User Manual” [UD004] for more information.
Order Code
This topic discusses order code of Interface Board (EB-PDS-INTERFACE-R2).
This Extension Board has been discontinued. Please consider the latest version of this Interface
Board (EB-PDS-INTERFACE-R5) instead.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Interface Board (EB-PDS-INTERFACE-R3)
Functional Description
The EB-PDS-INTERFACE-R3 Board has two proFPGA bottom and one proFPGA top
connector. It provides several interfaces and memories.
Features of the extension board:
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Extension Board Operating Conditions
Known Issues
The following are the known issues in the board.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
Note
The signal LTB_ARM_PVIO_TCK connected to CLK_IO_N_2 is a single ended clock
signal which is connected to the ‘N’ pin of the FPGA. This leads to issues during HDL
implementation process. To resolve this, an IBUF primitive has to be instantiated before the
BUFG instance of this clock.
Afterwards the clock has to be taken out of the clock network routing by adding the following
constraint:
set_property CLOCK_DEDICATED_ROUTE false [get_nets
<LTB_ARM_PVIO_TCKhierarchy>]
Note
At the SD card socket (X4) pin 11 (DET_PROT) is floating. Because of that the Card Detect
and write protection detect signals are always pulled high regardless of the state of the
detection switches inside the SD card socket.
Note
The SD card interface is level translated by IC9, IC10 and IC11. These are unidirectional
components with direction control inputs available for IC10 (SD_CMD_DIR) and IC11
(SD_DAT_DIR). However, these signals must be driven by the user HDL design because there
are no pull-down resistors which would prevent floating.
This issue is fixed since revision C by adding pull-down resistors to aforementioned signals
which sets FPGA-to-SD-Card as the default direction.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Pin Constraints
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
proFPGA Bottom Connector Signal Assignment
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
CAN Interface
CAN Interface
The CAN interface uses a CAN Controller IC to ease usage. This controller is accessed via SPI
and takes over the CAN related protocol generation in both directions. It implements the CAN
specification, version 2.0B.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
LIN Interface
LIN Interface
This topic describes the LIN interface of EB-PDS-INTERFACE-R3.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
QSPI Flash
QSPI Flash
This section describes the QSPI Flash.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
I²C EEPROMS
I²C EEPROMS
This section describes the I²C EEPROMS.
SD Card Slot
This section describes the SD card interface.
The SD Card Interfaces features a full-size SD Card socket and is UHS-I capable. The signal
SD_UHS_EN (active high) must be driven high to switch the voltage of the sd card interface
from 3.3 V to 1.8 V. See Figure 6-206and Figure 6-200for details.
Since the SD card interface uses 3.3 V as a default, voltage level translators are used to adapt to
PV_IO. The circuit is shown in Figure 321. Note that the level translators used are
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
SD Card Slot
unidirectional and have direction control pins. With SD_CMD_DIR the direction of SD_CMD
can be changed. Driving this signal logic high results in transmission from FPGA to SD Card
while a logic low sets the transmission direction from SD Card to FPGA.
The signal SD_DAT_DIR controls SD_DAT[0:3]. Driving this signal logic high results in
transmission from FPGA to SD Card while a logic low sets the transmission direction from SD
Card to FPGA.
There is also an active low operation enable controlled by SD_DAT_nEN which forces the sd
card data group SD_DAT[0:3] into high impedance state.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
SD Card Slot
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Quad USB to UART Converter
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Lauterbach ARM JTAG/ETM Debugger Connectors
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
TotalPhase Aardvark I²C/SPI Host Adapter Connector
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Spare I/O Connector
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Debug Header
Debug Header
This topic discusses debug header in Interface Board (EB-PDS-INTERFACE-R3).
Some Interfaces are accessible for debugging purposes on a 38-pin Mictor connector. Available
interfaces are:
• Aardvark I²C
• Aardvark SPI
• All four UARTs from USB to UART converter
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
Related Work
This topic discusses related work of Interface Board (EB-PDS-INTERFACE-R3).
profpga_run
For this board the following x-board entry is required within the system configuration file:
<instance name>:
{
type = "BOARD";
vendor = "ProDesign";
name = " EB-PDS-INTERFACE-R3";
size = "A1A2";
positions = ("<position>", "<position>");
v_io_ba1 = "AUTO";
v_io_ba2 = "AUTO";
};
<instance name> and <position> must be replaced with the correct value according to the
system configuration. Please refer to the „proFPGA Software Reference Manual” [UD002] for
more information.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Order Code
Please ensure that the system configuration file which is the input to the profpga_brdgen tool
contains a valid x-board entry using the extension board name “EB-PDS-INTERFACE-R3”.
The system configuration file can be created manually or with the profpga_builder tool.
Please refer to the “proFPGA Software Reference Manual” [UD002] and the “proFPGA
Builder User Manual” [UD004] for more information.
Order Code
This topic discusses order code of Interface Board (EB-PDS-INTERFACE-R3).
This Extension Board has been discontinued. Please consider the latest version of this Interface
Board (EB-PDS-INTERFACE-R5) instead.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Interface Board (EB-PDS-INTERFACE-R4)
Functional Description
The EB-PDS-INTERFACE-R4 board has two proFPGA bottom and one proFPGA top
connector. It provides several interfaces and memories.
Features of the extension board:
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Extension Board Operating Conditions
Known Issues
There are no issues known to the time this documentation was last updated.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Pin Constraints
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
proFPGA Bottom Connector Signal Assignment
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
proFPGA Bottom Connector Signal Assignment
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
CAN Interface
CAN Interface
The CAN interface uses a CAN Controller IC to ease usage. This controller is accessed via SPI
and takes over the CAN related protocol generation in both directions. It implements the CAN
specification, version 2.0B.
LIN Interface
This section describes the LIN interface of EB-PDS-INTERFACE-R4.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
QSPI Flash
QSPI Flash
This section describes the QSPI Flash interface of EB-PDS-INTERFACE-R4.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
I²S Audio Codec
Figure 6-221. Audio Codec DAC Clock and ADC Clock Level Translator
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
I²C EEPROMS
I²C EEPROMS
This topic describes the I²C EEPROMS for ED-PDS-INTERFACE-R4.
SD Card Slot
The SD Card Interfaces features a full-size SD Card socket and is UHS-I capable. The signal
SD_UHS_EN (active high) must be driven high to switch the voltage of the sd card interface
from 3.3 V to 1.8 V.
See Figure 6-224 and Figure 6-217 for details. Since the SD card interface uses 3.3 V as a
default, voltage level translators are used to adapt to PV_IO. The circuit is shown in
Figure 6-225. Note that the level translators used are unidirectional and have direction control
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
SD Card Slot
pins. With SD_CMD_DIR the direction of SD_CMD can be changed. Driving this signal logic
high results in transmission from FPGA to SD Card while a logic low sets the transmission
direction from SD Card to FPGA.
The signal SD_DAT_DIR controls SD_DAT[0:3]. Driving this signal logic high results in
transmission from FPGA to SD Card while a logic low sets the transmission direction from SD
Card to FPGA.
There is also an active low operation enable controlled by SD_DAT_nEN which forces the sd
card data group SD_DAT[0:3] into high impedance state.
Caution
Due to the fact that there is a combined direction control signal (SD_DAT_DIR) for
SD_DAT[0:3] the SD Bus mode is not supported by this extension board. However, there
are no known issues with the SPI Bus mode.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
SD Card Slot
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Quad USB to UART Converter
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Lauterbach ARM JTAG/ETM Debugger Connectors
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
TotalPhase Aardvark I²C/SPI Host Adapter Connector
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Spare I/O Connector
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Debug Header
Debug Header
Some Interfaces are accessible for debugging purposes on a 38-pin Mictor Connector.
Available interfaces are:
• Aardvark I²C
• Aardvark SPI
• All four UARTs from USB to UART converter
• Audio control SPI and I²S
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
Related Work
This section describes the related work for EB-PDS-INTERFACE-R4.
profpga_run
For this board the following x-board entry is required within the system configuration file:
<instance name>:
{
type = "BOARD";
vendor = "ProDesign";
name = " EB-PDS-INTERFACE-R4";
size = "A1A2";
positions = ("<position>", "<position>");
v_io_ba1 = "AUTO";
v_io_ba2 = "AUTO";
};
<instance name> and <position> must be replaced with the correct value according to the
system configuration. Please refer to the proFPGA Software Reference Manual [UD002] for
more information.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Order Code
Please ensure that the system configuration file which is the input to the profpga_brdgen tool
contains a valid x-board entry using the extension board name “EB-PDS-INTERFACE-R4”.
The system configuration file can be created manually or with the profpga_builder tool.
Please refer to the proFPGA Software Reference Manual [UD002] and the proFPGA Builder
User Manual [UD004] for more information.
Order Code
This Extension Board has been discontinued. Please consider the latest version of this Interface
Board (EB-PDS-INTERFACE-R5) instead.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Interface Board (EB-PDS-INTERFACE-R5)
Functional Description
The EB-PDS-INTERFACE-R5 Board has two proFPGA bottom and one proFPGA top
connector. It provides several interfaces and memories.
Features of the extension board:
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Extension Board Operating Conditions
Known Issues
There are no issues known to the time this documentation was updated.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
In the table below, (1) CAN, LIN, SD-Card, (2) CAN, LIN, SD-Card, QSPI, USB2UART,
AADVARK Connector
Table 6-309. EB-PDS-INTERFACE-R5– FPGA Extension Site Compatibility
FPGA Module TA1 TA2 TB1 TB2 BA1 BA2 BB1 BB2 TA1 TA2
V1 V1
FM-XC7V2000T-R1 √ √ √
FM-XC7V2000T-R2 √ √ √
FM-XC7VX330T-R3
FM-XC7VX485T-R3
FM-XC7V585T-R3 √
FM-XC7VX690T-R3 √ √
FM-XC7Z100-R1 √
FM-XC7Z045-R1 √
FM-XCVU440-R1 √ √ √ √
FM-XCVU440-R2 √ √ √ √
FM-XCVU190-R1 √ √(1)
FM-XCVU160-R1 √ √(1)
FM-XCVU125-R1 √ √(1)
FM-XCVU095-R1 √ √(1)
FM-XCVU080-R1 √ √(1)
FM-XCKU115-R1 √ √(1)
FM-XCVU5P-R1 √ √(1)
FM-XCVU7P-R1 √ √(1)
FM-XCVU9P-R1 √ √(1)
FM-XCVU13P-R1
FM-XCVU19P-R1 √ √ √ √
FM-XCVU37P-R1 √ √
FM-XCVU47P-R1 √ √
FM-1SG280<L,H>-R1 √ √ √
FM-XCZU19EG-R2 √(2) √
FM-XCZU17EG-R2 √(2) √
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Pin Constraints
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
proFPGA Bottom Connector Signal Assignment
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
CAN Interface
CAN Interface
The CAN interface uses a CAN Controller IC to ease usage. This controller is accessed via SPI
and takes over the CAN related protocol generation in both directions. It implements the CAN
specification, version 2.0B
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
LIN Interface
LIN Interface
This topic describes the LIN interface of EB-PDS-INTERFACE-R5.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
I²S Audio Codec
Figure 6-238. Audio Codec DAC Clock and ADC Clock Level Translator
I²C EEPROMS
This topic describes the I²C EEPROMS of EB-PDS-INTERFACE-R5.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
SD Card Slot
SD Card Slot
The SD Card Interfaces features a full-size SD Card socket and is UHS-I capable. The signal
SD_UHS_EN (active high) must be driven high to switch the voltage of the sd card interface
from 3.3 V to 1.8 V.
See Figure 6-241 and Figure 6-235 for details. Since the SD card interface uses 3.3 V as a
default, voltage level translators are used to adapt to PV_IO. The circuit is shown in
Figure 6-242. Note that the level translators used are unidirectional and have direction control
pins. With SD_CMD_DIR the direction of SD_CMD can be changed. Driving this signal logic
high results in transmission from FPGA to SD Card while a logic low sets the transmission
direction from SD Card to FPGA.
The signal SD_DAT_DIR controls SD_DAT[0:3]. Driving this signal logic high results in
transmission from FPGA to SD Card while a logic low sets the transmission direction from SD
Card to FPGA.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
SD Card Slot
There is also an active low operation enable controlled by SD_DAT_nEN which forces the sd
card data group SD_DAT[0:3] into high impedance state.
Caution
Due to the fact that there is a combined direction control signal (SD_DAT_DIR) for
SD_DAT[0:3] the SPI Bus mode is not supported by this extension board. However, there
are no known issues with the SD Bus mode.
Please contact Sales if you’re application requires the SPI Bus mode.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
SD Card Slot
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Quad USB to UART Converter
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Lauterbach ARM JTAG/ETM Debugger Connectors
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
TotalPhase Aardvark I²C/SPI Host Adapter Connector
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Spare I/O Connector
Debug Header
Some Interfaces are accessible for debugging purposes on a 38-pin Mictor Connector.
Available interfaces are:
• Aardvark I²C
• Aardvark SPI
• All four UARTs from USB to UART converter
• Audio control SPI and I²S
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
Related Work
This topic describes the EB-PDS-INTERFACE-R5 related work.
profpga_run
For this board the following x-board entry is required within the system configuration file:
<instance name>:
{
type = "BOARD";
vendor = "ProDesign";
name = " EB-PDS-INTERFACE-R5";
size = "A1A2";
positions = ("<position>", "<position>");
v_io_ba1 = "AUTO";
v_io_ba2 = "AUTO";
};
<instance name> and <position> must be replaced with the correct value according to the
system configuration. Please refer to the proFPGA Software Reference Manual [UD002] for
more information.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Order Code
Please ensure that the system configuration file which is the input to the profpga_brdgen tool
contains a valid x-board entry using the extension board name “EB-PDS-INTERFACE-R5”.
The system configuration file can be created manually or with the profpga_builder tool.
Please refer to the proFPGA Software Reference Manual [UD002] and the proFPGA Builder
User Manual [UD004] for more information.
Order Code
Use the order code 286504 for EB-PDS-INTERFACE-R5.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Interface Board (EB-PDS-INTERFACE-R7)
Functional Description
The EB-PDS-INTERFACE-R7 Board has one proFPGA bottom and one proFPGA top
connector. It provides several interfaces and memories.
Features of the extension board:
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Extension Board Operating Conditions
Known Issues
There are no issues known to the time this documentation was updated.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Pin Constraints
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
proFPGA Bottom Connector Signal Assignment
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Parallel NOR FLASH Memory
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Serial NAND FLASH Memory
EJTAG
The EJTAG interface is designed as slave input to the FPGA. Level shifters allow an external
voltage level up to 5V.
The board allow a modification of the direction of the level shifters for transform the slave
interface to a master interface via resistor changing.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
EJTAG
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
EJTAG
Table 6-322. EJTAG Resistor Configuration for Slave or Master Mode (cont.)
Resistor Designator Setup Setup Resistor Setup Setup
for for slave Designa for for slave
master mode tor master mode
mode mode
RJTAG6 not 0Ω RJTAG1 0 Ω 33.2 Ω
mounted 8
RJTAG7 not 0Ω RJTAG1 33.2 Ω 0Ω
mounted 9
RJTAG8 0Ω 0Ω RJTAG2 33.2 Ω 0Ω
0
RJTAG9 not 0Ω RJTAG2 33.2 Ω 0Ω
mounted 1
RJTAG10 0Ω not RJTAG2 33.2 Ω 0Ω
mounted 2
RJTAG11 1k Ω not RJTAG2 33.2 Ω 0Ω
mounted 3
RJTAG12 1k Ω not RJTAG2 33.2 Ω 0Ω
mounted 4
In the figure below, RJTAG Resistors are placed in the box with red frame.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
SPI Interface
Caution
Siemens assumes no responsibility / liability for damages on the board as a result of the
resistor change. The changes should only be done by professionals. The resistors are from
type 0402.
SPI Interface
The board provides a SPI Master bus with a voltage level of 3.3V.
In the figure below, the left represents a schematic diagram and the right depicts a header with
appropriate silkscreen.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
IrDA UART Interface
DIP 1 controls the de- and encoder IC. The supported modes can be find in the datasheet of the
de- and encoder IC or directly as silkscreen print on the board. The ON mark on the DIP switch
represents a "0".
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
GPIO
GPIO
A single GPIO is directly connected to the FPGA. It can be used for debugging. The voltage
level is 1.8V.
MICTOR Connectors
All signals are routed to the both MICTOR connectors on the EB-PDS-INTERFACE-R7 board.
The voltage level is 1.8V.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
Related Work
This topic describes the EB-PDS-INTERFACE-R7 related work.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Order Code
profpga_run
For this board the following x-board entry is required within the system configuration file:
<instance name>:
{
type = "BOARD";
vendor = "ProDesign";
name = " EB-PDS-INTERFACE-R7";
size = "A1A1";
v_io_ba1 = "1V8";
};
<instance name> must be replaced with the correct value according to the system
configuration. Please refer to the proFPGA Software Reference Manual [UD002] for more
information.
Order Code
Use the order code 286505 for EB-PDS-INTERFACE-R7.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Protocol Tester Board (EB-PDS-RnS-TESTER-R1)
Functional Description
This topic is about Functional Description.
ProFPGA protocol tester board provides two Interfaces for connecting protocol tester. One
interface is dedicated for R&S protocol tester.
• One R&S variable protocol tester interface (49 signal pins) with one auxiliary coaxial
port and one reference input coaxial port.
• A variable psu for the R&S protocol tester interface to adjust multiply cmos input and
ouput voltage levels (CMOS12, CMOS15, CMOS18, CMOS25 and CMOS33).
• One protocol tester interface with two DX20 Hirose Electric Co. connector and support
for cmos33 voltage level. Each connector has 32 directed signal pins. Additional four
mmcx coaxial connectors (Clk and Sync) with two ports for each direction.
• Both interfaces can also be used than an ordinary I/O interface with 100mbit/s.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Extension Board Operating Conditions
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Pin Constraints
Related Work
This topic is about Related Work.
profpga_run
Using the protocol tester board requires a configuration via the plugin. To load the plugin the
plugin_list entry before the system_configuration section must be added:
...
plugin_list = ("rnstester ProDesign EB-PDS-RnS-TESTER-R1");
system_configuration:
{
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
If there is already a plugin_list entry the plugin list must be extended. Example:
...
plugin_list = ("si5338 ProDesign EB-PDS-DVI-R1",
"rnstester ProDesign EB-PDS-RnS-TESTER-R1" );
system_configuration:
{
...
This will load the rnstester-plugin, which is part of the 2016A- and subsequent-releases. The
plugin configures the direction and voltage level of the R & S protocol interface before FPGA
configuration.
Caution
Also, if no R&S interface is in usage, it is recommended that the plugin is used to configure
the R&S interface.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
For this board the following x-board entry is required within the system configuration file:
<instance name>:
{
type = "BOARD";
vendor = "ProDesign";
name = "EB-PDS-RnS-TESTER-R1";
size = "A1A1";
positions = ("motherboard_1.TA1");
v_io_ba1 = "P1V8"; # for connector BA1
top_connectors = ();
# Set voltage level standard
# Example: cmos18
# posible values:
# +---------------+---------------+
# | cmos_standard | voltage level |
# +---------------+---------------+
# | cmos12 | 1.2 V |
# | cmos15 | 1.5 V |
# | cmos18 | 1.8 V |
# | cmos25 | 2.5 V |
# | cmos33 | 3.3 V |
# +---------------+---------------+
RnS_voltage = "cmos18";
# Set value of direction
# Example: 1
# posible values:
# +---------------+---------------+
# | value | direction |
# +---------------+---------------+
# | 1 | OUT |
# | 0 | IN |
# +---------------+---------------+
rns_phy1:
{
RNS = 1;# R&S interface enable = 1, disable = 0
UI_I = 1;# Direction of Group UI_I
AUX_IO_0_1 = 1;# Direction of Group AUX_IO 0 and 1
GP0 = 1;# Direction of Pin GP0
GP1 = 1;# Direction of Pin GP1
GP2 = 1;# Direction of Pin GP2
Valid = 1;# Direction of Validationsignal
UI_Q = 0;# Direction of Group UI_Q
}
rns_phy2:
{
AUX_IO_2_3 = 0;# Direction of Group AUX_IO 2 and 3
GP4 = 0;# Direction of Pin GP4
GP5 = 0;# Direction of Pin GP5
GP3 = 0;# Direction of Pin GP3
RnS_AUX = 1;# Direction of auxilary signal
}
};
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Order Code
<instance name> and <position> must be replaced with the correct value according to the
system configuration. Please refer to the „proFPGA Software Reference Manual” [UD002] for
more information.
Please ensure that the system configuration file which is the input to the profpga_brdgen tool
contains a valid x-board entry using the extension board name “EB-PDS-RnS-TESTER-R1”.
The system configuration file can be created manually or with the profpga_builder tool.
Please refer to the „proFPGA Software Reference Manual” [UD002] and the “proFPGA Builder
User Manual” [UD004] for more information.
Order Code
286506
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
SATA Extension Board (EB-PDS-SATA-R2/R3)
Functional Description
This topic is about Functional Description.
This extension board may be used to connect to SATA devices, SATA hosts as well as
additional extension boards of this type (FPGA interconnect). Since the high-speed serial
transceivers (MGTs) as well as the serial ATA interface have dedicated lanes for transmission
(TX) and reception (RX) there are two types of connectors (marked as "HOST" and "DEVICE"
in Figure 1). There is no mechanical difference in these two types. They differ electrically in the
arrangement of TX and RX pairs respectively.
• Use case 1: Attachments of SATA device(s). Because proFPGA acts as host use a
"HOST" connector
• Use case 2: Attachments to SATA host(s). Because proFPGA acts as device use a
"DEVICE" connector
• Use case 3: FPGA interconnect. Use a host and/or device connector. Make sure each
cable connects a host connector on one EB-PDS-SATA-R1 with a device connector on
another EB-PDS-SATA-R1 or vice versa. Do not interconnect two identically named
connectors to avoid shorting two TX drivers.
All three use cases can be combined.
• Up to 12.5 Gbps
• 8 SATA connectors (4x HOST, 4x DEVICE)
• Supports SATA Host and Device connections
• Supports FPGA interconnect
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
• Pericom PI3EQX1204 SATA redrivers to enable flexible high speed connection using
SATA cables up to at least 1 m
• 4 outputs programmable reference clock generator
• 2 MMCX connector pairs for clock output
• 4 MMCX connector pairs for clock input
• 4 on-board multiplexers to select between local and remote clock
• proFPGA top connector to make unused FPGA signals available
Figure 6-259. EB-PDS-SATA-R2 Top Side
The clock distribution circuit is shown schematically in Figure 6-260. The MGT reference
clocks can be generated on-board with a Si5338A clock generation device or alternatively
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Extension Board Operating Conditions
provided externally using the MMCX clock inputs. Reference clocks number 1 and 3 can also
be made available on MMCX output connector pairs.The following table lists the relationship
between SATA connectors and MGT signals:
Table 6-328. EB-PDS-SATA-R2/R3 Relationships of TX/RX Pairs
SATA Connector Type Direction MGT Diff Pair
X1 HOST TX MGT_TX_00
X1 HOST RX MGT_RX_00
X2 DEVICE TX MGT_TX_01
X2 DEVICE RX MGT_RX_01
X3 HOST TX MGT_TX_02
X3 HOST RX MGT_RX_02
X4 DEVICE TX MGT_TX_03
X4 DEVICE RX MGT_RX_03
X5 HOST TX MGT_TX_04
X5 HOST RX MGT_RX_04
X6 DEVICE TX MGT_TX_05
X6 DEVICE RX MGT_RX_05
X7 HOST TX MGT_TX_06
X7 HOST RX MGT_RX_06
X8 DEVICE TX MGT_TX_07
X8 DEVICE RX MGT_RX_07
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
Related Work
This topic is about Related Work.
Generating register map files for Si5338 Please refer to Related Work for details how to
generate register map files for the Si5338.
Note
Please use 3.3 V LVDS as output type because the output driver is connected to 3.3 V.
Because the clock is ac-coupled the offset of the voltage does not matter.
profpga_run
The EB-PDS-SATA-R2/R3 uses three plugins to configure clock distribution, clock generation
as well as SATA redrivers. To load the plugins the plugin_list entry before the
system_configuration section must be added (example given for revision 2 but also valid for
R3):
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
The following x-board entry is required within the system configuration file:
<instance name>:
{
type = "BOARD";
vendor = "ProDesign";
name = "EB-PDS-SATA-R2";
size = "A1A1";
positions = ("<position>");
v_io_ba1 = "AUTO";
...
};
<instance name> and <position> must be replaced with the correct value according to the
system configuration. Please refer to the „proFPGA Software Reference Manual” [UD002] for
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
more information.Settings used for the plugins have to be contained in the x-board entry for EB-
PDS-SATA-R2/R3:
<instance name>:
{
...
# Si5338(A) Plugin Settings
si5338_registermap_file = "<register map file>";
si5338_validate_input_clocks_1_2_3 = "yes";
si5338_validate_input_clocks_4_5_6 = "no";
si5338_execute_plugin_async_event = “no”;
# Clock Distribution Settings
gpio_expander1:
{
IIC_CLK0_SEL = 0; # MGT_REFCLK0 clock source = CLKGEN_0
IIC_CLK1_SEL = 1; # MGT_REFCLK1 clock source = CLKGEN_1
IIC_CLK2_SEL = 1; # MGT_REFCLK2 clock source = IN2
IIC_CLK3_SEL = 0; # MGT_REFCLK3 clock source = IN3
IIC_CLK1_PREA = 0; # PRE-AMP for buffer A disabled
IIC_CLK1_PREB = 0; # PRE-AMP for buffer B disabled
IIC_CLK1_PREL = 0; # PRE-AMP for buffer L (CLK1 MUX) disabled
IIC_CLK1_ENA = 1; # output to MMCX OUT0 enabled
IIC_CLK1_ENB = 1; # output to CLK1 MUX enabled
IIC_CLK1_ENL = 1; # output to MGT_REFCLK1 enabled
IIC_CLK3_PREA = 0; # PRE-AMP for buffer A disabled
IIC_CLK3_ENA = 0; # output to MMCX OUT1 disabled
IIC_CLK3_ENB = 1; # output to CLK3 MUX enabled
IIC_CLK3_PREB = 0; # PRE-AMP for buffer B disabled
IIC_CLK3_PREL = 0; # PRE-AMP for buffer L (CLK3 MUX) disabled
IIC_CLK3_ENL = 1; # output to MGT_REFCLK3 enabled
}
gpio_expander2:
{
SATA_NRESET = 0; # '0' = SATA active (must be '0'!)
NO_CONNECT_01 = 0; # set all NO_CONNECT bits to '0'
NO_CONNECT_02 = 0;
NO_CONNECT_03 = 0;
NO_CONNECT_04 = 0;
NO_CONNECT_05 = 0;
NO_CONNECT_06 = 0;
NO_CONNECT_07 = 0;
NO_CONNECT_08 = 0;
NO_CONNECT_09 = 0;
NO_CONNECT_10 = 0;
NO_CONNECT_11 = 0;
NO_CONNECT_12 = 0;
NO_CONNECT_13 = 0;
NO_CONNECT_14 = 0;
NO_CONNECT_15 = 0;
}
# SATA Redriver (PI3EQX1204) Settings per Connector
X1:
{
TX_BST = 0;
TX_DE = 0;
TX_PS = 0;
TX_VOD = 0;
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Order Code
TX_VTH = 0;
TX_ENABLE = 1;
TX_NODETECT = 1;
RX_BST = 0;
RX_DE = 0;
RX_PS = 0;
RX_VOD = 0;
RX_VTH = 0;
RX_ENABLE = 1;
RX_NODETECT = 1;
}
# see PI3EQX1204 datasheet for detailed description of these settings
# there must be a section for each connector (X1 .. X8)
# only one section is shown here for simplicity
};
Please ensure that the system configuration file which is the input to the profpga_brdgen tool
contains a valid x-board entry using the extension board name “EB-PDS-SATA-R2”. The
system configuration file can be created manually or with the profpga_builder tool.
Please refer to the „proFPGA Software Reference Manual” [UD002] and the “proFPGA Builder
User Manual” [UD004] for more information.
Order Code
This topic is about Order Code.
The board is obsolete and not available anymore. Refer to “SATA Extension Board (EB-PDS-
SATA-R4)” on page 847 for replacement.
Benchmark
This topic is about Benchmark.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Benchmark
The EB-PDS-SATA-R2/R3 extension board has been tested with the following equipment:
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Benchmark
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Erratum
Figure 6-261. Eye Diagram and IBERT Results for 10 Gbps with FM-XC7VX330T-
R3
The above figure shows an eye diagram of one channel running at 10 Gbps through 1 m SATA
cable without errors. This test was performed on quad 113 of FM-XC7VX330T-R3.
Erratum
This topic is about Erratum
There is a pin assignment error on the SATA connectors (X1-X8) regarding pins (S)5 and (S)6.
For the HOST connectors (X1, X3, X5, X7) this is the RX differential pair and for the DEVICE
connectors (X2, X4, X6, X8) this is the TX differential pair.
Workaround:
If a SATA device is connected to a SATA EB HOST port the data on the RX pair has to be
negated.
In case a SATA host connects to a SATA EB DEVICE port the TX pair data has to be negated.
If this workaround is not sufficient in solving issues provoked by this Erratum please contact
proFPGA Support.
Note
Please note that this issue has been fixed in Revision 3 (EB-PDS-SATA-R3) of the SATA
Extension board.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
SATA Extension Board (EB-PDS-SATA-R4)
Functional Description
This topic is about Functional Description.
This extension board may be used to connect to SATA devices, SATA hosts as well as
additional extension boards of this type (FPGA interconnect). Since the high-speed serial
transceivers (MGTs) as well as the serial ATA interface have dedicated lanes for transmission
(TX) and reception (RX) there are two types of connectors (marked as "HOST" and "DEVICE"
in Figure 1). There is no mechanical difference in these two types. They differ electrically in the
arrangement of TX and RX pairs respectively.
• Use case 1: Attachments of SATA device(s). Because proFPGA acts as host use a
"HOST" connector
• Use case 2: Attachments to SATA host(s). Because proFPGA acts as device use a
"DEVICE" connector
• Use case 3: FPGA interconnect. Use a host and/or device connector. Make sure each
cable connects a host connector on one EB-PDS-SATA-R4 with a device connector on
another EB-PDS-SATA-R4 or vice versa. Do not interconnect two identically named
connectors to avoid shorting two TX drivers.
All three use cases can be combined.
• up to 12.5 Gbps
• 8 SATA connectors (4x HOST, 4x DEVICE)
• Supports SATA Host and Device connections
• Supports FPGA interconnect
• TI DS125BR820 SATA redrivers to enable flexible high speed connection using SATA
cables up to at least 1 m
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
The clock distribution circuit is shown schematically in the above figure. The MGT reference
clocks can be generated on-board with a Si5338A clock generation device or alternatively
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Extension Board Operating Conditions
provided externally using the MMCX clock inputs. Reference clocks number 1 and 3 can also
be made available on MMCX output connector pairs.The following table lists the relationship
between SATA connectors and MGT signals:
Table 6-336. EB-PDS-SATA-R4 Relationships of TX/RX Pairs
SATA Connector Type Direction MGT Diff Pair
X1 HOST TX MGT_TX_00
X1 HOST RX MGT_RX_00
X2 DEVICE TX MGT_TX_01
X2 DEVICE RX MGT_RX_01
X3 HOST TX MGT_TX_02
X3 HOST RX MGT_RX_02
X4 DEVICE TX MGT_TX_03
X4 DEVICE RX MGT_RX_03
X5 HOST TX MGT_TX_04
X5 HOST RX MGT_RX_04
X6 DEVICE TX MGT_TX_05
X6 DEVICE RX MGT_RX_05
X7 HOST TX MGT_TX_06
X7 HOST RX MGT_RX_06
X8 DEVICE TX MGT_TX_07
X8 DEVICE RX MGT_RX_07
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
Related Work
This topic is about Related Work.
Generating register map files for Si5338 Please refer to Related Work for details how to
generate register map files for the Si5338.
Note
Please use 3.3 V LVDS as output type because the output driver is connected to 3.3 V.
Because the clock is ac-coupled the offset of the voltage does not matter.
profpga_run
The EB-PDS-SATA-R4 uses three plugins to configure clock distribution, clock generation as
well as SATA redrivers. To load the plugins the plugin_list entry before the
system_configuration section must be added:
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
The following x-board entry is required within the system configuration file:
<instance name>:
{
type = "BOARD";
vendor = "ProDesign";
name = "EB-PDS-SATA-R4";
size = "A1A1";
positions = ("<position>");
v_io_ba1 = "AUTO";
...
};
<instance name> and <position> must be replaced with the correct value according to the
system configuration. Please refer to the „proFPGA Software Reference Manual” [UD002] for
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
more information.Settings used for the plugins have to be contained in the x-board entry for EB-
PDS-SATA-R4:
<instance name>:
{
...
# Si5338(A) Plugin Settings
si5338_registermap_file = "<register map file>";
si5338_validate_input_clocks_1_2_3 = "yes";
si5338_validate_input_clocks_4_5_6 = "no";
si5338_execute_plugin_async_event = “no”;
# Clock Distribution Settings
gpio_expander1:
{
IIC_CLK0_SEL = 0; # MGT_REFCLK0 clock source = CLKGEN_0
IIC_CLK1_SEL = 1; # MGT_REFCLK1 clock source = CLKGEN_1
IIC_CLK2_SEL = 1; # MGT_REFCLK2 clock source = IN2
IIC_CLK3_SEL = 0; # MGT_REFCLK3 clock source = IN3
IIC_CLK1_PREA = 0; # PRE-AMP for buffer A disabled
IIC_CLK1_PREB = 0; # PRE-AMP for buffer B disabled
IIC_CLK1_PREL = 0; # PRE-AMP for buffer L (CLK1 MUX) disabled
IIC_CLK1_ENA = 1; # output to MMCX OUT0 enabled
IIC_CLK1_ENB = 1; # output to CLK1 MUX enabled
IIC_CLK1_ENL = 1; # output to MGT_REFCLK1 enabled
IIC_CLK3_PREA = 0; # PRE-AMP for buffer A disabled
IIC_CLK3_ENA = 0; # output to MMCX OUT1 disabled
IIC_CLK3_ENB = 1; # output to CLK3 MUX enabled
IIC_CLK3_PREB = 0; # PRE-AMP for buffer B disabled
IIC_CLK3_PREL = 0; # PRE-AMP for buffer L (CLK3 MUX) disabled
IIC_CLK3_ENL = 1; # output to MGT_REFCLK3 enabled
}
# SATA Redriver (DS125BR820) Settings per Connector
X1:
{
TX_PWDN = 0; # 0 = channel enabled, 1 = channel disabled
TX_RXDET = 1;
TX_EQ = 1;
TX_VOD = 6;
TX_VOD_DB = 0;
TX_VTH = 0;
TX_VTH_DA = 0;
RX_PWDN = 0; # 0 = channel enabled, 1 = channel disabled
RX_RXDET = 1;
RX_EQ = 1;
RX_VOD = 6;
RX_VOD_DB = 0;
RX_VTH = 0;
RX_VTH_DA = 0; }
# see DS125BR820 datasheet for detailed description of these settings
# there must be a section for each connector (X1 .. X8)
# only one section is shown here for simplicity
};
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Order Code
register map file, is triggered by the command line option --plugin-async-events for
profpga_run.
Please refer to the „proFPGA Software Reference Manual” [UD002] for more information.
Please ensure that the system configuration file which is the input to the profpga_brdgen tool
contains a valid x-board entry using the extension board name “EB-PDS-SATA-R4”. The
system configuration file can be created manually or with the profpga_builder tool.
Please refer to the „proFPGA Software Reference Manual” [UD002] and the “proFPGA Builder
User Manual” [UD004] for more information.
Order Code
286498
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
ADC Board (EB-PDS-ADC250x16-R2)
Functional Description
This extension board has two 16-bit 250MSPS ADCs (ISLA216P25, Intersil). Each ADC has
one channel. The two input channels of the ADCs are connected with an input filtering stage to
MMCX connectors. Both ADCs are directly connected to the bottom connector. Each ADC
channel has its own analog ground and a shielding. The shielding is removable for customizing
the filtering circuit.
A clock generator is on the board which sources the ADCs and 2 clock outputs. It is possible to
work with the same clock for both ADCs or with different clocks. An external clock input is
also connected to the clock generator which allows that one or both ADCs are working with the
external clock source.
An external trigger input is on the board. This port is connected to the proFPGA bottom
connector and may be used for event management.
The board has a proFPGA top connector which makes unused FPGA connections available to
another extension board or a cable.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
Peripherals
• Differential ADC input channels
o Both ADC channels are connected to MMCX connectors.
o Between the ADC input connectors and the ADC, a filtering circuit is used. The
filtering circuit is located below the shielding. This filtering circuit is dimensioned
like a bandpass and has a lower frequency limit of 10 kHz and an upper frequency
limit of 100 MHz.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
• Clock Generator
o One Si5338 (Silicon Labs) clock generator is on the board. The output clock
frequency can be changed easily by configuring the Si5338. The Clock Builder
Software from Silicon Labs is used to generate a register map file for this purpose.
Refer to Related Work for detailed explanation. The following figure shows the
connection of the input and output ports of the Si5338.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Extension Board Operating Conditions
Note
The reset of both ADCs has to be released for proper communication on the 3-
wire interface.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Pin Constraints
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
Related Work
Following is the related work.
Note
Please use 1.8 V LVDS as output type for CLK0 and CLK1 because the output driver is
connected to 1.8 V.
Please use 3.3 V as output type for CLK2 and CLK3 and the IO standard which fits your
application because the output driver is connected to 3.3 V.
profpga_run
If the Si5338 is used, it will be configured with a profpga plugin. To load the plugin the
plugin_list entry before the system_configuration section must be added:
...
plugin_list = ( "si5338 ProDesign EB-PDS-ADC250x16-R2" );
system_configuration:
{
...
If there is already a plugin_list entry the plugin list must be extended. Example:
...
plugin_list = ("dp83865dvh ProDesign EB-PDS-GBITETHERNET-R1",
"si5338 ProDesign EB-PDS-ADC250x16-R2" );
system_configuration:
{
...
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Order Code
For this board the following x-board entry is required within the system configuration file:
<instance name>:
{
type = "BOARD";
vendor = "ProDesign";
name = "EB-PDS-ADC250X16-R2";
size = "A1A1";
positions = ("<position>");
v_io_ba1 = "AUTO";
# Si5338 Plugin settings
si5338_registermap_file = "<register map file>";
si5338_validate_input_clocks_1_2_3 = "yes";
si5338_validate_input_clocks_4_5_6 = "no";
si5338_execute_plugin_async_event = "no";
};
<instance name> and <position> must be replaced with the correct value according to the
system configuration. Please refer to the „proFPGA Software Reference Manual” [UD002] for
more information.
<register map file> must be replaced with the path and the filename to the register map file
created with the Si5338 software.
Please ensure that the system configuration file which is the input to the profpga_brdgen tool
contains a valid x-board entry using the extension board name “EB-PDS-ADC250x16-R2”. The
system configuration file can be created manually or with the profpga_builder tool.
Please refer to the “proFPGA Software Reference Manual” [UD002] and the “proFPGA Builder
User Manual” [UD004] for more information.
Order Code
286496
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Dual DAC Extension Board (EB-PDS-DAC1600x16-R2)
Functional Description
The DAC board provides a dual, 16bit digital-to-analog converter (AD9142A, Analog Devices)
that supports sample rates up to 1600MSPS. Both DAC outputs are connected via analog filter
stages to MMCX connectors.
A programmable clock generator is available to provide clocks to the DAC and optionally to the
FPGA and MMCX connectors. There are also MMCX connectors as a alternative clock input of
the clock generator at the board.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Extension Board Operating Conditions
Each DAC has its own filter stage shown in the following figure which is directly connected to
DAC outputs. These stages are identically for each DAC output. The implemented Low pass
filter (LFCN-800+, Mini-Circuits) passes frequencies between DC and 800MHz with a
maximum insertion loss of 1.3dB through. Its cut-off frequency is 990Mhz.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Pin Constraints
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
Related Work
Following is the related work.
Note
Please use 1.8 V LVDS as output type because the output driver is connected to 1.8 V.
The following figure shows how the clock outputs and inputs of the Si5338 are connected at the
board.
The recommended output type settings of each output are listed in the following table.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
profpga_run
If the Si5338 is used, it will be configured with a profpga plugin. To load the plugin the
plugin_list entry before the system_configuration section must be added:
...
plugin_list = ( "si5338 ProDesign EB-PDS-ADC250x16-R2" );
system_configuration:
{
...
If there is already a plugin_list entry the plugin list must be extended. Example:
...
plugin_list = ("dp83865dvh ProDesign EB-PDS-GBITETHERNET-R1",
"si5338 ProDesign EB-PDS-ADC250x16-R2" );
system_configuration:
{
...
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Order Code
For this board the following x-board entry is required within the system configuration file:
<instance name>:
{
type = "BOARD";
vendor = "ProDesign";
name = "EB-PDS-ADC250X16-R2";
size = "A1A1";
positions = ("<position>");
v_io_ba1 = "AUTO";
# Si5338 Plugin settings
si5338_registermap_file = "<register map file>";
si5338_validate_input_clocks_1_2_3 = "yes";
si5338_validate_input_clocks_4_5_6 = "no";
si5338_execute_plugin_async_event = “no”;
};
<instance name> and <position> must be replaced with the correct value according to the
system configuration. Please refer to the “proFPGA Software Reference Manual” [UD002] for
more information.
<register map file> must be replaced with the path and the filename to the register map file
created with the Si5338 software.
Please ensure that the system configuration file which is the input to the profpga_brdgen tool
contains a valid x-board entry using the extension board name “EB-PDS-ADC250x16-R2”. The
system configuration file can be created manually or with the profpga_builder tool.
Please refer to the “proFPGA Software Reference Manual” [UD002] and the “proFPGA Builder
User Manual” [UD004] for more information.
Order Code
This topic is about the order code of Dual DAC Extension Board EB-PDS-DAC1600x16-R2
board.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Order Code
286497
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Riser Board (EB-PDS-RISER-R1)
Functional Description
proFPGA Riser Board provides a proFPGA Bottom and a proFPGA Top Connector. It is used
wherever due to height incompatibility two different extension boards cannot be plugged on-top
of each other.
Features of the extension board:
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Pin Constraints
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
Related Work
Following is the related work.
profpga_run
For this board the following x-board entry is required within the system configuration file:
<instance name>:
{
type = "BOARD";
vendor = "ProDesign";
name = " EB-PDS-RISER-R1";
size = "A1A1";
positions = ("<position>");
v_io_ba1 = "AUTO";
};
<instance name> and <position> must be replaced with the correct value according to the
system configuration. Please refer to the proFPGA Software Reference Manual [UD002] for
more information.
Please ensure that the system configuration file which is the input to the profpga_brdgen tool
contains a valid x-board entry using the extension board name “EB-PDS-RISER-R1”. The
system configuration file can be created manually or with the profpga_builder tool.
Please refer to the proFPGA Software Reference Manual [UD002] and the proFPGA Builder
User Manual [UD004] for more information.
Order Code
286463
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
V2 Riser Board (EB-PHS-RISER-R1)
Functional Description
The proFPGA V2 riser board provides a proFPGA V2 bottom and a proFPGA top connector. It
is used wherever due to height incompatibility two different extension boards cannot be plugged
on-top of each other.
Features of the extension board are as follows:
Note
In comparison to the EB-PDS-RISER-R1 extension board, all signals including the I²C
subsystem is routed from the bottom to the top connector. Therefore, the board is not
present in the configuration file and in the system structure of the software.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Extension Board Operating Conditions
Note
The extension board is also compatible with the V2 Breakout Board (EB-PDS-
BREAKOUT-R3).
Related Work
The following is the related work.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Order Code
profpga_run
For this board, no x-board entry is required within the system configuration file since the I²C
subsystem is routed from the bottom to the top connector of the board and not otherwise
connected.
Order Code
286464
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
V2 QSFP28 Extension Board (EB-PHS-QSFP28-R1)
Functional Description
The proFPGA V2 QSFP28 extension board provides two sockets for QSFP28 modules and
supports the common transfer rate 25.78125 Gbit/s on each socket.
Features of the extension board:
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Extension Board Operating Conditions
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
Related Work
The following is the related work.
profpga_run
The EB-PHS-QSFP28-R1 uses one plugin to configure the QSFP modules. To load the plugin
the plugin_list entry before the system_configuration section must be added.
The following x-board entry is required within the system configuration file:
<instance name>:
{
type = "BOARD";
vendor = "ProDesign";
name = " EB-PHS-QSFP28-R1";
size = "A1A1V2";
positions = ("<position>");
v_io_ba1 = "AUTO";
#Plugin settings
gpio_expander1 :
{
qsfp_a_mod_sel_n = 0;
qsfp_a_reset_n = 0;
qsfp_a_lp_mode = 1;
qsfp_b_mod_sel_n = 0;
qsfp_b_reset_n = 0;
qsfp_b_lp_mode = 1;
};
};
<instance name> and <position> must be replaced with the correct value according to the
system configuration. Please refer to the “proFPGA Software Reference Manual” [UD002] for
more information.
<register map file> must be replaced with the path and the filename to the register map file.
Please ensure that the system configuration file which is the input to the profpga_brdgen tool
contains a valid x-board entry using the extension board name “EB-PHS-QSFP28-R1”. The
system configuration file can be created directly or with the profpga_builder tool.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Order Code
Please refer to the “proFPGA Software Reference Manual” [UD002] and the “proFPGA Builder
User Manual” [UD004] for more information.
Tip
As shown in Figure 6-274, the sideband signals of the QSFP connectors are connected to a
GPIO expander. The GPIO expander will be configured as explained before by a plug-in (if
needed). The GPIO expander is also reachable from the USER FPGA design. Therefore, an IP
is available to read the input signals & write output signals of the GPIO expander. For more
information about HDL IP please refer to “proFPGA HDL Design Library” [UD006].
Order Code
286509
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
ARM Express Adapter Board (EB-PDS-EXPRESS-ADAPTER-R1)
Functional Description
The ARM Express Adapter Board allows using the proFPGA system together with the ARM
Juno platform.
The ARM Express Adapter contains the following features:
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Extension Board Operating Conditions
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
Related Work
For further information about the EB-PDS-EXPRESS-ADAPTER-R1 board please have a look
into [UD011].
In the figure below, the proFPGA builder opens to “Tools > Generate Code for FPGA
Synthesis...” and the option of “Skip XML Files” is marked.
Order Code
286495
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Flexible Riser Board (EB-PDS-FLEXRISER-R1)
Functional Description
The proFPGA Flexible Riser Board provides a proFPGA Bottom and a proFPGA Top
Connector. It is used wherever two extension boards cannot be plugged directly on top of each
other. With the Flexible Riser Board an extension board doesn’t need to be located directly on
the proFPGA system but can be angled. This can improve usability and ease bring-up.
Features of the extension board:
Note
There are no MGT connections are available with the Flexible Riser board.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Extension Board Operating Conditions
8Since all regular IO and CLK_IO signals are simply routed through from bottom to top
connector this board does not have any specific requirements regarding the IO voltage. If an
extension board or cable is used on top of this board the IO voltage requirements of this upper-
level hardware applies.
(1)-using
EB-FM-XCVU440-R1
Table 6-364. EB-PDS-FLEXRISER-R1– FPGA Extension Site Compatibility
FPGA Module TA1 TA2 TB1 TB2 BA1 BA2 BB1 BB2 TA1 TA2
V1 V1
FM-XC7V2000T-R1 √ √ √ √ √ √ √ √
FM-XC7V2000T-R2 √ √ √ √ √ √ √ √
FM-XC7VX330T-R3 √ √ √ √ √ √ √ √
FM-XC7VX485T-R3 √ √ √ √ √ √ √ √
FM-XC7V585T-R3 √ √ √ √ √ √ √ √
FM-XC7VX690T-R3 √ √ √ √ √ √ √ √
FM-XC7Z100-R1 √ √
FM-XC7Z045-R1 √ √
FM-XCVU440-R1 √ √ √ √ √ √ √ √ √(1) √(1)
FM-XCVU440-R2 √ √ √ √ √ √ √ √ √(1) √(1)
FM-XCVU190-R1 √ √ √ √ √ √
FM-XCVU160-R1 √ √ √ √ √ √
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Pin Constraints
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Speed Tests
Speed Tests
The following values represent minimum values according to measurements done with the first
production lot. All tests were performed on a FM-XC7V2000T-R2 FPGA Module with speed
grade 1.
Related Work
This section describes the related work.
profpga_run
For this board the following x-board entry is required within the system configuration file:
<instance name>:
{
type = "BOARD";
vendor = "ProDesign";
name = " EB-PDS-FLEXRISER-R1";
size = "A1A1";
positions = ("<position>");
v_io_ba1 = "AUTO";
};
<instance name> and <position> must be replaced with the correct value according to the
system configuration. Please refer to the “proFPGA Software Reference Manual” [UD002] for
more information.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Order Code
Please ensure that the system configuration file which is the input to the profpga_brdgen tool
contains a valid x-board entry using the extension board name “EB-PDS-FLEXRISER-R1”.
The system configuration file can be created manually or with the profpga_builder tool.
Please refer to the “proFPGA Software Reference Manual” [UD002] and the “proFPGA Builder
User Manual” [UD004] for more information.
Order Code
This product is no longer available.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Zynq US+ Interface Board (EB-FM-XCZUxxEG-R2/R3)
Functional Description
The Zynq US+ interface board provides interfaces connected to the PS part of the Zynq
Ultrascale+ FPGA module. In addition, this board can also be used on general FPGA modules.
Features of the extension board:
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Extension Board Operating Conditions
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Extension Site Compatibility
(2)-Ethernetcannot be used
Table 6-369. EB-FM-XCZUxxEG-R2/R3-FPGA Extension Site Compatibility
FPGA Module TA1 TA2 TB1 TB2 BA1 BA2 BB1 BB2 TA1 TA2
V1 V1
FM-XC7V2000T-R1 √(2) √(2)
FM-XC7V2000T-R2 √(2) √(2)
FM-XC7VX330T-R3 √(2) √(2) √(2)
FM-XC7VX485T-R3 √(2) √(2) √(2)
FM-XC7V585T-R3 √(2) √(2) √(2) √(2)
FM-XC7VX690T-R3 √(2) √(2) √(2) √(2)
FM-XC7Z100-R1 √(2) √(2)
FM-XC7Z045-R1 √(2) √(2)
FM-XCZU11EG-R2 √(2) √(2) √(1)
FM-XCZU17EG-R2 √(2) √(2) √(1)
FM-XCZU19EG-R2 √(2) √(2) √(1)
FM-XCVU440-R1 √(2) √(2) √(2) √(2) √(2) √(2)
FM-XCVU440-R2 √(2) √(2) √(2) √(2) √(2) √(2)
FM-XCVU190-R1 √(2) √(2) √(2) √(2)
FM-XCVU160-R1 √(2) √(2) √(2) √(2)
FM-XCVU125-R1 √(2) √(2) √(2) √(2)
FM-XCVU095-R1 √(2) √(2) √(2) √(2)
FM-XCVU080-R1 √(2) √(2) √(2) √(2)
FM-XCKU115-R1 √(2) √(2) √(2) √(2)
FM-XCVU5P-R1 √(2) √(2) √(2) √(2)
FM-XCVU7P-R1 √(2) √(2) √(2) √(2)
FM-XCVU9P-R1 √(2) √(2) √(2) √(2)
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
MIO Pin Connections
Note
Please refer to Gigabit Ethernet,if Ethernet is needed at the PL part of the FPGA
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
MIO Pin Connections
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
ProFPGA Connector Signals
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
SATA Interface
SATA Interface
A SATA interface is on the board for connection to a common SATA device (for example a
hard disk drive).
The following diagram shows the SATA interface.
Note
For hardware maintenance reasons the XSATA1 connector is flipped by 180° on some
boards. The functionality on both boards is the same.
For powering the SATA device, a SATA power cable is delivered. It can be plugged in between
the SATA device and the power supply for the proFPGA system.
USB Interface
A USB ULPI PHY (USB3320) is on the board and is connected in between the ZYNQ
Ultrascale+ FPGA and the USB-C port.
The USB-C port was implemented to be used as a host as well as a device. Please refer to the
plugin section to get information about the configuration as a host or a device.
The USB-C port is not implemented according to the USB specification. Therefore, the
connector on the board, the cable and the adapter are marked. Please connect the cable or
adapter so that both marks are on the same side.
The following figure explains the connection of the USB C adapter and USB C cable with
marks.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Ethernet Interface
Host:
• uses the USB adapter and connects a device to the USB-A socket
Device:
• uses the USB cable and connects the side with the USB-A cable to a USB host
The USB PHY can be reset by using the button S6.
Ethernet Interface
A Gigabit Ethernet PHY (DP83867IRPAPT) is on the board.
Please refer to the “profpga_run” on page 907 to get information about the configuration of the
Ethernet interface.
Note
From R2 to R3 version the orientation of the Ethernet connector has changed by 180° for
better compatibility of the board on the proFPGA system.
Using a jumper between the pin 5 and 6 of XCAN1 the termination on CANL will be added.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Display Port Interface
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Display Port Interface
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
I²C Interface
I²C Interface
An I²C interface is on the board. The operating voltage is 3.3 V.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
GPIO Pin Header, Buttons and LEDs
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Clock Generator
Caution
The operating voltage of the GPIO pins on the header is 1.8 V!
Note
The connector has changed from R2 to R3. On the R3 there is one pin missing which can be
used to develop polarized extension boards for the GPIO pin header.
LEDs and buttons are connected to GPIO pins GPIO_[01..04]. The 4 signals have 10 k pull
down resistors. So normally a logically low signal is present at the pins. By pressing a button,
the signal is additionally connected to a 1 k pull up resistor. In this case a logically high signal is
present at the pin. The pull resistors prevent the pins from damage when using them as outputs
and pressing the buttons.
Clock Generator
A clock generator (Si5338) is on the board which generates the MGT clocks of the ARM. The 4
outputs [0..3] are connected with 100 n AC-capacitors to the
PS_MGTREFCLK[3..0][N,P]_505.
The following figure depicts the clocks generated by the Si5338 and their connection to
PS_MGTREFCLK.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
FPGA Pin Constraints
Related Work
The following sections describe the related work.
Note
Please use 3.3 V LVDS as output type because the output driver is connected to 3.3 V.
Because the clock is ac-coupled the offset of the voltage does not matter.
profpga_run
If the Si5338 is used, it will be configured with a profpga plugin. To load the plugin the
plugin_list entry before the system_configuration section must be added:
...
plugin_list = ( "si5338 ProDesign EB-FM-XCZUxxEG-R2" );
system_configuration:
{
...
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
Respectively:
...
plugin_list = ( "si5338 ProDesign EB-FM-XCZUxxEG-R3" );
system_configuration:
{
...
Example:
...
plugin_list = ("dp83865dvh ProDesign EB-PDS-GBITETHERNET-R1", "si5338
ProDesign EB-FM-XCZUxxEG-R2" );
system_configuration:
{
...
Respectively:
...
plugin_list = ("dp83865dvh ProDesign EB-PDS-GBITETHERNET-R1", "si5338
ProDesign EB-FM-XCZUxxEG-R3" );
system_configuration:
{
...
For this board the following x-board entry is required within the system configuration file:
<instance name>:
type = "BOARD";
vendor = "ProDesign";
name = "EB-FM-XCZU19EG-R2";
size = ""A1A1";
positions = ("<position>");
v_io_ba1 = "AUTO";
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
Respectively:
<instance name>:
{
type = "BOARD";
vendor = "ProDesign";
name = "EB-FM-XCZU19EG-R3";
size = "A1A1";
positions = ("<position>");
v_io_ba1 = "AUTO";
};
<instance name> and <position> must be replaced with the correct value according to the
system configuration. Please refer to the “proFPGA Software Reference Manual" [UD002] for
more information.
<register map file> must be replaced with the path and the filename to the register map file
created with the Si5338 software.
If the GPIO Expander is used, it will be configured with a profpga plugin. To load the plugin the
plugin_list entry before the system_configuration section must be added:
...
plugin_list = ( "xczuxxeg_eb_config ProDesign EB-FM-XCZUxxEG-R2" );
system_configuration:
{
...
Respectively:
...
system_configuration:
{
...
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
If there is already a plugin_list entry the plugin list must be extended. Example:
...
plugin_list = ("dp83865dvh ProDesign EB-PDS-GBITETHERNET-R1",
"xczuxxeg_eb_config ProDesign EB-FM-XCZUxxEG-R2" );
system_configuration:
{
...
Respectively:
...
plugin_list = ("dp83865dvh ProDesign EB-PDS-GBITETHERNET-R1",
"xczuxxeg_eb_config ProDesign EB-FM-XCZUxxEG-R3" );
system_configuration:
{
...
profpga_run
For this board the following x-board entry is required within the system configuration file:
<instance name>:
{
type = "BOARD";
vendor = "ProDesign"
name = "EB-FM-XCZUxxEG-R2";
size = "A1A1";
positions = ("motherboard_1.BB1");
top_connectors = ( );
v_io_ba1 = "AUTO";
# Settings of Components on the board
gpio_expander1:
{
USB_ID_SEL = 0; # 0 -> A/B Cable Detect | 1 -> ID not used
USB_CVBUS_SEL = 1; # 0 -> Device or OTG | 1 -> Hos
USB_HD_MODE_SEL0 = 1; # 0 -> Device or OTG | 1 -> Host
USB_HD_MODE_SEL1 = 1; # 0 -> OTG | 1 -> Host/Device
PS-POR_RESET_N = 1; # 0 -> USB and Ethernet is in reset | 1 -> USB
and Ehternet not in reset (in dependence of PS-MODE1 and GEM3_EXP_RESET_N)
PS-MODE1 = 1; # 0 -> Ethernet is in reset | 1 -> USB is not in reset
(in dependence of PS-MODE1 and PS-POR_RESET_N)
GEM3_EXP_RESET_N = 1; # 0 -> USB is in reset | 1 -> Ehternet is
not in reset (in dependence of PS-MODE1 and PS-POR_RESET_N)
}
si5338_registermap_file = "<register map file>";
si5338_validate_input_clocks_1_2_3 = "yes";
si5338_validate_input_clocks_4_5_6 = "no";
si5338_execute_plugin_async_event = "no";
};
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Related Work
Respectively:
<instance name>:
{
type = "BOARD";
vendor = "ProDesign";
name = "EB-FM-XCZUxxEG-R2";
size = "A1A1";
positions = ("motherboard_1.BB1");
top_connectors = ( );
v_io_ba1 = "AUTO";
# Settings of Components on the board
gpio_expander1:
{
USB_ID_SEL = 0; # 0 -> A/B Cable Detect | 1 -> ID not used
USB_CVBUS_SEL = 1; # 0 -> Device or OTG | 1 -> Host
USB_HD_MODE_SEL0 = 1; # 0 -> Device or OTG | 1 -> Host
USB_HD_MODE_SEL1 = 1; # 0 -> OTG | 1 -> Host/Device
PS-POR_RESET_N = 1; # 0 -> USB and Ethernet is in reset | 1 -> USB
and Ehternet not in reset (in dependence of PS-MODE1 and GEM3_EXP_RESET_N)
PS-MODE1 = 1; # 0 -> Ethernet is in reset | 1 -> USB is not in reset
(in dependence of PS-MODE1 and PS-POR_RESET_N)
GEM3_EXP_RESET_N = 1; # 0 -> USB is in reset | 1 -> Ehternet is
not in reset (in dependence of PS-MODE1 and PS-POR_RESET_N)
}
si5338_registermap_file = "<register map file>";
si5338_validate_input_clocks_1_2_3 = "yes";
si5338_validate_input_clocks_4_5_6 = "no";
si5338_execute_plugin_async_event = "no";
};
<instance name> and <position> must be replaced with the correct value according to the
system configuration. Please refer to the „proFPGA Software Reference Manual" [UD002] for
more information.
Please ensure that the system configuration file which is the input to the profpga_brdgen tool
contains a valid x-board entry using the extension board name "EB-PDS-DDR4-R2" or "EB-
PDS-DDR4-R3". The system configuration file can be created manually or with the
profpga_builder tool.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Order Code
Please refer to the “proFPGA Software Reference Manual" [SWRM] and the "proFPGA
Builder User Manual" [UD004] for more information.
Order Code
286525
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Multi-Cluster Clock Synchronization Boards
Functional Description
The clock synchronization boards were developed for multi cluster clock synchronization.
The master board has one clock and one sync signal output. In addition, the board has one clock
and one sync signal input.
The slave board has one clock and one sync signal input.
Two cables are connected from the master board’s outputs to the back panel clock and sync
signal distribution board’s inputs. The back panel distribution board has 6 outputs for clock and
for sync signals, each. So up-to 6 clusters can be synchronized with the boards. The outputs of
the clock and sync signals are connected to the inputs of the master and slave clock
synchronization boards.
A full setup would consist of one master clock synchronization board, one back panel clock and
sync signal distribution board and up-to 5 slave clock synchronization boards.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Functional Description
Each of the clock and the sync signal outputs of the back panel distribution board are equal. It
would be no problem if output number 4 is connected to the clock input of one slave clock
synchronization board and sync output number 3 is connected to the sync input of the same
slave clock synchronization board. For better traceability it is recommended to connect the
outputs of the back panel distribution board as it is intended.
Caution
Do not mix up clock and sync inputs and outputs with each other! This will lead to
unpredictable behavior of the system and may damage it.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Compatibility
Compatibility
The master and the slave clock synchronization boards are compatible with the generation 2
motherboard (MB-4M-R3). They must be plugged onto the XEXT1 extension board connector.
The back panel clock and sync signal distribution board is compatible with the master and slave
clock synchronization boards.
Power Cable
The back panel clock and sync signal distribution board needs to be powered by 12V.
Therefore, a 6-pin connector as used on the proFPGA motherboard is present. Connect a cable
from the PSU to the power connector of the back panel clock and sync signal distribution board.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Order Code
Order Code
The following section describes the order code for this board.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Order Code
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Extension Boards
Order Code
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Chapter 7
Interconnect Boards and Cables
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Interconnect Boards and Cables
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Interconnect Boards and Cables
Interconnect Cable (IC-PDS-CABLE-R1/R6)
Functional Description
This topic discusses functional description of interconnect cable (IC-PDS-CABLE-R1/R6).
The interconnect cable connects two FPGA extension sites.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Interconnect Boards and Cables
FPGA Extension Site Compatibility
(2)
only 2 IO banks,
(3)
using EB-FM-XCVU440-R1,
(5)
only 2 HD banks (48 pins)
Table 7-2. IC-PDS-CABLE-R1/R6 – FPGA Extension Site Compatibility
FPGA Module TA1 TA2 TB1 TB2 BA1 BA2 BB1 BB2 TA1 TA2
V1 V1
FM-XC7V2000T-R1 √ √ √ √ √ √ √ √(1)
FM-XC7V2000T-R2 √ √ √ √ √ √ √ √(1)
FM-XC7VX330T-R3 √ √(1) √ √(1) √ √(1)
FM-XC7VX485T-R3 √ √(1) √ √(1) √ √(1)
FM-XC7V585T-R3 √ √(2) √ √ √ √(1)
FM-XC7VX690T-R3 √ √(2) √ √ √ √(1)
FM-XC7Z100-R1 √(2) √
FM-XC7Z045-R1 √(2) √
FM-XCVU440-R1 √ √ √ √ √ √ √ √ √(1)( √(1)(
3) 3)
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Interconnect Boards and Cables
FPGA Extension Site Compatibility
FM-XCVU37P-R1 √ √ √(1) √
FM-XCVU47P-R1 √ √ √(1) √
FM-XCZU11EG-R2 √ √ √(4)
FM-XCZU17EG-R2 √ √ √(4) √
FM-XCZU19EG-R2 √ √ √(4) √
FM-1SG280<L,H>-R2 √ √ √ √ √ √(1) √ √
FM-10AX115-R1 √ √ √ √ √(1) √(1)
FM-1SG10M-R1 √ √ √ √ √ √ √ √ √(1)( √(1)(
3) 3)
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Interconnect Boards and Cables
FPGA Extension Site Compatibility
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Interconnect Boards and Cables
FPGA Extension Site Compatibility
The Figure 7-2 above shows the IOs of two proFPGA connectors and how they are
interconnected when an IC-PDS-CABLE-R1/R6 is plugged. The cables are not connecting
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Interconnect Boards and Cables
FPGA Pin Constraints
straight the same pins on every cable, but they are connecting different IOs e.g. IO146 is
connected to IO138 and IO147 is connected to IO139.
The colored bars on the right are visualizing what happens when proFPGA connectors with
different available IOs are interconnected (also see descriptions below the figure). If there is
only one green bar the connections are valid in both directions for the IOs where the bar is
shown. When a lower IO count connector connects to one with more IOs just some of the IOs
will be interconnected. Then there are two bars showing which IOs from the connector on the
left side are connected (yellow bar) and which IOs from the connector on the right side are
connected (blue bar). As an example, when a 148pin connector is interconnected with a 98pin
connector, IO072 and IO073 on the left side connector are connected but IO072 and IO073 on
the right side connector not because they are not available there (not connected to an FPGA
bank).
Related Work
This topic discusses related work of interconnect cable (IC-PDS-CABLE-R1/R6).
profpga_run
For this cable the following x-board entry is required within the system configuration file:
<instance name>:
{
type = "CABLE";
vendor = "ProDesign";
name = "IC-PDS-CABLE-R1";
positions = ("<position1>", "<position2>");
v_io = "AUTO";
};
<instance name>, <position1> and <position2> must be replaced with the correct value
according to the system configuration. Please refer to the „proFPGA Software Reference
Manual” [UD002] for more information.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Interconnect Boards and Cables
Order Code
Please ensure that the system configuration file which is the input to the profpga_brdgen tool
contains a valid x-board entry using the extension board name “IC-PDS-CABLE-R1”. The
system configuration file can be created manually or with the profpga_builder tool.
Please refer to the “proFPGA Software Reference Manual” [UD002] and the “proFPGA
Builder User Manual” [UD004] for more information.
Order Code
This topic discusses order code of interconnect cable (IC-PDS-CABLE-R1/R6).
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Interconnect Boards and Cables
1:1 Interconnect Cable (IC-PDS-CABLE-R4/R5)
Functional Description
This topic discusses functional description of 1:1 interconnect cable (IC-PDS-CABLE-R4/R5).
The interconnect cable connects two FPGA extension sites without signal crossings.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Interconnect Boards and Cables
FPGA Extension Site Compatibility
(5)
only 2 HD banks (48 pins)
Table 7-7. IC-PDS-CABLE-R1 – FPGA Extension Site Compatibility
FPGA Module TA1 TA2 TB1 TB2 BA1 BA2 BB1 BB2 TA1 TA2
V1 V1
FM-XC7V2000T-R1 √ √ √ √ √ √ √ √(1)
FM-XC7V2000T-R2 √ √ √ √ √ √ √ √(1)
FM-XC7VX330T-R3 √ √(1) √ √(1) √ √(1)
FM-XC7VX485T-R3 √ √(1) √ √(1) √ √(1)
FM-XC7V585T-R3 √ √(2) √ √ √ √(1)
FM-XC7VX690T-R3 √ √(2) √ √ √ √(1)
FM-XC7Z100-R1 √(2) √
FM-XC7Z045-R1 √(2) √
FM-XCVU440-R1 √ √ √ √ √ √ √ √ √(1)( √(1)(
3) 3)
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Interconnect Boards and Cables
FPGA Extension Site Compatibility
FM-XCVU37P-R1 √ √ √(1) √
FM-XCVU47P-R1 √ √ √(1) √
FM-XCZU11EG-R2 √ √ √(4)
FM-XCZU17EG-R2 √ √ √(4) √
FM-XCZU19EG-R2 √ √ √(4) √
FM-1SG280<L,H>-R2 √ √ √ √ √ √(1) √ √
FM-10AX115-R1 √ √ √ √ √(1) √(1)
FM-1SG10M-R1 √ √ √ √ √ √ √ √ √(1)( √(1)(
3) 3)
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Interconnect Boards and Cables
FPGA Extension Site Compatibility
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Interconnect Boards and Cables
FPGA Extension Site Compatibility
The Figure 7-4 above shows the IOs of two proFPGA connectors and how they are
interconnected when an IC-PDS-CABLE-R4/R5 is plugged. The cables are connecting straight
the same pins on every cable.
The colored bars on the right are visualizing which IOs are available when interconnecting two
proFPGA connectors depending on how many banks are available.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Interconnect Boards and Cables
FPGA Pin Constraints
Related Work
This topic discusses related work of 1:1 interconnect cable (IC-PDS-CABLE-R4/R5).
profpga_run
For this cable the following x-board entry is required within the system configuration file:
<instance name>:
{
type = "CABLE";
vendor = "ProDesign";
name = "IC-PDS-CABLE-R4";
positions = ("<position1>", "<position2>");
v_io = "AUTO";
};
<instance name>, <position1> and <position2> must be replaced with the correct value
according to the system configuration. Please refer to the “proFPGA Software Reference
Manual” [UD002] for more information.
Please ensure that the system configuration file which is the input to the profpga_brdgen tool
contains a valid x-board entry using the extension board name “IC-PDS-CABLE-R4”. The
system configuration file can be created manually or with the profpga_builder tool.
Please refer to the “proFPGA Software Reference Manual” [UD002] and the “proFPGA
Builder User Manual” [UD004] for more information.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Interconnect Boards and Cables
Order Code
Order Code
This topic discusses order code of 1:1 interconnect cable (IC-PDS-CABLE-R4/R5).
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Interconnect Boards and Cables
Interconnect Cable (IC-PDS-CABLE-R2/R3)
Functional Description
This topic discusses functional description of interconnect cable (IC-PDS-CABLE-R2/R3).
The interconnect cable connects two FPGA extension sites using small pin count connectors.
This cable can be plugged directly on the TA1V1 and TA2V1 sites of the FM-XCVU440R-R1/
R2 FPGA modules and can be used in conjunction with the EB-PDS-BREAKOUT-R1 breakout
board to connect one proFPGA V0 connector with multiple FPGAs.
The cable is compatible to the proFPGA V1 connector type. All signals are connected using a
1:1 interconnection scheme.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Interconnect Boards and Cables
FPGA Extension Site Compatibility
(2)
only 2 IO banks,
(3)
using EB-PDS-BREAKOUT-R1,
(4)
only 3 HD banks (72 pins),
(5)
only 2 HD banks (48 pins)
Table 7-12. IC-PDS-CABLE-R2/R3 – FPGA Extension Site Compatibility
FPGA Module TA1 TA2 TB1 TB2 BA1 BA2 BB1 BB2 TA1 TA2
V1 V1
FM-XC7V2000T-R1 √(3) √(3) √(3) √(3) √(3) √(3) √(3) √(1)(
3)
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Interconnect Boards and Cables
FPGA Extension Site Compatibility
FM-XCVU440-R1 √(3) √(3) √(3) √(3) √(3) √(3) √(3) √(3) √(1) √(1)
FM-XCVU440-R2 √(3) √(3) √(3) √(3) √(3) √(3) √(3) √(3) √(1) √(1)
FM-XCVU190-R1 √(3) √(3) √(3) √(2) √(3) √(3)
FM-XCVU160-R1 √(3) √(3) √(3) √(2) √(3) √(3)
FM-XCVU125-R1 √(3) √(3) √(3) √(2) √(3) √(3)
FM-XCVU095-R1 √(3) √(3) √(3) √(2) √(3) √(3)
FM-XCVU080-R1 √(3) √(3) √(3) √(2) √(3) √(3)
FM-XCKU115-R1 √(3) √(3) √(3) √(2) √(3) √(3)
FM-1SG280<LH>-R1 √(3) √(3) √(3) √(3) √(3) √(1)( √(3) √(3)
3)
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Interconnect Boards and Cables
FPGA Pin Constraints
Related Work
This topic discusses related work of interconnect cable (IC-PDS-CABLE-R2/R3).
profpga_run
For this cable the following x-board entry is required within the system configuration file:
<instance name>:
{
type = "CABLE";
vendor = "ProDesign";
name = "IC-PDS-CABLE-R2"; # or R3
positions = ("<position1>", "<position2>");
v_io = "AUTO";
};
<instance name>, <position1> and <position2> must be replaced with the correct value
according to the system configuration. Please refer to the „proFPGA Software Reference
Manual” [UD002] for more information.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Interconnect Boards and Cables
Order Code
Please ensure that the system configuration file which is the input to the profpga_brdgen tool
contains a valid x-board entry using the extension board name “IC-PDS-CABLE-R2/R3”. The
system configuration file can be created manually or with the profpga_builder tool.
Please refer to the “proFPGA Software Reference Manual” [UD002] and the “proFPGA
Builder User Manual” [UD004] for more information.
Order Code
This topic discusses order code of interconnect cable (IC-PDS-CABLE-R2/R3).
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Interconnect Boards and Cables
Interconnect Cable (IC-PHS-CABLE-R1)
Functional Description
This topic discusses functional description of interconnect cable (IC-PHS-CABLE-R1).
The IC-PHS-CABLE-R1 was developed for connecting high speed serial IO transceivers of 2
FPGAs together.
The cable is compatible to the proFPGA V2 connector type. All signals are connected using a
1:1 interconnection scheme.
Note
There are IOs available on the connector. These IOs are also connected due to the cable.
Since the cable is developed for high-speed serial IO transceiver signals, the IOs in the cable
should be used in differential mode (LVDS for example). This additional information is only for
the IOs in the cable!
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Interconnect Boards and Cables
Extension Board Operating Conditions
Note
Due to the design, it cannot be differentiated if no cable is plugged or if a cable with only
one end is plugged onto the PHS connector.
Related Work
This topic discusses related work of interconnect cable (IC-PHS-CABLE-R1).
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Interconnect Boards and Cables
Skew
profpga_run
For this cable the following x-board entry is required within the system configuration file:
<instance name>:
{
type = "CABLE";
vendor = "ProDesign";
name = "IC-PHS-CABLE-R1";
positions = ("<position1>", "<position2>");
v_io = "AUTO";
};
<instance name>, <position1> and <position2> must be replaced with the correct value
according to the system configuration. Please refer to the “proFPGA Software Reference
Manual” [UD002] for more information.
Please ensure that the system configuration file which is the input to the profpga_brdgen tool
contains a valid x-board entry using the extension board name “IC-PHS-CABLE-R1”. The
system configuration file can be created manually or with the profpga_builder tool.
Please refer to the “proFPGA Software Reference Manual” [UD002] and the “proFPGA
Builder User Manual” [UD004] for more information.
Skew
This topic discusses skew of interconnect cable (IC-PHS-CABLE-R1).
By using the (80 cm) cable, a delay of 3.84 to 3.85 ns has to be taken into account.
Order Code
This topic discusses order code of interconnect cable (IC-PHS-CABLE-R1).
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Interconnect Boards and Cables
East-West Interconnect (IC-PDS-EW-R2)
Functional Description
This topic discusses functional description of East-West interconnect (IC-PDS-EW-R2).
The proFPGA East-West Interconnect board provides connection between two horizontally
adjacent FPGA modules on the same motherboard.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Interconnect Boards and Cables
Signal mapping
Signal mapping
This topic discusses signal mapping in East-West interconnect (IC-PDS-EW-R2).
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Interconnect Boards and Cables
Extension Board Operating Conditions
(2)
only 2 IO banks,
(3)
only 3 HD banks (72 pins)
Table 7-19. IC-PDS-EW-R2 – FPGA Extension Site Compatibility
FPGA Module TA1 TA2 TB1 TB2 BA1 BA2 BB1 BB2 TA1 TA2
V1 V1
Extension site of peer TB1 TB2 TA1 TA2 BB1 BB2 BA1 BA2
FPGA
FM-XC7V2000T-R1 √ √ √ √ √ √ √ √(1)
FM-XC7V2000T-R2 √ √ √ √ √ √ √ √(1)
FM-XC7VX330T-R3 √ √(1) √ √(1) √ √(1)
FM-XC7VX485T-R3 √ √(1) √ √(1) √ √(1)
FM-XC7V585T-R3 √ √(2) √ √ √ √(1)
FM-XC7VX690T-R3 √ √(2) √ √ √ √(1)
FM-XC7Z100-R1 √(2) √
FM-XC7Z045-R1 √(2) √
FM-XCVU440-R1 √ √ √ √ √ √ √ √
FM-XCVU440-R2 √ √ √ √ √ √ √ √
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Interconnect Boards and Cables
FPGA Extension Site Compatibility
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Interconnect Boards and Cables
FPGA Pin Constraints
Related Work
This topic discusses related work of East-West interconnect (IC-PDS-EW-R2).
profpga_run
For this board the following x-board entry is required within the system configuration file:
<instance name>:
{
type = "BOARD";
vendor = "ProDesign";
name = "IC-PDS-EW-R2";
size = "B1C1";
positions = ("<position1>", "<position2>");
top_connectors = ();
v_io_bb1 = "AUTO";
v_io_bc1 = "AUTO";
};
<instance name>, <position1> and <position2> must be replaced with the correct value
according to the system configuration. Please refer to the “proFPGA Software Reference
Manual” [UD002] for more information.
Please ensure that the system configuration file which is the input to the profpga_brdgen tool
contains a valid x-board entry using the extension board name “IC-PDS-EW-R2”. The system
configuration file can be created manually or with the profpga_builder tool.
Please refer to the “proFPGA Software Reference Manual” [UD002] and the “proFPGA
Builder User Manual” [UD004] for more information.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Interconnect Boards and Cables
Order Code
Order Code
This topic discusses order code of East-West interconnect (IC-PDS-EW-R2).
286458
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Interconnect Boards and Cables
North-South Interconnect (IC-PDS-NS-R2)
Functional Description
This topic discusses functional description of North-South interconnect (IC-PDS-NS-R2).
The proFPGA North-South Interconnect board provides connection between two vertically
adjacent FPGA modules on the same motherboard or on two motherboards.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Interconnect Boards and Cables
Signal mapping
Signal mapping
This topic discusses signal mapping of North-South interconnect (IC-PDS-NS-R2).
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Interconnect Boards and Cables
Extension Board Operating Conditions
(2)
only 2 IO banks,
(3)
using EB-FM-XCVU440-R1,
(4)
only 3 HD banks (72 pins),
(5)
only 2 HD banks (48 pins).
Table 7-24. IC-PDS-NS-R2 – FPGA Extension Site Compatibility
FPGA Module TA1 TA2 TB1 TB2 BA1 BA2 BB1 BB2 TA1 TA2
V1 V1
Extension site of peer TA2 TA1 TB2 TB1 BA2 BA1 BB2 BB1 TA2 TA1
FPGA V1 V1
FM-XC7V2000T-R1 √ √ √ √ √ √ √ √(1)
FM-XC7V2000T-R2 √ √ √ √ √ √ √ √(1)
FM-XC7VX330T-R3 √ √(1) √ √(1) √ √(1)
FM-XC7VX485T-R3 √ √(1) √ √(1) √ √(1)
FM-XC7V585T-R3 √ √(2) √ √ √ √(1)
FM-XC7VX690T-R3 √ √(2) √ √ √ √(1)
FM-XC7Z100-R1 √(2) √
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Interconnect Boards and Cables
FPGA Extension Site Compatibility
FM-XCVU37P-R1 √ √ √(1) √
FM-XCVU47P-R1 √ √ √(1) √
FM-XCZU11EG-R2 √ √ √(4)
FM-XCZU17EG-R2 √ √ √(4) √
FM-XCZU19EG-R2 √ √ √(4) √
FM-1SG280<L,H>-R2 √ √ √ √ √ √(1) √ √
FM-10AX115-R1 √ √ √ √ √ √
FM-1SG10M-R1
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Interconnect Boards and Cables
FPGA Pin Constraints
Related Work
This topic discusses related work of North-South interconnect (IC-PDS-NS-R2).
profpga_run
For this board the following x-board entry is required within the system configuration file:
<instance name>:
{
type = "BOARD";
vendor = "ProDesign";
name = "IC-PDS-NS-R2";
size = "A2A3";
positions = ("<position1>", "<position2>");
top_connectors = ();
v_io_ba2 = "AUTO";
v_io_ba3 = "AUTO";
};
<instance name>, <position1> and <position2> must be replaced with the correct value
according to the system configuration. Please refer to the „proFPGA Software Reference
Manual” [UD002] for more information.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Interconnect Boards and Cables
Order Code
Please ensure that the system configuration file which is the input to the profpga_brdgen tool
contains a valid x-board entry using the extension board name “IC-PDS_NS-R2”. The system
configuration file can be created manually or with the profpga_builder tool.
Please refer to the “proFPGA Software Reference Manual” [UD002] and the “proFPGA
Builder User Manual” [UD004] for more information.
Order Code
This topic discusses order code of North-South interconnect (IC-PDS-NS-R2).
286459
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Interconnect Boards and Cables
4-way Interconnect (IC-PDS-4WAY-R1)
Functional Description
This topic discusses functional description of 4-way interconnect (IC-PDS-4WAY-R1).
The proFPGA 4-way interconnect board provides connection between four adjacent FPGA
modules on the same motherboard.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Interconnect Boards and Cables
Signal mapping
Caution
The 4-way interconnect board can not be used on generation 2 motherboards.
Signal mapping
This topic discusses signal mapping of 4-way interconnect (IC-PDS-4WAY-R1).
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Interconnect Boards and Cables
Signal mapping
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Interconnect Boards and Cables
Extension Board Operating Conditions
Caution
The CLK_IOs should be used as IO signals. Since they are not routed as T-signals like the
normal IOs on this board, the signal integrity is better.
Tip
If the same clock has to be used in multiple FPGAs, it is recommended to use the
motherboard clock distribution network. These clocks are length matched and routed
differentially to the FPGAs. With the help of the SYNC signals, the designs in multiple FPGAs
also can be synchronized.
Caution
Due to the T-connection of the signals all signals on the board should be used as low speed
synchronous signals.
Caution
The facts below have to be kept in mind for configuration of the plugin. The plugin is
referenced to the extension board - not to the motherboard connectors. If the board is used
on bottom side, please be aware, that:
• XEBB2 of the extension board is plugged onto BB3 of motherboard extension board con
• XEBB3 of the extension board is plugged onto BB2 of motherboard extension board con
• XEBC2 of the extension board is plugged onto BC3 of motherboard extension board con
• XEBC3 of the extension board is plugged onto BC2 of motherboard extension board con
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Interconnect Boards and Cables
FPGA Extension Site Compatibility
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Interconnect Boards and Cables
FPGA Pin Constraints
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Interconnect Boards and Cables
Related Work
Related Work
This topic discusses related work of 4-way interconnect (IC-PDS-4WAY-R1).
profpga_run
The IC-PDS-4WAY-R1 uses one plugin to configure the distribution of the clk_io signals. To
load the plugin, the plugin_list entry before the system_configuration section must be added:
For this board the following x-board entry is required within the system configuration file:
<instance name>:
{
type = "BOARD";
vendor = "ProDesign";
name = "IC-PDS-4WAY-R1";
size = "B2C3";
positions = ("<position1>", "<position2>", "<position3>",
"<position4>");
top_connectors = ();
v_io_bb2 = "AUTO";
v_io_bb3 = "AUTO";
v_io_bc2 = "AUTO";
v_io_bc3 = "AUTO";
gpio_expander1:
{
clk_io_0 = "<setting>";
clk_io_1 = "<setting>";
clk_io_2 = "<setting>";
clk_io_3 = "<setting>";
clk_io_4 = "<setting>";
clk_io_5 = "<setting>";
clk_io_6 = "<setting>";
clk_io_7 = "<setting>";
# Expecteced values for <setting> are:
# XEBB2
# XEBB3
# XEBC2
# XEBC3
};
};
<instance name>, <position1>, < position2>, <position3> and <position4> must be replaced
with the correct value according to the system configuration. Please refer to the „proFPGA
Software Reference Manual” [UD002] for more information.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Interconnect Boards and Cables
Order Code
<setting> must be replaced with the correct value of the source for the appropriate channel.
Please ensure that the system configuration file which is the input to the profpga_brdgen tool
contains a valid x-board entry using the extension board name “IC-PDS-4WAY-R1”. The
system configuration file can be created manually or with the profpga_builder tool.
Please refer to the “proFPGA Software Reference Manual” [UD002] and the “proFPGA
Builder User Manual” [UD004] for more information.
Order Code
This topic discusses order code of 4-way interconnect (IC-PDS-4WAY-R1).
286460
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Interconnect Boards and Cables
Breakout Board (EB-PDS-BREAKOUT-R2)
Functional Description
This topic discusses functional description of Breakout Board (EB-PDS-BREAKOUT-R2).
The proFPGA breakout board provides the ability to spread a single proFPGA connector in up
to three proFPGA V1 connectors. Features of the extension board:
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Interconnect Boards and Cables
Signal mapping
Signal mapping
This topic discusses signal mapping of Breakout Board (EB-PDS-BREAKOUT-R2).
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Interconnect Boards and Cables
Extension Board Operating Conditions
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Interconnect Boards and Cables
FPGA Extension Site Compatibility
Top Connectors:
Table 7-34. EB-PDS-BREAKOUT-R2– FPGA Extension Site Compatibility (Top
Connectors)
FPGA Module TA1 TA2 TB1 TB2
FM-XC7V2000T-R1 X1 X2 X3 X1 X2 X3 X1 X2 X3 X1 X2 X3
I/ 43 40 43 43 40 43 43 40 43 43 40 43
Os
Cl 8 8 8 8 8 8 8 8 8 8 8 8
k I/
Os
FM-XC7V2000T-R2 I/ 43 40 41 43 40 41 43 40 41 43 40 41
Os
Cl 8 8 8 8 8 8 8 8 8 8 8 8
k I/
Os
FM-XC7VX330T-R3 I/ 43 40 41 8 24 - 43 40 41 8 24 -
Os
Cl 8 8 8 0 8 8 8 8 8 0 8 8
k I/
Os
FM-XC7VX485T-R3 I/ 43 40 41 8 24 - 43 40 41 8 24 -
Os
Cl 8 8 8 0 8 8 8 8 8 0 8 8
k I/
Os
FM-XC7V585T-R3 I/ 43 40 41 40 30 4 43 40 41 43 40 41
Os
Cl 8 8 8 8 8 8 8 8 8 8 8 8
k I/
Os
FM-XC7VX690T-R3 I/ 43 40 41 40 30 4 43 40 41 43 40 41
Os
Cl 8 8 8 8 8 8 8 8 8 8 8 8
k I/
Os
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Interconnect Boards and Cables
FPGA Extension Site Compatibility
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Interconnect Boards and Cables
FPGA Extension Site Compatibility
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Interconnect Boards and Cables
FPGA Extension Site Compatibility
Bottom Connectors:
Table 7-35. EB-PDS-BREAKOUT-R2– FPGA Extension Site Compatibility
(Bottom Connectors)
FPGA Module TA1 TA2 TB1 TB2
FM-XC7V2000T-R1 X1 X2 X3 X1 X2 X3 X1 X2 X3 X1 X2 X3
I/ 43 40 43 43 40 43 43 40 43 8 26 -
Os
Cl 8 8 8 8 8 8 8 8 8 0 8 8
k I/
Os
FM-XC7V2000T-R2 I/ 43 40 41 43 40 41 43 40 41 8 24 -
Os
Cl 8 8 8 8 8 8 8 8 8 0 8 8
k I/
Os
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Interconnect Boards and Cables
FPGA Extension Site Compatibility
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Interconnect Boards and Cables
FPGA Extension Site Compatibility
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Interconnect Boards and Cables
FPGA Extension Site Compatibility
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Interconnect Boards and Cables
FPGA Pin Constraints
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Interconnect Boards and Cables
Related Work
Related Work
This topic discusses related work of Breakout Board (EB-PDS-BREAKOUT-R2).
profpga_run
For this board the following x-board entry is required within the system configuration file:
<instance name>:
{
type = "BOARD";
vendor = "ProDesign";
name = " EB-PDS-BREAKOUT-R2";
size = "A1A1";
positions = ("<position.pos>");
top_connectors = ( "<pos>*X1", "<pos>*X2", "<pos>*X3" );
v_io_ba1 = "AUTO";
};
<instance name>, <position.pos> and <pos> must be replaced with the correct value according
to the system configuration. Please refer to the “proFPGA Software Reference Manual”
[UD002] for more information.
Please ensure that the system configuration file which is the input to the profpga_brdgen tool
contains a valid x-board entry using the extension board name “EB-PDS-BREAKOUT-R2”.
The system configuration file can be created manually or with the profpga_builder tool.
Please refer to the “proFPGA Software Reference Manual” [UD002] and the “proFPGA
Builder User Manual” [UD004] for more information.
Order Code
This topic discusses order code of Breakout Board (EB-PDS-BREAKOUT-R2).
286470
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Interconnect Boards and Cables
V2 Breakout Board (EB-PDS-BREAKOUT-R3)
Functional Description
This topic discusses functional description of V2 Breakout Board (EB-PDS-BREAKOUT-R3).
The proFPGA V2 breakout board provides the ability to spread a single proFPGA-connector
into one proFPGA (V0) top connector and two proFPGA V2 top connectors. Features of the
extension board:
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Interconnect Boards and Cables
Signal mapping
Signal mapping
This topic discusses signal mapping of V2 Breakout Board (EB-PDS-BREAKOUT-R3).
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Interconnect Boards and Cables
Signal mapping
2 clock generators (Si5332E-D-GM2) are on the board providing clocks for the MGTs.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Interconnect Boards and Cables
Signal mapping
According to the clock connection scheme, 2 incoming clocks from X2 and one incoming clock
coming from X3 (MGT_REFCLK_[N,P]_0) are connected to the clock generators. With the
help of the ‘Clock Builder Pro Software’ a register map file can be created for configuring the
clocks. The incoming clocks from connectors X2 and X3 can be used as well as a self generated
clock from the clock generator or a mix of both. Please refer to Reference Clocks at V2
Connectors for more information about configuring the Si5332-E-D-GM2.
X2: 3 output clocks of the clock generator (OUT0, OUT1, OUT2) are connected to the
proFPGA bottom connector of the extension board.
X3: 1 output clock of the clock generator (OUT0) is connected to the proFPGA bottom
connector of the extension board.
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Interconnect Boards and Cables
Extension Board Operating Conditions
Caution
The clocks are inputs for the connectors X2 and X3 on the extension board. If 2 connectors
are interconnected with a cable, no clock will be transferred in the cable because there is no
driver. In this case, an asynchronous clock must be generated on each side of the connector
using the clock generator.
In the table below, (1) 4 MGTs are available at X2, no MGTs are available at X3
(2)
8 MGTs are available at X2, no MGTs are available at X3
(3)
8 MGTs are available at X2, no MGTs are available at X3, no IOs are connected, IOs may be
needed for extension boards
(4)
6 MGTs are available at X2, no MGTs are available at X3
Table 7-42. EB-PDS-BREAKOUT-R3 – FPGA Extension Site Compatibility
FPGA Module TA1 TA2 TB1 TB2 BA1 BA2 BB1 BB2
FM-XC7V2000T-R1 √(2) √(2)
FM-XC7V2000T-R2 √(2) √(2)
FM-XC7VX330T-R3 √(2) √(2) √
FM-XC7VX485T-R3 √(2) √(2) √
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Interconnect Boards and Cables
FPGA Extension Site Compatibility
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Interconnect Boards and Cables
FPGA Pin Constraints
Caution
The maximum transfer rate depends on the chosen proFPGA FPGA module and speedgrade
of the FPGA. The board was tested with the FM-XCVU13P-R1. To achieve a datarate of 25
Gbit / s with a BER value < 10-14 on all links, a speedgrade 3 XCVU13P was used. It is not
guaranteed to achieve this BER value with a speedgrade 2 XCVU13P.
Related Work
This topic discusses related work of V2 Breakout Board (EB-PDS-BREAKOUT-R3).
profpga_run
For this board the following x-board entry is required within the system configuration file:
<instance name>:
{
type = "BOARD";
vendor = "ProDesign";
name = " EB-PDS-BREAKOUT-R3";
size = "A1A1";
positions = ("<position.pos>");
top_connectors = ( "<pos>*X1", "<pos>*X2", "<pos>*X3" );
v_io_ba1 = "AUTO";
x2_si5332_registermap_file = "<register map file>";
x3_si5332_registermap_file = "<register map file>";
};
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Interconnect Boards and Cables
Firmware Requirement
<instance name>, <position.pos>, <pos> and <register map file> must be replaced with the
correct value according to the system configuration. Please refer to the „proFPGA Software
Reference Manual” [UD002] for more information.
If no clock is needed at the output of the clock generators, it can be set to "OFF". In this case the
clock generator will not be configured on power up.
Please ensure that the system configuration file which is the input to the profpga_brdgen tool
contains a valid x-board entry using the extension board name “EB-PDS-BREAKOUT-R3”.
The system configuration file can be created manually or with the profpga_builder tool.
Please refer to the “proFPGA Software Reference Manual” [UD002] and the “proFPGA
Builder User Manual” [UD004] for more information.
Firmware Requirement
This topic discusses firmware requirement of V2 Breakout Board (EB-PDS-BREAKOUT-R3).
Caution
This board can only be used with a firmware version of 2020C or newer. Otherwise, the
board cannot be identified in the right way and will not work es expected.
Order Code
This topic discusses order code of V2 Breakout Board (EB-PDS-BREAKOUT-R3).
286742
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Interconnect Boards and Cables
TA1V1/TA2V1 Adapter Board (EB-FM-XCVU440-R1)
Functional Description
This topic discusses functional description of TA1V1/TA2V1 Adapter Board (EB-FM-
XCVU440-R1).
The EB-FM-XCVU440-R1 consists of two boards which allow to convert the proFPGA V1
connectors on modules to regular proFPGA V0 connectors. One of the two boards is dedicated
to the TA1V1 connector and the second board is dedicated to the TA2V1 connector.
Signal mapping
This topic discusses signal mapping of TA1V1/TA2V1 Adapter Board (EB-FM-XCVU440-
R1).
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Interconnect Boards and Cables
Extension Board Operating Conditions
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Interconnect Boards and Cables
FPGA Extension Site Compatibility
In the table below, (1) in total 51 IOs and CLK_IOs are connected,
(3)
only 2 HD banks available (48 IOs).
Table 7-47. EB-FM-XCVU440-R1– FPGA Extension Site Compatibility
FPGA Module TA1 TA2 TB1 TB2 BA1 BA2 BB1 BB2
FM-XC7V2000T-R1
FM-XC7V2000T-R2
FM-XC7VX330T-R3
FM-XC7VX485T-R3
FM-XC7V585T-R3
FM-XC7VX690T-R3
FM-XC7Z100-R1
FM-XC7Z045-R1
FM-XCVU440-R1 √(1) √(2)
FM-XCVU440-R2 √(1) √(2)
FM-XCVU190-R1
FM-XCVU160-R1
FM-XCVU125-R1
FM-XCVU095-R1
FM-XCVU080-R1
FM-XCKU115-R1
FM-1SG280<L,H>-R1
FM-XCVU19P-R1 √(3) √(3)
FM-XCVU37P-R1
FM-XCVU47P-R1
FM-1SG280<L,H>-R2
FM-10AX115-R1
FM-1SG10M-R1 √(1) √(1)
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Interconnect Boards and Cables
FPGA Pin Constraints
Related Work
This topic discusses related work of TA1V1/TA2V1 Adapter Board (EB-FM-XCVU440-R1).
profpga_run
For this board the following x-board entry is required within the system configuration file for
the adapter board plugged onto TA1V1:
<instance name>:
{
type = "BOARD";
vendor = "ProDesign";
name = "EB-FM-XCVU440-TA1V1-R1";
size = "A1A1V1";
positions = ("<motherboard>.TA1V1");
top_connectors = ("TA1");
v_io_ba1 = "AUTO";
};
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Interconnect Boards and Cables
Order Code
<instance name>:
{
type = "BOARD";
vendor = "ProDesign";
name = "EB-FM-XCVU440-TA2V1-R1";
size = "A1A1V1";
positions = ("<motherboard>.TA2V1");
top_connectors = ("TA1");
v_io_ba1 = "AUTO";
};
<instance name> and <position> must be replaced with the correct value according to the
system configuration. Please refer to the „proFPGA Software Reference Manual” [UD002] for
more information.
Please ensure that the system configuration file which is the input to the profpga_brdgen tool
contains a valid x-board entry using the extension board name “EB-FM-XCVU440-TA1V1-
R1” and “EB-FM-XCVU440-TA2V1-R1”. The system configuration file can be created
manually or with the profpga_builder tool.
Please refer to the “proFPGA Software Reference Manual” [UD002] and the “proFPGA
Builder User Manual” [UD004] for more information.
Order Code
This topic discusses order code of TA1V1/TA2V1 Adapter Board (EB-FM-XCVU440-R1).
286471
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Interconnect Boards and Cables
Order Code
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Chapter 8
Board Assembly Checklist
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.
Board Assembly Checklist
Note - Viewing PDF files within a web browser causes some links not to function. Use HTML for full navigation.