Download as pdf or txt
Download as pdf or txt
You are on page 1of 56

Prying Eyes: Schauer

JAN 20
Issue 2/2011
TB10012 battery
charger Pg 24
Changing times,
www.edn.com steady goals Pg 9
Remote photo
sensing Pg 22
Design Ideas Pg 47
Go ahead.
VOICE OF THE ENGINEER Make my day! Pg 54

BATTERY-STACK- HARDWARE-BASED
VIRTUALIZATION
EASES DESIGN
MONITOR ICs WITH MULTICORE
PROCESSORS

SCRUTINIZE
Page 28

MANAGING
THE CELLS
Page 36
SIGNAL INTEGRITY
IN TOMORROW’S
HIGH-SPEED
FLASH-MEMORY-
SYSTEM
DESIGNS
Page 44
We’re giving power a
digital upgrade.

Find out how our new Digital EXL Core™ technology


is redefining Power Factor Correction ICs.

Cirrus Logic’s CS1500 and CS1600 are the industry’s first Digital Power Factor
Correction (PFC) ICs to surpass ordinary analog solutions in performance and price.
Enabled by Cirrus Logic’s EXL Core technology, these digital solutions intelligently
solve traditional power management challenges with newly patented and patent
pending designs that dramatically reduce the need for bulky, high-priced components
and complex circuitry, lowering overall system cost and simplifying designs. Cirrus
Logic now makes it possible for more energy-efficient power supplies in digital
televisions, notebook adapters, PC power supplies and lighting ballast applications
through advanced digital technology that creates smarter, greener, and more energy-
efficient products.

Experience Digital PFC. Register for free samples at www.cirrus.com/ednpfc

© 2010 Cirrus Logic, Inc. All rights reserved. Cirrus Logic, Cirrus, the Cirrus Logic logo designs,
EXL Core, and the EXL Core logo design are trademarks of Cirrus Logic, Inc. EDN06242010
New! LPD3015 and LPD4012
miniature coupled inductors. Perfect for
LED drivers in portable equipment.

Pick the best coupled inductor for


your SEPIC converter in less time
than it takes to read this ad.
(and have free samples on your desk tomorrow)

With over 135 coupled coupled inductors that meet your needs. A
inductors to pick from, few more clicks gives you a detailed analysis
choosing the right one of core and winding losses. The whole process
might seem difficult. can literally take less than a minute.
But our new on-line When you’re done, be sure to ask
tools make it incredibly for free evaluation samples. We’ll
accurate and easy. ship them that same day.
Enter data about your SEPIC converter and Start your coupled inductor
you’ll get detailed specifications on all the search at coilcraft.com/sepic

RCOoMPH S
LIANT
www.coilcraft.com 800/322-2645
1.20.11
contents

Hardware-based Managing signal


virtualization eases integrity in tomorrow’s
design with multicore high-speed
Battery-stack-monitor processors flash-memory-system
ICs scrutinize the cells Offloading queue and traf- designs
Electric-vehicle and 28 fic management to hard-

36 data-center batteries
need precise measure-
ments using robust chips.
ware reduces design complexity,
improves application efficiency,
and maximizes performance.
44 Next-generation flash-
memory technology will
tout data-transfer rates as much
as 10 times faster than currently
by Paul Rako, Technical Editor by Satish Sathe, AppliedMicro available. However, increasing
distortions in the data-carrying

pulse
digital signals can cause data-
Dilbert 14 transfer failures, complicating
the management of signal integ-
rity. Proper design strategies can
help you deliver reliable, high-
12 Development kit tackles 16 400-Gbyte MLC solid-state performance products.
multi-dc/dc control strings drives target enterprises by Perry Keller,
for white and RGB LEDs Agilent Technologies
18 Microcontrollers operate
14 Ambarella augments as fast as 120 MHz
image-processing foundation
18 Gartner predicts Indian PC
with increasing integration
shipments to grow 25% in 2011
14 Chinese company increases
20 Voices: Samsung Electro-
OLED lifetime
Mechanics’ Jong-Woo Park: tar-
16 EDA tool accelerates geting smart-grid, electric-vehicle,
place and route for SOCs and biotechnology applications
COVER: DASHBOARD & LANDSCAPE: NARVIKK/ISTOCKPHOTO.COM;
BATTERY ICON: VADYM TYNENKO/ISTOCKPHOTO.COM

DESIGNIDEAS
D1
L1
220 μH
1N5819
47 Oscillator has voltage-controlled duty cycle
1 R2
k
10k 7
6 IC
8
4 Q1
C3 +
48 Generate noisy sine waves with a sound card
2 1 3 IRFZ44
555 47 μF
1 5

C2 Q2
48 Decode a quadrature encoder in software
220 pF BC547

51 Power an LED driver using off-the-shelf components

JANUARY 20, 2011 | EDN 5


mouser.com
Semiconductors and electronic
components for design engineers.

We’ve got what


design engineers
need. The future.
You’ll ðnd the newest products for your newest designs.
Get what’s next at Mouser.

Scan Here

mouser.com
m

Mouser and Mouser Electronics are registered trademarks of Mouser Electronics, Inc. The Newest Products for Your Newest Designs is a registered trademark of Mouser Electronics, Inc
contents 1.20.11
Benchmark
MOSFETs
Same Package,
60% More Current
52 54

D E PA R T M E N T S & C O L U M N S
9 EDN.comment: Changing times, steady goals

22 Baker’s Best: Remote photo sensing


24 Prying Eyes: The Schauer TB10012 battery charger LLogic
ogic LLevel
evel
26 Mechatronics in Design: You can’t just say it’s reliable
Part BVDSS RDS(on) QG ID@
52 Product Roundup: Optoelectronics/Displays, Discrete Semiconductors 4.5Vgs 4.5Vgs 25˚C Package
Number (V) (m1) (nc) (A)

54 Tales from the Cube: Go ahead. Make my day! IRLS3034-7PPBF 40 1.7 108 240* D2PAK-7
IRLB3034PBF 40 2.0 108 195* TO-220
IRLS3034PBF 40 2.0 108 195* D2PAK

online contents www.edn.com IRLS3036-7PPBF


IRLB3036PBF
60
60
2.2
2.8
91
91
240* D2PAK-7
195* TO-220
IRLS3036PBF 60 2.8 91 195* D2PAK
O N L I N E O N LY
PRYING EYES IRLS4030-7PPBF 100 4.1 87 190 D2PAK-7

Check out these Web-exclusive articles: IRLB4030PBF 100 4.5 87 180 TO-220
In EDN’s Prying Eyes, we peer inside an end- IRLS4030PBF 100 4.5 87 180 D2PAK
Critical false-path analysis user consumer gadget, a reference design, * Package limited
through sensitization methods or any other interesting electronics-
Static and dynamic false-path determination enabled thing we can get a good Features
is essential for accurate application of false look at. Unlike your average bill-of-
paths in an SOC design. materials tear-down, Prying Eyes • Suited for industrial battery, power
➔www.edn.com/110120toca aims to illuminate the tough supply, high power DC motors, and
decisions the engineers responsible power tools
Ensuring form, fit, and function
for the design had to make.
for advanced interactive digital displays • Industrial grade and MSL1
➔www.edn.com/pryingeyes
The environment in which end-user equip-
ment will be deployed has a critical influence • RoHS compliant
CE
ST CHOI
on hardware selection, including the display
What’s happening
front panel, which must provide a carefully
in the electronics world? Your FIR rmance
optimized blend of optical, mechanical, and
electrical properties. The EDN.com News Center provides for Perfo
➔www.edn.com/110120tocb real-time breaking news and analysis on For more information call
the global electronics industry, including 1.800.981.8699 or visit
READERS’ CHOICE 2010 coverage of semiconductors, lawsuits, IC www.irf.com
Take a look at 20 of the most-clicked-on design, microprocessor units, consumer
articles and blog posts from across EDN.com electronics, analog, and business trends.
in 2010. ➔www.edn.com/110120tocd
➔www.edn.com/110120tocc

EDN® (ISSN#0012-7515) is published semimonthly, 24 times per year, by UBM Electronics, 11444 W. Olympic Blvd., Los Angeles, CA 90064-
1549; 310/445-4200; FAX 310/445-4299. Periodicals postage paid at Los Angeles, CA, and at additional mailing offices. SUBSCRIPTIONS—
Free to qualified subscribers as defined on the subscription card. Rates for nonqualified subscriptions, including all issues: US, $150 one year;
$250 two years; $300 three years. Except for special issues where price changes are indicated, single copies are available for $10 US and $15 THE POWER MANAGEMENT LEADER
foreign. For telephone inquiries regarding subscriptions, call 763/746-2792. E-mail: EDN@kmpsgroup.com. CHANGE OF ADDRESS—Notices
should be sent promptly to PO Box 47461, Plymouth, MN 55447. Please provide old mailing label as well as new address. Allow two months
for change. NOTICE—Every precaution is taken to ensure accuracy of content; however, the publisher cannot accept responsibility for the cor-
rectness of the information supplied or advertised or for any opinion expressed herein. POSTMASTER—Send address changes to EDN, PO
Visit us at Booth 409
Box 47461, Plymouth, MN 55447. Canada Post: Publications Mail Agreement 40612608. Return undeliverable Canadian addresses to Pitney Fort Worth Convention Center
Bowes Inc, PO Box 25542, London, ON N6C 6B2. Copyright 2011 by United Business Media. All rights reserved. Reproduction in whole or
part without written permission is prohibited. Volume 56, Number 2 (Printed in USA).
07-09 March 2011
EDN.COMMENT

BY RON WILSON, EDITORIAL DIRECTOR

World—rather than both that magazine


and EDN.
Internal changes have also occurred,
although these changes will more slow-
ly become evident. The editorial teams
of EDN, Test & Measurement World,
Design News, ESD/Embedded.com, EE
Times Designlines, EELife, and EE Times
Changing times, steady goals now all report to a senior vice presi-
dent for content—and an engineer—
ou may have heard, if you follow such things, that

Y
Karen Field. For those of you as con-
UBM (United Business Media) has purchased Canon cerned as we are that advertiser influ-
Communications, the publisher of EDN. UBM publishes ence should not intrude into editori-
EE Times and ESD magazine, among others. This acqui- al decisions, this change is a powerful
sition is part of continuing reorganization in business-to- reassurance.
The new organization also involves
business publishing in response to a whole range of shifts more expertise. We will continue to
worldwide. The acquisition set off all manner of rumors in the advertising honor the distinction between engi-
and public-relations worlds: UBM would close EDN, would fold it into neer-written content and content from
the EE Times and Designline Web sites, or would redesign it to become the technically skilled nonengineers. We
industry’s first engineer-to-engineer animated comic book. now have more voices from more tech-
nical specializations to call upon, how-
Well, sorry; as usual, the truth is that clamor for your attention. It will ever. We believe you will soon be read-
more prosaic. continue to be, as its tag line says, the ing the benefits.
To begin with, EDN isn’t going away. voice of the engineer. We intend to keep another impor-
It is still here, and UBM is still commit- So, what will change? For one thing, tant distinction, as well. EDN has al-
ted to both the magazine and its net- I am back at EDN after a brief absence. ways been about what we might call
work of Web communities. UBM still Rick Nelson, after somehow simultane- foundational technologies: analog de-
believes that working designers deserve ously managing to guide two major in- sign; transforming, distributing, and de-
technical articles by and for en- dustry journals through some livering power; digital design; the use of
gineers, standing apart from difficult transitions, has re- processors and FPGAs; and the process
the streams of news and ven- turned to being editor-in-chief of creating ICs. The material we pub-
dor-produced marketing pieces of just Test & Measurement lish comprises either staff-written or
solicited and staff-edited, nonpromo-
tional contributed articles. Some of the
Designlines have a more application-ori-
ented flavor, focusing on how vendors
are approaching design problems in
specific application areas. Especially in
the print magazine, however, EDN will
continue to focus on engineers writing
about the foundations.
That’s it in the proverbial nutshell.
We will continue to bring you the best
engineering articles from the most au-
thoritative sources, be they working
engineers, analysts, or our own staff.
YOGY IKHWANTO/ISTOCKPHOTO.COM

And we invite your feedback: This is


a closed-loop system, and we need that
error signal.
Thank you for being our readers, and
welcome to an exciting 2011.EDN

Contact me at ron.wilson@ubm.com.

JANUARY 20, 2011 | EDN 9


13.0

Smart engineering simulation


is now even smarter.

In today’s world of smart electronics, signal integrity, power integrity and EMI analysis
are mission critical. Engineers must deliver both reliable and innovative products in ever-
shrinking development cycles. For this reason, ANSYS is pleased to introduce ANSYS 13.0,
our latest release which includes tools such as HFSS™, SIwave™ and Ansoft Designer®,
allowing engineers to identify and correct potential design or performance problems before
they reach the marketplace.

ANSYS 13.0 can help your design team achieve an even shorter total time to solution with
several new and advanced features, including:
• Greater accuracy and solution fidelity for very large electromagnetic structures
through the use of new solvers
• Higher productivity through automated 3D electromagnetic model creation,
resulting in more time to design and innovate
• Increased computational efficiency, through the use of HPC, resulting in
a dramatic speedup of wide-frequency band or parametric simulations

For speed, reliability and accuracy, more product development leaders worldwide trust
ANSYS for simulation solutions to help create smarter products in less time.

ANSYS 13.0 Advanced Fidelity. Higher Productivity. Leading Performance.

For more information, visit www.ansys.com/electronics13


or call us at 1.866.267.9724

Ansoft is now part of the ANSYS platform of simulation solutions.


ASSOCIATE PUBLISHER, COLUMNISTS

E
EDN WORLDWIDE Howard Johnson, PhD, Signal Consulting
Judy Hayes, 1-925-736-7617; Bonnie Baker, Texas Instruments

T A G
VOL
judy.hayes@ubm.com Pallab Chatterjee, SiliconMap

HIGH
EDITORIAL DIRECTOR Kevin C Craig, PhD, Marquette University
Ron Wilson LEAD ART DIRECTOR
1-415-947-6317; Marco Aguilera
ron.wilson@ubm.com Over
ASSOCIATE ART DIRECTOR
MANAGING EDITOR Tim Burns 2500 Std. Models
Amy Norcross Surface Mount and Thru-Hole
PRODUCTION
Contributed technical articles
1-781-869-7971; Michael Ciardiello,
amy.norcross@ubm.com
MANAGING EDITOR⎯NEWS
Director of Premedia Technologies
Jeff Tade,
Production Director
DC-DC Converters
Suzanne Deffree
Electronic Business, Distribution
Brian Wu, 2V to 10,000 VDC Outputs
Publications Production Manager
1-631-266-3433; Jeff Polman, Derric Treece, Low Profile / Isolated
suzanne.deffree@ubm.com Senior Production Artists Up to 10,000 Volts Standard
SENIOR TECHNICAL EDITOR William Baughman, Lucia Corona Regulated Models Available
Brian Dipert Ricardo Esparza,
Consumer Electronics, Production Artists
Multimedia, PCs, Mass Storage EDN EUROPE
1-916-548-1225; Graham Prophet,
brian.dipert@ubm.com Editor, Reed Publishing
TECHNICAL EDITOR gprophet@reedbusiness.fr
Margery Conner EDN ASIA
Power Sources, Components, Wai-Chun Chen,
Green Engineering Group Publisher, Asia
1-805-461-8242; waichun.chen@ubm.com
margery.conner@ubm.com Kirtimaya Varma,
TECHNICAL EDITOR Editor-in-Chief
Mike Demler kirti.varma@ubm.com
EDA, IC Design and Application EDN CHINA
1-408-384-8336; William Zhang,
michael.demler@ubm.com Publisher and Editorial Director
TECHNICAL EDITOR william.zhang@ubm.com
Paul Rako Jeff Lu,
Executive Editor diately
Analog, RF, PCB Design imme
talog com
1-408-745-1994; jeff.lu@ubm.com
ICO’s fu
ll ca
c t r o nics.
paul.rako@ubm.com EDN JAPAN See P
coel e
.pi
DESIGN IDEAS EDITOR Katsuya Watanabe, www
Martin Rowe, Publisher
Senior Technical Editor, katsuya.watanabe@ubm.com
Test & Measurement World
edndesignideas@ubm.com
SENIOR ASSOCIATE EDITOR
Ken Amemoto,
Editor-in-Chief
ken.amemoto@ubm.com
High
Frances T Granville, 1-781-869-7969;
frances.granville@ubm.com
EXECUTIVE OFFICERS
Paul Miller,
Chief Executive Officer
Power
ASSOCIATE EDITOR
Fred Gysi,
Jessica MacNeil, 1-781-869-7983;
Chief Financial Officer
Up to 350 VDC Outputs
jessica.macneil@ubm.com
Mike Deering, (Units up to 150 Watts)
CONSULTING EDITOR Chief Technology Officer
Jim Williams,
Regulated / Wide Input Range
Stephen Corrick,
Staff Scientist, Linear Technology Vice President/Executive Director
Isolated Outputs
edn.editor@ubm.com Kevin O’Keefe,
CONTRIBUTING TECHNICAL EDITORS Senior Vice President, Events Division
Dan Strassberg, Roger Burg,
strassbergedn@att.net Vice President, Operations
Nicholas Cravotta, Jason Brown,
editor@nicholascravotta.com Vice President, E-media
Robert Cravotta
robert.cravotta@embeddedinsights.com

INDUSTRIAL • COTS • MILITARY


Delivery Stock to One Week
EDN. 33 Hayden Avenue, Lexington, MA 02421. www.edn.com. Subscription inquiries: 1-763-746-2792; for sample quantities
EDN@kmpsgroup.com. Address changes: Send notice promptly to PO Box 47461, Plymouth, MN 55447.
Please provide an old mailing label as well as your new address. Allow two months for the change.

UBM Electronics, 11444 W. Olympic Blvd., Los Angeles, CA 90064-1549; 1-310-445-4200; fax: 1-310-445-4299.
PICO ELECTRONICS, Inc.
143 Sparks Ave., Pelham, New York 10803
See EEM or send direct for Free PICO Catalog
Call Toll Free 800-431-1064 • FAX 914-738-8225
E Mail: info@picoelectronics.com
pulse
EDITED BY FRAN GRANVILLE

INNOVATIONS & INNOVATORS

Development kit tackles multi-dc/dc TALKBACK


“While a leap
control strings for white and RGB LEDs of imagination
s LED-lighting designs become more of 32-bit performance; eight PWM channels; is still required,
A complex, combining multiple strings
of white or RGB (red/green/blue)
LEDs, their control and drive circuits must
and a 13-channel, 12-bit ADC to support
robust and flexible LED designs.
The device’s GUI (graphical user interface)
reading a mind
may not be that
far off ... for good
also become more powerful. Texas Instru- simplifies evaluation by providing simplified
ments’ newest LED-development kit shows control to adjust power-stage current lev- or for ill. Who
how you can use the low-cost but power- els for experimentation with brightness and protects us all
ful Piccolo microcontroller to perform multi- color mixing. Software-configurable maxi- from misuse? Big
dc/dc control for white and color LEDs. The mum current allows for simple field upgrades
Brother? Obama?
kit includes separate dc/dc power stages to and offers flexibility to adjust power stages in
control two RGB- and two white-LED strings software as opposed to time-intensive rede-
I think not!!!”
—Researcher “HG,” in EDN’s
or as many as eight white-LED strings. The sign of system hardware. The devices also
Talkback Section, at http://bit.
kit’s C2000 Piccolo microcontroller has the feature onboard isolated XDS100 USB (Uni- ly/ekrbxz. Add your comments.
bandwidth and processing power to con- versal Serial Bus) JTAG (Joint Test Action
trol all of the power stages, as well as other Group) emulation, which simplifies debugging
functions, such as color mixing, PWM (pulse- and programming and reduces system cost.
width-modulation) dimming, thermal sensing Free, easy-to-use ControlSuite software
and correction, ambient-lighting compensa- includes examples for closed-loop average
tion, and LED-fault protection. TI’s develop- current control of dc/dc power LED-driver
ment kits use a control board that lets you stages and detailed lab documentation of
swap in a new controller board and evalu- software structure and performance. The kit
ate different microcontrollers as they become sells for $499.—by Margery Conner
available. The kit also includes a detachable ▷Texas Instruments, www.ti.com.
LED panel with white and RGB LEDs.
Other features include eight digitally con-
trolled dc/dc power stages, allowing
developers to adjust cur-
rent levels to
control color
and bright-
ness of individual
strings; two SEPIC
(single-ended-primary-
inductance-converter) stages
that support white LEDs; and
TI’s LED-development
six boost power stages that sup- kit lets you use the
port color mixing for RGB-LED strings by low-cost but powerful
providing individual current control of the red, Piccolo microcontroller to
green, and blue color components. The Pic- perform multi-dc/dc control
colo F28027 control card provides 60 MHz for white and color LEDs.

12 EDN | JANUARY 20, 2011


Move Your Automated
Test Beyond the Box

Engineers around the world are making the software-


PRODUCT PLATFORM
defined PXI platform the cornerstone of their test
system architectures. With more than 1,500 modular PXI modular instrumentation
instruments available from more than 70 vendors, PXI NI LabVIEW graphical software
delivers the functionality and flexibility you need to build
NI TestStand software
a better test system while reducing cost and size.

>> Learn how PXI can help you at ni.com/beyond 800 891 8841

©2010 National Instruments. All rights reserved. LabVIEW, National Instruments, ni.com, and NI TestStand are trademarks of National Instruments.
Other product and company names listed are trademarks or trade names of their respective companies. 0936
pulse
Ambarella augments image-processing it rolled out in September. The
company fabricated the ini-
foundation with increasing integration tial A5, which it unveiled dur-
ing the 2009 CES, on a 65-nm
mbarella last month advanced- lithography. To avoid a reti-

A unveiled its latest-gen-


eration image-pro-
cessing platform, iOne, which
technology-
attachment)
transceiver
cle-busting die size with req-
uisite cost and yield negative
impacts, Ambarella outfitted
includes a dual-core ARM along with a iOne with a subset of the A7’s
Cortex-A9 system-processing GbE (giga- DSP resources, albeit still label-
nexus. Ambarella reports that bit-Ethernet) ing the device as delivering an
its customers are increasingly MAC (media- “A5S++-class hybrid-camera
embedding full-blown operat- access con- function.”
ing-system builds—specifically, troller), whose Ambarella’s iOne is cur-
Google’s Android, due to its bandwidth rently available for sampling
open-source and no-licensing- potential will to lead customers, with pro-
The iOne
fee attributes—in their system come in handy image- duction capability slated for
designs. As such, iOne’s CPU in high-defini- processing early in the second quarter of
integration negates the need to tion networked- platform delivers this year. It costs $25 (volume
provide separate IC-system- camera applica- an A5S++-class quantities). For those of you
processor facilities. tions. The product also hybrid-camera hoping to catch the 3-D wave;
Ambarella also equipped includes a 32-bit DDR3 function. the chip embeds dual image-
iOne with an Imagination Tech- SDRAM interface, which com- sensor interfaces and therefore
nologies (www.imgtec.com) bines high bandwidth poten- proprietary-architecture still- integrates the left-plus-right-
PowerVR SGX540 graphics tial with low bus pin count and image and video-DSP subsys- eye, dual-stream-combining
core, along with incremental finer-grained aggregate memory tems. However, Ambarella built function that the company’s
and improved system-interface requirements versus a 64-bit iOne on the same 45-nm pro- stand-alone S3D Full HD cam-
ports versus those in the previ- bus alternative. cess as its predecessors: the era preprocessing previously
ous-generation A5S and A7. Like its precursors, iOne A5S, which it introduced at the handled.—by Brian Dipert
Notably, iOne for the first includes an ARM11 core to 2010 CES (Consumer Elec- ▷Ambarella,
time embeds a SATA (serial- coordinate the activities of the tronics Show), and A7, which www.ambarella.com.

Chinese company For example, the Osram (www. The


osram.com) Orbeos OLED lasts
technology
increases OLED lifetime for approximately 500 hours,
compared with LED lifetimes of provides an
LEDs (organic light- devices, monitors, and TVs; 20,000 hours and beyond. OLED lifetime of
O emitting diodes) have
several advantages
over traditional LEDs: OLEDs
and can potentially serve as
large-surface-illumination/light-
ing devices. Imagine wallpaper
Targeting this problem, Chi-
nese OLED company Visionox
and Tsinghua University (www.
100,000 hours.
els. According to China Daily,
can be less expensive to man- that also illuminates a room. tsinghua.edu) have teamed up the company has developed a
ufacture; can serve as rugged, Despite those features, OLEDs to develop a technology to pro- structure that delivers emission
colorful displays for handheld have relatively short lifetimes. long the lifetime of OLED pan- through a composite light-emit-
ting layer that provides a 20-fold
DILBERT By Scott Adams increase in the operational life
of OLEDs. Visionox claims that
current commercially produced
high-definition screens have a
450- to 1000-cdm luminance,
whereas the new technology
can provide a lifetime of as
much as 100,000 hours at a
brightness of 1000 cdm.
—by Margery Conner
▷Visionox,
www.visionox.com.

14 EDN | JANUARY 20, 2011


S P E C I A L A D V E R T I S I N G S E C T I O N

R A Q ’ s

Strange stories from the call logs of Analog Devices

Op Amp Dedicated Feedback Pin Helps


Achieve New Levels of Performance.
or Contributing Writer
John Ardizzoni is a
This feedback pin is dedicated to all those op amps Senior Application
out there tonight! Engineer at Analog
Devices in the High
Q: I’ve noticed a new pinout Speed Linear group.
on some of your high-speed John joined Analog
op amps. Why the change Devices in 2002, he
after all these years? received his BSEE
from Merrimack Col-
A: You’re right. A few years lege in N. Andover, MA
ago, Analog Devices introduced and has over 30 years
a new pinout on some of our experience in the
high-speed, high-performance
electronics industry.
amplifiers. This new pinout fea-
tures a “dedicated feedback” pin,
as Elvis is pointing out. Although
the traditional SOIC pinout, which has
been around for many years, performed a traditional op amp pinout, getting the
admirably in the past, it imposes limita- feedback signal to the inverting input
tions as speeds continue to increase. requires it to be routed around or under Have a question
the amplifier, with both options adding involving a perplex-
In a traditional SOIC pinout, pin 2 is the parasitics. With the dedicated feedback ing or unusual analog
inverting input, pin 3 is the noninvert- pinout, the feedback pin is right beside problem? Submit
ing input, pin 4 is the negative supply, the inverting input, so all that is required
your question to:
pin 6 is the output, pin 7 is the positive to make the connection between the two
supply, and pins 1, 5, and 8 are typically pins is a resistor or a trace. The dedicated www.analog.com/
no connects. But therein lies a problem: feedback pinout enables a very compact askjohn
mutual inductance between pins 3 and 4 layout that requires less board area. It
degrades the amplifier’s second-harmonic also reduces parasitics, which improves
distortion. To remedy this we rotated the high-speed performance, and provides a
pinout counter-clockwise by one pin on more streamlined layout, which improves
our LFCSP packages, breaking the cou- signal routing. For Analog Devices’
pling between the noninverting input Technical Support,
and the negative supply. This change can It’s clear that a small, but innovative Call 800-AnalogD
improve second-harmonic distortion by up change like Analog Devices’ dedicated
to 14 dB—a 500% improvement! feedback pin can make a big difference in
the performance of your next high-speed
On some of our SOICs, we also provide a amplifier design.
dedicated feedback pin, on pin 1. While
this does not improve second-harmonic SPONSORED BY
distortion, it greatly simplifies the circuit
layout and reduces parasitic effects that To Learn More About
can be detrimental to high-speed applica- High-Speed Amplifiers
tions. In high-speed circuits, layout plays http://dn.hotims.com/34920-101
a large role in circuit performance. With

JANUARY 20, 2011 | EDN 15


pulse
EDA tool accelerates and MMMC (multimode/multi-
corner) analysis to manage
ton timing analyzer and QCP
sign-off extractor. It also fea-
place and route for SOCs multiple timing scenarios. tures the Talus MX Router with
Talus 1.2 is currently in enhanced global, tracking, and
agma Design Auto- system enables engineers to use for 28-nm designs at five detailed routing capabilities.

M mation claims that


its new Talus Vortex
FX IC-implementation tool is
implement 1 million cells per
day and perform crosstalk
avoidance to detect and cor-
large semiconductor compa-
nies, according to Smith, and
the company has silicon-
The Magma Hydra design-
partitioning and floorplan-
ning tool provides the design
the first to employ distributed rect crosstalk violations during proved the product in tape- input to Talus Vortex FX, which
computing for acceleration of Magma licenses separately, to
placement and routing in SOCs PARTITION IMPLEMENT manage the distribution of par-
(systems on chips). The com- COMPLETED allel Talus place-and-route jobs
MEGACHIP
pany has also announced Talus across typically as many as 10
1.2, which integrates routing, TALUS
to 12 servers. To leverage the
timing analysis, and parasitic HYDRA VORTEX advantages of distributed com-
FX
extraction to triple flat-block puting, you must ensure that
capacity to 3 million gates from each server has an individual
the 1 million-gate capacity of license available for the Talus
Talus 1.1. MEGACHIP DESIGN APPROXIMATELY PARALLEL APPROXIMATELY
1.2 product. A typical hardware
According to Bob Smith, APPROXIMATELY 4 MILLION TO IMPLEMENTATION: 20 MILLION TO configuration uses servers with
20 MILLION TO 10 MILLION SERVER FARM 100 MILLION
vice president of product mar- 100 MILLION CELL PARTITIONS CELLS four to eight processor cores
CELLS
keting at Magma, Talus Vortex and 64 Gbytes of memory.
FX’s Distributed Smart Sync The Talus Vortex FX IC-implementation tool employs distributed During execution, Vortex FX
technology provides a three- computing for acceleration of placement and routing in SOCs. synchronizes and aggregates
fold performance boost onto the results of each Talus pro-
the improvements in stand- optimization and implementa- outs at the 40-nm node. cess to assemble the complete
alone multithreaded capability tion, advanced on-chip varia- The Talus MX timing-and- SOC.—by Mike Demler
of Talus 1.2 on a single server. tion to ensure tight timing cor- extraction engines borrow ▷Magma Design Automa-
On its own, the new Talus 1.2 relation throughout the flow, technology from Magma’s Tek- tion, www.magma-da.com.

01.20.11
400-Gbyte MLC solid-state drives target enterprises
Samsung Electronics Co Ltd is offering 100-, 200-, and shipments of solid-state drives for servers and enter-
400-Gbyte MLC (multilevel-cell) solid-state drives for prise-storage systems will increase to 6.3 million units
sampling. The drives target use as primary storage in in 2014 from 324,000 units in 2009. Revenue for the
enterprise systems. The devices use 30-nm-class MLC solid-state-drive enterprise market should grow more
NAND-flash chips with a toggle DDR interface and a than seven times from $485 million to $3.6 billion dur-
controller that uses a 3-Gbps SATA (serial-advanced- ing the same period.
technology-attachment) interface. Samsung claims “As more and more server makers are adopting solid-
that the drives can process random read commands at state drives for use in eco-friendly platforms that con-
43,000 IOPS (inputs/outputs per second) and random sume less electrical power, the need for high-density
writes at 11,000 IOPS. The company compares that drives in the server market is growing rapidly,” says
performance to a 15,000-rpm hard-disk drive, which Byungse So, senior vice president for the memory-
has an IOPS rate of 350, amounting to a 120-fold gain product planning and application-engineering team
in random-IOPS-read performance and a 30-fold gain in at Samsung Electronics. “We are now expanding our
random-IOPS-write performance. lineup to include high-density solid-state drives using
The solid-state drives have a 150-times-higher IOPS/ MLC NAND-flash memory.”
watt rate compared with 15,000-rpm hard-disk drives, With the new drives, Samsung widens its range
making them able to process 150 times more data at of densities to include 2.5-in., 50-, 60-, 100-, and
the same power consumption, the company claims. The 120-Gbyte drives using SLC NAND-flash memory and
drives also feature an end-to-end data-protection func- 2.5-in., 100-, 200-, and 400-Gbyte drives using MLC
tion with an advanced data-encryption algorithm for NAND-flash memory. The company also has 3.5-in.,
drive reliability and security. 100- and 200-Gbyte SLC drives. Samsung will begin
The solid-state-drive market is increasing at a solid mass-producing the MLC-based enterprise drives this
pace, according to market-research-company projec- month.—by Suzanne Deffree
tions. Gartner Inc (www.gartner.com) estimates that ▶Samsung Electronics, www.samsung.com.

16 EDN | JANUARY 20, 2011


pulse
Microcontrollers operate as many as 64 branches in a
cache memory. The 128-bit-
MAC (media-access-control)
addresses or cryptographic
as fast as 120 MHz wide flash-memory interface keys.
enables simultaneous fetching An evaluation board, the
TMicroelectronics has flash memory, and the STM207 of multiple 8-bit instructions STM3220G-Eval, is available

S announced the Cortex-


M3 F-2 series of micro-
controllers, which add more
adds a second USB port
and an Ethernet port. It also
includes an 8- to 14-bit paral-
and provides a 128-bit-wide
prefetch queue for data.
The memory resources
as a vendor-neutral platform
and comes with no IDE (inte-
grated device electronics) or
than 30 pin- and software- lel camera interface that sup- include 4 kbytes of battery- JTAG (Joint Test Action Group)
compatible parts to the STM32 cable, supporting a choice of
family.
The company has also
The adaptive-real-time memory development environments.
The STM Cortex-M3 F-2
revealed its plans for the ARM accelerator reduces program- series is now available for sam-
Cortex-M4 and -M0 and plans branch-execution delay by saving pling for OEMs and will be avail-
to make products available for
sampling starting in midyear
as many as 64 branches in a able for production during the
first quarter of this year. Prices
and for production by the end cache memory. for the F-2 series start at $3.18
of this year. (10,000) for the ST-205 with
The company manufactures ports data rates as high as 48 backed SRAM and 528 bytes 128 kbytes of flash memory.
the STM32 F-2 in a 90-nm Mbytes/sec. The STM215 and of OTP (one-time-programma- —by Mike Demler
CMOS process, and it oper- STM217 include 512 kbytes of ble) memory for storage of criti- ▷STMicroelectronics,
ates at clock speeds as high as flash memory and add a cryp- cal user data, such as Ethernet www.st.com/stm32.
120 MHz. The devices include tography/hash processor to

01.20.11
on-chip voltage regulators to implement 3DES (Triple Data
convert external supplies of Encryption Standard), AES GARTNER PREDICTS INDIAN PC
1.65 to 3.6V for internal 1.2V (Advanced Encryption Stan- SHIPMENTS TO GROW 25% IN 2011
core operation. The product dard) 256, and SHA (secure PC demand is growing beyond the largest cities in India
portfolio offers a range of 64- hash algorithm) 1 encryption. and will see shipments rise nearly 25%, according to a
to 176-pin packages, including Three 2M-sample/sec ADCs recent forecast from Gartner Inc. The market-research
LQFP64, LQFP100, LQFP144, are available, with an on-chip company has estimated that Indian PC shipments will
and UFGBA176, along with the temperature sensor and a two- total 13.2 million units in 2011, a 24.7% increase from
WLCSP64, which measures channel, 12-bit DAC. 2010 levels. “There is an increased aspiration to own
less than 4×4 mm. The company’s ART (adap- a PC in India,” says Vishal Tripathi, principal research
The STM205 includes one tive-real-time) memory acceler- analyst at Gartner. “The younger generation is contribut-
USB (Universal Serial Bus) port ator reduces program-branch- ing to the large-scale adoption of PCs in India in a sig-
and 128 kbytes to 1 Mbyte of execution delay by saving nificant way. Besides reading and surfing the Internet,
the usage of PCs for watching movies, listening to
music, and gaming is playing a pivotal role in driv-
ing demand.”
Gartner notes that vendors are seeing an increas-
ing demand from smaller cities in India in which PC
penetration has grown considerably. The company
attributes that growth to rising income and declining
PC prices.
The research company predicts that mobile PCs
will outnumber desktops in India by the fourth quar-
ter of this year. Still, the total number of desktop
shipments should be higher than those of mobile
PCs. In 2011, the desktop-PC market will grow 5%,
totaling 7.2 million units, and mobile-PC shipments
will grow 61% with 5.9 million units. “The Indian PC
market is far from reaching maturity, unlike the other
developed countries,” says Tripathi. “India contrib-
utes significantly to the overall growth of PC ship-
ments worldwide.”—by Suzanne Deffree
The Cortex-M3 F-2 series of microcontrollers adds more than ▶Gartner, www.gartner.com.
30 pin- and software-compatible parts to the STM32 family.

18 EDN | JANUARY 20, 2011


POWER MANAGEMENT | ANALOG & RF | INTERFACE & CONNECTIVITY | CLOCKS & TIMING | MEMORY & LOGIC | TOUCH & USER INTERFACE | VIDEO & DISPLAY | AUDIO

Integrated Device Technology –


Leader in PCI Express® Solutions

PCI Express Switches PCI Express Bridges


Industry’s most comprehensive family High performance PCIe bridging to
of high-performance, scalable legacy PCI and PCI-X protocols
PCIe switching solutions t 6MUSBMPXQPXFSWFSTJPOGPS
t (FOBOE(FO1PSUGPMJP  DPOTVNFSBQQMJDBUJPOT
t 6QUPMBOFBOEQPSUEFWJDFT t 'PSXBSENPEFCVGGFSPQUJNJ[BUJPO
Integrated Device Technology
t )JHIMZGMFYJCMFQPSUDPOGJHVSBUJPOT t 0OMZ1$*&YQSFTTCSJEHFTXJUI
t 6OQSFDFEFOUFEOPOUSBOTQBSFOU  4IPSU5FSN$BDIJOHGPSTJHOJGJDBOU The Analog and Digital Company™
 CSJEHJOH /5# GVODUJPOTUP  JNQSPWFNFOUJO1$*3FBEQFSGPSNBODF
 FOBCMFNVMUJSPPUBQQMJDBUJPOT t 1JODPNQBUJCJMJUZXJUIDPNQFUJUJWF
 PGGFSJOHGPSEVBMTPVSDJOHTPMVUJPO
PCI Express Repeaters
5Gbps Gen 2 PCIe Signal Repeaters PCI Express Clocking Solutions
t 'PVSBOEFJHIUDIBOOFMTJHOBMSFQFBUFST Industry’s broadest offering of
t $PNQFOTBUFTGPSDBCMFBOE1$#USBDF PCIe Gen1, Gen2 and Gen3 clock
 BUUFOVBUJPOBOE*4*KJUUFS generation and buffering solutions
t 1SPHSBNNBCMFSFDFJWFSFRVBMJ[BUJPOUP t 8PSMET'JSTU1$*&YQSFTT(FO'BNJMZ
 E#BOEEFFNQIBTJTUPE#  PG5JNJOH%FWJDFT
t -FBEJOHFEHFQPXFSNJOJNJ[BUJPOJO t $MPDL(FOFSBUPSTBOE4ZOUIFTJ[FST
 BDUJWFBOETIVUEPXONPEFT t 4QSFBE4QFDUSVN$MPDL(FOFSBUPST
t /PFYUFSOBMCJBTSFTJTUPSTPSSFGFSFODF
t 'BOPVU#VGGFSTBOE.VYFT
 DMPDLTSFRVJSFE
t +JUUFS"UUFOVBUPST
t $IBOOFMNVYNPEF EFNVYNPEF 
 UPDIBOOFMTNVMUJDBTU BOE t 'SFRVFODZ5SBOTMBUPST For more information visit
 ;TXJUDIGVODUJPONPEF t ;FSP%FMBZ#VGGFST us online at www.IDT.com
pulse
products in the current
IT-based product portfolio. At
the same time, internal com-
petitiveness is being strength-
ened and new technology

VOICES developed to advance into


new business areas.
To this end, we plan to
Samsung Electro-Mechanics’ grow as a top-tier maker
Jong-Woo Park: targeting conductor substrates are of MLCCs, substrates, and
essential for transferring semi- power supplies—all core
smart-grid, electric-vehicle, conductor signals to the business areas. At the same
and biotechnology motherboard. time, we will take advantage
of our core technologies in
applications Could you comment on materials, optics, and radio-
your supply-chain-manage- frequency devices to cultivate
amsung Electro-Mechanics has grown continuously

S through constant investment and R&D since its establish-


ment in 1973 to become one of the leading electronics-
parts manufacturers, according to Chief Executive Officer Jong-
ment capabilities?

A
Since I was appointed
chief executive officer in
January 2009, we have built a
new growth opportunities in
the smart grid, EV (electric-
vehicle) power-supply, EV
traction-motor, biotechnology,
Woo Park. He says that the company has continued to break
companywide SCM [supply- and health-care fields.
quarterly sales records since last year, becoming the fifth-largest
chain-management] system
electronics-parts maker. Sales reached approximately 5.5 trillion
to prevent inefficiency and Can you elaborate on those
Korean won on a consolidated basis in 2009 and approximately
realize smart management. applications?
3.5 trillion Korean won in the first half of 2010. EDN recently con-
SCM is a companywide inno- In the smart-grid sector,
ducted an e-mail interview with Park, a portion of which follows.
For the complete version, go to www.edn.com/110120pa.
vation program designed to A Samsung is now
ensure operational predictabil- involved in power conver-
What product lines does years. MLCCs are essential ity by seamlessly connecting sion—photovoltaic inverters
Samsung Electro-Mechan- parts for all kinds of electronic all parts, from customers to and smart meters for remote
ics offer? products, including mobile suppliers. The SCM solution measuring—and module ser-
Samsung now pro- phones, PCs, and TV sets. guarantees just-in-time pro- vices. The company is also
A duces essential parts The device controls the flow duction and reduces resource participating in various test-
and components for mobile of current in electric circuits. waste, raising profitability. bed projects organized by the
phones, TVs, computers, and Of course, even though Korean government. We also
other advanced IT products. How have PCBs helped we build an SCM system, it plan to expand into drive
For mobile phones, Samsung Samsung’s business? is of no use if we do not use motors, electric-power-control
makes mobile-phone sub- In the PCB-for- it properly. Top management systems, quick chargers, and
strates, camera modules, pre- A semiconductor seg- must be the first to under- parts for automotive electrical
cision motors, and MLCCs ment, we have maintained the stand the system and apply it systems by leveraging our
[multilayer ceramic capaci- top share of the world market in everyday operations. Then, technologies related to power
tors]. In addition, Samsung since 2007. This [achieve- the rest of the work force supplies and motors.
makes components for dis- ment] has been made possi- must all follow the rules and As for biotechnology, we
play devices, including power ble by our launching new processes that have been laid are now promoting cell chips
modules, network modules, models, such as one with the out to achieve the expected for toxicity monitoring and
digital tuners, and MLCCs, world’s thinnest substrate, at results. This [approach] will drug-releasing systems by
and the company makes the right time and securing also allow us to work smarter. applying MEMS [microelec-
components for computers, stable product yields. The tromechanical-system] tech-
including network modules, semiconductor substrate is an What are your plans for the nology obtained through our
semiconductor substrates, auxiliary part that acts as a future? ink-jet-printer business. The
and MLCCs. bridge between the semicon- We will join the ranks of future strategy of Samsung is
ductor and the motherboard. A the top-tier players by to expand into energy, envi-
What is the significance of Semiconductors, which have 2015 by improving the way ronment protection, EV parts,
the MLCCs? high density, cannot be individual employees do their and biotechnology by taking
MLCCs and PCBs directly attached to the main jobs and realizing even greater advantage of convergence
A [printed-circuit boards] PCB in the way that condens- changes within the company. and modularization.
have greatly contributed to ers, resistors, and other pas- Samsung is now expanding —interview conducted
corporate growth in recent sive parts can. Thus, semi- the weight of value-added and edited by Rick Nelson

20 EDN | JANUARY 20, 2011


The World’s Standard.

Performance Analysis – DC to 80 GHz

Bench Debug – 100 MHz to 1 GHz

Basic Visualization – 40 to 500 MHz

Oscilloscopes from Basic to Bench and Beyond.


Whatever your need, there is a Tektronix oscilloscope to fill it. Tektronix offers the world’s broadest portfolio of oscilloscopes
to debug and test tomorrow’s designs, today. Start with Tektronix Basic oscilloscopes: fast, familiar and affordable; they’re
what you know and trust. Our Bench oscilloscopes provide next-level productivity, with the feature-rich tools you need to
debug today’s complex mixed signal designs. And for those who demand fast, flexible, in-depth PHY layer analysis, our
Performance oscilloscopes offer the industry’s best signal fidelity, verification and characterization capabilities to help you
shorten your design cycles.

No wonder 8 of 10 engineers worldwide trust Tektronix to help them bring advanced designs to market on time and on
budget. Wherever you’re going, we’ll get you there.

See why Tektronix is the World’s Standard


in Oscilloscopes and download your free
Oscilloscope Primer.
www.tektronix.com/worldstandard

Source: Reed Research. © 2010 Tektronix, Inc. All rights reserved. Tektronix products are covered by U.S. and foreign patents, issued and pending. TEKTRONIX and the Tektronix logo are registered trademarks of Tektronix, Inc.
BAKER’S BEST

BY BONNIE BAKER

is to have CA2<<(CA1+CCA+CPD), where


CA1 and CA2 represent the sum of their
input differential and common-mode
capacitance.
With this design, you exchange one
noise problem, A1, with another, A2.
The unity-gain buffer removes the
noise effect from A1. A good approach
Remote photo sensing is to make the noise of A2 less than or
hotodiodes transform a basic physical occurrence, light, equal to that of A1.

P
The difference between the input
into an electrical form, current. Design engineers methodi-
signal and the output signal in this sys-
cally convert the photodetector’s current to a usable volt- tem falls across the cable/diode capaci-
age, which makes the manipulation of the photodiode signal tance. You can keep this difference low
manageable. There are many ways to approach the photo-
sensing-circuit problem, but one issue came to mind as I read A2’s input capaci-
the comments in the Talkback section for a recent column (Reference 1). tance is the only
A reader requested a circuit that reduces the noise impact of a photodiode
with a large parasitic capacitance.
capacitance that
A classic photo-sensing system cir- tance increases the circuit’s noise gain
plays a role in the
cuit has a photodiode, an operation- unless you increase the amplifier’s feed- ac-transfer function.
al amplifier, and a feedback resistor/ca- back capacitor. If the feedback capaci-
pacitor pair at the front end. Recalling tor, CF, increases, the bandwidth of the by selecting A2 with wider bandwidth
a circuit from another column, in this circuit decreases. than A1 and keeping A2’s output im-
circuit, the photodiode, amplifier, and To fix this problem, you can use a pedance low. A2’s gain roll-off intro-
feedback-capacitance elements limit bootstrap circuit (Figure 1). Photo- duces an upper limit for the bandwidth
the bandwidth of the circuit (Refer- diodes with a relatively low diode capac- improvement, making A2’s bandwidth
ence 2). Yet another column details the itance do not benefit from this circuit. much greater than that of A1. This cir-
stability of this circuit (Reference 3). A unity-gain buffer, A2, removes the ca- cuit requires stability optimization as
When sensing with a photodiode ble’s capacitance and the photodiode’s you balance CF and the input capaci-
with a large parasitic capacitance or parasitic capacitance from the input of tance of A2 (references 4 and 5).EDN
from a remote site, the input of the am- the transimpedance amplifier, A1.
plifier has a large capacitance across its When designing this circuit, you’ll REFERENCES
input. The result of this added capaci- find that the type of amplifier you se- 1 Baker, Bonnie, “A good holiday-sea-
lect for A2 is somewhat easy. son project,” EDN, Dec 15, 2010, pg
The only important per- 18, http://bit.ly/fG59Ly.
CF
Ľ formance specifications are 2 Baker, Bonnie, “The eyes of the elec-

A2
low input capacitance, low tronic world are watching,” EDN, Aug
RF
à noise, a wider bandwidth 7, 2008, pg 24, http://bit.ly/i5lr65.
than A1, and low output 3 Baker, Bonnie, “Transimpedance-

impedance. amplifier stability is key in light-sensing


CCAàCPD In this design, A2’s input applications,” EDN, Sept 4, 2008, pg
Ľ capacitance is the only ca- 24, http://bit.ly/hG4bNW.
IPD A1 VOUT pacitance that plays a role 4 Graeme, Jerald G, Photodiode Ampli-
à in the ac-transfer function fiers: Op Amp Solutions, McGraw-Hill,
of the transimpedance sys- 1996, ISBN 0-07-024247-X.
tem. The input capacitance 5 Kurz, Dov, and Avner Cohen, “Boot-
CPD: PHOTODIODE PARASITIC CAPACITANCE
CCA: CABLE CAPACITANCE of the buffer replaces the strapping reduces amplifier input
summation of the input ca- capacitance,” EDN, March 20, 1978.
Figure 1 You can use bootstrapping to eliminate pacitance of A1, the cable
diode capacitance and cable capacitance from the capacitance, and the parasit- Bonnie Baker is a senior applications engi-
transimpedance-design problem. ic capacitance of the photo- neer at Texas Instruments. You can reach
diode. A good rule of thumb her at bonnie@ti.com.

22 EDN | JANUARY 20, 2011


Who makes the fastest real-time oscilloscopes?

?
100 MHz - 200 MHz
100 MHz - 500 MHz

20 MHz - 40 MHz
100 MHz - 1 GHz

60 MHz - 200 MHz The fastest-growing 100 MHz - 1 GHz

oscilloscope company.*

DC - 90 GHz Sampling
100 MHz - 1 GHz

2.5 GHz - 13 GHz 600 MHz - 4 GHz

Introducing 16-32 GHz


Agilent Infiniium 90000 X-Series

Our portfolio offers families engineered to deliver the best:


™7ZhibZVhjgZbZciVXXjgVXn
™7gdVYZhibZVhjgZbZciXVeVW^a^in
™7Zhih^\cVak^h^W^a^in
™BdgZhXdeZi]Vcndji]dj\]indjXdjaYV[[dgY

Are you using the best scope?


Take the 5-minute scope challenge and find out.
www.agilent.com/find/scopecheck
*Prime Data 2009 Market Growth Analysis.
© Agilent Technologies, Inc. 2010 u.s. 1-800-829-4444 canada 1-877-894-4414
PRYING EYES PAUL RAKO • TECHNICAL EDITOR PRY FURTHER AT EDN.COM
+ Go to www.edn.com/pryingeyes
for past Prying Eyes write-ups.

The Schauer TB10012 battery charger


his charger worked fine for 30 years. When it stopped working a couple of years ago,

T I pried into its interior to see what was wrong. It turns out that the failure was purely
mechanical. I must have dropped the unit, which dislodged the copper PCB (printed-
circuit board) from its isolated mounting and allowed it to short-circuit the case. In
addition, the movement of the heat sink caused a pin to break off the output transistor
that was mounted on it. I repaired the unit by pushing the heat sink back onto the insulated boss
and re-soldering the wire to what remained of the transistor pin. It seemed that the charger was
still broken until I remembered that it was designed to have no output until it was hooked to a
battery. Once I biased up the control board with a few volts from a dead motorcycle battery, the
charger worked fine, including the adjustable output voltage on the control board. An important
factor in the longevity of the unit is that the designers did not use electrolytic capacitors.

The design uses puck- A thermal circuit The mounting of the The charger’s main trans-
style diodes similar to breaker limits control PCB allows an former features primary and
those in automotive alter- output current adjustment potentiom- secondary windings on sepa-
nators. Manufacturers in case the out- eter to extend from rate bobbins, similar to the
solder them directly to put transistor the back of the case. high-isolation transformers
the copper heat sink. The short-circuits. The board regulates that medical equipment uses.
two wires to the diodes The breaker is the output voltage and The case includes a ground
are the ends of a center- wired into the prevents output unless wire in accordance with UL
tapped transformer’s negative side an attached battery is (Underwriter Laboratories)
secondary winding. of the output. present. requirements.

The output pass transistor


has two thick wires soldered
to each of its pins. The
wire from the control PCB
caused stress, which broke
off the transistor’s base pin.

A thin copper sheet serves


as a heat sink. A square hole
in the bottom snaps over a
plastic mounting boss in the
outer case. The heat sink
operates at 15V, the raw
unregulated rectified output
of the transformer.

24 EDN | JANUARY 20, 2011


Support Across The Board.
From Design to Delivery
Now, you can have it all.™
Faster and easier than ever before. Our commitment
to customer service is backed by an extensive product
offering combined with our supply chain and design
chain services – which can swiftly be tailored to meet
your exact needs. We have dedicated employees who
have the experience to provide the highest level of
customer service with accuracy and efficiency. All of
our technical experts are factory certified on the latest
technologies, providing you the expertise to move
projects forward with speed and confidence.

Avnet offers the best of both worlds: extensive product


and supply chain knowledge, and specialized technical
skill which translates into faster time to market – and
the peace of mind that comes from working with the
industry’s best. Avnet is ranked Best-In-Class*
for well-informed sales reps, knowledgeable
application engineers and our design engineering
services – proof that we consistently deliver:

> Industry recognized product expertise


> Specialized technical skills

Ready. Set. Go to Market.™


Visit the Avnet Design Resource Center™ at:
www.em.avnet.com/drc

1 800 332 8638


www.em.avnet.com

*As rated by Hearst Electronics Group: The Engineer & Supplier Interface Study, 2009. Follow us on Twitter!
©Avnet, Inc. 2011. All rights reserved. AVNET is a registered trademark of Avnet, Inc. www.twitter.com/avnetdesignwire
TIVE AERO
MO SP
TO A CE
AU
G M
N E
Control

D
S
Systems

IC
S E

A
OC

L
MECHATRONICS

PR
Digital Control Control

XE
Systems Electronics

M AT E R I ALS

ROG
RAPHY
Software mechatronics Electronic

IN DESIGN
Systems

FRESH IDEAS ON INTEGRATING Electro-

DE
Mechanical

NG
CAD mechanics

FE
MECHANICAL SYSTEMS,

RI
N

TU
ELECTRONICS, CONTROL SYSTEMS,

SE
Mechanical

C
FA
AND SOFTWARE IN DESIGN

S
Systems

U
T

S
E N
M A
S M
CO
NSU C TS
MER PRODU

You can’t just say it’s reliable


Understanding the physics of failure and having a systems approach are
essential to modern engineering.

man’s got to know his limitations.” This line is one cluding fatigue, wear, and tempera-

“A of the more memorable that Clint Eastwood deliv-


ers in the movie Magnum Force, and it shows great
wisdom. How does this line relate to engineering-system re-
ture; the failure sites; and the failure
modes.
The failure modes of a mecha-
liability, however? tronic system include those of me-
What do we mean when we say that someone is reliable? chanical, electrical, computer, and
Is it possible to say that a person is always reliable or just control subsystems—that is, hard-
sometimes reliable, in all circumstances or in just some cir- ware and software failures. A phys-
cumstances? You must apply the same questions to an en- ics-of-failure approach can improve
Kevin C Craig, PhD,
gineering-system design because reliability cannot be an reliability, reduce the time to deploy
is the Robert C Greenheck
afterthought. systems, reduce testing and costs,
chair in engineering
As you become more dependent on complex mechatron- and increase customer satisfaction.
design and a professor of
ic systems, it is not sufficient to understand just how they As mechatronic systems be-
mechanical engineering,
work; you must also understand how they fail. Fault-tolerant come more complex, the interac-
College of Engineering,
system design, not just fault-tolerant component or subsys- tions among the subsystems—me-
Marquette University.
chanical, electrical, computer, and
For more mechatronics
As mechatronic systems become control—become more difficult to
news, visit mechatronics
manage, and this integration affects
more complex, the interactions the overall system reliability. There-
zone.com.
among the subsystems become fore, an assessment of overall system
more difficult to manage, and reliability must have an adequate margin for safety. A use-
ful analogy in this case is a feedback-control system. It pro-
this integration affects the overall vides great benefits, but a feedback-control system can be-
system reliability. come unstable if there is an imbalance between the strength
of corrective action, or gain, and system dynamic, or phase,
tem design, has become paramount. Reliability is the prob- lags. You quantify model uncertainty by assuming that either
ability that an item performs a required function under stat- gain changes or phase changes occur and that the tolerances
ed conditions for a stated period of time. An engineer thus of gain or phase uncertainty are the stability margins, gain
must define the functions a system must perform, the bound- margin, and phase margin. Real systems must have adequate
ary conditions under which the system will operate, and the stability margins. Real systems must also have adequate reli-
time during which the system must be reliable. ability margins.
Tim Kerrigan, a fluid-power consulting engineer at Mil- Mechatronics can enhance the reliability and fault tol-
waukee School of Engineering’s Fluid Power Institute, works erance of a system with prognostics, diagnostics, and built-
to ensure that industrial and government systems are reli- in test capabilities. The additional sensors and control ele-
able. He believes that a physics-of-failure approach to re- ments must be reliable, and they add cost. The long-term
liability is consistent with the model-based approach of cost of unreliability is much larger, however, than the initial
modern mechatronic-system design. It uses modeling and design cost of reliability. In addition, designing for reliabil-
analysis to design reliability into a system, perform reliabil- ity enhances energy efficiency and sustainability. Reliability
ity assessments, and focus reliability tests in areas in which and fault tolerance are competitive advantages in the com-
they will be most effective. The approach involves under- mercial market and absolute requirements in the health-
standing and modeling the potential failure mechanisms, in- care, military, and transportation sectors.EDN

26 EDN | JANUARY 20, 2011


Introducing
EDN’s New
Microsite on LEDs
Your complete source for up-to-the-minute
information on LEDs and their applications.

 Latest News from the Edi-


tors at EDN, Design News and
other Engineering Magazines

 Blogs, including Margery


Conner’s Popular “Power-
Source” Blog

 Resource Center, Includ-


ing White Papers, eBooks,
Tutorials, Webcasts
and More

 Videos, Including
Design Tips, Application
Demos, and More

 Updates on Future
In-Person Workshops
and Seminars, like
this one!

 Fun Challenges to Test Your


Knowledge of LEDs

Check It Out Today at


www.edn.com/leds
OFFLOADING QUEUE
AND TRAFFIC MANAGEMENT
TO HARDWARE REDUCES
DESIGN COMPLEXITY, IMPROVES
APPLICATION EFFICIENCY, AND
MAXIMIZES PERFORMANCE.

HARDWARE-BASED
VIRTUALIZATION
EASES DESIGN WITH
MULTICORE PROCESSORS
BY SATI SH SATH E • A P P LI EDMI C RO

COMPOSITE IMAGE BY TIM BURNS. LIGHT AND SIGN BOARD: CHROMATIKA/ISTOCKPHOTO.COM


oday’s SOC (system-on-chip) processors integrate a

T
diversity of cores, accelerators, and other processing
elements. These heterogeneous multicore architec-
tures provide increased computational capacity, but
the resulting complexity also poses new challenges
for embedded-system developers across a variety of
applications, including control-plane processors, video servers,
wireless base stations, and broadband gateways. Discrete cores
each have full access and control of their resources. Such predict-
able access allows straightforward management and determinis-
tic performance in applications with real-time constraints. In a
multicore architecture, however, cores share access to resources,
and potential contention complicates many design factors, such
as processing latency and deterministically handling interrupts.

28 EDN | JANUARY 20, 2011


To provide deterministic behavior event is added to a queue (Figure 2).
equivalent to that of single-core de- AT A G L A N C E Multiple requesters may simultaneously
vices, multicore architectures have be- ↘ The complexity of multicore add descriptors to one or more queues,
gun to implement resource-sharing processors poses new challenges, as well as allow selection from multiple
and management techniques that have such as the risk of contention queues waiting for a service.
been proved in network communica- for access to shared hardware The manager serves as the arbitrator
tions. These architectures use estab- resources, for embedded-system for available bandwidth among queues
developers.
lished queue- and traffic-management assigned to the same resource. It per-
techniques to efficiently allocate re- ↘ To manage the myriad interac- forms this task not only between ap-
sources among multiple cores, maximize tions within a multicore SOC (sys- plications sharing a resource but also
throughput, minimize response latency, tem on chip), you must apply among the multiple queues an applica-
and avoid unnecessary congestion. queue- and traffic-management tion may have to enable QOS (quality
techniques that have been proved of service).
RESOURCE VIRTUALIZATION in network communications. Traffic management employs polic-
From an architectural standpoint, ↘ Virtualization of on-chip resourc- ing and shaping mechanisms to meas-
SOCs are complex systems with multi- es enables each application to treat ure and control the amount of band-
ple cores that connect across a high- a resource as if it were the sole width assigned to a flow or a group of
speed fabric to a variety of controllers owner. flows. Policing controls the rate at
and resources (Figure 1). In many ways, ↘
which the traffic manager adds events
Hardware-based virtualization
the myriad interactions within an SOC accelerates the software virtualiza-
to a queue, and shaping is the rate at
resemble a communications network tion layer, enabling faster develop- which the traffic manager removes
with multiple sources, or cores, that in- ment with simplified debugging and events from the queue. For the most
terconnect to the same destinations, in- increased system reliability. control and ability to manage queue pri-
cluding memory, peripherals, and buses. ority, you must implement policing and
Not surprisingly, bandwidth-manage- shaping on a per-queue basis. The traffic
ment techniques, such as virtualization, source is available. Queues comprise a manager also maps multiple queues to a
which designers developed to improve list of buffer descriptors pointing to data single shared resource based on a pre-
network efficiency, have proved useful in a buffer, and you can implement defined servicing algorithm.
in managing traffic among multiple pro- queues in many ways, depending on the By bringing queue and traffic manage-
cessor cores and shared peripherals. needs of the applications. The number ment together, you can provide reliable,
Virtualization of on-chip resources of supported queues varies in an SOC end-to-end QOS. This approach allows
enables cores to share access; this shared from a few hundred to hundreds of multiple paths to share a resource with-
access is transparent to applications. thousands to meet the needs of various out negatively affecting bandwidth sub-
Each application can treat a resource applications. scriptions. Fine-grained QOS supports
as if it were the sole owner, and a vir- The queue manager updates the queue SLAs (service-level agreements), guar-
tualization manager aggregates shared state—that is, the queue size, head anteeing minimum, average, and max-
ownership—measured by the amount of pointer, tail pointer, and start address— imum bandwidth on a per-flow basis.
allocated bandwidth. Virtualizing and and maintains fill levels and thresholds, Developers can implement queue levels
sharing access to resources require both including full, almost full, almost emp- for marking and metering traffic to pre-
a queue manager and a traffic manager. ty, and empty. The queue manager also vent congestion. Early notification of
Applications use one or more queues to provides full memory management for congestion allows the queue manager to
buffer access to a resource. Virtualiza- each queue, including allocation and take corrective action through feedback
tion adds events or transactions to the deallocation of buffers from free pools to traffic sources to eliminate the un-
queue and pulls them off when the re- and checking of access rights when an necessary processing of packets that are

I/O COMPLEX
DDR
CONTROLLER PCIE PCIE ETHERNET ETHERNET

CPU FABRIC BRIDGE SOC FABRIC BRIDGE

FLASH
PROCESSOR PROCESSOR INTERFACE
QUEUE MANAGER/
DMA SECURITY PERIPHERAL
TRAFFIC MANAGER
CPU COMPLEX BUS USB
ACCELERATORS
UART

Figure 1 Next-generation SOCs are complex systems with multiple cores accessing the same shared resources. These systems resem-
ble a network fabric and can benefit from the same queue- and traffic-management technology that communications networks use.

JANUARY 20, 2011 | EDN 29


QUEUE STATEâ
opers. Applications, to some degree, each processor operates independent-
{QUEUE SIZE,
HEAD POINTER, had to recognize that they might share a ly of the others (Figure 3b). Through
TAIL POINTER, resource with other applications. When virtualization of resources, sharing be-
START ADDRESS} an application used a shared resource, it comes transparent to the applications.
FULL had to do so in a way that allowed co- The mechanism allocates each proces-
ALMOST FULL existence with other applications. The sor and each task resource bandwidth,
ALMOST EMPTY operating system also needed to support and each processor and task operates as
EMPTY virtualization. if it were the only controller of the re-
In a traditional architecture, proces- source. Although the gains from imple-
PROCESSOR PROCESSOR sors manage their own access to shared menting queue and traffic management
resources through a software layer (Fig- vary from application to application,
ure 3a). Processors must be aware of hardware-based resource virtualization
what resources are available and how and sharing significantly improve sys-
often they can use them. As the num- tem efficiency.
ber of processors increases, so does the A hardware-based virtualization lay-
complexity of resource sharing. One er removes or accelerates the software-
downside of software-based virtualiza- virtualization layer. Offloading virtual-
ARBITER
tion is that it introduces overhead to ev- ization substantially increases proces-
ery transaction to store and later retrieve sor efficiency. In some cases, hardware-
ETHERNET packets. Such overhead consumes pro- based virtualization removes the need
cessor cycles and introduces complexity for software-based virtualization, other
Figure 2 Hardware-based virtualization to the coding process. It also places the than during initial configuration. In oth-
offloads queue management from applica- burden of bandwidth management and er cases, hardware-based queue and traf-
tion processors, including updating queue meeting subscription guarantees on the fic management significantly accelerates
states, maintaining fill levels and thresh- virtualization software. Even when using virtualization software in the datapath.
olds, allocating and deallocating buffers, tools to automate the creation of virtual- A hardware-based virtualization lay-
and confirming access rights when an ization code, developers still must trou- er also lowers design complexity and
application accesses a queue. bleshoot application interactions as they speeds development because it elimi-
pass through the virtualization code. nates the need for developers to imple-
likely to be dropped or, ideally, to avoid The added overhead and complexity ment and design around the virtualiza-
congestion altogether. of virtualization have limited the use of tion layer. This approach simplifies de-
For example, a queue- and traffic- multicore SOCs. Queue and traffic man- sign and speeds time to market. This
management-based Ethernet driver agement, however, is a fairly determin- hardware-based layer also increases de-
prevents any one processor from un- istic process that you can implement in terminism. Elimination of virtualization
fairly monopolizing port bandwidth. It hardware. Developers configure queues overhead reduces a major source of sys-
also guarantees bandwidth allocations once for an application, and the hard- tem interrupts. This elimination in turn
and maximum-latency constraints re- ware mechanisms can then completely reduces processing latency and increases
gardless of other queue states. The offload queue management, thus restor- system responsiveness.
driver supports a choice of arbitration ing substantial computational cycles Another benefit of this approach is
schemes—strict priority or weighted back to the
round robin, for example—and facili- application
PROCESSOR PROCESSOR
tates reliable real-time services, such processors. PROCESSOR PROCESSOR
as video streaming. In the end, multi- The ability to
ple sources can share the Ethernet port dynamically
without adversely affecting bandwidth change allo-
subscriptions. Tasks such as IP (Internet cations allows
Protocol) forwarding become straightfor- modification HARDWARE-
MANAGED
ward to implement robustly, and latency- of the overall BUFFER- QUEUE
sensitive applications, such as audio or configuration DESCRIPTOR
RING
video delivery, benefit from determinis- at runtime to
tic and reliable port management. In ad- accommodate ETHERNET ETHERNET
dition, when you implement the queue changing task (a) (b)

and traffic management in hardware, the loads. Figure 3 In a traditional architecture, processors manage access to
driver can maintain end-to-end QOS In an archi- shared resources through a software layer (a). As the number of pro-
with little to no software overhead. tecture using cessors increases, so do the complexity and overhead of resource
a hardware- sharing. Hardware-based virtualization eliminates the need for a soft-
THE VIRTUALIZATION LAYER based queu- ware-based virtualization layer (b). In addition to offloading queue and
Early multicore SOCs, like the orig- ing and syn- traffic management, resource sharing becomes transparent to applica-
inal network processors, left all of the chronization tions, and each processor can operate independently of the others.
work of virtualizing resources to devel- mechanism,

30 EDN | JANUARY 20, 2011


that it simplifies debugging. Because vir-
tualization and resource sharing are hard-
ware functions, the virtualization layer
itself is not part of the development pro-
cess. However, developers still have full
access to and control of queues if nec-
essary for troubleshooting. A hardware-
based virtualization layer also increases
reliability because hardware-implement-
ed queue and traffic management is not
vulnerable to many of the issues that can
arise with a software-based implementa-
tion. For example, if the core-handling
software-based virtualization becomes
compromised, the entire system is vul-
nerable. With a hardware-based imple-
mentation, there is no centralized con-
trol routine to compromise.

PROCESSOR OFFLOADING
The level of supported queue offload-
ing depends on the implementation.
For example, some SOCs might provide
locking mechanisms but not perform all
state management of queues. Ideally, de-
velopers want a flexible system that sup-
ports different configurations, is straight-
forward to integrate with software, and
minimizes the software changes nec-
essary to adopt the SOC. A virtualiza-
tion mechanism may be efficient; if it
requires significant deviation from tra-
ditional programming models, however,
porting application code will increase
system cost and delay time to market.
How you implement queues can also
affect system performance. For example,
queue location affects which processors
can access those queues. Some queues
must reside in memory types, be spread
across multiple chips, or be tied to a re-
source. Dynamically allocated queues
give developers the flexibility to ap-
propriately partition queues to applica-
tions and resources. For systems using
multiple multicore SOCs, the ability to
manage queues over a system bus, such
as PCIe (Peripheral Component Inter-
connect Express), enables sharing of re-
sources not just between cores on the
same SOC but also between those on
different SOCs. For example, a cluster
of processors can share a single forward-
ing database. Alternatively, a multi-
ple-SOC system may have a single
deep-packet-inspection engine that ap-
plications running on different SOCs
must access. Such multichip sharing of
resources allows even further virtualiza-
tion of system resources.

JANUARY 20, 2011 | EDN 31


One of the greatest design challenges as many as 10 requesters for a resource, corrupted application may ignore its
in multichip architectures is partition- each can expect to always have at least bandwidth allocation and monopolize a
ing tasks in a way that equally spreads 10% of the bandwidth. However, if on- shared resource. Similarly, if the proces-
resource requirements among all pro- ly one requester is active, that requester sor hosting virtualization becomes cor-
cessors. In software-based virtualization, could receive 100% of the bandwidth. rupt, queuing mechanisms may fail and
this process can be time-consuming and Virtual and transparent resource al- bring down the entire system.
places a burden on designers, includ- location means that an application Hardware-based queue management
ing the challenge of efficiently manag- does not know how much bandwidth allows you to protect the various com-
ing free memory pools. In addition, any it might receive. For applications with ponents of the system from each other.
change in software can result in a shift receiver-side bottlenecks, the ability to The most basic form of fault isolation
in resource requirements, requiring de- set a maximum allocation for a resource is preventing access to memory and re-
velopers to repartition the system. Many is important for the stability of the sys- source bandwidth allocated to other ap-
of these issues apply to both asymmet- tem. This maximum allows developers, plications. To keep sharing of virtualized
rical and symmetrical multiprocessor no matter what allocation algorithm is resources completely transparent to ap-
architectures. in use, to control resource bandwidth plications, the queue and traffic manag-
With hardware-based virtualization, per application, to prevent swamping er must take action only on the corrupt-
most partitioning management takes the receiver-side processor, and to pre- ed application. In other words, you must
place in hardware, and the operating vent data loss. Developers also have shield applications from both the actions
system handles a small remainder. With the option of implementing standard of other applications and the need to ac-
this abstracted partitioning, developers mechanisms, such as IEEE 802.1Qav or commodate the failure of another appli-
can make system changes without man- 802.1Qau, to manage congestion. cation to maintain stability. Dedicated
ually repartitioning the system. This queues, by their nature, isolate faults and
approach also offloads tasks, such as SYSTEM STABILITY prevent other processors and applica-
managing free memory pools, from the An application may sometimes at- tions from effects. Such queues also fa-
application and operating system. tempt to use a resource to which it does cilitate effective error recovery; dedicat-
not have access. This situation can oc- ed queues can completely clear with no
BANDWIDTH GUARANTEES cur because of an error in programming, loss of data for other applications.
Control of a resource also extends to when an only partially updated applica- A queue- and traffic-management
limiting the maximum allocation a pro- tion is in use, or when an overwriting of controller can implement several levels
cessor can receive to address potential code or data memory has occurred. You of response to resource-access violations.
processing bottlenecks on the receiver must prevent such an application from The simplest response is to prevent the
side. For example, many communica- corrupting other applications—that access and generate an alarm to the ap-
tions, audio/video, data-acquisition, is, by writing in their memory space— plication, typically through an interrupt.
and test-and-measurement applications or negatively affecting their perform- This alarm tells the application that it
have a maximum transmission data rate ance—for example, by seizing control has tried to do something it shouldn’t
that the receiving processor is expect- of a shared resource. In software-based have. A second method logs the viola-
ing or can handle. In these cases, even if resource-sharing implementations, a tion for use by developers to help trou-
there is more capacity avail-
able on the peripheral be-
PROCESSOR PROCESSOR
cause other processors are
not currently using their allo- PROCESSOR PROCESSOR
cations, the application may
not want the queue flushed
at a faster rate because this BUFFER-
flushing may overwhelm the FREE
receiving processor and result BUFFER- POOLS
DESCRIPTOR
in loss of data. RING
Many developers take a CLASSIFIER
worst-case approach to de-
FILER
sign; they make sure there is
ETHERNET
enough capacity to support
worst-case loading. This ap- ETHERNET
proach means, however, that, (a) (b)

under typical operating con- Figure 4 With software-based virtualization, bandwidth allocations between processors are often
ditions, there will be under- fixed in a manner that limits effective management of QOS and oversubscription (a). Hardware-
used resource capacity. A typ- implemented virtualization enables resource allocation, even among SOCs with full bandwidth man-
ical round-robin arbitration agement, including rate policing, traffic shaping, and queue arbitration that reflect and balance the
algorithm, for example, sup- needs of each processor and application (b). This approach enables efficient sharing of resources,
ports only minimum alloca- such as a hard drive or a security engine, across the entire system, not just one processor.
tions. If the system can have

32 EDN | JANUARY 20, 2011


Accelerate Your Projects into the Future

FEBRUARY 8–10, 2011 MARCH 16–17, 2011 MARCH 14–15, 2012


Anaheim Convention Center Orange County Convention Center Fort Worth Convention Center
Anaheim, California Orlando, Florida Fort Worth, Texas

Introducing the new conference and exhibition


for the aerospace and defense industries

Featuring suppliers for the design and production of aerospace and defense products, including:

Ñ Software Ñ Materials Ñ Sub-Assemblies Ñ Electronics


Ñ Machinery Ñ Components Ñ Contract Services …and much more!

For more information on attending or exhibiting, visit:

AeroConShows.com
Promo Code: AA
bleshoot issues in the field. The queue- before either has completed its task. manner transparent to all applications
and traffic-management controller also Although applications cannot fully with failsafe reliability.
must be able to escalate its response by share resources supporting transactions Ease of integration is an important
triggering a reset and the reinitialization of this nature, allocation can be partially aspect of multicore architectures. The
of a potentially corrupted application. virtualized. Applications wanting to use ability to bring multiple processors on-
Ideally, a developer can create a policy the resource first must make sure that to a single chip requires straightforward
that controls this response. For example, the port is available and then lock the application software to migrate; other-
a developer could set a threshold dictat- port while it is in use. Support of locking wise, developers might as well design a
ing that, if an application makes three requires a thin software layer between new system.
illegal accesses, it is assumed to be a cor- operating systems to enable them to You must consider a number of fac-
rupted application and must restart. communicate to see which application tors in determining ease of migration to
has control of the lock. The use of hard- a virtualized architecture. For example,
PARTIAL VIRTUALIZATION ware, however, can manage and accel- the architecture must support multiple
When a series of transactions must erate acquisition of the lock. You must operating systems because multiproces-
take place in order, this requirement be- implement lock acquisition in hardware sors often use multiple operating sys-
comes a blocking instance because oth- to provide a failsafe mechanism for the tems across cores, depending on the ap-
er requesters must wait until the trans- resource; otherwise, a locked processor plications that require support. Multi-
action is complete before they can gain could also lock the resource. core architectures that support only one
control of the resource. Consider a typi- Depending on the application, a sys- operating system force developers to use
cal SATA (serial-advanced-technology- tem must support shared resources that that operating system and then port all
attachment) transaction in which you can be completely virtualized and those code to it. By supporting multiple oper-
first configure the SATA port and it that require locking. An SOC could, for ating systems, a multicore SOC simpli-
then executes a sequence of commands. example, provide a SATA port that is fies code migration.
Unlike an Ethernet port, in which pack- not shared, but only one processor could You also need to consider QOS be-
ets are single events, the SATA port use it, and sharing of the resource would cause applications have different band-
must lock to an application until the have to be in software. By also support- width needs. Latency-sensitive applica-
transaction is complete; otherwise, two ing lockable resources, cores within the tions, such as video streaming, need real-
applications may overwrite each other SOC can still share the resource in a time access to shared resources, whereas
data-based applications, such as content
downloading, can tolerate delay and
take advantage of underused bandwidth.

Leading Embedded The ability to service different band-


width requirements enables developers

Development Tools to bring together divergent applications


under the same processor cluster.
Also consider whether the architec-
ture includes transparent resource shar-
ing because transparency allows de-
velopers to migrate both applications
that support virtualization and appli-
cations that don’t support it. Another
aspect is removal of the software-vir-
tualization layer. Although some code
rewriting is necessary when migrating
between SOCs, for many applications,
most changes when moving to an SOC
with hardware-based resource sharing
involve not changing the software but
rather eliminating the software-virtual-
ization layer. Removal of this layer sim-
plifies system design and troubleshoot-
ing and increases system efficiency. In
The complete development environment for cases in which manufacturers have li-
ARM-based microcontroller designs. censed virtualization code, removing
this layer also reduces system cost.
Another factor to consider is whether
the architecture consolidates system re-
1-800-348-8051 sources. When a system employs multi-
www.keil.com ple chips and operating systems, each
must have its own storage resources.

34 EDN | JANUARY 20, 2011


By managing resources in hardware, all network-switching systems and controllers
tasks can share access to a resource they AUTHOR’S BIOGRAPHY and has played key architecture roles at
need. For example, rather than requir- Satish Sathe is a senior sys- LSI, Stratacom (which Cisco Systems
ing multiple drives, as a traditional ar- tems architect at Applied- acquired), Acclaim Communications
chitecture does, a single hard drive or Micro, where he is respon- (which Level One and then Intel
Ethernet port can serve an entire sys- sible for SOC-architecture acquired), Ample Communications, and
tem, even across SOCs. This approach and -feature definition. He Brocade. Sathe holds a master’s degree in
results in equipment savings and lower has more than 20 years of experience in electrical engineering from the University
overall system-component count. building and designing state-of-the-art of Illinois—Urbana-Champaign.
Communication among SOCs is al-
so important. Applications can share
resources over a high-bandwidth sys-
tem bus, such as PCIe, to enable shar-
ing among SOCs. Traditional architec-
tures allocate a fixed bandwidth to each
processor in a manner that limits effec-
tive QOS management among cores
and makes it difficult to oversubscribe
reliably (Figure 4a). With hardware-
based virtualization, resource alloca-
tion is flexible, even among SOCs (Fig-
ure 4b). Full bandwidth management is
possible, with rate policing, traffic shap-
ing, and queue arbitration that reflect
and balance the needs of each proces-
sor and application. Together, this ap-
proach enables the efficient sharing of
resources, such as a hard drive or a secu-
rity engine, across the entire system, not
just one processor.
You should also consider interproces-
sor communications because multipro-
cessor systems often must transfer sig-
nificant amounts of data among proces-
sors. Queue-management mechanisms
provide a simple and efficient means
of accelerating communication among
processors on an SOC and across multi-
ple SOCs.
Today’s next-generation SOCs are
complex multiprocessor environments
that must share on-chip resources with-
out incurring additional overhead that
reduces system efficiency. Queue man-
agement helps virtualize chip resources
and simplifies resource sharing by pro-
viding a reliable mechanism for allo-
cating bandwidth, isolating faults, and
facilitating robust error recovery. Traf-
fic management ensures that a system
fairly shares resources in a manner that
meets the differing latency and through-
put needs of applications by controlling
the rate at which traffic enters and leaves
queues. With hardware-implemented
virtualization, developers can offload
queue and traffic management to im-
prove application efficiency, maximize
resource throughput, reduce latency,
and increase system reliability.EDN

JANUARY 20, 2011 | EDN 35


BY PAU L R A KO • T E C HN I CA L E D I TO R

BATTERY-STACK-
MONITOR ICs
SCRUTINIZE
THE CELLS
ELECTRIC-VEHICLE AND DATA-CENTER
BATTERIES NEED PRECISE
MEASUREMENTS USING
ROBUST CHIPS.

36 EDN | JANUARY 20, 2011


attery cells, supercapacitors, and fuel cells need

B
careful monitoring to extend range, prolong life,
and ensure safety in energy-storage systems, such
as those in electric and hybrid vehicles (Figure
1). The use of batteries in automobiles is devel-
oping along a range of applications. Micro hybrid
vehicles use a conventional 12V lead-acid bat-
tery and have alternator-motor units that allow
the engine to stop when you bring the vehicle to a halt. When you
press the gas pedal, the engine smoothly starts and then operates
conventionally. Hybrid vehicles, such as the Toyota (www.toyota.
com) Prius, the Honda (www.honda.com) Insight, and the Chevy
(www.chevrolet.com) Volt, have much larger batteries. These
batteries produce more than 200V. Cell chemistries have tradi-
tionally been NiMH (nickel-metal hydride), but various lithium-
ion chemistries are providing more energy for a given weight (Fig-
ure 2). Fully electric vehicles, such as the Tesla (www.tesla.com)
Roadster and the Nissan (www.nissan.com) Leaf, have the larg-
est batteries; their battery-stack voltages range from 300 to 400V.
The higher the voltage in a battery, data-server computers that can accept
the lower the current for a given pow- dc inputs.
er will be, reducing the gauge of expen- Grid-leveling applications share the
sive copper cabling. More important, same benefits as data centers when it
the higher voltage allows the wind- comes to a lithium-ion battery’s size.
ing of higher-output motors. In 2004, Some grid-leveling schemes intend to
Toyota added a boost converter to the use fuel cells, and high-voltage stacks of
Prius that raised the battery-stack volt- fuel cells need the same careful moni-
age from 200 to 500V. This step allowed toring as electrochemical batteries.
Toyota to redesign the propulsion mo- Fuel cells have special requirements;
tor and improve torque from 350 to they can have either polarity on the
DASHBOARD & LANDSCAPE: NARVIKK/ISTOCKPHOTO.COM; BATTERY ICON: VADYM TYNENKO/ISTOCKPHOTO.COM

400 Nm and power from 33 to 50 kW cell during use and exhibit various fail-
(Reference 1). ure modes. IC manufacturers are adapt-
Data centers also use 300V battery ing their battery-stack-monitor chips to
strings for UPS (uninterruptible-power- handle these negative cell voltages.
supply) backup power. In this applica- A similar problem occurs when you are
tion, lithium-ion batteries are replacing monitoring stacks of supercapacitors. Us-
lead-acid batteries. Vehicles take advan- ers want to get all the energy from the ca-
tage of lithium-ion’s better gravimetric pacitor, and doing so means discharging
energy density—that is, the energy per it to 0V. When this scenario occurs, di-
pound or kilogram. UPS applications electric effects can cause the capacitor to
instead involve the volumetric energy exhibit a negative voltage, often as large
density of lithium-ion batteries. Data- as −0.5V. Several IC manufacturers have
center floor space is expensive; although ruggedized their battery-stack chips to
a lithium-ion-battery system may cost handle these negative potentials. Super-
more, it takes up only one-fourth the capacitors store less energy than do bat-
space that a lead-acid-battery system teries or fuel cells, so they are finding less
requires. This fact often allows data use in high-energy applications (see side-
centers to combine the battery and in- bar “Battery characteristics”).
verter systems into one room. Some da-
ta centers are considering removing the CELL MONITORING
inverters and distributing dc voltage to Auto and UPS manufacturers want to

JANUARY 20, 2011 | EDN 37


accurately measure each cell in a battery Jim Williams, staff scientist at Linear
stack. “You don’t want to shut the car AT A G L A N C E Technology, has developed a novel cir-

down for a bad cell, but you would shut it Measuring battery cells is diffi- cuit that uses small, inexpensive trans-
down for an overtemperature condition,” cult, requiring accuracy, common- formers that he interrogates for each
says Paul Maher, a hybrid- and electric- mode rejection, and fast response. cell’s voltage (Figure 3 and Reference
vehicle-segment marketing manager at ↘ Chips make measurements in 3). The circuit performs well, but the
Analog Devices. The care of auto batter- environments full of EMI (electro- transformers add cost and might fail due
ies is critical. “You expect a laptop battery magnetic interference), heat, vibra- to vibration.
to last two years, but an automotive pack tion, and motor noise. Battery-stack-monitor-IC manufac-
should last 10 years,” he adds. ↘
turers avoid the common-mode prob-
The automotive market requires
The measurement must be accurate high volumes and low prices.
lem by floating the chips at the cell’s
because a few millivolts can represent stack voltage. They convert the analog
a large amount of charge. The measure- ↘ Automakers’ safety and liability measurement to a digital value and then
ment has a common-mode problem, concerns require redundant, fault- communicate those digital bits down a
in which you try to make accurate cell tolerant designs. daisy chain of other chips. This step re-
measurements in the presence of hun- ↘ ISO (International Standards moves the resistive attenuators from the
dreds of volts of common-mode poten- Organization) 26262 safety require- system and eliminates any common-
tial. The measurement is not a dc meas- ments should emerge in 2011. mode-attenuation errors from the meas-
urement in which you can use integrat- urement (Figure 4).
ing ADCs. The battery voltage may be cy would have to be perhaps 0.1% over Placing the measurement chips in dai-
changing at kilohertz rates due to the time and temperature (Reference 2). sy chains helps with another important
chopping action of the motor-inverter Once you achieve sufficient accuracy, requirement. It requires one galvanic iso-
circuitry. Furthermore, you need galvan- you face another problem: measuring a lator instead of many. Decades ago, engi-
ic isolation in the measurement system 4V cell that may be wired in series with neers would try to transmit the analog
because battery voltages are hazardous. dozens of other cells. You would need voltage across an isolation barrier. The
The chips must consume little power so precise resistor dividers if you were to architecture of battery-stack-monitor
that they don’t drain the battery. In ad- use an attenuator to measure the cell chips reveals a modern trend. You meas-
dition to the difficulty of the measure- voltage (see sidebar “Common-mode ure the analog voltage and then convert
ment itself, you must communicate the problems”). Even thin-film resistors are that voltage to digital bits. Many ways
measurement to various places in a ve- not accurate enough and cannot track exist for transmitting digital data across
hicle or a data center. closely enough over temperature. a galvanically isolated boundary (Refer-
Your first challenge with a battery- You can charge capacitors up to the ence 4). You can use optocouplers, ca-
stack-monitor circuit is accuracy. A cell voltage and then switch them to a pacitive isolators, transformer isolators,
modern lithium-ion cell has a flat dis- chassis-referenced potential. This so- RF isolators, or even magnetostrictive
charge curve. “A 5-mV measurement called flying-capacitor approach works isolators. If you send analog voltage lev-
error represents a 10% error in the state- but has drawbacks. For example, capaci- els across the boundary, you can use del-
of-charge estimation of the cell,” says tors begin to transfer mismatched charg- ta-sigma modulators, such as those from
Matthew Borne, power-marketing man- es between cells of different potentials, Avago.
ager at Texas Instruments. You must according to Stephen G LaJeunesse, Once you ensure an accurate meas-
stop discharging the batteries before you business manager of automotive- and urement and solve the common-mode
damage them, so better accuracy trans- industrial-battery products at Maxim problem, you must make sure to meet
lates directly into greater range; 8-mV Integrated Products. “They also require the power requirements of the design.
accuracy on a 4V cell translates to 0.2% high-voltage switches, and these switch- The battery stack itself provides power
precision. To deliver system accuracy es have losses of their own,” decreasing for most battery-monitor ICs, meaning
of 0.2%, the voltage-reference accura- the circuit’s efficiency, he says. that you deplete the batteries unless you
BATTERY PACK
MOTOR CONNECTOR MAIN SWITCH
DRIVE à
VEHICLE
COMMU- COMMU- 12V POWER
NICATION NICATION CELL PACKS
IN- VEHICLE- VEHICLE BATTERY- (LI 40 TO
BUS BUS GROUND
VERT- CONTROL MANAGEMENT 90 CELLS)
ER SYSTEM COMMU- SYSTEM (NIMH 100 TO
NICATION 300 CELLS)
MOTOR
BUS
DRIVE−
Figure 2 Electric vehicles and UPS appli-
CONNECTOR
cations might use various battery types,
all of which need monitoring with battery-
Figure 1 The battery-management system of an electric car must measure the voltage stack-measurement ICs (courtesy Linear
and temperature of individual cells (courtesy Maxim Integrated Products). Technology).

38 EDN | JANUARY 20, 2011


BATTERY CHARACTERISTICS
Cell manufacturers often issue glow- the comments of a cell’s users to get to 0% capacity also causes dam-
ing reports about how wonderful their a realistic idea of a cell chemistry’s age (Figure B). For these reasons,
batteries are, but you need to read limitations (Reference A). Lithium- the Chevy Volt’s battery-manage-
ion cells have ment system charges the vehicle’s
4
a flat discharge 16-kWhr battery to 90% and stops
3.5 curve, so you the discharge at 25% capacity. This
3 must measure approach yields a usable battery
2.5 them with millivolt capacity of 10.4 kWhr but extends the
VOLTAGE
2 accuracy (Figure cycle life.
(V)
A). Overcharging Battery designers must make trade-
1.5
lithium-ion cells offs between energy density and power
1
1A 30A or storing them density (Figure C). A battery with
0.5 at 100% charge acceptable energy density holds a lot
10A 40A
0 can cause dam- of energy but must draw it out slowly.
0 0.5 1 1.5 2 2.5 age. As with most Batteries with acceptable power den-
CAPACITY (AHR)
other cell chemis- sity have low internal impedance, so
Figure A Lithium-ion cells have flat discharge curves, so they require tries, discharging large pulses of power can come out
accurate measurement to determine state of charge (courtesy A123). lithium-ion cells without damaging the cell.
Although discharging a cell to
0% may damage the cell, it won’t
100
endanger a user. Overcharging cells,
on the other hand, can endanger
90
you. Excessive current in any cell
80 chemistry causes the cell to heat
RETENTION OF
FIRST-DISCHARGE up, boil, and even burst. Lithium-ion
CAPACITY (%) 70 cells are particularity problematic in
this regard. The electrolyte in some
60 lithium cell chemistries is flammable,
ANR26650 1C/1C CYCLING (25ºC, 3.6V, 100% DOD) as is the lithium metal in the cells.
50 Rumor has it that both Tesla and
0 1000 2000 3000 4000 5000 6000 7000 8000
CYCLE NO.
Chevrolet have lost cars to battery-
pack fires during testing. Older
Figure B Modern cells can perform many charge-discharge cycles, but a key factor is
lithium-ion chemistries using cobalt
in the definition of 100% discharge. Letting the cells discharge to low voltages imme-
are the most prone to fire. Short-
diately damages them (courtesy A123).
circuiting or puncturing them can
deliver enough energy to the short
100,000 circuit to create a conductive plasma
that can cause even more short-
SUPERCAPACITORS circuiting of adjacent cells.
10,000 LI-ION Newer iron-phosphate lithium-ion
LEAD ACID VERY HIGH POWER
SPIRALLY WOUND cells are less prone to bursting into
flame; they instead sizzle and simmer.
NIMH
NICD LI-ION For this reason, most large automo-
SPECIFIC 1,000 HIGH POWER
POWER bile companies use iron-phosphate
AT CELL NA/NIC12 lithium batteries. The downside is
LEVEL
(W/kg) LIM POLYMER
that the iron-phosphate cells have 33
100
to 50% less gravimetric energy den-
LEAD ACID
LI-ION sity, which makes the battery heavier.
HIGH The major auto companies thus are
10 ENERGY
cautious about any radically different
propulsion system, such as those that
electric cars use.
1
0 20 40 60 80 100 120 140 160 180
REFERENCE
SPECIFIC ENERGY AT CELL LEVEL (WHR/kg) A Hoffart, Fran, “Proper Care
Figure C Supercapacitors have low impedance but hold little energy. High-energy Extends Li-Ion Battery Life,” Power
lithium-ion cells hold a lot of energy but have high internal impedance (courtesy Saft). Electronics Technology, April 2008,
http://bit.ly/eBY5v0.

JANUARY 20, 2011 | EDN 39


TO PULSE
GENERATOR ed multiplexers on their battery-stack- ures illustrating these effects in the
monitor chips, allowing the chip to ac- Web version of this article at www.edn.
curately measure four to 12 cells and com/110120cs). Manufacturers such as
then communicate the measurements Intersil strive to ensure that the chip-
TO SAMPLE- + across a serial bus to the next chip in to-chip serial communication is passive
AND-HOLD the daisy chain. because, if one chip fails electrically, it
AMPLIFIER
Another major reliability concern oc- will still pass the communications of
curs when assembly workers or mechan- all the other chips in the daisy-chain
ics use hot-plug replacement to connect string. Most battery-stack-measurement
the measurement circuitry to the bat- chips also have bidirectional serial
tery. This approach provides no guar- communication, allowing you to que-
antee about which cell the workers will ry the chip with your system’s BMU
+ BATTERY
connect first. The arbitrary connection (battery-management-unit) microcon-
STACK of any cell’s sense line can inject bulk troller to ensure that the chip is pow-
current into the silicon die. Chips also ered up and working.
must have rugged junctions, according In addition to this system-level re-
ENABLE to Maxim’s LaJeunesse, who notes that dundancy, many manufacturers build
LINES
the chips don’t need dielectrically iso- redundancy and self-test into their chips
lated processes. “DMOS and CMOS get (Reference 6). Kenneth Lenk, market-
the job done, but you have to know how ing manager of automotive products at
+
to bias the circuit elements and how to Intersil, notes that chips include multi-
strap the transistor wells and gates inter- ple voltage references. The company
nally,” he says. He advises IC designers also incorporates hidden DACs in its
to use guard rings to cure hot-carrier chips for calibration and self-test, he
injection. adds.
Most manufacturers provide for both Most manufacturers stress that their
N SECTIONS external and on-chip temperature sens- devices have passive communications
ing, enabling system designers to meas- links. These links will continue to work
Figure 3 This circuit solves the problem
ure ambient and cell temperatures. The even if the chip fails. Manufacturers
of measuring common-mode voltage by
designers can then factor those tempera- must not only build in internal redun-
transferring the cell voltage plus a diode
tures into charging and safety algorithms. dancy but also ensure that the redun-
drop across small isolation transformers.
Reliability concerns have also prompted dant safety chip is working, according to
auto manufacturers to ask
are charging them. Just as important, for fully redundant meas- PACK
each chip must have the same power urement systems (Figure SPI B
consumption so that one doesn’t unbal- 6 and Reference 5). V50V REG

ance a group of cells by drawing more In these setups, one set


AUX
power than its neighbors. You could also of daisy-chained chips
GPAI
provide for isolated power from the car makes the measurements, BQ76PL536
battery or an external source, as Analog and another set of chips GPIO

Devices does on its monitor chips (Fig- supervises the meas-


ure 5). Thus, the monitoring circuits do urements using compara-
not deplete the propulsion battery. tors to set minimum and SPI A
CBX X6
Once you design the measurement maximum limits (see fig-
system, you must send the data over a CONV DREADY FAULT ALERT SPI
VREG
communication link. Some manufactur- 50V SPI B
GPAI
ers convert a simple local serial protocol,
such as SPI (serial-peripheral interface), GPIO
AUX
to a high-level protocol, such as a CAN CONV CONV
(controller-area-network) bus. Decades DREADY DREADY BQ76PL536
of use have established the reliability of C2XXX
OR
FAULT
ISO72X
FAULT
CAN communications in automobiles. TMS470 ALERT ALERT

These considerations of the measure- SPI SPI


CBX X6
ment system are just the basic require- SPI A

ments. To meet auto manufacturers’ re-


PACK
liability and liability concerns, you must
measure each cell in the battery. To
minimize the required number of meas- Figure 4 Most chip companies design their battery-monitor chips to operate in a daisy chain
urement converters, most IC manufac- so you can use multiple chips to measure dozens of cells (courtesy Texas Instruments).
turers use high-voltage, fault-protect-

40 EDN | JANUARY 20, 2011


CB1
CB2
ALERTHIGH CB3
SDOHIGH CSHIGH CB4
SDIHIGH PDHIGH CB5
VDD SCLKHIGH CNVSTHIGH CB6

DAISY-CHAIN CELL-BALANCING
INTERFACE INTERFACE

NT
VIN6
VIN5 REGULATOR VREG
R F A C E M O Ue )
SU ru-hol
VIN4 AD7280A
HIGH-VOLTAGE
(and th ers
VIN3 DGND
MULTIPLEXER
VIN2
f o r m
Tr a n sd u c t o r s
VIN1
VIN0 à
12-BIT ADC
AUX6
AUX5
Ľ DVCC
AVCC
& In
AUX4 LOW-VOLTAGE VDRIVE

Size
AUX3 MULTIPLEXER
AUX2
AUX1 CONTROL LOGIC
CLOCK
AND SELF-TEST

AUXTERM
SCLK
SDI
does
VREF
CREF
REFGND
2.5V
REFERENCE LIMIT REGISTER
SQN LOGIC
DATA MEMORY
SDO
ALERT
CS
matter!
SPI PD
CNVST
MASTER

VSS AGND SDOIO ALERTIO

Figure 5 Analog Devices lets you power the chips from an external source or the bat-
tery you are monitoring. The company provides six auxiliary inputs for temperature or
other measurements. from

Sam Weinstein, a precision-amplifier-


product-line manager at Analog De-
nization expects to issue this year. The
developers adopted this standard from
low-
profile .19"ht.
vices. He notes that BIST (built-in industrial-machinery standards, and
self-test) is expensive but essential to it will provide comprehensive guide-
satisfying the requirements of the auto lines for the analog, digital, and soft- • Audio Transformers
industry. ware components in electric vehicles. • Pulse Transformers
An engineering committee is work- Companies such as Texas Instruments,
ing to formalize the fault protection and Analog Devices, STMicroelectron- • DC-DC Converter
redundancy features of automotive-bat- ics, and NXP are working to provide Transformers
tery-stack systems into the ISO (Inter- the analog and digital hardware for
national Organization for Standardiza- these mission-critical advanced-power- • MultiPlex Data Bus
tion) 26262 standard, which the orga- train modules.
Transformers
àHV àHV
• Power &
EMI Inductors
PACK 1 AD7280 AD8280 DC/DC
CONVERTER ia te ly
im m e d
C a ta lo g . om
c
onics
ll
ic o ’s fu
electr
AD7280 AD8280
_HV See P
pico
ISOLATION w w w.
BARRIER
AD7280 AD8280
FAILSAFE
LOGIC or send direct for free PICO Catalog
ADUM1401W Call Toll Free 800 431-1064
PACK N AD7280 AD8280 Fax 914-738-8225
ISOLATION E Mail: info@picoelectronics.com
BARRIER
STACK
RSHUNT
CURRENT
SENSE
INTERFACE SERIAL

ADUC703X
INTERFACE
ADUM1401W
BMU
PICO Electronics,Inc.
143 Sparks Ave. Pelham, N.Y. 10803-1837
_HV

Figure 6 Auto makers require redundant measuring systems with chips that monitor
other chips (courtesy Analog Devices).
Delivery - Stock to one week

JANUARY 20, 2011 | EDN 41


COMMON-MODE PROBLEMS
You will run into the common-mode-measurement prob- nominal tap voltage would be 4V. If you were to increase
lem whenever you try to resolve a small voltage differ- the upper resistor’s voltage by 1%, you’d get a voltage
ence that sits atop a much larger voltage. In a vehicle’s of 399.96V, rounded to 400V. The string has 404Ω resis-
battery stack, for example, a 4V cell may sit atop a 400V tance; 400V across that resistance yields 3.96V instead
stack. Your first instinct might be to make a resistive volt- of 4V at the tap: a 40-mV error.
age divider to reduce the voltage to a smaller value—4V, The LSB (least-significant bit) is 10 μV, so a 1% error
for example. in one resistor would yield 4000 times more error in
Although formal ways exist for analyzing the accuracies the measurement than is acceptable. A factor of 1000
of the resistors, you can also use an intuitive method. If implies a resistor-accuracy spec of 0.001%. Factoring in
10 bits equals 1 mV on 1V, 10 bits would equal 4 mV on a the 4V yields a 0.00025% accuracy spec.
4V battery. For error budgets, you would make the meas- With two 400V resistor dividers, one for each side of
urement with 12-bit converters, a job that stack-monitor the cell you are measuring, one resistor can be out of
chips are now performing. tolerance, meaning that you have to divide by two for a
When you use resistive dividers, however, you reduce resistor-accuracy spec of 0.000125%.
both the measurement voltage and the common-mode Nevertheless, a resistor-tolerance spec of ±125 ppm
voltage. The factor of 100 in this example means that you is not feasible for a low-cost design. Temperature-
are trying to resolve 0.00004V, or 40 μV. With a 2-bit error coefficient problems will also crop up, so you must
margin, you would need to resolve 10 μV—a more chal- match the dropping resistors over temperature changes.
lenging problem that also brings noise concerns. You should instead directly measure the 4V cell and
The increased accuracy requirement is not the biggest then transfer that analog voltage down to the chassis
drawback of resistive dividers, however. The approach reference.
also requires extremely low-tolerance resistors. For Alternatively, you could place the ADC at the cell volt-
example, consider a voltage divider that is making 4V from age and then just transfer the bits across an isolation
400V. The lower resistor’s normalized resistance would boundary—the approach that most analog-IC companies
be 4Ω, the upper resistor’s value would be 396Ω, and the take in their battery-stack-monitor chips.

BATTERY ENVIRONMENTS ble of an operating engine to determine ance nodes are subject to EMI, which
In addition to measuring automotive whether the alternator is working. In can ruin the cell-voltage measurement.
battery stacks, you must also engineer the this case, the alternator could place a According to Tim Regan, application
system to survive in the harsh environ- 100V pulse into the electrical system. manager at Linear Technology, ac rip-
ment that modern vehicles experience. Although electric-vehicle-battery- ple can show up anywhere. That ripple
All of their components are subject to stack chips might not be subject to this is caused by the inverter’s chopping fre-
vibration and acceleration. Some of the stress, the bus bar connecting cells can quency and adds to the electrical noise
greatest acceleration occurs when ship- break while large currents are flowing, from the motor.
ping cars by rail with chained-down sus- causing a large overshoot in the battery “Basic decoupling works wonders,”
pensions. Surface-mount chips and pas- voltage. Regan adds. Decoupling is only a start-
sive parts are vibration-resistant. EMI interferes with measurements ing point, however. You must also pay
Your systems must also withstand wid- and is one of the biggest environmental attention to noise sources, PCB (print-
er temperature ranges than consumer challenges in electric vehicles (Figure ed-circuit-board) layout, and shielding.
electronics require. Battery cells can- 7). All of the traces and high-imped- Once you remove EMI from your
not withstand a temperature of 125°C, measurement, you still need to consider
for example. Still, most chips operate at the fact that EMI can cause a loss in the
temperatures as high as 85°C, and Max- serial communications between chips
im’s and Analog Devices’ chips work at in the daisy chain. The communication
temperatures as high as 105°C. Intersil links between chips may have different
and other manufacturers provide chips lengths. “You might have 400 to 600V
that work at a temperature the auto potentials across the links,” says Inter-
maker specifies. Low temperatures also sil’s Lenke.
cause problems. Transistors’ base-emit- Electric-vehicle designers also must
ter junction voltages and transconduc- deal with the issue of magnetic fields,
tance rise with decreasing temperature, Figure 7 This lab setup injects currents which arise due to the large currents
causing amplifier oscillation. into the measurement system to ensure around the vehicle that shuttle between
You must design your battery-stack- that the chip can withstand abuse. The the charger, the battery, and the motor.
measurement systems to withstand foil-covered boxes keep external radia- It is difficult to shield magnetic fields.
EOS (electrical overstress). This phe- tion from affecting the measurements Doing so requires heavy metal or steel
nomenon can occur, for example, when (courtesy Linear Technology). plates to keep the fields from the elec-
a mechanic disconnects the battery ca- tronics. As with all other noise prob-

42 EDN | JANUARY 20, 2011


lems, it is a good idea to address this conditioning products at Linear. July 2004, http://bit.ly/fzizOk.
problem at its source. Keep all large cur- Analog companies have made a con- 2 Rako, Paul, “Voltage references hold

rents in small loop areas. siderable achievement with their bat- steady,” EDN, Oct 21, 2010, pg 38,
Cost is an overriding problem in au- tery-stack-monitor chips. The parts http://bit.ly/eY1zpS.
tomotive-battery-stack-monitor systems. must be highly accurate, small, and ro- 3 Williams, Jim, “Novel measurement

Mass-market automobiles cannot have a bust because the applications involve circuit eases battery-stack-cell design,”
dozen $60 chips in the battery pack. In EMI and electrical overstress. Auto EDN, Jan 10, 2008, pg 47, http://bit.ly/
this regard, automotive design is even makers require redundancy and fault hoFWow.
more difficult than military design be- protection. Although vendors provide 4 Rako, Paul, “Draw the line: Isolation

cause automotive companies cannot evaluation boards, it is doubtful wheth- shields systems from shocking surpris-
spend unlimited amounts of money to er you can strap that board somewhere es,” EDN, Sept 3, 2009, pg 22, http://
solve the vibration, temperature, and in your system and expect it to work bit.ly/ePO0Hf.
high-performance requirements of the properly. Instead, you will have to un- 5 Boyle, Steven, “Lithium Ion Battery

market. Chips must feature high perform- derstand the measurement, noise, and Monitoring–Redundancy Required?”
mance and low cost and be available in interference problems in your applica- ECN, Jan 28, 2010, http://bit.ly/eOM7a0.
high volume and with good yields, says tion and then apply good design and 6 Allen, Tony, “Monitoring systems

Erik Soule, general manager of signal- layout techniques. With careful design ensure Li-ion battery safety and effi-
and judicious shielding, you can make ciency,” EE Times, Dec 2, 2010, http://
F O R M O R E I N F O R M AT I O N a monitor system that will keep a ve- bit.ly/eOkGM7.
Analog Devices NXP Semiconductors
hicle’s propulsion system operating for a
www.analog.com www.nxp.com decade or more.EDN
You can reach
Avago Maxim Integrated
www.avagotech.com Products Technical Editor
REFERENCES
www.maxim-ic.com Paul Rako at
Infineon 1 Hsu, JS; CW Ayers; and CL
www.infineon.com STMicroelectronics 1-408-745-1994
www.st.com Coomer, “Report on Toyota/Prius and paul.rako@
Intersil
www.intersil.com Texas Instruments Motor Design and Manufacturing ubm.com.
Linear Technology www.ti.com Assessment,” ORNL/TM-2004/137,
www.linear.com Oak Ridge National Laboratory,

Bricks-On-A-Plate™
Quick, Rugged, Flexible Power Solutions
Field proven component power
• Save valuable engineering time
• Risk mitigation
• Reduce cost
• Improve time to market
Vicor's "Bricks-On-A-Plate" power solution offers
unique configuration flexibility, high power density,
high reliability, and quick time to market at prices
not usually found in custom solutions. Vicor's unique
mass-customization capability allows you to choose
from thousands of power modules and filter options.
You’ll get the small company responsiveness
with large company resources.

www.vicorpower.com/bricksonaplate.pdf

JANUARY 20, 2011 | EDN 43


BY P E R RY KE L L E R • AG I LE N T T E C HN O LO G I ES

Managing signal integrity


in tomorrow’s high-speed
flash-memory-system designs
NEXT-GENERATION FLASH-MEMORY TECHNOLOGY WILL TOUT DATA-TRANSFER RATES
AS MUCH AS 10 TIMES FASTER THAN CURRENTLY AVAILABLE. HOWEVER, INCREASING
DISTORTIONS IN THE DATA-CARRYING DIGITAL SIGNALS CAN CAUSE DATA-TRANSFER
FAILURES, COMPLICATING THE MANAGEMENT OF SIGNAL INTEGRITY. PROPER DESIGN
STRATEGIES CAN HELP YOU DELIVER RELIABLE, HIGH-PERFORMANCE PRODUCTS.

ignal integrity will matter more in next-gener- SWITCHING LINES

S
ation flash devices, and several changes in the
technology will make managing signal integrity
more critical. For example, data rates in these de-
vices will range from 400 MHz to 6 GHz. To sup-
port the faster data rates, edge rates will have to
become 10 to 100 times faster. Demand for increased storage
capacity will also drive the need for denser packaging and more
complex interconnects. Pressure to reduce costs will force you
to make trade-offs that affect signal integrity, such as using low-
er-cost materials or even eliminating the use of ground planes.
As rise times become shorter, a signal’s high-frequency QUIET DATA LINES
components become more pronounced. Higher-frequency Figure 1 A fast transition on the upper trace couples into the
signals are more sensitive to interconnect quality, so signal- lower trace, generating noise on the lower trace.
integrity problems tend to proliferate. As signal frequencies
increase, signal loss also increases. Therefore, high-frequen-
cy components of fast-rise-time signals experience more loss The quality of grounding and current-return paths in your
than the low-frequency components, leading to signal distor- design is among the biggest factors affecting crosstalk. In most
tion and ISI (intersymbol interference). PCB (printed-circuit-board) designs, ground planes are avail-
able as return paths. This approach is best if you can afford
SIGNAL-INTEGRITY PROBLEMS the extra plane. If cost pressure forces you to eliminate using a
Understanding the causes of signal-integrity problems and ground plane, you must use other strategies, such as placing a
their remedies is critical. Signal-integrity problems include ground trace next to the signal or using differential instead of
reflections and distortions, crosstalk, ground bounce, and jit- single-ended signaling.
ter. Reflections and distortions relate to signal quality on an Ground bounce also affects signal integrity and relates to
individual net. When a signal encounters an impedance dis- power distribution. As with any network that has intercon-
continuity, it generates a reflection that becomes further dis- nects, inductance exists in power and ground networks. As
torted as it continues along the net. The reflection travels the I/O signals transition from zero to one or one to zero, tran-
from the impedance discontinuity in two directions—toward sient current flows in the power-distribution network. Many
the receiver and back to the driver. The reflections them- signals’ switching at once generates large transient currents.
selves react to other discontinuities, creating further reflec- Any inductance or resistance in the power- and ground-distri-
tions that distort the true signal in complex ways, generating bution network converts these transient currents into voltage
effects such as ringing, overshoot, and slope reversal. Care- spikes that appear as noise in other signals or even as a shift
ful design to maintain well-controlled impedance along key in the ground voltage. Ground planes or multiple ground or
traces is the best way to improve signal integrity. power connections reduce the impedance and therefore the
Crosstalk-induced signal-integrity problems involve mul- SSO (simultaneous-switching-output) noise. Using lower
tiple signal nets. If you place an active net near a quiet one, voltage swings and protocols that minimize the number of sig-
capacitive and inductive coupling can cause some of the en- nal transitions also helps.
ergy from the signal on the active net to couple over to the Jitter issues also affect signal integrity. Reflections, crosstalk,
quiet side (Figure 1). and SSO all can contribute to jitter. In addition, ISI created

44 EDN | JANUARY 20, 2011


Figure 2 Knowing whether the jitter (yellow trace) is random, Figure 3 Physical measurements can confirm an accurate simu-
periodic, or correlated to some other event in the system helps lation model to accurately predict signal integrity at various
you determine the best ways to address the problem. nodes in the design.

on lossy channels, PLL (phase-locked-loop) noise, EMI (elec- used modern design and simulation environments, such as
tromagnetic interference), differences in transmitting and re- Agilent’s ADS (Advanced Design System), for microwave
ceiving threshold voltages, and ordinary delay mismatches in and RF design. As digital speeds approach microwave speeds,
internal logic can generate jitter. The strategy for managing jit- engineers have been applying these tools to digital design, es-
ter differs, depending on the cause of the jitter. Proper shield- pecially for evaluating signal integrity. These tools accurately
ing can help with EMI-induced jitter, but it cannot fix a noisy simulate high-speed effects, such as distortion, mismatch, and
power supply. Knowing whether the jitter is random, periodic, crosstalk, in your channels.
or correlated to some other event in the system helps you de- Integration of system, circuit, and EM (electromagnetic)
termine the best ways to address the problem (Figure 2). simulators provides accurate answers and avoids error-prone
and time-consuming data transfer among point tools (Figure
MANAGE SIGNAL INTEGRITY IN YOUR DESIGNS 3). The ADS model in the figure can assess signal integrity
As every RF engineer knows, everything in a circuit can and predict eye diagrams at various nodes in the backplane.
affect the signal. To manage signal integrity, it is critically im- You can see the eye after the daughtercard, at the high-speed
portant to first identify the parts of the design that affect sig- backplane connector, and after the backplane traces. From
nal integrity. A common approach is to start by creating a these eye diagrams, you can determine where signal-integrity
model of your design and its components and interconnects. problems caused the eye to degrade and at what point you
However, a model typically is less accurate than it needs to should modify the design. An accurate model such as this
be. You must make measurements of your circuits, compare one gives you insight into your design and lets you rapidly
them with your model, and adjust the model to make it con- evaluate changes that will improve its performance.
sistent with your measurements. Once the model is accurate,
you can use the simulator to predict which changes will im- PHYSICAL-MEASUREMENT TOOLS
prove signal integrity. Pay particular attention to vias, wire Making physical measurements is key to assuring the ac-
bonds, packages, PCB traces, and connectors when consider- curacy of your model and validating the final performance of
ing components that will affect signal integrity. your design. At the speeds of next-generation flash design, it
The goal of simulation and modeling is to predict the real- is important to analyze the data in both the time domain and
world behavior of your design. Engineers have traditionally the frequency domain. You can make physical measurements

SMA LAUNCH SMA LAUNCH

120
DAUGHTERCARD BACKPLANE DAUGHTERCARD
TRACES VIA TRACES
CONNECTOR

BACKPLANE TRACES
IMPEDANCE 100
(Ω) DAUGHTERCARD VIA
CONNECTOR

80

DAUGHTER-
CARD BACKPLANE VIA
VIA
60

Figure 4 You can use time-domain reflectometry to measure interconnect impedance at each point in your design.

JANUARY 20, 2011 | EDN 45


Figure 5 Many signal-integrity problems can appear on a PCB. These prob-
lems include excess capacitance of a through hole, which can affect differ-
ential-transmission-line characteristics (a). You can address this problem by
using a larger antipad. Another problem is localized crosstalk between vias
in close proximity to a differential transmission line (b), which you can fix
by increasing trace spacing. Fix varying conductor width (c) by increasing
the trace width. If conductor spacing is uneven (d), increase the separation
between conductors. Via stubs can create capacitive reflections and load
down transmission-line impedance (e). Consider instead using blind, buried,
or back-drilled vias. If the PCB has a nonuniform dielectric (f), try using low-
flow preimpregnated composite fiber. Some surface treatments can cause
thickness nonuniformity (g), which you can fix by using example plating
and finishing instructions. Adjust materials or processes to handle localized
changes in foil thickness (h). Gaps in the lamination layers of epoxy-resin
and fiberglass can cause anodic-conductive-filament shorting (i). To deal
with this problem, use extra nonconductive layers or thicker layers.

with a time-domain instrument, such as a TDR (time-domain either the frequency domain or the time domain, whichever
reflectometer), or a frequency-domain instrument, such as a best suits your requirements, regardless of whether you are us-
VNA (vector network analyzer). ing a TDR or a VNA (Figure 4).
If you’re new to measuring interconnect behavior, you may As the signal travels through the physical structures, the
want to consider starting with a TDR, such as the Agilent TDR measures and displays impedance. Ideally, the impedance
54754A differential and single-ended TDR module. It pro- trace is a flat line, indicating no discontinuities. At the daugh-
vides an intuitive waveform and good first-pass model. For tercard via, you can see a large discontinuity, so you know you
greater accuracy and higher bandwidth, you’ll need to learn must modify your design to raise its impedance.
S parameters and use a VNA, such as the Agilent N5241A.
Another possibility is to use tools such as Agilent’s PLTS MANAGING INTERCONNECT DESIGN ON PCBs
(physical-layer test system). With the PLTS, you can work in Active circuitry, packaging, and connectors all influence
signal integrity; PCBs can also influ-
ence signal integrity, often in difficult-
to-detect ways. Competing demands
for high speed and low cost often col-
lide on the PCB. For example, FR4 is
low cost and has relatively good per-
formance at lower data rates. How-
ever, when data rates exceed 10 Gbps,
problems increase dramatically. Fig-
ure 5 should help you identify which
elements of your design might be caus-
ing problems and suggests ways to deal
with those problems.
The next generation of flash will
enable next-generation performance,
but next-generation speeds will re-
quire designers to pay increased atten-
tion to signal integrity. Understanding
what can affect signal integrity and
how to model and measure it will help
you deliver reliable, high-performance
products on time and on budget.EDN

AUTHOR’S BIOGRAPHY
Perry Keller is program lead for applications
and standards at Agilent Technologies,
where he has more than 25 years of experi-
ence in software and system engineering,
high-speed-hardware and ASIC design and
validation, and product marketing. Keller
has a master’s degree in electrical engineer-
ing from Rice University (Houston).

46 EDN | JANUARY 20, 2011


EDITED BY MARTIN ROWE

designideas
AND FRAN GRANVILLE

READERS SOLVE DESIGN PROBLEMS

Oscillator has DIs Inside


voltage-controlled duty cycle 48 Generate noisy sine waves
with a sound card
Luca Bruno, ITIS Hensemberger Monza, Lissone, Italy
48 Decode a quadrature
The classic Colpitts oscillator cir- pares the sine wave at the output of the
↘ cuit in Figure 1 generates a clock capacitor-filter network with the refer-
encoder in software
signal with a fixed duty cycle. By replac- ence voltage, which drives the output of 51 Power an LED driver
ing CMOS inverter gate IC1A with a the threshold comparator high and low. using off-the-shelf components
voltage comparator (Figure 2), you can The network sets the oscillation fre- ▶To see all of EDN’s Design
obtain a more versatile and more useful quency as follows: Ideas, visit www.edn.com/
clock generator. You can set not only the 1 designideas.
oscillation frequency but also the duty fO= ,
2/LC/2
cycle. You must use the comparator in an
inverting configuration, which introduc- where fO is the oscillation frequency. The output clock’s duty cycle depends
es a 180° phase shift. That shift, along With a suitable choice of the values on the reference voltage, which you can
with an additional phase shift of 180° of inductors and capacitors, the circuit easily set through the voltage divider
from the capacitor-input network, lets can oscillate at frequencies as high as comprising R1 and R2. Unfortunately,
the circuit oscillate. The circuit com- 10 MHz. the mathematical relationship between
the reference voltage and the duty cycle
R3 is nonlinear because the sine wave at
2.2k the output of the capacitor-input-filter
R1
network is not a linear function. Also,
2.2M its amplitude is not constant but de-
pends on the duty cycle of the output
clock. You can easily obtain this math-
14
L ematical relationship by testing the cir-
1 IC1A 2 3 IC1B 4
74HC04 74HC04
CLOCK OUT cuit with an inductance of 10 mH and a
C C capacitance of 10 nF.
7
You can use any high-speed compara-

Figure 1 A typical Colpitts oscillator uses an inverter and has a fixed duty cycle. TABLE 1 OSCILLATOR
DUTY CYCLE BASED ON
R3 REFERENCE VOLTAGE
2.2k
Reference
C1
voltage (V) Duty cycle (%)
5V
100 nF 0.5 15.2
1 28.3
R1
L 180k 1.5 37
4 _ 2
IC1 1 2 43.5
C C MAX987 CLOCK OUT
3 + 2.5 50
C2 5
R2 3 56
100 nF VREF
100k
3.5 62.6
4 71.5
Figure 2 A comparator lets you vary the duty cycle based on resistors R1 and R2. 4.5 85.4

JANUARY 20, 2011 | EDN 47


designideas
tor with rail-to-rail inputs and outputs, or the maximum duty cycle of 100%. frequency. For safe operation of the
such as the MAX987 from Maxim (www. The propagation delay, TPD, of the circuit, you should vary the reference
maxim-ic.com), to achieve a wider comparator introduces a further phase voltage by 0.5 to 4.5V. The duty cycle
input range for the reference voltage. shift of value Δf=2πfOTPD, where Δf varies from approximately 15 to 85%
That wider range gives you wider con- is the phase shift. The capacitor-input (Table 1). You can produce a bipolar
trol of the duty cycle, although you can’t network compensates for the phase output signal if you use a dual power
reach the minimum duty cycle of 0% shift, slightly reducing the oscillation supply.EDN

Generate noisy sine waves The differential amplifier employs a


National Semiconductor (www.national.
with a sound card com) LM386 audio power amplifier with
a supply voltage of 15V. The output of
José M Miguel, RF-Electronics Ltd, Barcelona, Spain
the LM386 has a self-centered quies-
Testing audio-noise-reduction wave generator. It lets you independently cent voltage that is half the power-sup-
↘ circuits, PLLs (phase-locked choose amplitude, frequency, and wave- ply voltage and that requires a blocking
loops), and audio-frequency filters may form for the left and the right channels. capacitor, C3. Resistor R5 sets the output
require a noisy sine wave, one that is The Generatosaur’s user interface is a di- impedance to 50Ω. You need the voltage
summed with white noise. Using a typi- alogue-box-style control panel (see fig- dividers R1/R2 and R3/R4 because the out-
cal computer sound card, free software, ure at www.edn.com/110120dia). If you put-voltage range for a standard sound
and an external amplifier circuit, you select a sine wave for the left channel card is 0 to 2V. Taking into account that
can create a noisy sine wave. and a white noise for the right channel, the voltage gain of the LM386 amplifier
Free Generatosaur software from you then need only to use an amplifier is internally set to 20 and that its output
Wavosaur (www.wavosaur.com) turns to add the signals. Figure 1 shows the voltage range is 7V, you need an attenua-
your sound card into a low-frequency complete circuit. tion factor, K, of 7/(2×20) in each ampli-
fier input. The circuit also in-
VCC cludes a selectable 20-dB atten-
15V uator that you can invoke with
+ the two DPDT (double-pole/
C1
+ double-throw) switches.
R1 47 μF _
L 860 nF 12k Another figure, also available
R at www.edn.com/110120dia,
T R2 C3
R5
shows a 450-Hz sine wave with
1 + 5
2.2k
4
100 μF
50 a 10-dB SNR (signal-to-noise
C2 LM386
R3
2 _
270 ratio). The root-mean-square
+

860 nF 12k
3
10 noise voltage of this signal is
R4
68 68 0.5V measured on an oscillo-
47 nF
2.2k scope and following the tan-
gential method. If you need to
hear the generated noisy sig-
Figure 1 Generatosaur is free software that turns your sound card into a low-frequency wave nal, connect a loudspeaker to
generator.
the output of IC LM386.EDN

Decode a quadrature encoder tation, you can find the position of the
encoder. Although ICs can decode
in software quadrature encoders, you can easily
Sid Levingston, Gentec-EO, Lake Oswego, OR and less expensively have the proces-
sor decode the signal. The signals from
Quadrature encoders work in ure 1 shows the typical output signals. Channel A and Channel B go through
↘ many applications to determine The encoder rotates clockwise when a Schmitt trigger if necessary, but many
displacement and direction of mechan- Channel A leads Channel B. If Chan- encoders and processors include this
ical travel. They vary in design, but nel B leads Channel A, the encoder is trigger internally. The signals are then
they all do the same thing: supply a set rotating counter clockwise. By count- applied to two I/O pins on the proces-
of square waves 90° out of phase. Fig- ing the pulses and the direction of ro- sor that support edge-triggered inter-

48 EDN | JANUARY 20, 2011


Industry’s lowest power port
expanders and LED drivers

Drive LEDs with no additional components in portable applications

lled —A (ma
x)
Contro ss Low 1.5 urrent
h tn e c
brig nd standby
WM a
with P tant
con s
t
curren

2V
Low 1.6
operati
ng PORT
ERS/
voltage EXPAND RS
IVE
LED DR
Small
ale
chip-sc
e
packag )
m m x 2mm
TM (2
SPI
I2 C and
serial
es
interfac

EV kit
s
availa
No. of Interface Supply Voltage Sink Current Standby Current ble
Part Features
Ports Type Range (V) (mA, per Pin) (μA at +85°C)
MAX7302 9 I2C 1.62 to 3.6 25 2.0 4 slave IDs, PWM, blink, RST
New MAX7306/07 4 I2C 1.62 to 3.6 25 2.0 4 slave IDs, PWM, blink, RST
MAX7319–23 8 I2C 1.71 to 5.5 20 1.9 16 slave IDs
MAX7324–27 16 I2C 1.71 to 5.5 20 1.9 16 slave IDs
MAX6966/67 10 SPI 2.25 to 3.6 20 1.9 1.5% constant current, PWM
ffered
Now o P MAX6946/47 10 I2C 2.25 to 3.6 20 1.5 1.5% constant current, PWM, 2mm x 2mm CSP
in CS MAX7315 8 I2C 2 to 3.6 50 3.3 64 slave IDs, PWM
MAX7316 10 I2C 2 to 3.6 50 3.3 64 slave IDs, PWM, RST, INT
MAX6964 18 I2C 2 to 3.6 50 3.3 4 slave IDs, PWM, blink
MAX7300/01 20/28 I2C/SPI 2.5 to 5.5 10 11 16 slave IDs

SPI is a trademark of Motorola, Inc.

www.maxim-ic.com/GPIO-info

DIRECT ™

www.maxim-ic.com/shop www.em.avnet.com/maxim

For free samples or technical support, visit our website.


Innovation Delivered and Maxim are registered trademarks of Maxim Integrated Products, Inc. © 2011 Maxim Integrated Products, Inc. All rights reserved.
designideas
TABLE 1 LOGIC STATES
CHANNEL A
OF QUADRATURE ENCODER
CHANNEL A
LEADING
Channel A Channel B
CHANNEL B State 0 0 0
CHANNEL B
State 1 1 0
State 2 1 1
State 3 0 1
CHANNEL A
CHANNEL B
LEADING 4. Set the pointer to the new state.
CHANNEL A
CHANNEL B 5. Clear the interrupt.
This method requires that a state
table exists, that the previous state re-
Figure 1 A quadrature encoder produces pulses that are 90° out of phase. main, and that the handler determine
on each interrupt which of four states
rupts. The code in the interrupt han- the table and set a pointer to it. exists and then make a decision based
dler implements a standard decoder 4. Enable the interrupts. on two possible conditions. The han-
algorithm, but all algorithms typically In the interrupt handler, use the fol- dler accomplishes this task with a four-
follow these steps: lowing steps: case switch, in which each case has two
1. Set up a state table like the one in 1. Read the state of Channel A and if conditions.
Table 1. The table wraps around from Channel B. Now, consider what happens in the
State 3 to State 0. 2. If the state is the one preceding the real world. If the I/O pin generates an
2. Initialize a counter. pointer, decrement the counter. interrupt on a rising edge, then when
3. Measure the current state of Chan- 3. If the state is the one following the the interrupt happens, that channel goes
nel A and Channel B. Find that state in pointer, increment the counter. from low to high. Therefore, there’s no
reason to read the state of the pin that
interrupted. The other channel did not
LISTING 1 QUADRATURE-ENCODER CODE interrupt because the signals are 90° out
of phase. So, to determine the current
#define CHA BIT0 state, you need only to read the state
#define CHB BIT1 of the pin that didn’t initiate the inter-
rupt. The state of the unchanged low or
initPort()
high signal tells which way the encoder
{
P1SEL = 0;
rotated. If it is low, then the interrupt-
P1DIR = 0; ing pin is leading. If it is high, then the
P1OUT = 0; interrupting pin is trailing. You can use
P1IES = 0; // rising edge. these facts to implement an efficient in-
P1IE = CHA + CHB; // interrupt on CH A or CH B rising edge terrupt handler with no state table and
} no memory of a previous state.
The code in Listing 1 was tested on
#pragma vector = PORT1_VECTOR an MSP430F processor connected to an
__interrupt void port1_ISR (void) Encoder Products (www.encoder.com)
{ model 15T. The encoder monitored
the position of a linear stage. The stage
if(P1IFG & CHA ) // who interrupted? If A then A is high
traveled 85 mm and could be tracked
{
with resolution of 5 microns.
(P1IN & CHB) ? gStepCount-- : gStepCount++ ; // test B
P1IFG &= ~CHA; // clear interrupt The define statements (highlighted
} in red) make the code more readable.
The initPort() function (highlighted in
if(P1IFG & CHB ) // who interrupted? If B then B is high blue) sets up the rising-edge interrupt
on channels A and B. The final piece
{ is the interrupt handler (highlighted in
(P1IN & CHA) ? gStepCount++ : gStepCount-- ; // test A green). Note that it contains only six
P1IFG &= ~CHB; // clear interrupt lines of code compared with the 20 or
} 30 lines it would take to implement
} the traditional method of decoding the
channels.EDN

50 EDN | JANUARY 20, 2011


IO
Power an LED driver ILAVG
1<D
,

using off-the-shelf components where ILAVG is the average inductor cur-


TA Babu, Chennai, India rent and IO is the output current.
The peak inductor current is
High-power LEDs challenge elec- voltage, the upper comparator limit,
↘ tronics engineers to design accu- which 0.693(R1C2) determines, and ILPEAK=ILAVG
6IL
2
,
rate and efficient, yet simple, driver cir- discharges itself down to one-third the
cuits. Conventionally, driving high- power-supply voltage, the lower com- where ILPEAK is the peak inductor cur-
power strings with accurate current re- parator limit, which 0.693(R2C2) de- rent and DIL is the change in inductor
quires dedicated switching regulators. termines. The total time period, T, is current.
Choosing a discrete driver circuit re- 0.693(R1+R2)C2. Assume that the change in inductor
quires an understanding of LED lighting During the on time, transistor Q1 current is 25% over the average cur-
to make the best trade-off. This Design conducts and stores the energy in in- rent. You can compute inductor L1 as
Idea describes a simpler and equally good ductor L1. When it stops conduction,
L=(VIN×D)/(FOSC×6IL),
way to employ the ubiquitous 555 IC. the stored energy transfers to capacitor
In the converter circuit in Figure 1, C3 through Schottky diode D1. where FOSC is the oscillator frequency.
IC1’s pins 2 and 6 connect together, You can use the following equations The inductor’s saturation-current rat-
which lets the device retrigger itself on to calculate the inductor value. The ing should be greater than the peak
each cycle. Thus, it operates as a free- selection of an inductor depends on current.
input voltage, output voltage, maxi- To ensure constant illumination,
mum current, switching frequency, and you must monitor the current through
ONCE THE VOLTAGE availability of standard inductor val- the LED. Resistor R3 senses the output
DROP REACHES ues. Once you know the inductance, current. Once the voltage drop across
you can choose the diode and the this resistor reaches the base-emitter
THE BASE-EMITTER capacitor. threshold of transistor Q2, it starts con-
THRESHOLD OF TRAN- MOSFET Q1 determines the duty ducting, and this conduction reduces
cycle, according to the following the on time of the 555 timer.
SISTOR Q2, IT STARTS equation: The following equation thus sets the
CONDUCTING. V
D1 INMIN ,
×I LED current:
VOUT 0.6V
ILED ,
RSENSE
running oscillator. During each cycle, where VINMIN is the minimum input
capacitor C2 charges up through tim- voltage, VOUT is the desired output volt- where ILED is the LED’s current and
ing resistor R1 and discharges through age, and h is the efficiency of the con- RSENSE is the sense resistance.
resistor R 2. The capacitor charges verter, estimated at 80%. The minimum and maximum input
up to two-thirds of the power-supply The average inductor current is and output voltages for this circuit are
10.5 and 15V, respec-
tively. The LED string’s
D1
F1 L1
1N5819 voltage and current
1.25A 220 μH
are 21V and 350 mA,
VIN
R1 respectively. The 6W
15 TO 10.5V R2
47k
10k
LED driver can find
7 8 numerous applications,
Q1
6 IC 4 C3 +
2 1 3 IRFZ44
47 μF including battery-oper-
C1 + 555
1 5 ated portable lighting,
100 μF
VCC solar-operated garden
2 C2 Q2 lighting, automotive
3 VCC CAPACITOR 220 pF BC547 lighting, bike head-
1 VOLTAGE R3
3 VCC
t 1.8 lights, and underwater
VOUT
lights. Driving high-
OUTPUT power LED strings
VOLTAGE
0
t1 t2
t with standard off-the-
T
shelf components sim-
plifies your design
without sacrificing
Figure 1 A free-running 555 timer provides the stimulus for driving a series of LEDs.
performance.EDN

JANUARY 20, 2011 | EDN 51


productroundup
OPTOELECTRONICS AND DISPLAYS
rent switching at turn-on and switching
in a low-drain voltage state. Power fac-
tor is 92%. Designers can achieve a
variety of control modes, including
buck-boost, average-current, peak-cur-
rent, and constant-input-power con-
trol, by changing the configuration of
the externally connected elements.
Designers can also use the IC in
TRIAC-dimmer applications by apply-
ing a voltage matching the TRIAC
phase to the feedback pin for constant-
current control. Samples are available
for $1 per unit.
Renesas Electronics America Inc,
www.renesas.com
Smart current-sink LED-backlighting platform
targets high-end handheld displays Visible-color LEDs tar-
The SC667 and SC668 smart current-sink LED-backlighting chips with on- get military, harsh-envi-
↘ chip digital-lighting effects provide the ability to incorporate fade, breathe,
ronment applications
and blink effects without changing the firmware. The devices feature automatic
dropout prevention, enabling them to reduce the total parts count and extend bat- The OVM4x series of visible-
tery life over that of boost converters and charge pumps in high-end handheld ↘ color LEDs features hermetically
displays. The devices eliminate the need for the capacitors and inductors that sealed TO-46 packages with built-in
boost circuitry requires, reducing component count, board size, and system cost; ESD protection and is available in blue,
eliminating switching cost; and extending operating time. The SC667 and SC668
sell for $1.15 and $1.29 (3000), respectively.
Semtech Corp, www.semtech.com

Single-die LEDs deliver LED-driver IC touts


1000 lumens at 100 92% power factor
lumens per watt The R2A20134 LED-driver IC
The XLamp XM-L LEDs target ↘ allows designers to select
↘ use in very-high-lumen applica- MOSFETs with a voltage tolerance of
tions, such as roadway lighting. The 300 to 500V, rather than the typical
6500K, cool-white LEDs deliver 1000 700V. A high-voltage control and criti-
lumens with 100-lumen/W efficiency cal-conduction mode enable zero-cur-
at 3A. In a 5×5-mm footprint, the
devices deliver 160 lumens/W at 350
mA and as much as 315 and 150
lumens/W at green, red, and yellow. The devices
700 mA. Prices withstand the severe levels of mechani-
start at approx- cal, environmental, and electrical stress
imately $4.50 typical in field-deployed military-elec-
(low volumes). tronics systems. The devices sell for
Cree Inc, $14.56 each (1000).
www.cree. Optek Technology,
com www.optekinc.com

52 EDN | JANUARY 20, 2011


LED panel light replaces DISCRETE SEMICONDUCTORS
fluorescent tubes
Gate-driver optocoupler provides
The Pollux LED-panel-light
↘ series replaces traditional tube or
35-kV/μsec CMR
grid lights, providing evenly distributed The 3A-output-current FOD31-84 gate-driver optocoupler drives power
cool-white light. The devices consume ↘ MOSFET and IGBTs at frequencies as high as 250 kHz. The FOD3184
50W of power and provide 3400 comprises an aluminum-gallium-arsenide LED optically coupled to a CMOS
lumens, targeting use in detector with PMOS and NMOS output-power transistors in the circuit’s power
indoor settings. Other stage. The device has a minimum CMR of 35 kV/μsec and an operating range of
features include a beam 15 to 30V, 3A maximum peak output current, and a guaranteed operating-temper-
angle of 120°, an input ature range of −40 to +100°C. The device comes in an eight-pin dual inline hous-
voltage of 100 to 240V ing and is compatible with a 260°C reflow process. It sells for $1.51 (1000).
ac±10% at 50 or 60 Hz, and a 30,000- Fairchild Semiconductor, www.fairchildsemi.com
hour life span. Measuring 600×600×13
mm, the devices have a two-year war-
ranty and sell for $199.
GlacialLight, www.glaciallight.com

ADVERTISER INDEX

Company Page
Agilent Technologies 23

productmart
Analog Devices Inc 15

Ansys Inc 10

Avnet Electronics Marketing 25

Centellax 8

Cirrus Logic Inc 3

Coilcraft 4 This advertising is for new and current products.


Digi-Key Corp C1, C2

Ellsworth Adhesives 46

Front Panel Express 53

Integrated Device Technology 19

Interconnect Systems Inc 35


Custom Front Panels
International Rectifier Corp 7
& Enclosures
Keil Software 34
FREE
Linear Technology C4 Software

Maxim Integrated Products 49

Mouser Electronics 6

National Instruments 13 Sample price $57.32 + S&H

Pico Electronics Inc 11, 41, C3 Designed by you using our


FREE software, Front Panel Designer
Renesas Technology Corp 17
Q Cost effective prototypes and production runs
RFM 31 Q Powder-coated finish and panel thickness up
to 10mm now available
Tektronix 21
Q Choose from aluminum, acrylic or customer
Trilogy Design 53 provided material
Q 1, 3 and 5-day lead times available
UBM Canon Trade Events 33

Vicor Corp 43

EDN provides this index as an additional service. The FrontPanelExpress.com


publisher assumes no liability for errors or omissions. (206) 768-0602

JANUARY 20, 2011 | EDN 53


TA L E S F R O M T H E C U B E TODOR ARSENOV • ELECTRONICS ENGINEER

the only one I could think of for the prob-


Go ahead. Make my day! lem. There were ±12V and 5V outputs,
and I figured that they were OK. I wasn’t
so sure about the third output voltage,
20V. The output rectifier comprising two
ultrafast parallel diodes in a TO-220 case
was behaving as a diode, but I couldn’t
visually check the markings on the
package. I had laid out the PCB, and, in
my desire to minimize the current loops
and to pass the EMC (electromagnetic-
compatibility)-qualification test, I had
placed the components so close to each
other that I couldn’t see the front of
the rectifier case. Visual inspection was
impossible for every component.
I unsoldered the rectifier to see
whether the right diode had been used.
When I pulled out the part, I saw another
power MOSFET in the same type of
TO-220 case as the diodes. As a result,
the parasitic body diode of the MOSFET
was acting exactly as a TO-220-encased
output rectifier in a flyback converter!
For that reason, I couldn’t detect any
problems with this output “rectifier”; I
was simply measuring the body diode
ou usually understand that you have done a good

Y
of a wrongly soldered power MOSFET.
design when, after launching the product on the pro- OK, but why was the parasitic body diode
duction line, the unit goes on the test fixtures well still working at a switching frequency of
approximately 100 kHz? Parasitic diodes
within the data-sheet parameters and passes the final should not have high switching speeds.
burn-in test, no BOM (bill-of-materials) changes crop A look at the production folder
up, and no malfunctions occur after assembly with revealed that we had used a second-
components from second-source manufacturers. After six to 12 source manufacturer for this MOSFET. I
months of running the unit on the production floor with no prob- went online to see the MOSFET’s data
specifications and found that the manu-
lems, the infant-mortality phase is over for this product—at least
facturer had replaced this MOSFET with
that’s how the textbooks describe it. a FET with the same electrical param-
At my job, we had in regular produc- power supply. Under full load, the con- eters but with an enhanced high-speed
tion a small 50W power supply that had verter was “hiccupping” as if one of the drain-to-source diode. As a result, the
been operating for almost two years with outputs were shorted. Under no load and output “rectifier” was able to conduct at
no problems. One morning, some opera- 10% of full current, the converter ran the high switching frequency.
tors suddenly encountered problems with relatively normally, but the load regula- I made a third revision of the PCB,
running the power supply on the test tion was not good. I checked the output this time with a cutout on the board so
fixtures. This unit was my responsibility, capacitors, the output rectifiers, the that the output rectifier’s case was vis-
so I dug out the design folder and the resistive loads of the test fixture, and the ible through it. A simple visual control
schematics and went unhappily toward PCB (printed-circuit-board) layout for ensured installation of the right part.
the production floor. On my way, I possible track shorts. Everything looked Fortunately for me, it was only a revision
met the manufacturing director, who normal. I hooked the scope probe onto and not a BOM change.EDN
had a poster on his office wall of Clint the auxiliary winding of the transformer,
Eastwood, gun in hand, and the quota- which was supplying the IC controller, Todor Arsenov is an electronics engineer
DANIEL VASCONCELLOS

tion “Go ahead! Make another BOM and found overloading: The voltage was in Toronto, ON, Canada.
change! Make my day!” collapsing with a full output load.
The unit on the test station was a I again checked the board for a short
+ www.edn.com/tales
standard power-MOSFET switch-mode on the secondary. This explanation was

54 EDN | JANUARY 20, 2011


New Dual Isolated Outputs VV Series
New AV Series Up to 10,000 VDC Output
to 250 VDC Isolated Output
Isolated Thru-Hole and Surface Mount Up to 10 Watts
100 to 5000 VDC Output
Surface Mount/Thur-Hole

A/SM Series
Surface Mount/Thur-Hole
Isolated
3.3 to 1000 VDC Output

AVR Series
Regulated to IKV

rs
Low Noise

ut
M/MV Series
Military Components

DC rte
tp
Military Environmental
Testing Available P/HP/XP Series
3.3 to 500 VDC HIGH POWER

Ou
3.3 to 350 VDC

e
HVP Series Output
Isolated to
Programmable to
6000 VDC Output nv 300 Watts
Co
0V
LV/HV Series
36 to 170 Inputs
Terminal Strips
C
,00

PC Board Mount
3.3 to 48 VDC Output
-D

OR/IR/JR/KR Series
10

Wide Input Range


DC

8 to 60 VDC
2 to 100 VDC Output
to

Regulated LF/LM/FM Series


-40o and -55o to +85oC
o 3 va te ls
t t ut A sola ode

Operating Temperature
00 ila d
Wa ble
2V
/i M

tts

3.3 to 350 VDC outputs


1 Wde In ated ard

in 1/2 Brick and Full Brick


W gu nd
Re Sta

LP Series
p
l

• COTS
00

Wide Input Range


25

at

• MILITARY Watts to 75 Watts


i

• INDUSTRIAL 2 to 100 VDC Output Full Brick

Also AC-DC single and/or 3 Phase. Power Factor Corrected. 3 Watts to 2000 Watts Models.

Electronics, Inc. 143 Sparks Ave. Pelham, NY 10803


• Call Toll Free 800-431-1064 • Fax: 914-738-8225
SURFACE MOUNT • MILITARY UPGRADES • 2500 Standard Models • Custom Models

www.picoelectronics.com
E-mail: info@picoelectronics.com • Send for free 180 pg PICO Catalog
RF-to-Bits

Complete μModule Receivers Simplify Radio Design


®

®
The LTM 9004 (Direct Conversion) and LTM9005 (IF-Sampling) μModule receivers offer unprecedented integration for
smaller, low power designs and dramatically faster time-to-market. Integrating the RF, signal filtering, gain stages and
high-speed ADCs, these receivers eliminate time-consuming design, layout and component sourcing associated with high-
performance communication systems. With no performance compromise, they enable dense, multichannel macrocells or
compact remote radio heads.

Features LTM9004 Demo Board Info & Free Samples

• Fully Integrated RF-to-Digital Receivers www.linear.com/9004


• 14-Bit, 125Msps Low Power ADC 1-800-4-LINEAR
• Direct Conversion Architecture (LTM9004)
- 800MHz to 2.7GHz RF Input Range
- I/Q Demodulation & Dual ADC
- 5V & 3V Supplies, 1.8W Total
• IF-Sampling Architecture (LTM9005)
- 400MHz to 3.8GHz RF Input Range
- 20MHz SAW Filter, 140MHz IF
- 3.3V Supply, 1.3W Total , LT, LTC, LTM, Linear Technology, the Linear logo and μModule
are registered trademarks of Linear Technology Corporation. All
• 22mm x 15mm LGA Package other trademarks are the property of their respective owners.

You might also like