Nanoo

You might also like

Download as pdf or txt
Download as pdf or txt
You are on page 1of 167

Synthesis and Characterization

of nanomaterials

Prakash Chandra, PhD


Delhi Technological University
How to get at nano scale?
There are two general approaches to the synthesis of
nanomaterials and the fabrication of nanostructures

Bottom-up approach Top-down approach


These approaches include the miniaturization These approaches use larger
of materials components (up to atomic level) (macroscopic) initial structures,
with further self assembly process leading to the which can be externally-controlled
formation of nanostructures. in the
During self-assembly the physical forces processing of nanostructures.
operating at nanoscale are used to combine basic Typical examples are etching
units into larger stable structures. through the mask, ball milling,
Typical examples are quantum dot formation and application of severe plastic
during epitaxial growth and formation of deformation.
nanoparticles from colloidal dispersion.
Top-down vs. bottom-up
■ Top-down methods
■ begin with a pattern generated on a larger scale, then reduced to
nanoscale.
■ By nature, aren’t cheap and quick to manufacture
■ - Slow and not suitable for large scale production.
■ Bottom-up methods
■ start with atoms or molecules and build up to nanostructures
■ –Fabrication is much less expensive
Top-Down
Motivation
MEMS
■ MEMS = MicroElectroMechanical System
■ Any engineering system that performs electrical and mechanical
functions with components in micrometers is a MEMS. (1 μm =
1/10 of human hair)
■ MEMS products
■ Microsensors- biomedical, chemical, inertia, optical, pressure, radiation,
thermal etc.
■ Microactuator- Valve , Pumps, Microfluidics etc
■ Read/Write heads in computer storage system
■ Microdevices- Plamtop, Mini robots, microsurgical, Mobile telecome
etc.
What is Lithography?
■ Lithography is a process that uses focused radiant
energy and chemical films that are affected by this
energy to create precise temporary patterns in
silicon wafers or other materials.

■ Lithography is an important part of the top-down


manufacturing process, since these temporary
patterns can be used to add or remove material
from a given area
Types of Lithography
A. Photolithography (optical, UV, F. Step Growth
EUV) G. Soft Lithography
B. E-beam/ion-beam/Neutral H. Nanoimprint
atomic beam lithography
I. Shadow Mask
C. X-ray lithography
J. Self-Assembly
D. Interference lithography
K. Nanotemplates
E. Scanning Probe
▪ Diblock copolymer
▪ Voltage pulse
▪ CVD ▪ Sphere
▪ Local electrodeposition ▪ Alumina membrane
▪ Dip-pen ▪ Nanochannel glass
▪ Nuclear-track etched membrane
Materials
■ Fabrication of MEMS structures depends on
■ structural, sacrificial, and masking materials on

substrate,

■ Issues related to etch selectivity, adhesion, microstructure,


and a host of other properties are important point of
considerations

■ Materials
silicon, glass, ceramics, polymers, compound
semiconductors, titanium and tungsten.
Silicon
■ Silicon (Si) is among the most abundant material on earth. It almost
always exists in compounds with other elements.
■ Single crystal silicon is the most widely used substrate material for
MEMS and microsystems.
■ The popularity of silicon for such application is primarily for the
following
■ reasons:
(1) It is mechanically stable and it is feasible to be integrated into electronics
on the same substrate (b/c it is a semiconducting material).
(2) Electronics for signal transduction such as the p or n-type piezoresistive
can be readily integrated with the Si substrate-ideal for transistors.
(3) Silicon is almost an ideal structure material. It has about the same
Young’s modulus as steel (∼ 2x105 MPa), but is as light as aluminum
with a density of about 2.3 g/cm3.
Silicon
(4) It has a melting point at 1400oC, which is about twice higher than that of
aluminum. This high melting point makes silicon dimensionally stable even at
elevated temperature.
(5) Its thermal expansion coefficient is about 8 times smaller than that of steel,
and is more than 10 times smaller than that of aluminum.
(6) Silicon shows virtually no mechanical hysteresis. It is thus an ideal candidate
material for sensors and actuators.
(7) Silicon wafers are extremely flat for coatings and additional thin film layers
for either being integral structural parts, or performing precise
electromechanical functions.
(8) There is a greater flexibility in design and manufacture with silicon than
with other substrate materials. Treatments and fabrication processes for silicon
substrates are well established and documented.
Single-Crystal Silicon
■ For silicon to be used as a substrate material in integrated circuits and
MEMS, it has to be in a pure single-crystal form.
■ The most commonly used method of producing single-crystal silicon is
the Czochralski (CZ) method.
The Czochralski method for producing
single-crystal silicon
(1) Raw Si (quartzite) + coal, coke, woodchips)
are melted in the crucible.
(2) A “seed” crystal is brought to be in contact
with molten Si to form larger crystal.
(3) The “puller” slowly pulls the molten Si up
to form pure Si “boule” after the solidification.
(4) The diameters of the “bologna-like” boules
vary from 100 mm (4”) to 300 mm (12”) in
diameters.
Single-Crystal Silicon

Pure silicon wafers

Pure silicon boules of 300 mm


diameter and 30 ft long, can
weigh up to 400 Kg.
These boules are sliced into
thin disks (wafers) using
diamond saws.
Standard sizes of wafers are:
100 mm (4”) diameter x 500 μm thick.
150 mm (6”) diameter x 750 μm thick.
200 mm (8”) diameter x 1 mm thick
300 mm (12”) diameter x 750 μm thick (tentative).
Single Silicon Crystal
Structure
Single silicon crystals are basically of “face-cubic-center” (FCC)
structure.
The crystal structure of a typical FCC crystal is shown below:

Total number of atoms: 8 at corners and 6 at faces = 14 atoms


Single Silicon Crystal Structure
▪ Single crystal silicon, however has 4 extra atoms in the interior.
▪ The situation is like to merge two FCC crystals together as shown below:

▪ Total no. of atoms in a single silicon crystal = 18.


▪ The unsymmetrical distribution of atoms within the crystal make pure
silicon anisotropic in its mechanical properties.
▪ In general, however, we treat silicon as an isotropic material.
3 Distinct Phases of cubic crystal
The 3 Principal Planes of a Silicon Crystal

Characteristics of silicon by principal planes:


(1) The (100) planes contain least number of atoms→ the weakest plane → easiest to
work with.
(2) The (110) planes offers the cleanest surfaces in micro fabrications.
(3) The (111) contains shortest bonds between atoms → strongest plane→ toughest to
work with.
Orientation and identification flats

The primary flat is used for automated positioning of the wafer during subsequent
processing steps, e.g., lithographic patterning and dicing. Other smaller flats are called
"secondary flats" and are used to identify the crystal orientation , (<111> versus <100>)
and the material (n-type versus p-type)
(Bulk) Mechanical and Thermophysical
Properties of Silicon
Silicon Compounds
■ There are 3 principal silicon compounds used in MEMS and
microsystems: Silicon dioxide (SiO2), Silicon carbide (SiC) and
silicon nitride (Si3N4) – each has distinct characteristic and unique
applications.
Silicon dioxide (SiO2)
■ It is least expensive material to offer good thermal and electrical
insulation.
■ Also used a low-cost material for “masks” in micro fabrication
processes
■ such as etching, deposition and diffusion.
■ Used as sacrificial material in “surface micromachining”.
■ Above all, it is very easy to produce:
■ - by dry heating of silicon: Si + O2 → SiO2
■ - or by oxide silicon in wet steam: Si + 2H2O → SiO2 + 2H2
Silicon Compounds
■ Silicon carbide (SiC)
■ Its very high melting point and resistance to chemical reactions
make it ideal candidate material for being masks in micro fabrication
processes. It has superior dimensional stability.

■ Silicon nitride (Si3N4)


■ Used as excellent barrier to diffusion to water and ions.
■ Its ultra strong resistance to oxidation and many etchants make it a
superior material for masks in deep etching.
■ Also used as high strength electric insulators.
Polycrystalline silicon

■ It is usually called “Polysilicon”.


■ It is an aggregation of pure silicon crystals with randomly
orientations deposited on the top of silicon substrates:

■ These polysilicon usually are highly doped silicon.


■ They are deposited to the substrate surfaces to produce localized
“resistors” and “gates for transistors”
■ Being randomly oriented, polysilicon is even stronger than single
silicon crystals.
Gallium Arsenide (GaAs)
■ GaAs is a compound simiconductor with equal number of Ga and As atoms.
■ It is excellent material for monolithic integration of electronic and
photonic devices on a single substrate.
■ The reason for being excellent material for photoelectronics is its high
electron mobility (7 times more mobile than silicon)
■ GaAs is also a good thermal insulator.
■ Low yield strength (only 1/3 of that of silicon) – “bad”.
Quartz
■ Quartz is a compound of SiO2.
■ The single-unit cell is in shape of tetrahedron.
■ Quartz is ideal material for sensors because of its extreme dimensional
stability.
■ It is used as piezoelectric material in many devices.
■ It is also excellent material for microfluics systems used in biomedical
applications.
■ It offers excellent electric insulation in microsystems.
■ A major disadvantage is its hard in machining. It is usually etched in
HF/NH4F into desired shapes.
■ Quartz wafers up to 75 mm diameter by 100 μm thick are available
commercially.
Piezoelectric Crystals
■ Piezoelectric crystals are solid ceramic compounds that produce
piezoelectric effects:

■ Natural piezoelectric crystals are: quartz, tourmaline and sodium


potassium tartrate.
■ Synthesized crystals are: Rochelle salt, barium titanate and lead
Polymers
■ Polymers include: Plastics, adhesives, Plexiglass and Lucite.
■ Principal applications of polymers in MEMS:
■ Currently in biomedical applications and adhesive bonding.
■ New applications involve using polymers as substrates with
electric conductivity made possible by doping.
■ Molecular structure of polymers:
■ It is made up of long chains of organic (hydrocarbon) molecules.
■ The molecules can be as long as a few hundred nm.
■ Characteristics of polymers:
■ Low melting point; Poor electric conductivity
■ Thermoplastics and thermosets are common industrial products
■ Thermoplastics are easier to form into shapes.
■ Thermosets have higher mechanical strength even at temperature up to 350oC.
Polymers as industrial
materials
■ Polymers are popular materials used for many industrial products for
the following advantages:
■ Light weight
■ Ease in processing
■ Low cost of raw materials and processes for producing polymers
■ High corrosion resistance
■ High electrical resistance
■ High flexibility in structures
■ High dimensional stability
Application of Polymers in MEMS
and microsysytem
(1) Photo-resist polymers are used to produce masks for creating desired
patterns on substrates by photolithography technique.
(2) The same photoresist polymers are used to produce the prime mold
with desirable geometry of the MEMS components in a LIGA process
(3) Conductive polymers are used as “organic” substrates for MEMS and
microsystems.
(4) The ferroelectric polymers that behave like piezoelectric crystals can
be used as the source of actuation in micro devices such as in micro
pumping.
(5) The thin Langmuir-Blodgett (LB) films can be used to produce
multilayer microstructures.
.
Application of Polymers in MEMS
and microsysytem
(6) Polymers with unique characteristics are used as coating substance to
capillary tubes to facilitate effective electro-osmotic flow in
microfluidics
(7) Thin polymer films are used as electric insulators in micro devices,
and as dielectric substance in micro capacitors.
(8) They are widely used for electromagnetic interference (EMI) and radio
frequency interference (RFI) shielding in microsystems.
(9) Polymers are ideal materials for encapsulation of micro sensors and
the packaging of other microsystems.
■ Conductive Polymers
Polymers are poor electric conducting materials by nature.
Langmuir-Blodgett (LB) films
■ The process was first introduced by Langmuir in 1917 and Blodgett.
■ The process involves the spreading volatile solvent over the
surface-active substrate materials.
■ The LB process can produce more than one single monolayer by
depositing films of various compositions onto a substrate to produce a
multilayer structure.
■ LB films are good candidate materials for exhibiting ferro (iron)- ,
pyro (heat)- and piezoelectric properties. LB films may also be
produced with controlled optical properties such as refractive index
and anti reflections.
■ They are thus ideal materials for micro sensors and optoelectronic
devices.
■ eg. Poly-vinylidene fluoride (PVDF).
MEMS PROCESS
■ Same as the process steps used for making conventional
electronic circuits.
Photolithography
▪ Photo means light, litho means (in greek) Stone and graphy
means Writing.

▪ Photolithography is used to produce images using light sensitive


photoresist and controlled exposure to light.

▪ Microlithography is the technique used to print ultra-miniature


patterns used primarily in the semiconductor industry.
Photo mask
CAD designs
Fig- esistan
be develop
canal, at en
where as a
How are Masks made?
E-beam (electron-beam)
■ Software Mask
direct writing

(UV)
Photoresist
■ Photo sensitive material
■ Temporarily coated on wafer surface
■ Transfer design image on it through
exposure
■ Very similar to the photo sensitive coating
on the film for camera
Photoresist

Negative Photoresist Positive Photoresist


• Becomes insoluble after • Becomes soluble after
exposure exposure
• When developed, the • When developed, the
unexposed parts dissolved. exposed parts dissolved
• Cheaper • Better resolution
Positive Photolithography
Areas exposed to light
become photosoluble.
Ultraviolet Light
Island
Chrome island
on glass mask Window
Shadow on
photoresist photoresist

Exposed area
of photoresist
oxide

photoresist silicon substrate


oxide

silicon substrate Resulting pattern after


the resist is developed.
Positive photoresist is used
Negative Photolithography
Areas exposed to light
become polymerized and
Ultraviolet Light resist the develop chemical.
Chrome island
on glass mask Island
Exposed area
of photoresist
Window
photoresist
Shadow on
photoresist

photoresist
oxide oxide

silicon substrate silicon substrate

Resulting pattern after


the resist is developed.
Negative Photoresist is used
Basic steps of Photolithography

■ Surface Preparation ■ Hard Bake


■ Photoresist Application ■ Inspection
■ Soft Bake ■ Etch
■ Align the mask & ■ Resist Strip ( remove PR)
Expose PR to UV light* ■ Final Inspection
■ Develop

* Some processes may include a Post-exposure Bake


Surface Preparation

■ Clean and dry wafer surface


(hydrophobic) HMDS
■ Plasma oxidation (10
minutes) or Adhesion
promoters are used to assist
resist coating ex:
Hexamethyldisilazane
(HMDS)
■ Temp ~ 200 - 250°C
■ Time ~ 60 sec.
Photoresist spin coating
■ Wafer held onto vacuum chuck
■ Dispense ~5ml of photoresist photoresist
dispenser
■ Slow spin ~ 500 rpm
■ Ramp up to ~ 3000 - 5000 rpm
■ Quality measures:
time
speed
thickness
uniformity
particles & defects
vacuum chuck

to vacuum
pump spindle
Softbake

■ Partial evaporation of
photo-resist solvents
■ Improves adhesion
■ Improves uniformity
■ Improves etch resistance
■ Improves linewidth control
■ Optimizes light absorbance
characteristics of photoresist
Alignment & Exposure
■ Alignment errors
(many different types)
■ Mask aligner
equipment
■ Double sided
alignment especially
important in
micromachines
Lithography systems-UV light
Alignment & Exposure
■ Normally requires at least two alignment mark sets on
opposite sides of wafer or stepped region.
■ Use a split-field microscope to make alignment easier:
Mask Aligner Fixture
Hard bake

■ Evaporate remaining
photoresist

■ Improve adhesion

■ Higher temperature
than soft bake
Developing the photoresist
■ Soluble areas of photoresist are
developer
dissolved by developer dispenser
chemical
■ Visible patterns appear on
wafer
windows
islands
■ Quality measures:
line resolution vacuum chuck
uniformity
spindle
particles & defects
Wafer inspection
■ Optical or SEM metrology

■ Quality issues:
particles
defects
critical dimensions
linewidth resolution
overlay accuracy
Photolithography combined with etching
and thin film deposition
Plasma etch ( dry etching with plasma) -to remove the photoresist
2 μm x 2μm x 2 2 μm x 3μm x 2 2 μm x 15μm x 2
μm μm μm

2 μm x 4μm x 2 2 μm x 5μm x 2 2 μm x 20μm x 2


μm μm μm

2 μm x 7μm x 2 2 μm x 10μm x 2
μm μm
Photolithography Methods

Substrate spin coating Soft baking


Treatment SU-8 Photoresist

Plasma oxidation or Spin @ desired Speed


HMDS,

Exposure Post exposure Develop


to UV light Bake SU-8 Photoresist
Required time by supplier In chemical solvent

Hard bake SU-8


Rinse and dry Film removal

@150-200oC
Photolithography
Microfabrication Process
Etching
■ Bulk micromanufacturing technique involves creating 3-D components
by removing materials from thick substrates (silicon or other
materials) using primarily etching method.
■ Etching - dry or wet etching is the principal technique used in bulk
micromanufacturing.
■ Substrates that can be etched in bulk micromanufacturing include:
Silicon , SiC, GaAs, special polymers
■ Wet etching involves the use of chemical solvents (called etchants)
■ Dry etching uses plasma to remove materials at the desired locations
on a substrate.
Choosing a Method
■ Choosing a method
■ Desired shapes
■ Etch depth and uniformity
■ Surface roughness
■ Process compatibility
■ Safety, cost, availability,
environmental impact
Isotropic and Anisotropic
etching
■ When a material is attacked by a liquid or vapor etchant, it is removed
isotropically (uniformly in all directions) or anisotropic etching
(uniformity in vertical direction).

Anisotropy Isotropy

■ Material removal rate for wet-etching is usually faster than the rates
for many dry etching processes
■ Etching rate changed by varying temperature or the concentration of
active species.
Isotropic and Anisotropic
etching
■ Pure silicon crystals are not isotropic in their properties due to
non-uniform distribution of atoms at their interior.
■ Such anisotropic properties are represented by three distinct planes.

■ The (111) plane makes an angle of 54.74o with the (100) plane.
■ Corresponding to these (3) planes are 3 distinct directions in which
etching takes place: <100>, <110> and <111>.
■ The <100> is the easiest direction for etching, and the <111> is the
hardest direction for etching.
Wet Etch
■ Removal process that uses liquid chemicals or etchants to remove
materials from a wafer.
■ The specific patters are defined by masks on the wafer.
■ Materials that are not protected by the masks are etched away by
liquid chemicals.
■ These masks are deposited and patterned on the wafers in a prior
fabrication step using lithography.
Etching Chemistry
■ A wet etching process involves multiple chemical reactions
■ The wet etch process can be described by three basic steps.
(1) Diffusion of the liquid etchant to the structure that is to be removed.
(2) The reaction between the liquid etchant and the material being etched
away. A reduction-oxidation (redox) reaction usually occurs. This
reaction entails the oxidation of the material then dissolving the
oxidized material.
(3) Diffusion of the byproducts in the reaction from the reacted surface
■ Key ingredients in any wet etchant:
– Oxidizer
examples: H2O2, HNO3
– Acid or base to dissolve oxidized surface
examples: H2SO4, NH4OH
– Dillutent media to transport reactants and products through
examples: H2O, CH3COOH
Anisotropic wet etching
■ Liquid etchants etch crystalline materials at different rates depending
upon which crystal face is exposed to the etchant .
■ Depend on Si crystalline plane.
■ Anisotropic etching is easier to control of the etched shape of the
substrates.
Anisotropic wet etching
■ Alkaline chemicals with ph > 12 for anisotropic etching.
■ Popular anisotropic etchants are:
■ KOH ( potassium hydroxide)
■ EDP (ethylene-diamine and pyrocatecol)
■ TMAH (tetramethyl ammonium hydroxide)
■ Hydrazine
■ Most etchants are used with 1:1 by weight mixture with water.
■ Typical etching rates are:
Hydroxide Etching of
Silicon
Isotropy Wet etching
■ For isotropic wet etching, a mixture of hydrofluoric acid, nitric acid,
and acetic acid (HNA) is the most common etchant solvent for
silicon.
■ The concentrations of each etchant determines the etch rate.
■ Silicon dioxide or silicon nitride is usually used as a masking material
against HNA.
■ Silicon compounds are much stronger etching resistive materials than
silicon.
■ These materials can thus be used as masks for etching of silicon
substrates.
“Wet chemical etching is generally isotropic even though a mask is
present since the liquid etchant can penetrate underneath the mask. If
directionality is very important for high-resolution pattern transfer,
wet chemical etching is normally not used. “
Etch stop
■ Etch Stop refers to stopping the etching reaction be it isotropic or
anisotropic at a desired point.
There are two categories for etch stop –
1. Time based etch stop
Etching is stopped by removing the wafer from the etching solution at a certain time.
2. Selectivity based etch stop
■ Etching may be stopped by the following two methods, both related to
doping of the silicon substrates.
■ Controlled by doping:
■ Doped silicon dissolved faster in etchants than pure silicon
■ Al-Doped silicon-faster etch rate,
■ Heavily boron doped-Etch Stop
■ Controlled by electrochemical etch stop
Control Of Wet etching
On etching geometry:
■ Timing and agitated flow patterns can affect the geometry of etched
substrate geometry:

■ Endurance of the masks is another factor that affects the etching


geometry
Undercutting
Etch Stop by Boron Doping
Electrochemical Etch stop
Dry Etching
■ At reduced pressure, a glow discharge is set up in a reactive gas
environment
■ This produces ions that can be accelerated by the electric fields at the
bounding edges of the plasma so that they strike the surface –these can
be quite directional in their impact
■ Free radicals that can diffuse to the surface and undergo reaction
■ Etching depends on reaction followed by creation of a gaseous
byproduct which is pumped away
■ Synonyms: plasma etching,
gas etching, physical dry
etching, chemical dry etching,
physical-chemical etching
Physical Dry Etching
■ Physical dry etching requires high energy kinetic energy (ion,
electron, or photon) beams to etch off the substrate atoms.
■ When the high energy particles knock out the atoms from the substrate
surface, the material evaporates after leaving the substrate.
■ There is no chemical reaction taking place and therefore only the
material that is unmasked will be removed.
Chemical Dry Etching
■ Chemical dry etching (also called vapor phase etching) does not use
liquid chemicals or etchants.
■ Involves a chemical reaction between etchant gases to attack the
silicon surface.
■ Usually isotropic and exhibits high selectively.
■ Ability to etch with finer resolution and higher aspect ratio than
isotropic etching.
■ Directional nature of dry etching, undercutting can be avoided.
Tetrafluoromethane (CF4), sulfur hexafluoride (SF6), nitrogen trifluoride (NF3),
chlorine gas (Cl2), or fluorine (F2)
Reactive Ion Etching
■ Reactive ion etching (RIE) uses both physical and chemical
mechanisms to achieve high levels of resolution.
■ Most diverse and most widely used processes in industry and research.
■ The high energy collision from the ionization helps to dissociate the
etchant molecules into more reactive species
■ Cations are produced from reactive gases which are accelerated with
high energy to the substrate and chemically react with the silicon.
■ RIE gases- CF4, SF6 and BCl2 + Cl2
Multi-level Etching
■ Making multi level etches can be challenging
■ For through etches with two different depths, simply etch from both
sides of the wafer, with double-sided alignment
DRIE
Working principle:

▪The DRIE process provides thin films of a


few microns protective coatings on the
sidewalls during the etching process.

▪ It involves the use of a high-density plasma


source.

▪The process allows alternating process of


plasma (ion) etching of the substrate
material and the deposition of
etching-protective material on the sidewalls.

▪Special polymers are frequently used for


side-wall protective films.
Wet Vs Dry Etch
Microneedle
Problems in lithography
■ Though the concept of photolithography is simple
■ actual implementation is very complex and expensive.
■ This is because
(1) nanostructures significantly smaller than 100 nm are difficult to
produce due to diffraction effects,
(2) Masks need to be perfectly aligned with the pattern on the wafer,
(3) The density of defects needs to be carefully controlled, and
(4) Photolithographic tools are very costly, ranging in price from tens to
hundreds of millions of dollars.
Electron-beam lithography
■ Electron-beam lithography and X-ray lithography techniques have
been developed as alternatives to photolithography.

■ In the case of electron beam lithography, the pattern is written in a


polymer film with a beam of electrons. Since diffraction effects are
largely reduced due to the wavelength of electrons, there is no blurring
of features, and thus the resolution is greatly improved.
However, the electron beam technique is very expensive and very
slow.
■ In the case of X-ray lithography, diffraction effects are also minimized
due to the short wavelength of X-rays, but conventional lenses are not
capable of focusing X-rays and the radiation damages most of the
materials used for masks and lenses.
Soft Lithography
Soft lithography
Soft lithography opportunity assessment

“Soft” means no energetic particles


(electron, ions) or radiation (UVs,
X-ray) is involved. Instead, soft
elastomeric stamp is used.
Soft lithography:
•Low cost
•Molding, printing or transferring
•Resolution usually not very high
•Application in microfluidic, biomedical …

George M. Whitesides
(Harvard)
“Size is not the only thing that matters, function is more important” (something like this),
PDMS: poly(dimethyl-siloxane)

PDMS properties:
• Silicone elastomer with a range of viscosities
• Flexible (1 MPa Young’s modulus, typical polymer 1 GPa) and easy to
mold.
• Elastomer, conforms to surface over large areas.
• Chemically inert, optically transparent
• Low surface energy: bonds reversibly (or permanent).
• Seals to flat and clean surfaces for micro-fluidic channels
• Durable (reusable), low thermal expansion
• Biocompatible (even used for food additive)
• Environmentally safe
• Best Resolution: 2-10 nm (for hard PMDS)

Dow Corning
brand 84
PDMS surface treatment

Upon treatment in oxygen plasma, PDMS


seals to itself, glass, silicon, silicon nitride,
and some plastic materials.

Plasma
oxidation

Air (~10 min)

contact PDMS
Irreversible seal:
surfaces formation of covalent
bonds

Biggest issue: it becomes hydrophobic quickly, very bad for micro-fluidic applications.
(liquid hard to get into the channels once it becomes hydrophobic)
PMDS is absolutely the most popular material for bio-medical lab-on-chip (microfluidic)
applications, but may not be suitable for commercial applications, which need chemically
stable surface.
PDMS surface treatment

• PDMS has a low interfacial free energy such that molecules of most
polymers won’t stick on or react with its surface.
• The interfacial free energy can be manipulated with plasma treatment.
• For nano-imprint or soft lithography mold, plasma can make PMDS surface
like SiO2, easy for mold release agent coating using silane chemistry.
PDMS
fabrication

Cure on hotplate for few


hours

Peel off
PDMS

Master pattern (red color) can be in: photoresist (SU-8), silicon, glass…
Silanization of master mold needed to obtain low surface energy for easy
separation. 87
Microfabrication of
Microgrooved Patterns
UV exposure
Chrome mask
Development

Photoresist
Si wafer
PDMS
polymerization

Stamp peeled out

SEM image
Micro fabrication & Replica
Molding
mask Expose Cast PDMS
& Develop PDMS Drill & Seal

photoresist master
on Si wafer

inlets outlet

flow

Side View
finished 1 cm
device
The most recent lithography
methods
Printing, stamping, and molding use mechanical processes instead of photons or
electrons. These methods are normally called soft lithography
methods because they involve the use of polymers.
microcontact printing method
A chemical precursor to polydimethylfiloxane
(PDMS) is poured over and cured into the rubbery
solid PDMS stamp that reproduces the original
pattern. The stamp can then be used in various
inexpensive ways to make nanostructures.
The stamp is inked with a solution consisting of
organic molecules and then pressed into a thin film
of gold on a silicon plate.
The organic molecules form a self-assembled
monolayer on the solid surface that reproduces the
pattern with a precision of approximately 50 nm.
Advantages of lithography
Once the master template has
been made, no special equipment
is required.
Soft lithographic methods are
capable of producing nanostructures in a wide
range of materials and can
print or mold on curved as well as
planar surfaces

Photolithography. A beam of UV light activates


the photoresist, transferring the pattern fromthe
mask to the sample.
Nanosphere lithography
Micromachining methods
Focused ion-beam (FIB) machining
FIB machining offers the greatest resolution, with the
ability to make features as small as 20 nm, but it is very
slow.
In FIB a beam of gallium ions from a liquid metal ion
source is accelerated, filtered, and focused with
electromagnetic lenses to give a spot size of 5–8 nm.
The beam is tracked across the surface, contained in a
chamber under high vacuum. The high-energy ions blast
atoms from the surface, allowing simple cutting of slots
and channels or the creation of more elaborate 3-D shapes.
Secondary electrons are emitted when the gallium ions
displace the surface atoms. These can be used to image the
surface, allowing observation and control of the process as
it takes place.
Dual-beam FIBs have an additional electron gunthat is
used as an alternative way of imaging. The precision is
extraordinary.
Bottom-up methods
Growth and patterning
(“top down” and “bottom up”)

Nano-scale structures and micro-scale structures are readily


formed using top
down and bottom up approaches.
Best chance for integration.
Bottom-up methods
1. High precision actuators
move atoms from place to
place
2. Micro tips emboss or
imprint materials
3. Electron (or ion) beams
are directly moved over a
surface

1. Chemical reactors create conditions for special growth


2. Biological agents sometimes used to help process
3. Materials are harvested for integration
Bottom-Up: Molecular
self-assembly
• Nature uses self-assembly in
infinitely subtler ways; indeed,
the whole of the natural world is
self-assembled.
• Spontaneous organization of
molecules into stable, structurally
well-defined aggregates
(nanometer length scale).
• Molecules can be transported to
surfaces through liquids to form
self-assembled monolayers
(SAMs).
Self-assembly examples
Self - assembling, classical –SH and Au
bonding
• Definition: spontaneous organization of molecules (objects) into stable,
well-defined structures by non-covalent forces.
• Driving force: thermodynamic equilibrium.
• Final structure: determined by the subunits.
• Biological 3D self assembly: folding of proteins, formation of DNA helix…

Self assembled monolayer Chemi-sorption and


(SAM) self-organization of long-chain
organic molecules on flat
substrates.

Alkanethiolates
CH3(CH2)nS-Au(111)

-SH also binds to Ag, but Ag


surface not as stable as Au.
Applying alkanethiols on stamp to form SAM

• These monolayers allow control over wettability, adhesion, chemical


reactivity, electrical conduction, and mass transport to underlying metal
• Linear alkanethiols with various molecular weights
o 158 g/mol (dodecanethiol, DDT)
o 258 g/mol (hexadecanethiol, HDT)
o 314 g/mol (eicosanethiol, ECT)

in
ethanol

Xia, Y.; Whitesides, G. M. Angew. Chem.,


Int. Ed. 1998, 37, 550.
Michel, B.; Bernard, A., et al. IBM J. Res.
& Dev. 2001, 45, 697. 102
Nanoparticle Synthesis Strategies

Liquid-phase synthesis
Gas-phase synthesis
Vapor-phase synthesis
Liquid-Phase Synthesis

• Coprecipitation
• Sol-gel Processing
• Microemulsions
• Hydrothermal/Solvothermal Synthesis
• Microwave Synthesis
• Sonochemical Synthesis
• Template Synthesis
• Biomimetic Synthesis
Coprecipitation

Coprecipitation reactions involve the simultaneous occurrence of nucleation,


growth, coarsening, and/or agglomeration processes.

Coprecipitation reactions exhibit the following characteristics:


(i) The products are generally insoluble species formed under conditions of high
supersaturation. (ii) Nucleation is a key step, and a large number of small particles
will be formed. (iii) Secondary processes, such as Ostwald ripening and aggregation,
dramatically affect the size, morphology, and properties of the products. (iv) The
supersaturation conditions necessary to induce precipitation are usually the result of a
chemical reaction.
xAy+(aq) + yBx-(aq) AxBy(s)
Typical coprecipitation synthetic methods: (i) metals formed from aqueous
solutions, by reduction from nonaqueous solutions, electrochemical reduction, and
decomposition of metallorganic precursors; (ii) oxides formed from aqueous and
nonaqueous solutions; (iii) metal chalconides formed by reactions of molecular
precursors; (iV) microwave/sonication-assisted coprecipitation.
Example: Precipitation of ZnS nanoparticles from a
solution containing thioacetamide and zinc acetate

Thioacetamide is used as a sulfide source.

Zn2+ + S2- ZnS

Murray C.B. et al., Annu. Rev. Mater. Sci. 2000, 30, 545.
Sol-gel processing

The sol-gel process is a wet-chemical technique that uses either a chemical solution (sol
short for solution) or colloidal particles (sol for nanoscale particle) to produce an
integrated network (gel).

Metal alkoxides and metal chlorides are typical precursors. They undergo
hydrolysis and polycondensation reactions to form a colloid, a system composed of
nanoparticles dispersed in a solvent. The sol evolves then towards the formation of an
inorganic continuous network containing a liquid phase (gel).

After a drying process, the liquid phase is removed from the gel. Then, a thermal
treatment (calcination) may be performed in order to favor further polycondensation
and enhance mechanical properties.
Making Gel formation
Sol-gel process
Example: TiO2 nanoparticle-mediated mesoporous film by sol-gel
processing

Alkoxide

+H2O Stabilizer
Nanodisperse Oxide 100 nm
Sol
(Particulate or
Polymeric)
-H2O
Gel
-H2O
Xerog
el T > 400 ºC TiO2 nanoparticle-mediated
-H2O mesoporous film (Yu, J. C. et al. Chem.
-Stabilizer Mater. 2004, 16, 1523.)

Porous TiO2
Microemulsion

Microemulsions are clear, stable, isotropic liquid mixtures of


oil, water and surfactant, frequently in combination with a
cosurfactant.

The aqueous phase may contain salt(s) and/or other ingredients,


and the "oil" may actually be a complex mixture of different
hydrocarbons and olefins.

The two basic types of microemulsions are direct (oil dispersed


in water, o/w) and reversed (water dispersed in oil, w/o).

Nanosized CdS-sensitized TiO2 crystalline photocatalyst prepared by microemulsion.


(Yu, J. C. et al. Chem. Commun. 2003, 1552.)
Hydrothermal/Solvothermal Synthesis

In a sealed vessel (bomb, autoclave, etc.), solvents can be brought to temperatures well above
their boiling points by the increase in autogenous pressures resulting from heating. Performing
a chemical reaction under such conditions is referred to as solvothermal processing or, in the
case of water as solvent, hydrothermal processing.

ZnIn2S4
TiO2
Yu, J. C. et al. J. Solid State Chem. 2005, 178, 321; Cryst. Growth Des. 2007, 7, 1444
Microwave-Assisted Synthesis
Microwaves are a form of electromagnetic energy with
frequencies in the range of 300 MHz to 300 GHz. The commonly
used frequency is 2.45G Hz.

Interactions between materials and microwaves are based on two


specific mechanisms: dipole interactions and ionic conduction.
Both mechanisms require effective coupling between
components of the target material and the rapidly oscillating
electrical field of the microwaves.

Dipole interactions occur with polar molecules. The polar ends


of a molecule tend to re-orientate themselves and oscillate in step
with the oscillating electrical field of the microwaves. Heat is
generated by molecular collision and friction. Generally, the
more polar a molecule, the more effectively it will couple with
the microwave field.
Conventional Heating by Conduction

• conductive heat

• heating by
convection currents

• slow and energy


inefficient process

The temperature on the outside surface is


in excess of the boiling point of liquid
Heating by Microwave Irradiation

• Solvent/reagent
absorbs MW energy

• Vessel wall
transparent to MW

• Direct in-core heating

• Instant on-off

inverted temperature gradients !


Microwave (MW) rapid heating has
Tsuji M. et al. received considerable attention as a new
promising method for the one-pot
synthesis of metallic nanostructures in
solutions.
In this concept, advantageous application
of this method has been demonstrated by
using some typical examples for the
preparation of Ag, Au, Pt, and AuPd
nanostructures. Not only spherical
nanoparticles, but also single crystalline
polygonal plates, sheets, rods, wires,
tubes, and dendrites were prepared within
a few minutes under MW heating.
Morphologies and sizes of
nanostructures could be controlled by
changing various experimental
parameters, such as the concentration
of metallic salt and surfactant polymer,
the chain length of the surfactant
polymer, the solvent, and the reaction
temperature. In general, nanostructures
with smaller sizes, narrower size
distributions, and a higher degree of
crystallization were obtained under MW
heating than those in conventional oil-bath
heating.
Example: Microwave-assisted synthesis of ZnO nanoparticles

1 μm 100 nm

Schematic representation and transmission electron microscope (TEM) images of


ZnO-cluster nanoparticles prepared by microwave irradiation

Yu, J. C. et at., Adv. Mater. 2008, in press.


Sonochemical Synthesis

Ultrasound irradiation causes acoustic cavitation -- the formation,


growth and implosive collapse of the bubbles in a liquid

The implosive collapse of the bubbles generates a localized hot spots


of extremely high temperature (~5000K) and pressure
(~20MPa).

The sonochemical method is advantageous as it is nonhazardous,


rapid in reaction rate, and produces very small metal particles.
Examples: sonochemical synthesis of mesoporous TiO2 particles

Mesoporous TiO2

20 kHz sonochemical processor


Formation of mesoporous TiO2 by sonication
TIP: Titanium Titanium Oxide Sol Particle UIA: Ultrasound Induced Agglomeration
isopropoxide

Hydrolysis/
Condensatio UI
TI n A
))))
P

Hydrolysis/
Condensatio
Acetic acid modified n
UI UI
)))) A A
TIP

Yu J. C. et al., Chem. Commun. 2003, 2078.


Sono- and Photo-Chemical Deposition of Noble Metal
Nanoparticles

40 kHz ultrasound
Cleaning Vessel
Yu J.C. et al., Adv. Funct. Mater. 2004, 14, 1178.
Biomimetic Synthesis
Nature is a school for material science and its associated discipline such as
chemistry, biology, physics or engineering. Nature fascinates scientists and
engineers with numerous examples of exceptionally building materials. These
materials often show complex hierarchical organization from the nanometer to
the macroscopic scale.

Learning from nature and imitating the growth and assembly processes found in
nature enable new strategies for the design of nanoarchitectures. Biomimetic or
bio-inspired processes generally occur under mild conditions such as room
temperature, aqueous environment, and neutral pH, and thus are benign in
comparison to traditional chemical reactions. Biologically inspired synthesis,
hierarchical structuring, and stimuli-responsive materials chemistry may enable
nanostructured materials systems with unprecedented functions .

Many exciting bioinspired materials concepts are currently under development,


such as composite materials with nacre-like flaw tolerance, gecko-inspired
reversible adhesives, and advanced photonic structures that mimic butterfly
wings .
Examples: biomimetic synthesis

Model for silver crystal formation by


silver-binding peptides.
biosynthetic silver nanoparticles.
(Stone M. O. et al. Nat. Mater. 2002, 1, 169.)

Protein-encapsulated CoPt
A protein of methanococcus nanoparticles by bio-inspired
jannaschii MjHsp synthesis
(Stone M. O. et al. Adv. Funct. Mater. 2005, 15, 1489.)
Gas-Phase Synthesis

■ Supersaturation achieved by vaporizing material into a


background gas, then cooling the gas

■ Methods using solid precursors


■ Inert Gas Condensation
■ Pulsed Laser Ablation
■ Spark Discharge Generation
■ Ion Sputtering

■ Methods using liquid or vapor precursors


■ Chemical Vapor Synthesis
■ Spray Pyrolysis
■ Laser Pyrolysis/ Photochemical Synthesis
■ Thermal Plasma Synthesis
■ Flame Synthesis
■ Flame Spray Pyrolysis
■ Low-Temperature Reactive Synthesis
https://duepublico.uni-duisburg-essen.de/servlets/DerivateServlet/Derivate-5148/03Kap
3.pdf

Example: Gas Phase Chemical Preparation of TiO 2

TiCl4 (g) + O2 (g) = TiO2 (s) + 2Cl2 (g)

Tubular reactor
Vapor-Phase Synthesis
■ Same mechanism as liquid-phase reaction

■ Elevated temperatures + vacuum (low concentration of growth)

■ Vapor phase mixture rendered thermodynamically unstable relative to


formation of desired solid material
■ “supersaturated vapor”
■ “chemical supersaturation”
■ particles nucleate homogeneously if
■ Degree of supersaturation is sufficient
■ Reaction/ condensation kinetics permit

■ Once nucleation occurs, remaining supersaturation is relieved by


condensation, or reaction of vapor-phase molecules on resulting
particles. This initiates particle growth phase.

■ Rapid quenching after nucleation prevents particle growth by removing


source of supersaturation, or slowing the kinetics.
Vapor-Phase Synthesis (continued)

■ Coagulation rate proportional to square of number concentration (weak


dependence on particle size)

■ Nanoparticles in gas phase always agglomerate. Loosely agglomerated


particles may be re-dispersed. Hard (partially sintered)
agglomerates cannot be fully redispersed.

■ size affections
■ reaction and nucleation
Methods for making 0-D Nanomaterials

▪ Nanoclusters are made by either gas-phase or liquid-phase


processes.
▪ The commonest of which are inert-gas condensation and
inert-gas expansion.
▪ Liquid phase processes use surface forces to create
nanoscale particles and structures.
▪ There are broad types of these processes: ultrasonic
dispersion, sol-gel methods, and methods relying on self
assembly.
Nanoparticle condensation in inert gas
An inorganic material is
vaporized inside a vacuum
chamber into which an inert
gas (typically argon or
helium) is periodically
admitted.
Once the atoms boil off,
they quickly lose their
energy by colliding
with the inert gas.
The vapor cools rapidly
and supersaturates to
form nanoparticles with
sizes in the range 2–100
nm that collect
on a finger cooled by
liquid nitrogen.
Nanoparticle condensation in inert gas

A material, often a metal, is evaporated from a heated metallic


source into a chamber which has been previously evacuated to
about 10–7 torr and backfilled with inert gas to a low-pressure.

The metal vapor cools through collisions with the inert gas atoms,
becomes supersaturated and then nucleates homogeneously; the
particle size is usually in the range 1–100 nm and can be
controlled by varying the inert gas pressure.

Ultimately, the particles are collected and may be compacted to


produce a dense nanomaterial.
Example of nanoparticles obtained by IGC
Decahedral gold nanoparticle generated
from an inert gas aggregation source using
helium and deposited on amorphous carbon
film

Icosahedral gold nanoparticles


generated from an inert gas
aggregation source using helium and
deposited on amorphous carbon film
Plasma – based synthesis

Vacuum arc deposition is well-established process for producing


of thin films and nanoparticles. This technique involves the
initiation of an arc by contacting a cathode made of a target
material. An igniter is attached to an anode in order to generate
a low-voltage, high-current self-sustaining arc.
The arc ejects ions and material droplets from a small area on
the cathode. Further, the ions are accelerated towards a
substrate while any large droplets are filtered out before deposition .
Vapor condensation

One of the outstanding strides in plasma processing for nanoparticles


synthesis is the developed process of the vapor condensation.
The precursor material is put into the working chamber with a stable arc.
The chamber is filled by reactive gas that becomes ionized; then
molecular clusters are formed and cooled to produce nanoparticles.
Methods for making 1-D and 2-D
nanomaterials

The production route for 1-D rod-like nanomaterials by


liquidphase methods is similar to that for the production of
nanoparticles.

CVD methods have been adapted to make 1-D nanotubes and


nanowires. Catalyst nanoparticles are used to promote nucleation.

Nanowires of other materials such as silicon (Si) or germanium


(Ge) are grown by vapor-liquid-solid (VLS) methods.
Electrodeposition
Electrodeposition is a long-established
way to deposit metal layers on a
conducting substrate.
Ions in solution are deposited onto
the negatively charged cathode,
carrying charge at a rate that is
measured as a current in the external circuit.
The process is relatively cheap and
fast and allows complex shapes.
The layer thickness simply depends on the
current density and the time for which
the current flows.
The deposit can be detached if the
substrate is chosen to be soluble by
dissolving it away.
Electrodeposition - basics

The principle of electrodeposition is inducing chemical reactions in


an aqueous electrolyte solution with the help of applied voltage, e.g.
this is the process of using electrical current to coat an
electrically conductive object with a relatively thin layer of metal.
This method is relevant to deposition of nanostructured materials
include metal oxides and chalcogenides.
Electrodeposition - features
Electrodeposition is relatively cheap and can be performed at low
temperatures which will minimize interdiffusion of materials in the
case of a multilayered thin film preparation.
The film thickness can be controlled by monitoring the amount of
charge delivered, whereas the deposition rate can be followed by the
variation of the current with time.
The composition and defect chemistry can be controlled by the
magnitude of the applied potential, which can be used to deposit nonequilibrium
phases. Pulsing or cycling the applied current or potential in
a solution containing a mixture of precursors allows the production of a
multilayered material.
The potential during the pulse will determine the species deposited
whilst the thickness of individual layers is determined by the charge
passed. Alternatively, the substrate can be transferred periodically
from one electrolytic cell to another.
The final films can range in thickness from a few nanometers to tens
of microns and can be deposited onto large specimen areas of complex
3s6hape, making the process highly suitable for industrial use.
Electrodeposition and microelectronics

Electrodeposition (ED)
is being exploited now to make
complex 3D electrical
interconnects in computer
chips. The key concept is that
electrodeposited materials
grow from the conductive
substrate outward, and the
geometry of the growth can be
controlled using an insulating
mask (so-called through mask
Electrochemically fabricated flip-chip electrodeposition).
interconnects
Challenges

■ Means to achieve monodispersity

■ Size and shape control

■ Reproducibility

■ Scale up

■ Building complex nanostructures


Characterization
Materials Characterization

■ Materials Characterization has 2 main aspects


- Accurately measuring the physical and chemical
properties of materials

- Accurately measuring (determining) the structure of


a material
- atomic level structure
- Microscopic level structures
Characterization of Solid Samples

Bulk Structural Properties Surface phenomena


elemntal
composition

Dissolution step Direct solid analysis IR spectrom. Dynamic SIMS.


UV-Vis spectrom Static SIMS
Atomic absorption Electron. microscope XRD/FESEM
SEM/FESEM
ICP spectrometry SEM-EDX TEM./HRTEM
XPS/SAM
ICP mass spect. CHN analyser dilatometry.

AFM
Atomic Force Microscopes (AFM)

The Atomic Force Microscope was


developed to overcome a basic
drawback with STM - that it can
only image conducting or
semiconducting surfaces. The
AFM, however, has the advantage
of imaging almost any type of
surface, including polymers,
ceramics, composites, glass, and
biological samples
Why AFM?

An atomic force microscope (AFM) creates a


highly magnified three dimensional image of a
surface. The magnified image is generated by
monitoring the motion of an atomically sharp
probe as it is scanned across a surface. With
the AFM it is possible to directly view
features on a surface having a few
nanometer-sized dimensions including single
atoms and molecules on a surface. This gives
scientists and engineers an ability to directly
visualize nanometer-sized objects and to
measure the dimensions of the surface
features.
Atomic Force Microscopes
• Monitors the forces of
attraction and repulsion
between a probe and a
sample surface
• The tip is attached to a
cantilever which moves up
and down in response to
forces of attraction or
repulsion with the sample
surface
– Movement of the cantilever is
detected by a laser and
photodetector
Today, most AFMs use a laser beam deflection system, introduced by Meyer and Amer, where
a laser is reflected from the back of the reflective AFM lever and onto a position-sensitive
detector. AFM tips and cantilevers are microfabricated from Si or Si3N4. Typical tip radius is
from a few to10s of nm.
Because the atomic
force microscope relies
on the forces between
the tip and sample,
knowing these forces is
important for proper
imaging. The force is not
measured directly, but
calculated by measuring
the deflection of the
lever, and knowing the
stiffness of the
cantilever. Hook’s law
gives F = -kz, where F is
the force, k is the
stiffness of the lever,
and z is the distance the
lever is bent.
Measuring forces
The fundamental interaction at short
distances is the van der Waals
interactions, which are responsible for
the formation of solids, wetting, etc.
At distances of a few nm, van der Waals
forces are sufficiently strong to move
macroscopic objects such as AFM
cantilevers.
Van derWaals interactions consist of
three components: polarization ,
induction, and dispersion.
Polarization refers to permanent dipole
moments such as exist in water
molecules.
Induction refers to the contribution of
induced dipoles. Dispersion is due to instantaneous fluctuations of electrons, which
occur at the frequency of light.
Modes of operation
- Used for Contact Mode, Non-contact and Tapping Mode AFM
-Laser light from a solid state diode is reflected off the back
of the cantilever and collected by a position sensitive detector
(PSD).
This consists of two closely spaced photodiodes. The
output is then collected by a differential amplifier
- Angular displacement of the cantilever results in one
photodiode collecting more light than the other. The resulting
output signal is proportional to the deflection of the cantilever.
- Detects cantilever deflection <1A
Contact mode
Constant force is applied to the surface while scanning

Contact mode is typically used for scanning hard samples and when a
resolution of greater than 50 nanometers is required. The cantilevers
used for contact mode may be constructed from silicon or silicon nitride.
Resonant frequencies of contact mode cantilevers are typically around
50 KHz and the force constants are below 1 N/m.
Contact mode images

- A tip is scanned across the sample while a feedback loop maintains a


constant cantilever deflection (and force)
- The tip contacts the surface through the adsorbed fluid layer.
- Forces range from nano to micro N in ambient conditions and even
lower (0.1 nN or less) in liquids.
Tapping mode

-A cantilever with attached tip is oscillated at its resonant frequency and


scanned across the sample surface.
- A constant oscillation amplitude (and thus a constant tip-sample
interaction) are maintained during scanning. Typical amplitudes are 20-100nm.
- Forces can be 200 pN or less
- The amplitude of the oscillations changes when the tip scans over bumps or
depressions 10 on a surface.
Tapping mode images
Non – contact mode
⇒ In contact mode, the tip is usually
maintained at a constant force by moving the
-The cantilever is oscillated slightly above
cantilever up and down as it scans.
its resonant frequency.
⇒ In non-contact mode or tapping mode
- Oscillations <10nm
the tip is driven up and down by an
-The tip does not touch the sample.
oscillator. Especially soft materials may be
Instead, it oscillates above the
imaged by a magnetically-driven cantilever
adsorbed fluid layer.
(MAC ModeTM).
-A constant oscillation amplitude is
⇒ In non-contact mode, the bottom-most
maintained.
point of each probe cycle is in the attractive
-The resonant frequency of the cantilever
region of the force-distance curve.
is decreased by the van derWaals forces
In tapping and contact mode the
which extend from 1- 10nm above the
bottom-mostpoint is in the repulsive region.
adsorbed fluid layer. This in turn changes
Variations in the measured oscillation
the amplitude of oscillation.
amplitude and phase in relation to the driver
frequency are indicators of the surfaceprobe
interaction.
Advantages of the main modes of
AFM

– High scan speeds


The only mode that can obtain
Contact “atomic resolution” images
Mode – Rough samples with extreme
changes in topography can be

– Higher lateral resolution on most


–Tapping samples (1 to 5nm)
Mode – Lower forces and less damage to
soft samples imaged in air
– Lateral forces are virtually
eliminated so there is no scraping
scanned more easily
Spectroscopy
Spectroscopy was originally the
study of the interaction between
radiation and matter as a function
of wavelength (I).
The sample is irradiated with an
electron probe. The incident
electron beam causes ionization of
electrons belonging to the inner
shells of the atoms composing the
material.
Light consists of oscillating electric and magnetic
fields. Because nuclei and electrons are charged
particles, their motions in atoms and molecules
generate oscillating electric fields.
Light – matter interaction
E total = E spin + E translation + E rotation + E vibration + E electrons +
E nucleus

1913 - Bohr postulated that a quantum of light of angular


frequency is absorbed or emitted whenever an atom
jumps between two quantized energy levels E1 and E2
E2− E1 = hω

1916–7 Einstein introduced the Einstein coefficients to


quantify the rate at which the absorption and emission of
quanta occur
What happens when light interacts
with a molecule?
A transition from a lower level to a higher level
Absorption with transfer of energy from the radiation field
to an absorber, atom, molecule, or solid.

A transition from a higher level to a lower level


Emission with transfer of energy from the emitter to the
radiation field. If no radiation is emitted, the
transition from higher to lower energy levels is
called nonradiative decay.

Redirection of light due to its interaction with


Scattering matter. Scattering might or might not occur with
a transfer of energy, i.e., the scattered radiation
might or might not have a slightly different
wavelength compared to the light incident on the
sample.
Classification of methods
The type of spectroscopy depends on the physical quantity measured.
Normally, the quantity that is measured is an intensity, either of energy
absorbed or produced.
Electromagnetic spectroscopy involves interactions of matter with
electromagnetic radiation, such as light.
Electron spectroscopy involves interactions with electron beams.
Auger spectroscopy involves inducing the Auger effect with an electron
beam. In this case the measurement typically involves the kinetic energy of
the electron as variable.
Mass spectrometry involves the interaction of charged species with
magnetic and/or electric fields, giving rise to a mass spectrum. The term
"mass spectroscopy" is deprecated, for the technique is primarily a form of
measurement, though it does produce a spectrum for observation. This
spectrum has the mass m as variable, but the measurement is essentially one
of the kinetic energy of the particle.
Acoustic spectroscopy involves the frequency of sound.
Dielectric spectroscopy involves the frequency of an external electrical
field
Mechanical spectroscopy involves the frequency of an external mechanical
stress, e.g. a torsion applied to a piece of material.
Absorption spectroscopy
Absorption spectroscopy refers to a By measuring the frequencies of light
range of techniques employing the absorbed by an atom or molecule, we can
interaction of electromagnetic determine the frequencies of the various
radiation with matter. transition motions that the atom or
molecule can have. Thus we can use light
The intensity of a beam of light absorption to probe the dynamics of
measured before and after atoms and molecules!
interaction with a sample is compared.
An atom or molecule can absorb energy from light if the frequency of the light oscillation
and the frequency of the electron or molecular "transition motion" match. Unless these
frequencies match, light absorption cannot occur. The "transition motion" frequency is
related to the frequencies of motion in the higher and lower energy states.
Infrared spectroscopy
IR spectroscopy allows to examine the vibrational motions of
molecules.

Etranslation, Erotation, Evibration

The quantum energy of infrared photons is in the range 0.001 to 1.7


eV which is in the range of energies separating the quantum states of
molecular vibrations. Infrared is absorbed more strongly than
microwaves, but less strongly than visible light. The result of
infrared absorption is heating of the tissue since it increases
molecular vibrational activity.
Raman spectroscopy
When electromagnetic radiation passes through matter, most of the
radiation continues in its original direction but a small fraction is
scattered in other directions.
Light that is scattered at the same wavelength as the incoming light is called
Rayleigh scattering.
Light that is scattered in transparent solids due to vibrations (phonons) is called
Brillouin scattering.
Brillouin scattering is typically shifted by 0.1 to 1 cm-1 from the incident light.
Light that is scattered due to vibrations in molecules or optical phonons in solids is
called Raman scattering. Raman scattered light is shifted by as much as 4000 cm-1
from the incident light.
Raman spectroscopy is the measurement of the wavelength and intensity of
inelastically scattered light from molecules. The Raman scattered light occurs at
wavelengths that are shifted from the incident light by the energies of molecular
vibrations. The mechanism of Raman scattering is different from that of infrared
absorption, and Raman and IR spectra provide complementary information. Typical
applications are in structure determination, multicomponent qualitative analysis, and
quantitative analysis.
Raman spectroscopy - basic
Raman spectroscopy is a spectroscopic technique used in condensed matter
physics and chemistry to study vibrational, rotational, and other low frequency
modes in a system. It relies on inelastic scattering of monochromatic light, usually
from a laser in the visible, near infrared, or near ultraviolet range.

The laser light interacts with


phonons or other excitations
in the system, resulting in the
energy of the laser photons
being shifted up or down. The
shift in energy gives
information about the phonon
modes in the system. IR
spectroscopy yields similar, but
complementary, information
Basic theory of RS
The Raman effect occurs when light impinges upon a molecule
and interacts with the electron cloud of the bonds of that molecule.
The incident photon excites one of the electrons into a virtual state.

For the spontaneous Raman effect, the molecule will be excited from
the ground state to a virtual energy state, and relax into a vibrational
excited state, which generates Stokes Raman scattering. If the
molecule was already in an elevated vibrational energy state, the
Raman scattering is then called anti-Stokes Raman scattering.

A molecular polarizability change, or amount of deformation of


the electron cloud, with respect to the vibrational coordinate
is required for the molecule to exhibit the Raman effect. The
amount of the polarizability change will determine the Raman
scattering intensity, whereas the Raman shift is equal to the
vibrational level that is involved.
Energy Scheme for Photon Scattering

Virtual
State

hν0 hν0+hν
hν0 hν0 hν0−hνm m
Energy

E0+hνm

E0
IR Rayleigh Stokes Anti-Stokes
Absorption Scattering Scattering Scattering
(elastic)
Raman
(inelastic)

,The Raman effect comprises a very small fraction


.about 1 in 107 of the incident photons
Raman microspectroscopy
Raman spectroscopy offers several advantages for microscopic analysis.
Since it is a scattering technique, specimens do not need to be fixed or
sectioned. Raman spectra can be collected from a very small volume (< 1
µm in diameter); these spectra allow the identification of species
present in that volume. Water does not interfere very strongly.
Raman spectroscopy is suitable for
the microscopic examination of
minerals, materials such as
polymers and ceramics, cells and
proteins. A Raman microscope
begins with a standard optical
microscope, and adds an excitation
laser, a monochromator, and a
sensitive detector (such as a
charge-coupled device (CCD), or
photomultiplier tube (PMT)). FTRaman
has also been used with
microscopes.

You might also like