1 Done

You might also like

Download as pdf or txt
Download as pdf or txt
You are on page 1of 11

บทที่ 1 บทนําสู่ การออกแบบวงจรดิจิทลั และตรรกะ (Introduction to Digital Logic Design)


บทนําเข้ าสู่ ระบบดิจทิ ลั
(Introduction to Digital System)
• จุดมุ่งหมาย คือ ศึกษาวิธีทางวิศวกรรมทีใ่ ช้ ในการออกแบบวงจรดิจทิ ลั และตรรกะ
- ออกแบบ, สร้าง, ทดสอบ
- ต้องรู ้จกั และเข้าใจพฤติกรรมของอุปกรณ์หรื อส่ วนประกอบย่อยๆที่จะนํามาสร้างเป็ นระบบ
- ต้องสามารถคาดคะเนถึงพฤติกรรมของระบบได้ จากพฤติกรรมของส่ วนประกอบย่อยๆแต่ละส่ วน
และตามลักษณะการเชื่อมต่อเข้าด้วยกัน
• ระบบดิจทิ ลั สามารถเขียนแสดงในลักษณะของแผนภาพ ผังวงจร หรือ แสดงได้ ด้วยความสั มพันธ์ ทาง
คณิตศาสตร์ ในรู ปของตัวแปรทีเ่ กีย่ วข้ องกับปริมาณทางกายภาพทีส่ ามารถวัดได้ จริงๆของระบบ
- ตัวแปรอินพุท หรื อ ตัวแปรต้น หรื อ ตัวแปรอิสระ จะเป็ นอิสระไม่อยูภ่ ายใต้การควบคุมของระบบ
- ตัวแปรเอาท์พทุ หรื อ ตัวแปรตาม จะอยูภ่ ายใต้การควบคุมของระบบ
- นอกจากนี้ บางระบบอาจมีตวั แปรสถานะ สําหรับกําหนดการตอบสนองของระบบที่มีต่อสัญญาณอินพุท
I1 O1
Q1 , Q 2 ,..., Q K
I2 . . O2
ใ ้ Vol
.. state ..
IN variables OM
Input Output
variables variables
อ. ดุสิต ธนเพทาย 01205241 การออกแบบวงจรดิจิทลั และตรรกะ 1
ห้
บทที่ 1 บทนําสู่ การออกแบบวงจรดิจิทลั และตรรกะ (Introduction to Digital Logic Design)
-

ความเป็ นแอนะล็อกกับความเป็ นดิจทิ ลั


(Analog and Digital)
• ในระบบแอนะล็อก ค่าของสัญญาณหรื อตัวแปรในระบบ สามารถมีค่าเป็ นอะไรก็ได้ในช่วงที่ต่อเนื่อง
โดยสัญญาณ หรื อปริ มาณทางกายภาพที่ค่าต่างกัน จะถือว่าเป็ นค่าของตัวแปรที่ต่างกัน
เช่น แรงดันไฟฟ้ า, กระแสไฟฟ้ า, อุณหภูมิ, ความดันอากาศ ฯลฯ Binary system
• ในระบบดิจทิ ลั ค่าของสัญญาณหรื อตัวแปรในระบบ จะมีค่าที่ไม่ต่อเนื่อง ซึ่ งในที่นี่จะให้มีค่าได้เพียง 2 ค่า
โดยสัญญาณ หรื อปริ มาณทางกายภาพที่ค่าต่างกัน อาจถือว่าเป็ นค่าของตัวแปรที่เหมือนกัน
เช่น 0-1, เท็จ-จริ ง, ปิ ด-เปิ ด, ตํ่า-สูง ฯลฯ
ของ เ ่ High
นแก , lo
w สามา รถ หน อการบ

Volt Volt Logic ↓

อว าแตก า3 = ด พลา High High


5V ↳
4.3 /
5V 1
4./

High

2.0V
อ งไ ไ
Invalid

%
0.8V
time Low time
0V 0V 0
Low
่อไม

สัญญาณแอนะล็อก นิยามช่วงค่าแอนะล็อก High,


low
ตก
สัญญาณดิจิทลั
สําหรับกําหนดค่าดิจิทลั องท
้เ
ให

อ. ดุสิต ธนเพทาย 01205241 การออกแบบวงจรดิจิทลั และตรรกะ 2


ว่
ถื
ต่
ช่

ต้
ติ
พื
ป็
ม่
ล็
นิ
ผิ
ต่
บทที่ 1 บทนําสู่ การออกแบบวงจรดิจิทลั และตรรกะ (Introduction to Digital Logic Design)
ข้ อดีข้อระบบดิจทิ ลั
• ทนต่ อการรบกวน เช่น แม้มีการเปลี่ยนแปลงของอุณหภูมิ หรื อ แรงดันไฟเลี้ยง ก็ยงั คงให้ผลลัพธ์เช่นเดิม
• ออกแบบง่ าย ไม่ตอ้ งใช้คณิ ตศาสตร์ข้นั สู ง ไม่จาํ เป็ นต้องคํานึงในรายละเอียดเกี่ยวกับ การทํางานของ
อุปกรณ์อิเล็กทรอนิกส์ เช่น ทรานซิสเตอร์ ตัวเก็บประจุ เท่าไรนัก
• สามารถโปรแกรมได้ เช่น ใช้ภาษา HDL (Hardware Description Language) ในการออกแบบวงจร
• มีความยืดหยุ่นสู ง และมีฟังก์ชันการทํางานทีห่ ลากหลาย ซึ่งไม่สามารถทําได้ดว้ ยวงจรแอนาล็อก
• มีความเร็วเทียบเท่ ากับวงจรแอนะล็อก ทรานซิสเตอร์ในปัจจุบนั มีความเร็ วในการสวิชชิ่งตํ่ากว่า 10 ps
• ประหยัด วงจรดิจิทลั มีขนาดเล็ก แต่มีฟังก์ชนั การทํางานได้หลากหลาย
• มีการพัฒนาเทคโนโลยีอย่ างต่ อเนื่อง

100000111111010110001
ENIAC
คอมพิวเตอร์ ENIAC
เร็ วกว่ากดเครื่ องคิดเลข 1400 เท่า
ใช้หลอดสุ ญญากาศ 18,000 หลอด เร็ วกว่ากดเครื่ องคิดเลข ?? เท่า
ขนาด 1 ใน 3 ของสนามบาสฯ ใช้ทรานซิ สเตอร์ 144 ล้านวงจร
ภาษาเครื่ อง เลขฐาน 10 ขนาดหนึ่งหน้าตัก
ภาษาเครื่ อง เลขฐาน 2
อ. ดุสิต ธนเพทาย 01205241 การออกแบบวงจรดิจิทลั และตรรกะ 3
บทที่ 1 บทนําสู่ การออกแบบวงจรดิจิทลั และตรรกะ (Introduction to Digital Logic Design)

อุปกรณ์ ดจิ ทิ ลั พืน้ ฐาน


(Digital Device)
• ประตูสัญญาณตรรก หรือ ลอจิกเกท (Logic gates)
ั ญาณออกมา 1 เอาท์พทุ ตามเงื่อนไขทางตรรกะที่มีต่ออินพุทในขณะนั้น
เป็ นอุปกรณ์พ้นื ฐานที่ให้สญ
• ฟลิปฟล็อป(Flip-Flop)
เป็ นอุปกรณ์ที่สามารถจดจําสถานะของตัวเอง มีการเปลี่ยนสถานะตามจังหวะของสัญญาณนาฬิกา
โดยค่าสถานะของฟลิปฟล็อปจะขึ้นอยูก่ บั ลําดับของอินพุทที่ผา่ นมาในอดีตด้วย
• วงจรเชิงผสม(combinational circuit) Midterm ้องไ Input เ ม ้

เป็ นวงจรที่เอาท์พทุ ขณะใดๆจะมีค่าขึ้นอยูก่ บั ค่าของอินพุทแต่ละตัวในขณะนั้นๆ


ไม่วา่ เมื่อไรก็ตาม ถ้าให้อินพุทเหมือนๆเดิม ก็จะให้เอาท์พทุ ค่าเดียวกันเสมอ
วงจรประเภทนี้สามารถสร้างได้จากการนําลอจิกเกทมาต่อกันแบบไม่มีการป้ อนกลับ(feedback)
• วงจรเชิงลําดับ ( Sequential circuit) Fina
เป็ นวงจรที่เอาท์พทุ ขณะใดๆจะมีค่าขึ้นอยูก่ บั ค่าของอินพุทในขณะนั้นๆและลําดับการเปลี่ยนแปลงค่าของ
อินพุทที่ผา่ นมาในอดีตด้วย หรื ออาจกล่าวได้วา่ ขึ้นอยูส่ ถานะของวงจรในขณะนั้นด้วย
วงจรประเภทนี้ อาจมีการต่อลอจิเกทแบบป้ อนกลับ หรื อมีการใช้ฟลิปฟล็อปในวงจร ร่ วมกับลอจิกเกทอื่นๆ
อ. ดุสิต ธนเพทาย 01205241 การออกแบบวงจรดิจิทลั และตรรกะ 4
ด้
ต้
ค่
ดิ
บทที่ 1 บทนําสู่ การออกแบบวงจรดิจิทลั และตรรกะ (Introduction to Digital Logic Design)
ประตูสัญญาณตรรกะ(Logic gate)
• 2-input AND gate จะให้เอาท์พทุ เป็ น 1 ก็ต่อเมื่อ อินพุททั้งสองในขณะนั้นเป็ น 1
- หากอินพุทตัวหนึ่งเป็ น 0 ไม่วา่ อินพุทอีกตัวหนึ่งจะเป็ น 1 หรื อ 0 ก็ตาม เอาท์พทุ จะเป็ น 0 เสมอ
- หากอินพุทตัวหนึ่งเป็ น 1 เอาท์พทุ ที่ได้จะมีค่าเหมือนอินพุทอีกตัวหนึ่ง

ไ ใ - เ ่อให้ าน

0011001101001
X Y Z Z=X  Y An

1
0 0 0 X X
0 0 AND Z Z อไ ไ ให้
1 Y ใ เพ
่าน เ

Y

1 0 0 0011001101001
An D 10000000
1 1 1 เจอ เ ล -เทิจเ
0

• 2-input OR gate จะให้เอาท์พทุ เป็ น 0 ก็ต่อเมื่อ อินพุททั้งสองในขณะนั้นเป็ น 0


- หากอินพุทตัวหนึ่งเป็ น 1 ไม่วา่ อินพุทอีกตัวหนึ่งจะเป็ น 1 หรื อ 0 ก็ตาม เอาท์พทุ จะเป็ น 1 เสมอ
- หากอินพุทตัวหนึ่งเป็ น 0 เอาท์พทุ ที่ได้จะมีค่าเหมือนอินพุทอีกตัวหนึ่ง ่ยนเ ะเป
ลบ เออ 1 จ เ น ไหมด ไ
ขอ ก
ญญญ
0011001101001
X Y Z Z=X  Y &r ก า & ↳1 :

1
0 0 0 X X
0 OR Z Z
1 1
Y Y
1 0 1 0011001101001 ได้ต ิม
วเด ให
1 1 1 จ ง ->จร
เลอ ิงเ
0

• Inverter หรือ NOT gate จะให้เอาท์พทุ ตรงข้าม(กลับบิต)กับค่าของอินพุทที่เป็ นอยูใ่ นขณะนั้น


Z=X
X Z
0 1 X NOT Z X Z 0011001101001 ก บข

1 0
อ. ดุสิต ธนเพทาย 01205241 การออกแบบวงจรดิจิทลั และตรรกะ 5
ก บ
พื่
สั
ตั
บิ
ทิ
พื่
ป็
ม่
ว่
ผ่
ผ่
ริ
ช้
ช้
ด้
ลั
ลั
ลี่
/

บทที่ 1 บทนําสู่ การออกแบบวงจรดิจิทลั และตรรกะ (Introduction to Digital Logic Design)

And เสริจแล้วก
ประตูสัญญาณตรรกะ(Logic gate)
• 2-input NAND gate • 2-input NOR gate &ย แ วกลับ

X Y Z X X Y Z X
Z Z
0 0 1 Y 0 0 1 Y
0 1 1 0 1 0
1 0 1 1 0 0
1 1 0 1 1 0
X X
Z Z
Y Y
Z=(X  Y) Z=(X  Y)

• 2-input XOR gate =- Exclusive or ( ม กร ยกเ • 2-input XNOR gate Exclusive or แ วก บ

Z=X  Y Z=(X  Y)=X  Y


X Y Z X Y Z
0 0 0 X 0 0 1 X
0 1 1 Z 0 1 0 Z
Y Y
1 0 1 1 0 0
1 1 0 1 1 1

1-input, 1-output
• BUFFER จะให้เอาท์พทุ เหมือนกับค่าของอินพุทที่เป็ นอยูใ่ นขณะนั้น X
Function
Z
ค่าทางลอจิกเหมือนเดิม แต่ความแรงของสัญญาณเพิ่มขึ้น Block

Z=X X Z X Z X Z X Z
X Z 0 0 0 0 0 1 0 1
0 0 X Buffer Z X Z 1 0 1 1 1 0 1 1
1 1
ZERO Identity Inversion ONE
ญญา ซ ไม เห อ น ตารง
ท ใใ แ รง น
อ. ดุสิต ธนเพทาย 01205241 การออกแบบวงจรดิจิทลั และตรรกะ All possible functions 6
ลั
ณี
มี
ดั
ห้
ล้
มื

ลั
สั
ล้
บทที่ 1 บทนําสู่ การออกแบบวงจรดิจิทลั และตรรกะ (Introduction to Digital Logic Design)
วงจรรวม(Integrated Circuit, IC)
• วงจรรวม คือ วงจรที่มีลอจิกเกทหลายวงจรรวมอยูด่ ว้ ยกัน โดยสร้างหรื อผลิตจาก
วัสดุหลายชนิดรวมกันและอยูบ่ นชิป (chip) เดียวกัน
• การแบ่งขนาดของวงจรรวม ขนา ดวงจร น
จ นวน logic
ขนาด จํานวน logic gate gat

เล็ก (small-scale integration, SSI) 1-20


กลาง (medium-scale integration, MSI) 20-200
ใหญ่ (large-scale integration, LSI) 200-200,000+
ใหญ่มาก (Very large-scale integration, VLSI) > 1,000,000
• นอกจากนี้วงจรรวมยังรวมไปถึง อุปกรณ์ตรรกที่โปรแกรมได้ (programmable logic devices) และ
ไม่ใ ใ แ An d,
วงจรรวมเฉพาะการประยุกต์ (application-specific ICs, ASICs)
• อุปกรณ์ตรรกที่โปรแกรมได้ ได้แก่
- อุปกรณ์ตรรกที่โปรแกรมแบบซับซ้อนได้ (Complex Programmable Logic Devices)
- เอฟพีจีเอ (Field-Programmable Gate Array)
โดยอุปกรณ์ท้ งั สองสามารถโปรแกรมได้ดว้ ยภาษาการพรรณาฮาร์ดแวร์ (hardware description
language, HDL) เช่น ABEL และ VHDL เป็ นต้น
อ. ดุสิต ธนเพทาย 01205241 การออกแบบวงจรดิจิทลั และตรรกะ 7
ค่
ช้

ขึ้
บทที่ 1 บทนําสู่ การออกแบบวงจรดิจิทลั และตรรกะ (Introduction to Digital Logic Design)
วงจรรวม(Integrated Circuit, IC)
• ลักษณะภายนอกของวงจรรวม
Dual in-line-pin (DIP 14) Dual in-line-pin (DIP 20) Surface mount 14 ขา

Surface mount 20 ขา

ชิป
• ตัวอย่างไอซีลอจิกเกท

อ. ดุสิต ธนเพทาย 01205241 การออกแบบวงจรดิจิทลั และตรรกะ 8


บทที่ 1 บทนําสู่ การออกแบบวงจรดิจิทลั และตรรกะ (Introduction to Digital Logic Design)
ระดับการออกแบบวงจรดิจทิ ลั (Digital-Design Levels)

บน
ระบบโดยรวม

ระดับอุปกรณ์ ตรรกะ
• การออกแบบตรรกะเชิงผสม
(combinational logic design)
เ ยน ระดับลอจิกเกท
• การออกแบบตรรกะเชิงลําดับ
(sequential logic design)
ระดับทรานซิสเตอร์

ระดับฟิ สิ กส์ ของสารกึง่ ตัวนํา


ล่ าง
อ. ดุสิต ธนเพทาย 01205241 การออกแบบวงจรดิจิทลั และตรรกะ 9
รี
บทที่ 1 บทนําสู่ การออกแบบวงจรดิจิทลั และตรรกะ (Introduction to Digital Logic Design)
ระดับการออกแบบวงจรดิจทิ ลั (Digital-Design Levels)
• ตัวอย่างการออกแบบอุปกรณ์รวมส่ งสัญญาณ (Multiplexer, MUX)ในระดับต่างๆ
74x157
15
G
A 1
S
2
S
Z A 1A 1Y 4
Z
3
B 1B
5
B 2A 2Y 7
6
11
2B
S
10
3A 3Y 9
3B
แผนภาพเค้ าร่ าง(Schematics) 14
4A 4Y 12
13 4B
S A B Z ระดับอุปกรณ์ ตรรกะ ระดับอุปกรณ์ ตรรกะทีโ่ ปรแกรมได้ , VHDL
0 0 0 0
0 0 1 0
0 1 0 1
0 1 1 1
1 0 0 0
1 0 1 1
1 1 0 0
1 1 1 1
ตารางความจริง(Truth Table) ระดับลอจิกเกท
ระดับทรานซิสเตอร์
อ. ดุสิต ธนเพทาย 01205241 การออกแบบวงจรดิจิทลั และตรรกะ 10
- ี High , Low
/
-
2

ใน Sequential
/
/ ป
/

/ -> เห อนกัน

=
- -

-
·ไ มี

จะใ
-
และ เจอ ล เ น & เสม 1 ก มต
Fo
3 ายท.งจ ท อง

อเ

+เ
อ AN
/ กต

/
ลอง วายตาราง

ปาเผย inp
#เ #
ปแบบ
-

Outpn #

-
- ก
อ &

ปแบน outpu
5 ปแบ บ imp

⑩3
/

& +63524
&
เดา

& ⑧

ก นผ And
/ &
&
&r
/
/

And

-
ต้
ท่
ต้
ถ้
วี
คื
ก็
ผิ
รู
รู
ป็
ลั
คื
มี
ม่
ลั
รู
ป็
มื
มื

You might also like