Practical 5

You might also like

Download as docx, pdf, or txt
Download as docx, pdf, or txt
You are on page 1of 5

Name: Nehansh

Roll No: 220002050


Batch: E2
Experiment 6
Aim
To perform simulation and implementation of JK Flip-Flop, D Flip-Flop and T Flip-Flop using JK Flip-Flop
and Four-bit Ripple Counter on Xilinx Vivado and uploading onto FPGA boolean board.
Apparatus
Xilinx software, FPGA Boolean board and connecting cable
Vivado Simulation
1. JK Flip-Flop
Design Code: Testbench Code:

Output Waveform:

1
2. D Flip-Flop using JK Flip-Flop:
Design Code: Testbench Code:

Output Waveform:

3. T Flip Flop using JK Flip Flop:


Design Code: Testbench Code:

2
Output Waveform:

4. Serial Input Serial Output Shift Register:


Design Code: Testbench Code:

Output Waveform:

5. Four-bit Ripple Counter:

3
Design code: Testbench code:

Output Waveform:

Conclusion:
We have successfully performed the simulation and implementation of JK Flip Flop, D Flip Flop
and T Flip Flop using JK Flip Flop and Four-bit Ripple Counter on Xilinx Vivado and FPGA
Boolean board.

4
5

You might also like