Harsh Sharma Mini Project On Washing Machine Block Diagram PDF Electrical Engineering Electronics

You might also like

Download as pdf or txt
Download as pdf or txt
You are on page 1of 1

Search

Harsh Sharma Mini


Project On Washing
Machine: Block Diagram
Show full title

Uploaded by Harsh Sharma

 0 ratings · 84 views · 9 pages


AI-enhanced title and description

Document Information 
The document contains code for a washing machi…

Original Description:
Download now 
mini project on fully AUTOMATIC WASHING MACH…

Original Title
Miniproject Wm
HARSH SHARMA
Copyright
MINI PROJECT ON
© © All Rights Reserved
WASHING MACHINE
Available Formats
BLOCK DIAGRAM
RTF, PDF, TXT or read online from Scribd

Share this document


Facebook

Twitter


Email

Did you find this document useful?

SIGNAL SPECIFICATION

Is this content inappropriate? Report this Document

AD Download to read ad-free.

FSM DIAGRAM

AD Download to read ad-free.

CODE

module wm
(done,motor_on,fll_valve_on,drain_valve_on,door_lock,rst,start,door_close,flled,drain,added,c
ycle_me_out,spin_me_out);

input rst,start,door_close,added,cycle_me_out,spin_me_out;

AD Download to read ad-free.

output reg done,motor_on,fll_valve_on,drain_valve_on,door_lock;

input [1:0] drain,flled;

parameter check_door=3'b000,

fll_water=3'b001,

add_det=3'b011,

cycle=3'b010,

drain_water=3'b101,

spin=3'b111;

reg clk;

reg [2:0]d,q;

inial begin

clk=0;

end

always

#10 clk=~clk;

always @(start,door_close,added,cycle_me_out,spin_me_out,flled,drain,q)

begin

done=0;

motor_on=0;

fll_valve_on=0;

drain_valve_on=0;

door_lock=1;

case(q)

check_door: i (start==1 && door_close==1) begin

d<=fll_water;

AD Download to read ad-free.

end

else begin

d<=check_door;

end

fll_water: i(flled==2'b00) begin

d<=fll_water;

fll_valve_on=1;

end

else i(flled==2'b01)begin

d<=add_det;

end

else begin

d<=cycle;

end

add_det: i(added==0) begin

d<=add_det;

end

else begin

d<=cycle;

end

cycle: i(cycle_me_out==0) begin

d<=cycle;

motor_on<=1;

end

AD Download to read ad-free.

else begin

d<=drain_water;

end

drain_water: i(drain==2'b00) begin

d<=drain_water;

drain_valve_on=1;

end

else i(drain==2'b01) begin

d<=spin;

drain_valve_on=1;

end

else begin

d<=fll_water;

end

spin: i(spin_me_out==0) begin

d<=spin;

drain_valve_on=1;

end

else begin

d<=check_door;

done<=1;

door_lock<=0;

end

deault : begin

done=0;

AD Download to read ad-free.

motor_on=0;

fll_valve_on=0;

drain_valve_on=0;

door_lock=1;

q=0;

d<=check_door;

end

endcase

end

always @(posedge clk or negedge rst) begin

i(~rst)

q<=0;

else

q<=d;

end

endmodule

module tb_wm;

reg rst,start,door_close,added,cycle_me_out,spin_me_out;

wire done,motor_on,fll_valve_on,drain_valve_on,door_lock;

reg[1:0] flled,drain;

wm
m1(done,motor_on,fll_valve_on,drain_valve_on,door_lock,rst,start,door_close,flled,drain,adde
d,cycle_me_out,spin_me_out);

inial begin

$monitor("$me=%d,done=%b,motor_on=%b,fll_valve_on=%b,drain_valve_on=%b,door_lock=
%b,rst=%b,start=%b,door_close=%b,flled=%b,drain=%b,added=%b,cycle_me_out=
%b,spin_me_out=%b",$me

AD Download to read ad-free.

,done,motor_on,fll_valve_on,drain_valve_on,door_lock,rst,start,door_close,flled,drain,added,c
ycle_me_out,spin_me_out);

end

inial begin

rst=0;

#11 rst=1;

end

inial begin

#30 start=1;door_close=1;

#20 flled=2'b00;

#20 flled=2'b01;

#20 added=1;

#20 cycle_me_out=0;

#20 cycle_me_out=1;

#20 drain=2'b00;

#20 drain=2'b01;

#20 spin_me_out=0;

#20 spin_me_out=1;

end

endmodule

OUTPUT

Download to read ad-free.

WAVE

Share this document


    

You might also like

Document 7 pages

Several Requirements For


This Topic
maheshj
No ratings yet

Document 45 pages

Digital Design and


Synthesis: Fall 09
songoku711
No ratings yet

Document 101 pages

Verilog Faq
balashyamu
100% (1)

Magazines Podcasts

Sheet music

Document 33 pages

VLSI Lab 9
Umar Ayub
No ratings yet

Document 14 pages

Verilog Sequential Circuits


Code
PVPPP
No ratings yet

Document 30 pages

Verilog Modules For


Common Digital Functions
elle868
No ratings yet

Document 14 pages

Vlsi Lab Programs


Thirumalai Trendchaser
No ratings yet

Document 5 pages

4 Bit Ring Counter Program


Ramanathan
No ratings yet

Document 2 pages

Up / Down Counter: VHDL


Code
!"#$% &'()*+,
No ratings yet

Document 36 pages

Slide 08b - Control Structure


- Loop
ELendyFooad
No ratings yet

Document 3 pages

L5a - T Bench in Verilog


tsikboy
No ratings yet

Document 30 pages

Verilog Modules For


Common Digital Functions
sudarshan poojary
No ratings yet

Show more

About Support

About Scribd Help / FAQ

Everand: Ebooks & Accessibility


Audiobooks
Purchase help
SlideShare
AdChoices
Press

Join our team! Social


Contact us Instagram
Invite friends Twitter
Scribd for enterprise
Facebook

Pinterest
Legal

Terms

Privacy

Copyright

Cookie Preferences

Do not sell or share my


personal information

Get our free apps

Documents

Language: English

Copyright © 2024 Scribd Inc.

AD
Download

You might also like