PDF Microlithography Science and Technology 3Rd Edition Bruce W Smith Editor Ebook Full Chapter

You might also like

Download as pdf or txt
Download as pdf or txt
You are on page 1of 53

Microlithography: Science and

Technology 3rd Edition Bruce W. Smith


(Editor)
Visit to download the full and correct content document:
https://textbookfull.com/product/microlithography-science-and-technology-3rd-edition-
bruce-w-smith-editor/
More products digital (pdf, epub, mobi) instant
download maybe you interests ...

Microlithography: science and technology Third Edition


Smith

https://textbookfull.com/product/microlithography-science-and-
technology-third-edition-smith/

Psychology the Science of Mind and Behaviour 3rd


Edition Michael W. Passer

https://textbookfull.com/product/psychology-the-science-of-mind-
and-behaviour-3rd-edition-michael-w-passer/

Computational Science and Technology 5th ICCST 2018


Kota Kinabalu Malaysia 29 30 August 2018 Rayner Alfred

https://textbookfull.com/product/computational-science-and-
technology-5th-iccst-2018-kota-kinabalu-
malaysia-29-30-august-2018-rayner-alfred/

Computational Science and Technology 6th ICCST 2019


Kota Kinabalu Malaysia 29 30 August 2019 Rayner Alfred

https://textbookfull.com/product/computational-science-and-
technology-6th-iccst-2019-kota-kinabalu-
malaysia-29-30-august-2019-rayner-alfred/
Computational Science and Technology 7th ICCST 2020
Pattaya Thailand 29 30 August 2020 Rayner Alfred
(Editor)

https://textbookfull.com/product/computational-science-and-
technology-7th-iccst-2020-pattaya-
thailand-29-30-august-2020-rayner-alfred-editor/

Handbook of essential oils, 3rd ed: science,


technology, and applications 3rd Edition Kemal Hüsnü
Can Ba■er

https://textbookfull.com/product/handbook-of-essential-oils-3rd-
ed-science-technology-and-applications-3rd-edition-kemal-husnu-
can-baser/

Computational Science and Technology 4th ICCST 2017


Kuala Lumpur Malaysia 29 30 November 2017 1st Edition
Rayner Alfred

https://textbookfull.com/product/computational-science-and-
technology-4th-iccst-2017-kuala-lumpur-
malaysia-29-30-november-2017-1st-edition-rayner-alfred/

Windows PowerShell in Action 3rd Edition Bruce Payette

https://textbookfull.com/product/windows-powershell-in-
action-3rd-edition-bruce-payette/

Smith & Tanagho’s General Urology Jack W. Mcaninch

https://textbookfull.com/product/smith-tanaghos-general-urology-
jack-w-mcaninch/
Microlithography
Microlithography
Science and Technology
Third Edition

Edited by
Bruce W. Smith and Kazuaki Suzuki
Third edition published 2020
by CRC Press
6000 Broken Sound Parkway NW, Suite 300, Boca Raton, FL 33487-2742

and by CRC Press


2 Park Square, Milton Park, Abingdon, Oxon, OX14 4RN

© 2020 Taylor & Francis Group, LLC

First edition published by CRC Press 1998

Second edition published by CRC Press 2007

CRC Press is an imprint of Taylor & Francis Group, LLC

Reasonable efforts have been made to publish reliable data and information, but the author and publisher cannot assume respon-
sibility for the validity of all materials or the consequences of their use. The authors and publishers have attempted to trace the
copyright holders of all material reproduced in this publication and apologize to copyright holders if permission to publish in this
form has not been obtained. If any copyright material has not been acknowledged please write and let us know so we may rectify
in any future reprint.

Except as permitted under U.S. Copyright Law, no part of this book may be reprinted, reproduced, transmitted, or utilized in any
form by any electronic, mechanical, or other means, now known or hereafter invented, including photocopying, microflming, and
recording, or in any information storage or retrieval system, without written permission from the publishers.

For permission to photocopy or use material electronically from this work, access www.copyright.com or contact the Copyright
Clearance Center, Inc. (CCC), 222 Rosewood Drive, Danvers, MA 01923, 978-750-8400. For works that are not available on CCC
please contact mpkbookspermissions@tandf.co.uk

Trademark notice: Product or corporate names may be trademarks or registered trademarks, and are used only for identifcation
and explanation without intent to infringe.

Library of Congress Cataloging-in-Publication Data

Names: Smith, Bruce W., 1959- editor. | Suzuki, Kazuaki, editor.


Title: Microlithography : science and technology / edited by Bruce W. Smith
and Kazuaki Suzuki.
Description: Third edition. | Boca Raton : CRC Press, 2020. | Includes
bibliographical references and index. | Summary: “Like the bestselling
original, this third edition of Microlithography is a self-contained
text detailing both elementary and advanced aspects of submicron
microlithography, offering a balanced treatment of theoretical and
operating practices”-- Provided by publisher.
Identifers: LCCN 2019053732 | ISBN 9781439876756 (hbk) | ISBN
9781315117171 (ebk)
Subjects: LCSH: Microlithography--Industrial applications. | Integrated
circuits--Masks. | Metal oxide semiconductors, Complementary--Design and
construction. | Manufacturing processes.
Classifcation: LCC TK7836 .M525 2020 | DDC 621.3815/31--dc23
LC record available at https://lccn.loc.gov/2019053732

ISBN: 978-1-4398-7675-6 (hbk)


ISBN: 978-1-315-11717-1 (ebk)

Typeset in Times
by Deanta Global Publishing Services, Chennai, India
Contents
Preface to Third Edition ..................................................................................................................vii
Editors...............................................................................................................................................ix
Contributors ......................................................................................................................................xi

Chapter 1 Lithography, Etch, and Silicon Process Technology....................................................1


Matthew Colburn, Derren N. Dunn, and Michael A. Guillorn

Chapter 2 Optical Nanolithography ............................................................................................ 41


Bruce W. Smith

Chapter 3 Multiple Patterning Lithography.............................................................................. 141


Carlos Fonseca, Chris Bencher, and Bruce Smith

Chapter 4 EUV Lithography..................................................................................................... 163


Stefan Wurm, Winfried Kaiser, Udo Dinger, Stephan Müllender,
Bruno La Fontaine, Obert R. Wood II, and Mark Neisser

Chapter 5 Alignment and Overlay ............................................................................................ 247


David Laidler and Gregg M. Gallatin

Chapter 6 Design for Manufacturing and Design Process Technology Co-Optimization ....... 293
John Sturtevant and Luigi Capodieci

Chapter 7 Chemistry of Photoresist Materials.......................................................................... 327


Takumi Uemo, Robert D. Allen, and James Thackeray

Chapter 8 Photoresist and Materials Processing....................................................................... 419


Bruce W. Smith

Chapter 9 Optical Lithography Modeling................................................................................. 493


Chris A. Mack, John J. Biafore, and Mark D. Smith

Chapter 10 Maskless Lithography .............................................................................................. 555


Kazuaki Suzuki

Chapter 11 Imprint Lithography ................................................................................................. 595


Doug Resnick and Helmut Schift

v
vi Contents

Chapter 12 Metrology for Nanolithography................................................................................ 679


Kazuaki Suzuki and Eran Amit

Chapter 13 Directed Self-Assembly of Block Copolymers ........................................................ 767


Chi-chun Liu, Kenji Yoshimoto, Juan de Pablo, and Paul Nealey

Index.............................................................................................................................................. 825
Preface to Third Edition
Semiconductor micro- and nanolithography continues to provide the necessary support to drive
Moore’s Law with future nanometer-scale device generations. With technological innovation in
imaging systems, materials, processing, modeling, and optimization, what had once been envi-
sioned as technical barriers to advancement are continually surpassed to allow increasingly more
capable devices. The drumbeat of smaller, faster, cheaper, and lower power continues as the world
moves into new technology applications demanding tremendously increased processing and storage
capacity. Combined with new forefronts in electronics and photonics, the applications for nano-
electronic devices have grown well beyond the needs of microlithography covered in the frst and
second editions of Microlithography: Science and Technology.
To address the new technology that has evolved over the last several years, this Third Edition
of Microlithography: Science and Technology has been completely revised. While providing a
balanced treatment of theoretical and operational considerations, from fundamental principles to
advanced topics of nanoscale lithography, this edition details the technology necessary for current
and future device generations. It provides the necessary reference for students and engineers to
learn the fundamental as well as understand the future requirements of the challenging technology
behind lithography at the nano scale. It also provides the basis for more experienced engineers to
understand the interdisciplinary nature of microlithography, which involves aspects of many areas
of science and engineering.
The book is divided into 13 chapters, starting with an overview of the lithography requirements
of semiconductor processing (Lithography, Etch, and Silicon Process Technology, Chapter 1) and
exploring the details of all the technologies involved. Chapters include Optical Nanolithography
(Chapter 2), Multiple Patterning Lithography (Chapter 3), EUV Lithography (Chapter 4), Alignment
and Overlay (Chapter 5), Design for Manufacturing and Design Process Technology Co-optimization
(Chapter 6), Chemistry of Photoresist Materials (Chapter 7), Photoresist and Materials Processing
(Chapter 8), Optical Lithography Modeling (Chapter 9), Maskless Lithography (Chapter 10),
Imprint Lithography (Chapter 11), Metrology for Nanolithography (Chapter 12), and Directed
Self-Assembly of Block Copolymers (Chapter 13). The Third Edition has involved contributions
from 29 renowned experts from the world’s leading academic and industrial organizations to pro-
vide in-depth coverage of these technologies. As a result, we are certain that the Third Edition of
Microlithography: Science and Technology will remain a highly valuable resource for students,
engineers, and researchers well into the future.

Bruce W. Smith
Kazuaki Suzuki

vii
Editors
Bruce W. Smith is a Distinguished Professor of engineering at the Rochester Institute of Technology.
He has been involved in teaching and research in microelectronic and microsystems engineering
for over 35 years. His areas of research include semiconductor processing, deep ultraviolet (DUV),
vacuum ultraviolet (VUV), immersion, and extreme ultraviolet (EUV) lithography, thin flms,
optics, and microelectronic materials. He has authored over 250 technical publications, given over
100 technical talks, and received over 25 patents, licensing his technology both nationally and
internationally. He has worked extensively with individuals and organizations in the semiconductor
industry, including industrial partners in the Semiconductor Research Corporation, SEMATECH,
and the IMEC. He is the recipient of numerous teaching and research awards, including the Institute
of Electrical and Electronics Engineers (IEEE) Technical Excellence Award, the American Vacuum
Society (AVS) Excellence in Leadership Award, the Society for Photo-optical Instrumentation
Engineers (SPIE) Research Mentoring Award, and the Rochester Institute of Technology Trustees
Scholarship Award. He has also been inducted into the Rochester Institute of Technology Innovator
Hall of Fame. Professor Smith is a Fellow of the Institute of Electrical and Electronics Engineers,
the Optical Society of America, and the Society for Photo-optical Instrumentation Engineers.

Kazuaki Suzuki majored in plasma physics and X-ray astronomy in the University of Tokyo, Japan.
He has been a project manager for developing new concept exposure tools at Nikon Corporation,
such as the early-generation KrF excimer laser stepper, the frst-generation KrF excimer laser scan-
ner, the electron beam projection exposure system, and the full-feld extreme ultraviolet scanner. He
received his Ph. D. in Precision Engineering from the University of Tokyo about the system design
of exposure tools for microlithography. He has authored and coauthored many papers in the feld of
exposure tool and related technologies, including advanced equipment control by using metrology
data. He also holds numerous patents in the same feld. In the frst decade of this century, he was
a member of the program committee of the Society for Photo-optical Instrumentation Engineers
(SPIE) Microlithography and other international conferences such as Micro & Nano Engineering
in Europe and the International Microprocesses and Nanotechnology Conference in Japan. He was
one of the associate editors of Journal of Micro/Nanolithography, MEMS, and MOEMS (JM3) from
2002 to 2009. He moved to Tokyo Tech Academy for Convergence of Materials and Informatics at
Tokyo Institute of Technology (Tokyo Tech) in March 2019.

ix
Contributors
Robert D. Allen Stephan Müllender
IBM Research Almaden Carl Zeiss SMT GmbH

Eran Amit Paul Nealey


KLA Israel University of Chicago
(Current affliation: PlayerMaker Inc.)
Mark Neisser
Chris Bencher Kempur Microelectronics Inc.
Applied Materials, Inc.
Juan de Pablo
John J. Biafore University of Chicago
KLA
Doug Resnick
Luigi Capodieci
Canon Nanotechnologies
Motivo, Inc.

Matthew Colburn Helmut Schift


Facebook Reality Labs Paul Scherrer Institut

Udo Dinger Bruce W. Smith


Carl Zeiss SMT GmbH Rochester Institute of Technology

Derren N. Dunn Mark D. Smith


IBM Research KLA

Carlos Fonseca John Sturtevant


Tokyo Electron, Ltd. Mentor, A Siemens Business

Bruno La Fontaine Kazuaki Suzuki


ASML Nikon Corporation
(Current affliation: Tokyo Institute of
Gregg M. Gallatin Technology)
Applied Math Solutions, LLC
James Thackeray
Michael A. Guillorn Dow Chemical Company
IBM Research
Takumi Ueno
Winfried Kaiser Hitachi Chemical Co., Ltd.
Carl Zeiss SMT GmbH (Current affliation: Shinshu University)

David Laidler Obert R. Wood II


IMEC GLOBALFOUNDRIES Inc. (Retired)

Chi-chun Liu Stefan Wurm


IBM Research ATICE LLC

Chris A. Mack Kenji Yoshimoto


Fractilia, LLC Kyoto University

xi
1 Lithography, Etch, and
Silicon Process Technology
Matthew Colburn, Derren N. Dunn, and Michael A. Guillorn

CONTENTS
1.1 Introduction ..............................................................................................................................1
1.2 Lithography Fundamentals .......................................................................................................5
1.2.1 Image Formation and Modeling ...................................................................................6
1.2.2 Diffraction-limited Imaging: Abbe Imaging Theory ...................................................7
1.2.3 Numerical Aperture ......................................................................................................9
1.2.4 Kohler Illumination ......................................................................................................9
1.2.5 Partially Coherent Imaging ........................................................................................ 10
1.2.6 Hopkins Diffraction Theory of Imaging and Transmission Cross Coeffcients ........ 11
1.2.7 Impact of Illuminator.................................................................................................. 13
1.2.8 Sub-resolution Assist Features (SRAFs) ..................................................................... 14
1.2.9 Optical Proximity Correction ..................................................................................... 16
1.2.10 Etch Modeling ............................................................................................................ 18
1.3 Lithography Process and Tolerance Assessment .................................................................... 19
1.3.1 Transitions in Lithography.......................................................................................... 22
1.3.2 Immersion Lithography .............................................................................................. 23
1.3.3 Negative Tone Imaging ............................................................................................... 23
1.4 Multiple Patterning and Overlay Optimization ......................................................................24
1.4.1 Self-Aligned Integrated Solutions ..............................................................................28
1.5 Reactive Ion Etch and Deposition Processes .......................................................................... 30
1.5.1 Reactive Ion Etch ........................................................................................................ 31
1.6 Extreme Ultraviolet (EUV) Lithography................................................................................ 32
1.7 Summary ................................................................................................................................34
References ........................................................................................................................................ 35

1.1 INTRODUCTION
Since Gordon Moore made his prophetic observation [1] that transistor density is doubling every
2 years (commonly referred to as “Moore’s law”), the semiconductor industry has been steadily
pushing the limits of lithography, etch, and Si process technology to deliver denser integrated cir-
cuits. For over 50 years, feature scaling in integrated circuits has enabled a reduction in circuit area.
It stands to reason that with this reduction in area, a commensurate reduction in parasitic resistance
and capacitance would follow, resulting in an improvement in device and circuit performance. The
theory that describes the relationship between feature scaling and device performance was estab-
lished by Dennard and coworkers in 1974 [2]. Simply stated, by scaling all dimensions by a given
factor, κ, as shown in Figure 1.1, while simultaneously increasing doping by κ and decreasing oper-
ating voltage by κ to maintain constant feld, power can be reduced by κ2 or operating speed can be
increased commensurately. While there are limits to this scaling approach [3], this theory provided

1
2 Microlithography

FIGURE 1.1 Schematic of scaled device in which key device dimensions are scaled by a factor of κ to
reduce power or improve performance. (Adapted from Dennard, R.H. et al., IEEE J. Solid-State Circuits, 9,
256, 1974.)

the governing principles of technology development, ensuring that the value proposition behind
Moore’s law remains true. It is important to note that scaling can be leveraged to emphasize per-
formance improvement or power reduction. This duality has enabled a singular base semiconductor
process technology to be tailored for high-performance computational applications as well as for
low-power mobile applications [4].
In spite of the practical framework that scaling theory provides, it is misleading to think of
Moore’s law as being driven solely by shrinking dimensions. Moore himself noted in a 1975 speech
[1] that a “contribution of device and circuit cleverness’’ was required to account for the unabated
progress in the performance of semiconductor products. As we enter the sixth decade of Moore’s
law scaling, the contribution of device and circuit cleverness coupled with continued advancements
in lithography and patterning solutions have become equally important in maximizing the return on
investment for developing new generations of semiconductor technologies.
Examples of “cleverness’’ in device architecture and process technology are found in abun-
dance throughout the history of the semiconductor industry. The need to improve power dissipa-
tion drove the industry to transition from bipolar to complementary metal–oxide–semiconductor
(CMOS) technology [5] in the 1980s. The pursuit of reduced parasitic junction capacitance led to
the development of silicon-on-Insulator (SOI) device technology in the 1990s. The industry learned
to manipulate channel mobility through stress engineering [6], and channel material engineering [4,
7] in the late 1990s and early 2000s, producing large gains in device performance through enhanced
drive current. Degraded control of the felds in the channel region at scaled gate lengths, referred to
as short channel effects, led to the pursuit of a reduction in effective gate oxide thickness (EOT) by
pushing Si-based gate dielectrics beyond the point once thought physically possible [8]. This trend
continued in the late 2000s with the implementation of high-permittivity, high-κ gate dielectrics and
metal gate electrodes, producing further scaling of EOT [9] and reduced power due to a reduction
in gate oxide leakage. In parallel with this revolution in gate processing, numerous advancements
Lithography, Etch, and Silicon Process Technology 3

in junction engineering and silicide formation enabled signifcant improvements in junction depth
control and contact resistance reduction [10].
Practical schemes featuring “double gate” fully depleted devices for improving short channel
effect control have been studied since the early 1990s [11] and are illustrated in Figure 1.2. This
work illustrated a path forward toward deeply scaled devices. Further conceptual work on such
devices was carried out in the late 1990s [12], and experimental work was published in the early
2000s [13]. However, manufacturing concerns prevented widespread acceptance of this approach.
In the late 1990s, work on transistors with three-dimensional (3-D) channel geometries featuring
improved electrostatics began to transition from university labs [14] to industrial research facilities
[15]. The operating principle of these devices was simple: raise the channel out of plane, allowing
the gate electrode to wrap around the channel, and reduce the body thickness to form a “fn”-like
structure, resulting in a superior geometry from an electrostatic point of view. This device, now
referred to as the FinFET, continued to gain traction in the industry in the mid-2000s [16]. By the
early 2010s, the FinFET became the standard device for all leading-edge CMOS technologies [17].
Innovation has not been limited to the front end of line (FEOL), that is, transistor device struc-
ture prior to contact formation. As feature density increased and critical dimensions dipped well
below 250 nm, control of wafer topography became a formidable challenge. Planarization technol-
ogy prior to interconnect metallization had been explored early in the semiconductor industry, rely-
ing on refow of doped glass dielectric. However, reliability concerns and performance degradation
proved to be shortcomings of these processes. In contrast, the use of chemical mechanical polishing
(CMP) for planarization of high-quality dielectrics in the back end of line (BEOL) became a foun-
dation on which all high-performance CMOS interconnect technologies were built. For a review,
the author refers the reader to Krishnan et al. [18]. Improvements in BEOL topography through
CMP enabled one of the most important materials innovations in the history of the semiconductor
industry: the transition from Al interconnects to Cu interconnects.
The adoption of Cu interconnect metallurgy using the damascene [19] process provided a
materials solution for signifcantly reducing interconnect resistance (R) with the added beneft of
reduced reliability failures from electromigration [20], an example of which is shown in Figure 1.3.
Additional performance gains were achieved by the introduction of several materials and struc-
tural innovations, a comparison of which can be seen by comparing the left and right sides of
Figure 1.3. First, the adoption of reduced-permittivity, low-κ dielectrics led to reduced interconnect
capacitance (C). Building off advances in low-κ dielectric materials, further reductions in permit-
tivity were achieved through the introduction of airgap technology, achieving the lowest capacitance
possible [22–24].
An important second innovation in BEOL architecture was the introduction of new ultrathin
liner technology [20], which further reduced interconnect resistance at deeply scaled dimensions

FIGURE 1.2 Comparison of depletion regions for Planar Bulk, Planar FDSOI, and FinFET. Note SOI
Structures generally have thicker SOI Si thicknesses relative to FinFET Si thicknesses. (Adapted from Frank,
D. J. et al., Proceedings of the IEEE, 89, 259, 2001.)
4 Microlithography

FIGURE 1.3 Representative schematic of a BEOL structure. (From Colburn, M., SPIE Advanced Etch
Conference Plenary, 2015.)

by maximizing the cross-sectional area of the Cu portion of the interconnect while maintaining
aggressive reliability specifcations. Further innovations in microstructural engineering [25] dem-
onstrated a path to maintain BEOL performance while continuing to achieve further dimensional
scaling.
It is undeniable that there are physical limits to scaling within transistors as dimensions approach
near-atomic dimensions. In the FEOL, short channel effects, quantum effects, and stochastic varia-
tion such as line-edge roughness, feature placement variation, and random dopant fuctuation [26]
will ultimately limit device performance. Variants of planar silicon on insulator (SOI) devices to
enhance low power performance have been proposed for the mobile/hand-held space [27]. More
advanced 3-D channel architectures including gate all around (GAA) devices have been proposed
to continue scaling in the high-performance space. In addition, a resurgent interest in vertical tran-
sistors [28], as well as higher-mobility transistor materials including III–V [29] materials, has also
been proposed to extend device scaling improvements for both high-performance and low-power
applications.
In the BEOL, challenges to continued device scaling are equally great. Nonlinear increases in
resistivity at small dimensions [30] and dielectric breakdown due to increased electric feld strength
are reaching fundamental materials limits [31]. There are a limited number of variables that can be
used to tune performance and address challenges in interconnect architecture: interconnect pitch,
line width, aspect ratio, interconnect resistivity (metallization), interlayer dielectric constant, and
dielectric thickness. Within these constraints, copper interconnect resistance increases exponen-
tially as line width reduces below 100 nm primarily due to grain boundary scattering. The dielec-
tric constant of a vacuum bounds the remaining improvements achievable by reducing dielectric
constant. Within the confnes of these increasing technologic challenges, fabrication of statistically
yieldable and reliable device architectures have been enabled by advances in patterning technology.
In the face of these daunting obstacles, circuit area reduction through cell-level area scal-
ing has become a subject of intense interest [32]. The area that a logic or memory cell occupies
directly impacts density at the product level [33]. This relationship has encouraged the develop-
ment of process technologies optimized for delivering smaller logic cells. To frst order, logic
cell area is dictated by the minimum gate pitch, the number of gates, the minimum wiring pitch
transverse to the gates, and the number of wiring “tracks” required to complete the connec-
tions within the cell. By aggressively scaling these parameters, smaller logic cells can be syn-
thesized, resulting in more compact circuit blocks, which occupy a smaller area and therefore
have lower parasitic resistance and capacitance. However, a careful co-optimization of logic cell
design, lithographic patterning techniques, and device process technology—referred to as design
Lithography, Etch, and Silicon Process Technology 5

technology co-optimization (DTCO)—is required to achieve products that deliver the desired
power, performance, and area scaling without compromising yield. DTCO has become a staple of
the semiconductor industry since the 22 nm node [34]. Taking a holistic approach to patterning
process development in view of device process technology and circuit design has become a new
frontier in enabling device scaling [35].
Understanding the fundamental contributions of patterning process optimization to advanced
device architectures is vital for anyone undertaking work in advanced CMOS technology develop-
ment. This chapter reviews fundamental aspects of patterning process optimization by exploring
essential elements of lithography, optical proximity correction (OPC), and reactive ion etch (RIE)
technology. A discussion of modern patterning techniques used to push feature resolution beyond
the limitations of optical systems is also provided. Finally, an overview of the current state of
extreme ultraviolet (EUV) lithography is presented. This material is presented in the context of
advanced integrated circuit manufacturing to provide a frame of reference for engineers and scien-
tists looking for an introduction to this feld.

1.2 LITHOGRAPHY FUNDAMENTALS


Semiconductor lithography has gone through a host of changes over the past 50 years. The industry
transitioned from contact printing with broad-band wavelength arc lamps, to projection steppers
capable of imaging an entire feld, to the modern embodiment of 4× reduction projection scanners
leveraging excimer laser sources. The maximum resolution of these systems is a function of the
wavelength of light, λ, divided by numerical aperture (NA), NA, as shown in Figure 1.4.
Figure 1.4 chronicles the staggering improvement in resolution over the past 30 years as the
industry progressed from g-line mercury lamps (λ = 465 nm) through i-line (λ = 365 nm) to ArF
excimer lasers (λ = 193 nm) high–NA scanners. This plot includes the advent of 0.25 and 0.33 NA
EUV scanners operating at λ = 13.5 nm. Modern scanners leverage 4× reduction projection optics
with unprecedented precision and accuracy of both image formation and image placement. Modern
scanners have aberrations lower than ~3.5 mλ RMS at center slit [37], demonstrated resolution near
0.25 k1, and overlay demonstrated below 2 nm. As shown schematically, there are four principal
components of an advanced projection system: illumination, objective lens, mask, and condenser
lens.
Illumination systems used in modern optical lithography tools feature high-power, small-band-
width [38] excimer lasers that project light into the optical system and onto the photo mask [39].
The illumination can be customized with programmable illuminators, comprised of an integrated

FIGURE 1.4 Historic trend of scale resolution power (λ/NA) including EUV. (From Colburn, M., Lithography
Solutions for the 22nm Node, VLSI, Honolulu, HI, 2009. With permission.)
6 Microlithography

micromirror array, designed to optimize overall image fdelity through computational wave front
engineering techniques [40]. Photomask images are reduced by a factor of four (4×) and projected
onto the wafer. Modern lithography tools do not project the full image of the mask on the wafer at
one time; rather, they move or scan the photomask four times (commensurate with the scale factor)
faster than the wafer stage motion or scan. This scanning motion of the mask and wafer gives rise
to the use of the term scanner for modern production lithography systems.

1.2.1 IMAGE FORMATION AND MODELING


Modern scanner optics are comprised of multiple optical elements required to accurately image fea-
tures of a lithography mask and then project the resulting images to the wafer plane with a standard
reduction of 4×, as shown in Figure 1.5. A fortunate simplifying feature of these systems is that
they can be modeled with suffcient accuracy using Fraunhofer far-feld approximations and Fourier
optics [41]. In this approximation, any number of condenser, objective, projector, and transfer lens
can be treated as a black box transfer function characterized by the optical state of waves entering
the optical system from the object plane and exiting from the optical system to form an image in the
object plane, as shown in Figure 1.6.
A common assumption in this approach is that the entrance and exit pupils of the optical sys-
tem restrict the angular extent of waves passing through the lenses modeled by the optical system.

FIGURE 1.5 Schematic diagram of a modern stepper showing the path of light through an optical system
using Kohler illumination from source to wafer.
Lithography, Etch, and Silicon Process Technology 7

FIGURE 1.6 Schematic representation of a lithographic system as viewed from a Fourier optics perspective.

Additionally, it is also commonly assumed that geometric optics can be used to model the lens and
apertures contained within the optical system; thus, the fnite extent of the entrance and exit pupils
is found by geometrically projecting the smallest aperture contained within the optical system to
the object and image planes. Waves entering and exiting the optical system are then limited by the
effective angular extent of the entrance aperture of the optical system and equivalently impacted by
the effective angular extent of the exit aperture of the optical system.
In general, optical systems used in modern lithographic scanners are diffraction-limited sys-
tems. Diverging spherical waves from point source elements entering the optical system are trans-
ferred through the system and exit as converging spherical waves emanating from the exit pupil.
Diffraction limits of the entrance aperture and the exit aperture of the optical system limit the
spatial frequencies transferred by the system equivalently, because the entrance and exit pupils of
the optical system are geometric projections of each other. Also, the effective diffraction limit of the
optical system is dictated by the smallest aperture in the optical system projected onto the entrance
or exit pupils.
In the following sections, essential elements of modern optical systems will be described in
terms of a set of optical transfer functions, which in aggregate, allow computational lithographers
to rapidly and accurately calculate the impact of lenses, aberrations, and diffraction limitations on
the formation of images in the wafer plan from lithography mask images in the object plane shown
in Figure 1.5.

1.2.2 DIFFRACTION-LIMITED IMAGING: ABBE IMAGING THEORY


Lithographic projection systems share some essential common attributes with imaging processes
observed in conventional light microscopes. Ernst Abbe developed an effective theory to predict
observable images from diffraction-limited systems [41, 42]. Abbe assumed that imaging from an
object close to an objective lens can be treated in two steps: frst, light diffracted from the object
and passed through the lens forms a diffraction pattern in the back focal plane of the objective lens;
second, the objective lens of the system is of fnite extent, so it limits the spatial frequencies that are
transferred to the image plane of the system. In Abbe’s approach, the objective lens can be treated as
an optical system, as shown in Figure 1.7, in which the lens is both an aperture that limits diffracted
waves passed by the system and a lens responsible for forming an image of the object.
In Figure 1.7, a representation of an optical system following Abbe imaging is shown. In this
system, each point on the object diffracts light that is captured by the fnite extent of the objective
lens. Waves diffracted from the object then form a diffraction pattern in the back focal plane of the
8 Microlithography

FIGURE 1.7 Optical system representing essential elements of a system following Abbe imaging theory.

objective lens, which, in a far-feld approximation, propagates to form an image in the image plane
shown in Figure 1.7. We can represent the amplitude distribution at the image plane, Ui(xi,yi), from
this imaging system as a superposition integral of wave amplitudes, Uo(xo,yo), scattered by the object
as follows [41, 42]:
¥ ¥

Ui ( xi , yi ) =
ò ò O( x , y ; x , y )U ( x , y ) dx dy
-¥ -¥
i i o o o o o o o (1.1)

In this integral, a point in the image plane (xi,yi) is mapped from a point in the object plane
(xo,yo), by a linear transformation that directly accounts for the magnifcation of the optical
system, M, which can be either positive or negative depending upon whether or not the image is
inverted [41].

( xi , yi ) = (Mxo , Myo ) (1.2)

This integral can be understood as the convolution of the Fraunhofer diffraction pattern of the exit
pupil of the system represented by the function O, with the idealized image of the object calculated
from geometric optics, Uo. O can then be written as follows:
¥ ¥
é 2p ù
O ( xi , yi ; xo , yo ) = Co
ò ò P( x, y)exp ëê-i ld ((x - Mx )x + (y - My )y )úû dx dy
-¥ -¥
i
i o i o (1.3)

In this equation [41], P(x,y) is a discrete function, referred to as the pupil function, that has a non-
zero value for (x,y) points in the exit aperture of the optical system and is zero for all other points,
di represents a spatial frequency in the object being imaged, and Co is a normalization constant.
Conventionally, this representation is rewritten in optical coordinates:

x y
x¢ = , y¢ = , x¢o = Mxo , y¢o = Myo
l di l di

¥
1 æ x¢o y¢o ö
Ui ( xi , yi ) = 2
M ò O ( x - x¢ , y - y¢ )U çè M , M ÷ø dx¢ dy¢

i o i o o o o (1.4)
Lithography, Etch, and Silicon Process Technology 9

In general, this integral is thought of as the convolution of the object image predicted by geometric
optics with the exit pupil function of the optical system [41]. This formulation allows computational
lithographers to use methods developed for the analysis of linear systems to establish accurate, but
computationally effcient, approaches to calculating aerial images for simulation OPC.

1.2.3 NUMERICAL APERTURE


One of the important defning characteristics of a diffraction-limited system that follows Abbe
imaging is the concept of numerical aperture. Figure 1.8 shows a magnifed area of the object illu-
minated in Figure 1.7.
If the two points shown in Figure 1.8 are treated as point sources, the minimum distance resolv-
able by the optical system can be calculated directly using the Rayleigh criteria [43]. In this approach,
the minimum angular separation of two pinhole sources corresponds to the angle at which the maxi-
mum Airy intensity of one source overlaps the frst minimum of the second.
Using Figures 1.7 and 1.8 in conjunction with the Rayleigh criteria, we can establish a relation-
ship between the angle, θ, which represents the maximum acceptance half-angle of the optical
system; the separation between diffracting points on the source di, λ; and the index of refraction, n,
of the medium light is propagating through using the following relationship:

1.22l
di = (1.5)
2n sin q
The denominator in this equation, 2nsinθ, is used as a defning characteristic of the resolving power
of the optical systems that follow Abbe imaging [43]. The term nsinθ is defned to be the NA of the
optical system and describes the acceptance half-angle of the objective lens.

1.2.4 KOHLER ILLUMINATION


An important aspect of lithographic systems is the set of optical elements used to illuminate the
mask or object of the system using partially coherent sources. In early optical studies, limitations to
the ultimate performance of optical systems were observed when waves emanating from partially

FIGURE 1.8 Enlarged section of the object shown in Figure 1.7. Two object points, separated by di and
diffracting waves through the maximum acceptance angle of the lens, are used to defne the numerical aper-
ture of an optical system. (From Jenkins, F. A. and White, H. E., Fundamentals of Optics, McGraw-Hill,
New York, 1957. With permission.)
10 Microlithography

FIGURE 1.9 Diagram depicting the essential elements of Kohler illumination. Partially coherent source
waves are defocused through a series of source and condenser optical elements to produce parallel illumina-
tion of a mask.

coherent points on the source were focused in the sample plane. To overcome these limitations,
August Köhler developed a method of illuminating an object using a system of auxiliary and con-
denser lenses to illuminate the object with nearly parallel waves from the source.
In an idealized system, waves illuminating the mask will be spherical with radius of curvature
selected to offset the impact of tilted illumination on mask features located at positions off the
optical axis [44]. Also, this arrangement of source and condenser lenses has the added beneft that
inhomogeneities in source intensity do not cause irregularities in the illumination feld [42]. An
important additional result is that condenser lens aberrations will have negligible impact on the
degree to which waves from source points remain parallel [42].
Figure 1.9 shows a schematic diagram containing the essential optical elements of Köhler illu-
mination. Köhler illumination provides uniform parallel illumination of the mask from partially
coherent point sources and minimizes positional shifts in the image plane for features far away from
the optical axis. Apertures in the system are used to optimize wave fronts from different source
types and ensure optimal parallel illumination of the mask.

1.2.5 PARTIALLY COHERENT IMAGING


In the previous sections of this chapter, we have presented imaging results that implicitly assume that
spatially coherent waves are propagated through an optical system. An implicit assumption behind
these formulations is that the source is an idealized point source situated on the optic axis. Point
sources are idealized constructs that are nearly impossible to realize in optical systems of interest to
lithography. Sources typically used in modern lithographic systems are spatially extended sources
that consist of multiple off-axis point sources that illuminate a mask object over a range of incident
angles [44]. The earlier presentation of Fourier optics can be used to describe the propagation of
waves emanating from each point in an extended source, but to truly understand the propagation
from all points on an extended source interacting with a mask and scanner optics, one needs to
utilize the machinery of partially coherent optics.
To understand partially coherent imaging, imagine that there are two points on a spatially
extended source located at points r1 and r2. If the distance between points r1 and r2 is small relative
to the wavelength λ of light emanating from each point, the intensity at a point in the image plane ri
due to light from source points r1 and r2 is written as follows [45]:

U * (r1 ) U (r2 )
I (ri ) = I r1 (ri ) + I r2 (ri ) + 2 I r1 (ri ) I r2 (ri ) (1.6)
2 I r1 (ri ) I r2 (ri )
Lithography, Etch, and Silicon Process Technology 11

In this equation, U*(r1) and U(r2) are phasors emanating from points r1 and r2 and the term
U * (r1 ) U (r2 ) is the cross-correlation of light emanating from points r1 and r2 normalized by the
intensity of light from each point if it were an independent point source [42, 45]. In addition, the
U * (r1 ) U (r2 )
term is called the complex degree of coherence and represents the intensity of
2 I r1 (ri ) I r2 (ri )
light due to interference between waves from r1 and r2 at point ri in the image plane.
There are two primary limiting cases for this equation. In the frst, the distance between r1 and
r2 approaches zero; then, light from source points 1 and 2 is completely correlated, and the inten-
sity distribution in the image plane is the same as that for two coherent waves. In the second, the
distance between points 1 and 2 approaches infnity, and light from points 1 and 2 is completely
uncorrelated; therefore, the intensity distribution in the image plane at ri is equivalent to a com-
pletely incoherent image [45]. Understanding partially coherent imaging concepts in lithography is
particularly important, because illumination sources consisting of multiple points of light are rou-
tinely used. In addition, complex off-axis illumination sources are required to increase resolution
and enable lithographic scaling.

1.2.6 HOPKINS DIFFRACTION THEORY OF IMAGING AND TRANSMISSION CROSS COEFFICIENTS


Thus far, we have been discussing methods to model the propagation of light scattered by a mask
through an optical system generating an image in the wafer plane. Each of these components can
be tied together in a form usable for computation by using the Hopkins approach to the diffraction
theory of imaging [46]. In this approach, the intensity in the image plane at a point (x,y) can be mod-
eled by a four-dimensional integral as follows [46]:

¥ ¥ ¥ ¥

I ( x, y ) =
ò ò ò ò TCC( f ¢, g¢ : f ², g²)F( f ¢, g¢)F ( f ², g²)
*

-¥ -¥ -¥ -¥ (1.7)

expp é -2p i
ë (( f ¢ - f ²) x + ( g¢ - g²) y )ùû df ¢dg¢df ²dg²
In this equation, the term TCC( f ¢, g¢ : f ², g²) is referred to as the transmission cross coeffcient
(TCC) of the optical system, F ( f ¢, g¢) is the Fourier transform of the mask, and F * ( f ², g²) is the
complex conjugate of the Fourier transform of the mask. This equation represents the partially
coherent imaging of the mask denoted by F illuminated by two sets of partially coherent plane
waves, ( f ¢, g¢) and ( f ², g²). In addition, the TCC term in Equation 1.7 represents the propagation of
source waves through the aberrated pupil function presented previously.

¥ ¥
é -2p i ù
TCC ( f ¢, g¢ : f ², g² ) =
ò ò P ( f + f ¢, g + g¢) exp ëê
-¥ -¥
l
f ( f + f ¢, g + g¢ ) ú
û
(1.8)
é 2p i ù
exp ê f ( f + f ², g + g² ) ú df dg
ë l û

In both the Hopkins intensity integrals and the TCC integrals, the integrals are taken over infnite
domains, but these integrals become fnite due to diffraction-limited optics. Also, in the case of
the TCC, the intensity over the entrance aperture of the optical system is assumed to be constant,
so the TCC integrals reduce to a constant multiplying the integral over a spatial frequency range,
f2 + g2 < r 2 , where r represents the pupil extent of the entrance aperture of the optical system.
12 Microlithography

FIGURE 1.10 Illustration of the effective domain of integration for one pole of a dipole illuminator. The
cross-hatched region illustrates the region over which TCC integration would be taken for source points cen-
tered at (- f ¢, g¢) and ( f ², g²). (From Toh, K. K., Tech. Rep. No. UCB/ERL M88/30, University of California at
Berkeley, Berkeley, CA, 1988. With permission.)

Figure 1.10 shows an example of the integration region used to calculate the TCC for the right-
hand pole of a dipole source [47]. In this example, there are two source circles centered at (- f ¢, g¢)
and ( f ², g²), each of radius NA/M ls , where NA is taken at the entrance aperture of the objective sys-
tem, M is the Gaussian magnifcation in the wafer plane, and λs is the mean wavelength of the source
laser (Figure 1.11). These two source points are transmitted through the optical system of effective
aperture of radius r that is capable of generating partially coherent images at the wafer plane. In a full
TCC calculation for a dipole source like that shown in Figure 1.12, there would be a similar pole with
source points similar to those at ( f ¢, g¢) and (- f ², g²) that would generate the left-hand pole.

FIGURE 1.11 Comparison of diffracted orders captured for conventional and off-axis illumination [38]. Off-
axis illumination captures higher frequencies that result in denser printable pattern (at a fxed λ/NA). (From
Brunner, T. A. et al., J. Micro. Nanolithogr. MEMS MOEMS, 5, 5, 2006. With permission.)
Lithography, Etch, and Silicon Process Technology 13

FIGURE 1.12 Comparison of aerial images for off-axis illumination. (a) Dipole Illumination 0.7/0.9;
(b) quadrupole (0.75/0.97) Illumination; (c) annular (0.75/0.97) Illumination. One can see the horizontal and
vertical contrast difference visually from the aerial images for three k1 values: 0.45 (left column), 0.35 (middle
column), and 0.28 (right column). (From Brunner, T. A. et al., J. Micro. Nanolithogr. MEMS MOEMS, 5, 5,
2006. With permission.)

1.2.7 IMPACT OF ILLUMINATOR


There are two types of illumination used in the industry: conventional illumination and off-
axis illumination. As shown in Figure 1.11, conventional illumination affords three-beam inter-
ference (0th, +/− 1st), where the 0th order is a DC component. First-order diffraction allows
for interference and transfer of pitch information from the mask to the wafer. For a coherent
source, the maximum frequency (minimum pitch) captured by the lens is NA/λ. The highest-
resolution image is λ/2NA, and the corresponding depth of focus is proportional to λ/NA 2 [48].
Traditionally, lithographers estimate the minimum critical dimension printable by a lithographic
process as follows:

l
CDmin = k1 (1.9)
2NA

In Equation 1.9, the constant of proportionality, k1, captures lithographic process components that
contribute to the achievable critical dimension for a given lithographic process. This constant of
proportionality is a measure of lithographic process performance that ranges from slightly larger
than 0.25 to values of approximately 1. A k1 value of 0.25 represents the ultimate resolution of an
optical system and should be thought of as an asymptotic lower limit for a lithographic process.
Larger k1 values represent processes that are not delivering the maximum resolution for the scanner
defned by λ and NA.
In its simplest form, with off-axis illumination (OAI), one can defne classic annular, quadrupole,
and dipole illuminators as shown in Figure 1.12. OAI leverages two-beam interference of zeroth
and frst order to provide contrast at high resolution at the expense of through-pitch performance.
Annular illumination is defned by the inner and outer radius of a ring of intensity in the illumi-
nator used to expose the mask. Historically, annular illumination is appropriate for designs that
require a continuous range of supported feature sizes and pitches in orthogonal orientations requir-
ing k1 < 0.5. As k1 is reduced toward a value of 0.25, annular illumination begins to yield smaller
process windows, which require a change in illumination to overcome.
Quasar illumination is defned by four off-axis poles with a well-defned radial extent and fxed
angular extent for each pole. Experience has demonstrated the beneft of this strategy for bidirec-
tional design spaces at tighter pitches (k1 > 0.35), but it suffers at very low k1 (k1 ® 0.25) due to
lack of captured diffraction orders. A quadrupole with poles on axis, sometimes called a c-quad
confguration, offers better resolution in both directions and can enhance tip-to-tip image formation
but generally suffers at intermediate pitches.
14 Microlithography

FIGURE 1.13 Comparison of NILS for an optimized illuminator and an annular illuminator. Through the
entire clip range consisting of one-dimensional and two-dimensional patterns, the SMO illuminator provides
superior NILS, which typically translates into larger process window.

Dipole illumination is defned by two on-axis poles with a well-defned radial extent and fxed angu-
lar extent for each pole. Dipoles are applied to design spaces with preferred or unidirectional features
requiring tight across-chip critical dimension control and k1 approaching 0.25, but they have degraded
image formation for features aligned in the nonpreferred orientation. One drawback of dipole illumina-
tion is that forbidden pitch ranges develop as the radial extent or sigma range of each pole increases.
As we have shown in the previous examples, the use of OAI allows lithography engineers to tai-
lor illumination to a particular design space. These examples represent an approach to illuminator
design that focuses on simple optical elements customized to enable continued device scaling over
a broad range of design styles.
Recent advancements in hardware and software have resulted in more sophisticated illumina-
tions. Source mask optimization (SMO) technology leverages pixelated illumination and co-opti-
mized masks to improve design space coverage and patterning process window on wafer. Utilizing
sophisticated algorithms [49], fexible programmable illuminators [50, 51], and improvements in
mask fabrication, it is now possible to increase design space coverage and process window simul-
taneously. An example of an SMO solution compared with a conventional illumination solution is
shown in Figure 1.13.
Figure 1.13 shows an illuminator determined by SMO compared with a more traditional annular
illuminator. In this plot, the SMO illuminator has yielded signifcantly higher normalized image
log slope (NILS) response than the annular illuminator. Higher NILS translates into more robust
imaging and process window for applicable resist systems. It is clear from Figure 1.13 that both
illuminators are challenged for clips 1 to 5 and 10 to 20. These clips should be examined carefully
by lithography engineers to ensure that there is suffcient process window for these constructs to
enable targeted patterning yield specifcations; otherwise, these constructs may need to be addressed
through ground-rule constraints, retargeting, or new patterning process approaches.

1.2.8 SUB-RESOLUTION ASSIST FEATURES (SRAFS)


Another fundamental imaging technology, sub-resolution assist features, is critical for enabling
through-pitch process window. The underlying principle behind SRAFs is quite simple. The image
Lithography, Etch, and Silicon Process Technology 15

contrast of diffracted light in isolated spaces, approximately 2× the minimum pitch and larger, can
be improved by adding additional spatial frequencies into the formation of an image. An example of
the image with and without a sub-resolution feature is shown in Figure 1.14.
In the case of a 2× minimum pitch structure, a sub-resolution feature (too small to print) can
add a higher spatial frequency of diffracted light into the capture angle of the lens. When combined
with lower (greater than 2× minimum printable pitch) resolution, one can see improved contrast of
the image. This improves the depth of focus and process window for the isolated structures. One
consequence of SRAF usage is unintended printing of the higher-order pattern. It is necessary to
balance the relative size of the SRAF (ease of mask fabrication) with the unintended (side-lobe)
printing of the SRAF.
A natural question to ask at this point is how the introduction of SRAFs improves process win-
dow for isolated features. Shown in Figure 1.15 is a comparison of process window for an isolated
trench feature with and without SRAFs using a typical annular illuminator. In Figure 1.15a and b,
mask features from a baseline isolated trench and an isolated trench with two SRAFs per edge are
shown. In these fgures, the cross-hatched features are transmitting, and the white background does
not transmit light.
The size of SRAFs in Figure 1.15b and their spacing from the main feature are chosen based
on two criteria. First, the dimension of SRAFs features is chosen to boost the intensity of the main
feature to a maximum value, such that the SRAF features do not print. Second, to frst order, the
spacing between the main feature and the SRAF features is chosen to approximate a fully nested
grating. It is clear from Figure 1.15 that the introduction of SRAFs has resulted in a signifcant
increase in depth of focus, from 195% to 272%, which is an increase of approximately 77%. The
increase in dose latitude is more modest but still improved from 4.9% to 6.8%. The features shown
in Figure 1.15 are an idealized case, but they do demonstrate the benefts of using SRAFs.
Aside from SRAF printing, there are some other challenges that computational pattering engi-
neers face when using SRAFs, such as mask manufacturing rule constraints (MRCs), inability of
mask processes to produce SRAFs of the prescribed size to produce maximum process window

FIGURE 1.14 Schematic representation of the intensity for a 2× minimum pitch L/S without SRAF, 1×
minimum pitch (higher frequency), and (bottom) a small trench at 2× minimum pitch with two different
SRAFs. (Black) Mid-sized SRAF and (gray) large SRAF. One can see the improved sharpness of the SRAF
isolated pitch pattern and that increasing the SRAF size too close to the anchor leads can lead to inadvertent
printing. Note that the large SRAF image drops below the threshold for the resist in this thought experiment.
16 Microlithography

FIGURE 1.15 Comparison of isolated trench printing with and without SRAFs. (a) Schematic of horizontal
trench feature without SRAFs. In this diagram, the cross-hatched feature transmits light and the white back-
ground does not. (b) Schematic diagram of a horizontal trench feature with two SRAFs per edge. (c) Ellipsoidal
process window of isolated trench feature showing baseline dose-latitude and depth of focus. (d) Ellipsoidal
process window with two SRAFs per edge showing a signifcant increase in depth of focus and a modest
increase in dose latitude.

beneft, and challenges in ensuring that SRAF geometry and size distributions do not overwhelm
shot count constraints introduced by mask writers.

1.2.9 OPTICAL PROXIMITY CORRECTION


Over time, lithographers had come to depend upon advances in optics and steppers to ensure that
designed features could be printed on wafer with minimal modifcation. In the mid- to late 1980s, it
was becoming clear that optical proximity effects were causing signifcant deviations from design
targets transferred to mask versus what was actually printed on wafer. For example, tip-to-tip
confgurations were not printing on target if drawn in one-to-one dimensions in the mask plane.
Additionally, critical dimensions on wafer for line-and-space patterns deviated from target depend-
ing upon the local pitch environment, and line-end confgurations began to exhibit signifcant line-
end pull-back.
To offset these effects, lithographers began to compensate by altering the size and shape of fea-
tures on the mask to restore wafer printing to target specifcations. To identify the correct changes to
Another random document with
no related content on Scribd:
She could make her fingers as white as snow by gently rubbing
the chalk over them, but the nicest thing to do with it was to pound it
down into a lovely soft powder with another stone. Peggy sat on the
lowest step of the stone stair and pounded the chalk on the step
above her. It was delightful to do. Among the powder she found here
and there a little white stone. She called them pearls, and decided to
make a collection of them, so that she might string them into a
necklace. It was not every lump of chalk that had a white stone in it,
however, as she soon found out. But this only made it more exciting.
The time slipped away so fast at this game that Peggy couldn’t
believe that it really was the tea-bell she heard. “Why, auntie must
have come home,” she thought, “and I must go in for tea now; but I
can come out and hunt for pearls again after tea.” She gathered up
her little white stones in her hand, and went slowly into the house
counting them over in her palm.
“Peggy!” cried Aunt Euphemia.
Peggy had walked into the drawing-room, still counting the
treasures.
“Yes, auntie,” she replied. “Oh, do look at my pearls!”
“I’ll look first at your dress, Peggy. What have you been doing to
it? I never saw a child like you for getting into mischief. Ring the bell,
and come here and tell me how you have destroyed your frock.”
Peggy looked down. The front of her blue serge frock was
covered all over with chalk. She seemed to have rubbed it into the
stuff in the strangest way. She was as white as a miller.
“O auntie, I’m sorry! It’s the chalk,” Peggy cried.
“What chalk? Where did you get chalk, and how did you smear it
over yourself in this way?” asked Aunt Euphemia.
“I was finding pearls—such lovely pearls. I am going to make a
necklace of them; see!” said Peggy, holding them out to her aunt to
be admired.
“Just bits of stone. What nonsense! Throw them out of the
window,” said Aunt Euphemia. She was much displeased.
Peggy was very obedient. It did not occur to her to refuse to
throw the pearls away. She walked across to the open window, and
flung them out with scarcely any hesitation; but, oh dear, what it cost
her! Such a sore lump came into her throat, and she kept swallowing
it down so hard. Then Martin came in, looking very cross, and
carrying a large cloth-brush, and she was taken to the front door and
brushed, and brushed, to get the chalk away.
“You’ll please not to play that game again,” said Martin crossly.
“It’s a queer thing you can’t be alone half an hour without getting into
mischief.”
Peggy made no answer. Her throat was too sore with trying not to
cry. For nothing else seemed as if it would give her any pleasure
again if she wasn’t allowed to pound chalk and find pearls.
CHAPTER V.
A VERY BAD CHILD.

ow I must tell you about something naughty that


Peggy did. This was how it came about.
All the rest of the evening Aunt Euphemia and
Martin seemed to think that Peggy was in disgrace,
because she had spoilt her frock, and perhaps also
because she was a little bit sulky. It is a horrid thing
to sulk. It does no good; but often one wants to do
it so much. Aunt Euphemia went and sat out in the
garden after tea, and made Peggy sit beside her
playing with a doll, and all the time she was
anxious to be pounding chalk instead, so she didn’t
care in the least for her doll. The only thing she
could do was to pretend that she was very angry
with the doll, and beat it severely several times.
But even this did not make the evening pass
quickly. It was a terribly hot day, and that made Peggy feel cross
also. After supper Aunt Euphemia read aloud what she thought was
a nice story to her; but Peggy didn’t care about it in the least, and at
eight o’clock she was put to bed by Martin, who was still rather grim.
Peggy’s room was on the ground floor, and had a great big
window. She asked Martin to let her keep the blind up, so that she
might look out and see the ships if she wasn’t asleep; but Martin said
that if she wasn’t asleep she should be, and drew down the blind.
Peggy fell asleep pretty soon after this; but it was so hot that she
soon woke and sat up in bed. It must have been only two or three
hours since she went to bed, for it was still a soft dusk outside, as it
often is between ten and eleven o’clock on a mid-summer night.
“Oh, how hot!” Peggy thought. Then she got up, and walked
across the floor to the window, and lifted the blind. How cool and
sweet the garden was! She stood and looked out, and wondered if
every one had gone to bed, the house sounded so quiet. Then a
sudden thought struck her. Why shouldn’t she get out at the window,
and go and play at finding pearls just now? No one would know, and
the chalk wouldn’t leave any mark on her nightgown. Because it was
still light, it never occurred to Peggy to feel frightened to go out into
the garden. She thought it would be the greatest fun to have her
game in spite of Aunt Euphemia and Martin; so she wriggled on her
little white dressing-gown, and drawing a chair to the window,
climbed up on it, and threw up the window very softly.
That was quite easy to do; and oh, it was nice outside! The grass
felt so delicious to her bare feet—so cool and rough. She had to run
right across the lawn to get to the steps, and there were the dear
chalk lumps lying waiting for her, and her pounding-stone!
“I must be very careful not to make a noise, for then Martin might
look out and see me,” she thought; and so she squeezed the chalk
carefully and quietly, and searched among it for the precious little
white stones.
What fun it was to be doing this unknown to any one! And then all
of a sudden the game seemed to lose its pleasure, because Peggy
knew quite well she shouldn’t do it. She would not confess this to
herself for some time, but went on crushing the chalk and thinking.
Then she rose a little uneasily, and laid down her stone, and stood
up.
“I think I must go back to bed, and say my prayer, and perhaps I’ll
be forgiven,” she said to herself.
Just as she stood up, she heard the trot of a horse passing on
the road. The wall was very low which separated the garden from the
road, and any one riding past could see her distinctly as she stood
there. The horse stopped.
“Hullo! is this a little ghost?” said a voice speaking to her.
Peggy was terribly frightened. She knew it was Dr. Seaton’s
voice. She stood, and made no answer.
“Is that you, Peggy?” he asked; “and why are you out here so late
at night?”
Peggy knew it was impossible to hide. She answered in a
trembling voice, “Yes, it’s me; I’m playing.”
“Playing? Does your aunt know? What have you got on?” he
asked.
He tied up the horse to a tree, and jumping over the low garden
wall, came to where Peggy stood.
“Child, what are you doing?—bare feet, and scarcely any clothes
on!”
“Oh, I wanted to play at it—at pounding chalk; and auntie
wouldn’t let me in the day-time, and I came out, and it was so nice at
first, and then it turned horrid; and, oh, I’m frightened, and I want to
go back to bed!” she sobbed.
“You should be scolded for this, Peggy, but it’s too late for that
now. Come, and I’ll lift you in at your window, and you will soon be
asleep again,” said Dr. Seaton. He stooped down, and lifted Peggy
right up in his arms, and carried her across the lawn to the window.
“And now, suppose I hadn’t happened to see you, how would you
have got in there?” he asked. “You know, Peggy, getting out of a
window is a different matter from getting in at it again.”
The thought of this appalled Peggy. What indeed would she have
done?
“Oh, they would have found out!” she said in a terrified whisper.
“Don’t you mean to let them find out, as it is?” Dr. Seaton asked
very gravely. “When you do what is wrong, the best thing you can do
is to tell about it, Peggy. But it’s too late for lectures. Get in at the
window, and jump into bed, and go to sleep. Think about your sins in
the morning. Good-night, little one.”
He lifted her through the window, and she landed safely on the
chair. It seemed to Peggy that she must have been out for hours and
hours, and she crept into bed and drew the blankets round her,
feeling very much ashamed indeed. In the distance she heard the
trot of Dr. Seaton’s horse as it went off down the road.
Now I wonder whether Peggy would have had the courage to
confess her adventure to Aunt Euphemia. As it turned out, she was
forced to do so; for the next thing she remembered was Martin
standing beside her saying, “Time to get up, Miss Peggy,” in her
cross voice. Peggy was always glad to jump up; and this morning,
though she felt there was something disagreeable that she couldn’t
remember, she jumped up as gladly as usual. “Come away to your
bath,” said Martin, who always superintended her toilet. Peggy loved
her bath, and was playing with the soap and the sponge when Martin
came to hurry her.
“Not in your bath yet? I never saw such a child for putting off
time!” she said.
“I was just floating the big sponge for a minute,” apologized
Peggy; but as she spoke, Martin pounced upon her.
“Mercy me! how ever did you get these feet?” she demanded.
Peggy looked down. Her little white feet were all dabbled with
earth stains and green streaks. The lawn had been very wet with
dew, and she had run across it and then across two of the flower-
beds, so the earth had stuck to her damp feet and stained them
brown.
“Oh!” said Peggy. She was very frightened. Then she
remembered Dr. Seaton’s advice. “I think, Martin,” she said, “I will go
and speak to auntie alone.” And without more ado, she ran across
the passage and into Aunt Euphemia’s room without giving herself
time to think. You will find this isn’t a bad way of telling about
anything you are afraid to tell.
“Please, auntie, I’ve come to show you my feet, and tell. It’s
because I went out last night through the window, after I was put to
bed. I wanted to pound chalk again, and I did for quite a long time,
and then I didn’t, and I went back to bed,” she cried all in a breath,
holding up her night-dress to show the brown earth-stains on her
feet. Aunt Euphemia sat up in bed and stared.
“Peggy!” she exclaimed, “you went out—went out into the garden
in your night-dress!”
“Yes. Please, auntie don’t be very angry. I didn’t mean to do
anything wrong; it was only that I wanted so very much to find some
more pearls,” Peggy pleaded.
Martin came in, grim and rather pleased to have found Peggy out
in such a fault.
“There’s no doing with her, Miss Roberts,” she said—“always in
some mischief or other; and if I may suggest, I think a young lady
that could do so wrong should just be kept in her bed all day. I doubt
but she’ll have got a chill too. A day in bed will just be the best thing
for her.”
Aunt Euphemia always agreed with everything Martin said, and
Peggy knew her fate was sealed. Outside, the beautiful, happy world
was all green and bright; but she was going to be put to bed and
kept there all day.
“Come away,” said Martin triumphantly; “you must just take your
bath, and then go back to your bed, Miss Peggy. No jam with your
bread to-day, mind.”
So Peggy was bathed and put to bed; and turning her face to the
wall, she wept long and bitterly and repented of her sins.
CHAPTER VI.
A DAY IN BED.

I
t makes one feel very sick to cry for a long time. Peggy cried till
she was so tired that she had to stop because it hurt her to go on.
Her face was swollen up, and her eyes were red, and she looked
quite ugly. But at last she got so tired that she fell sound asleep,
and only wakened up to have dinner. It was a horrid dinner—cold
mutton, rice pudding without raisins in it, and with no sugar sprinkled
over it; that was all. However, Peggy was wonderfully hungry, and
she ate it up. Then came a very long hour. She sat up in bed, and
looked out at the ships; she made hills and valleys with the sheets,
piling them up, and smoothing them out; she counted the roses on
the wall-paper; she plaited the fringe of the counterpane into dozens
of little plaits, and yet the clock in the hall had only struck three.
There was the whole long day to get through!
Then she heard the door-bell ring, and some one was shown into
the drawing-room. She wondered who it could be.
After ten minutes or so, she heard the drawing-room door open
again, and Aunt Euphemia’s voice in the hall, saying,—
“No; Peggy is in bed to-day!”
“In bed? I hope the little woman isn’t ill!” some one said—Dr.
Seaton, Peggy thought, with a throb of delight. Perhaps he would
help her.
“No, not ill. I am sorry to say she was a very naughty child. I am
keeping her in bed as a punishment.”
Peggy heard the speakers pause near her door. Dr. Seaton had
evidently stood still as he was going out.
“Not all day, I hope, Miss Roberts,” he said. “It’s not good for the
child in this hot weather. You don’t want to have her ill on your
hands?”
Aunt Euphemia then began to give him the whole history of the
night before; and Dr. Seaton seemed to listen, as if it were all new to
him.
“Well, she told you honestly about it, Miss Roberts. Don’t you
think half a day in bed will be enough punishment, this time?” he
said.
“I wish to be firm!” said Aunt Euphemia; but there was a sound of
wavering in her voice that made Peggy wriggle in bed with delight,
for she thought her hour of release was coming.
“Suppose you let the child get up now,” Dr. Seaton urged.
“Oh, she will just get into some fresh mischief the moment she is
out of bed. I never saw a child like her,” said Aunt Euphemia; “Martin
is quite worn out with looking after her.”
“I saw that pleasant-looking cook of yours gathering currants in
the kitchen-garden as I came past. Why don’t you let Peggy help
her? She couldn’t get any harm there, I fancy,” said Dr. Seaton. “But
I must go now. Good-bye, Miss Roberts.”
And Peggy heard him run down the steps. Would she be allowed
to get up? She held her breath. Aunt Euphemia came in.
“Peggy, if you are a very good girl you may get up now, and go
out into the kitchen-garden and gather black currants with Janet,”
she said.
The words were scarcely uttered before Peggy was out of bed
and struggling into her clothes. She was in such a hurry that she put
on her stockings on the wrong side, and fastened her frock all wrong;
but she managed to get dressed somehow, though she would have
been much quicker if she had not been in such a hurry—which
sounds absurd, but is quite true. Then out into the sunny garden she
ran as fast as her feet could carry her. It was deliciously warm, and
such a nice, hot, fruity smell was all over the place. Janet wore a big
straw bonnet, and carried a basket already half full of black currants.
She gave Peggy a very warm welcome, for, unlike Martin, she
was one of those people who love children.
“Dearie me, Miss Peggy! This is fine. Come away and see which
of us will gather quickest,” she said. “Here’s a wee basket for you,
and a wee one for me; and you take the one side of the bush, and I’ll
have the other, and see who’ll be first!”
She laid down her large basket between them, and got out the
two tiny baskets instead. It is much nicer to gather fruit in small
baskets that are soon filled, for one seems to be getting on so much
quicker. Peggy worked at a great pace, and actually got her basket
full before Janet, to her great delight. Then it was poured into the
large basket, and she began again. Thus the work went on for an
hour at least. Peggy was just beginning to think she was getting a
tiny bit tired, when Janet laid down her basket suddenly.
“Come in-bye, Miss Peggy,” she said. “I hear the baker’s man at
the back door; maybe he’ll have something for you.”
Peggy followed her to the kitchen, where the baker’s man had
just laid down some loaves on the table. They were still warm, and
the crust had the nicest smell you can imagine.
“I’m thinking you’d like a piece,” said Janet, taking up one of the
new loaves, and looking at Peggy. “It wasn’t much o’ a dinner Martin
took upstairs for ye.”
“That was because I was naughty,” Peggy admitted with a blush.
“Ye’re no naughty now!” said Janet.
She took a knife, and cut a slice of the
nice new bread. Then from the cupboard
she took out a round pat of beautiful fresh
butter, stamped with a swan, and spread it
thickly on the bread. Last of all, she
sprinkled a lot of sparkling, brown Jamaica
sugar from the sugar-jar over it, and
handed the bread to Peggy.
“Oh, how nice! May I sit on the
doorstep and eat it?” Peggy cried.
I don’t suppose, though she lived to be a hundred years old, she
would ever forget the taste of that bread and sugar, it was so
delicious.
Janet was getting out a huge brass pan from the scullery, and
Peggy wanted to know what it was meant for.
“It’s to make jam in, Miss Peggy; but that’s too hot a job for you.
Maybe if you go and play for an hour and come back, I’ll let you stir
the pan for a minute then,” said Janet. And then, anxious that Peggy
should get into no further mischief that night, she suggested the
washing-green as a safe place to spend the hour in. There were
shamrocks growing there, and clover; and if Peggy could find a four-
leaved clover, she would be lucky all the rest of her life, she assured
her.
The washing-green was very cool and pleasant, and Peggy lay
on her face on the grass and searched for that four-leaved clover for
a whole hour without being dull for a minute. Then she heard Janet
calling her, and went running to the kitchen. There the great brass
pan was full of boiling fruit, deep crimson, and with the most
delicious smell. Janet gave her a saucer, and told her that with a
large spoon she might skim the white froth from the edge of the pan.
This was great fun to do; and then she was allowed to taste it, and it
was very good. Then Janet took the huge pan off the fire, and with a
cup began to fill up rows and rows of jars with the jam. Peggy sat on
the table and counted the jars, and was allowed, when they were full,
to take a damp cloth and wipe off all the drops of jam from the
edges, so that the jars were all clean and neat. When all this was
done it was quite late, and Janet said Peggy must go and have her
frock changed for the evening now.
“I’ve been so happy, Janet, I want to stay with you,” said Peggy,
flinging her arms round Janet’s neck as she said good-night.
CHAPTER VII.
THE ADVENTURE IN THE LANE.

eggy had now been for nearly a week at


Seafield, and it had not been very
satisfactorily spent.
She arrived on Monday; that evening
she had been scratched by Patrick. On
Tuesday morning she had been stung by
the wasps, so all Tuesday and Wednesday
were spent in bed. On Thursday she had
discovered the game of chalk-pounding
which led her into such trouble that the half
of Friday was spent in bed. Now, on
Saturday, surely things would go better with her. We shall see if they
did.
“Martin is busy this morning,” said Aunt Euphemia, “so you must
play in the garden. Try to play quietly, and not spoil your frock this
time. James is there, so surely you cannot get into any mischief.”
Peggy assured her aunt that she would be as careful as possible,
and went out into the garden full of good resolutions. James was
very busy sweeping the avenue. He did not seem to want to talk, so
Peggy left him, and strayed down to the gate. As she stood and
looked through the bars she saw something so interesting that she at
once decided to go out and see it nearer.
Just outside the gate of Seafield there was a bit of waste ground
close to the seashore. On this bit of ground some people were
camped. There was a caravan hung all over with baskets, and this
evidently belonged to these people—a man and woman and three
children. As Peggy came up to the gate, the man was trying to catch
the horses and harness them to the caravan. She watched this with
great interest. Then she saw that there was a donkey also, so she
could not resist the temptation any longer. Out she went through the
gate and spoke to the woman. “If you please,” she said, “may I pat
your donkey?”
The woman smiled and said, “Oh yes, that you may, missie,” and
called to the children to catch the donkey and bring it to Peggy to
pat. It was a lovely donkey, pale brown, with a long black cross upon
its back, as all donkeys have. Peggy had been told the legend about
the cross on the donkeys’ backs, so she stroked the long black mark
gravely all the way down the little beast’s back, remembering about
the story.
“Do you live in that nice place among the baskets?” she asked
the woman.
“Yes, missie, and drive around the country in it all the day,” said
the woman. “Would you like to come inside and see it?”
Peggy was delighted. She jumped in and saw what a funny little
house it must be to live in.
“We’re just movin’ on, miss,” said the man, coming to the door to
help Peggy out. The horses had been harnessed now, and the
donkey was tied to run behind the caravan.
“Oh, please,” said Peggy, “mightn’t I just have the littlest ride with
you? Won’t you take me along the road?”
“Oh yes, missie. ’Twon’t do us no harm,” said the man good-
naturedly. He cracked his whip, and the caravan swayed as if it
would fall over to one side, and then off they went, rumbling slowly
along the sea-road. The woman gave Peggy a seat, and chatted
away to her in the kindest way.
“This is fun,” said Peggy, and never gave a thought as to whether
she had been wise in doing this. She told the woman all about
herself, and how she had come to pay her aunt a visit, and how
horrid Martin was, and how nice Janet was. But at last the cart drew
up, and the man came to the door.
“You must be going home, missie,” he said; “I don’t want to take
you too far.” Peggy pleaded just to be taken to the next corner; so he
said he would do that, but she must get out there.
“There’s a good little lady. Run home straight; take the first turn
on the right, and you’ll be home in ten minutes,” he said, lifting
Peggy down.
“I’m very sorry. I would like to drive on and on with you,” said
Peggy. And at that the man reached up to the roof of the caravan,
and pulled down a sweet little green basket, and gave it to Peggy as
a present. Then he cracked his whip again, and the caravan rumbled
off down the road.
Peggy watched till it was out of sight. Then she began to admire
her dear little green basket. “I must fill it with something,” she
thought, and looked round to see what would be nicest to fill it with.
There was a gate close by leading into a field, and Peggy saw such
lovely large ox-eye daisies growing there that she at once wished to
have them. The gate was rather stiff to open, but she managed it,
and waded in among the high grass, and pulled and pulled at the
daisies till her basket was overflowing. By this time she had walked
right across the field, and instead of returning to the gate Peggy
stupidly thought she would go through a gap in the hedge and come
round. So through she went, and came into another road very like
the one she had left. She trotted off down the road, arranging her
daisies, and very happy. But after a little she found it was not the
road she had come by, and she began to feel a little confused. She
turned and ran back, but couldn’t see the gap in the hedge. Then
Peggy was frightened. There was no one anywhere near, and she
had no idea how to get home. She ran on, and then ran back, getting
more and more frightened and confused, and at last she sat down on
a heap of stones and began to cry.
Such a feeling of loneliness came over her! She thought that she
must now be miles and miles away from home, and that she would
never see it again. In reality Peggy was only about one mile from
Seafield, and if she had been sensible, and thought how to cross the
field with the daisies again, she would probably have found her way
back quite easily. But it is difficult to be sensible when you are
frightened; so instead of thinking, Peggy sat and cried helplessly by
the roadside. It was a very lonely road. No one passed, and there
was not a house in sight anywhere. She began to feel hungry too,
and that made her cry worse, for she thought she would perhaps
never get any food again, and would die of hunger.
Just then, as Peggy had come to this dismal conclusion, she saw
two figures coming along the road. One was a woman in a shawl
carrying a large basket, the other was a little girl. Peggy ran towards
them crying,—
“Oh, I’ve lost my way; I can’t get home; will you tell me where to
go?” She had been so frightened that she spoke without looking at
the woman, and when she did look at her, she saw that her face was
not at all a pleasant one. She looked very sly and nasty, and Peggy
shrank back from her, and felt inclined to run away—only there was
no one else who could help her.
“Where’s your home then?” said the woman, laying her hand on
Peggy’s shoulder and looking hard at her.
“Oh, my home is with my Aunt Euphemia, and her house is called
Seafield, and I can’t find it,” sobbed Peggy.
“Well, I’ll show you the way back, if you give me something for
my trouble,” the woman said.
“I’ve nothing to give you but my little basket,” said Peggy.
“That pretty dress would please me better, and them brown
shoes,” said the woman. “Just sit down there and take them off;
they’ll be about the size for my Bessie here.”
“I’ll give you my shoes,” said poor Peggy; “but really I can’t give
you my frock, for how could I walk home in my petticoat?”
“Give me the shoes then,” said the woman. So down sat Peggy
on the heap of stones, and tugged off her brown shoes, and handed
them to the woman, who tucked them into her basket. “And now I’ll
just have them brown stockings too,” she said.
Peggy pulled off her stockings, and stood up on her little bare
white feet. “Now, please, show me the way home,” she said.
“Well, I must have the frock too. Look at Bessie all in rags,” said
the woman.
She glanced up and down the road to see that no one was
coming, and then hastily began to pull off Peggy’s frock.
“I’m not giving it to you; you’re stealing it from me!” cried Peggy
indignantly. But the woman said that unless she gave her the frock
she would not tell her the way home. So Peggy had just to allow
herself to be undressed on the road.
The woman packed the
dress into the basket. “Now,”
she said, “walk right down the
road till you come to where two
roads cross, then go to the
right.” Peggy believed her, and
ran away down the road as
hard as she could run. In reality
the woman knew no more than
Peggy about the roads, for she
was a vagrant who was only
passing through the country. All
she wanted was to get Peggy
as far away as possible.
On and on Peggy ran,
always looking for the cross
roads that never came. Her poor little feet were covered with dust,
and they began to get very painful, for she was accustomed to wear
shoes always. Then it felt exceedingly queer to be running along the
road in a petticoat. Peggy didn’t like it at all, but she was getting so
tired that she could think of nothing but how to get home, and home
was really getting farther and farther away from her at every step she
took. At last, at the corner of the road, Peggy saw a trough where
horses drink, and she was so tired and thirsty that she sat down on
the edge and began to suck up the water in the palm of her hand.
As she sat there, she heard the sound of wheels coming along
the road, and a little carriage came in sight, driven by a pretty young
lady. Peggy felt ashamed of her own appearance, sitting there in her
petticoat all covered with dust; but she decided that she must ask the
lady to help her, however queer she was looking. So she ran forward
into the road, and called out as the carriage came up.
The lady stopped her pony, and the groom jumped down and
held its head.
“Is anything wrong with you, my dear?” the lady asked. “And how
did you get here without your frock?”
“Oh, I’ve lost my way; I can’t get home,” cried Peggy. And indeed
her tear-stained face and her strange garments told their own story.
The lady told Peggy to jump into the carriage, and then she
wrapped her round in a linen dust-rug to keep her warm.
“If you tell me where you come from I will drive you home, dear,”
she said; and Peggy felt her troubles were ended at last.
It only took half an hour to reach Seafield after all. Peggy was
almost ashamed to have been so frightened when she had been so
near home, but then she had not known.
Oh, what a commotion they found Aunt Euphemia in! She had
been searching far and near for Peggy for two hours, and not a trace
of her had been found. At last Aunt Euphemia had begun to fear that
Peggy had been drowned in the sea; and Martin, who always took
the darkest view of everything, was trying to make her believe this.
“Miss Peggy’s drowned in the firth by this time, ma’am,” she was
saying with a grim shake of her head, just as the carriage containing
Peggy drew up at the door.
Aunt Euphemia ran out to the door, and for the first time in her life
caught Peggy up in her arms and hugged her, she was so glad to get
her alive and well.
And then there was all the story to tell. Peggy was too tired with
her adventures to be able to tell the story so that any one could
understand it. She just told a confused tale of baskets and little girls
and a horrid woman; and then, worn out with it all, she began to cry
again most piteously.
Even Aunt Euphemia didn’t scold her, and Martin brought her a
nice dinner, and made her eat it all up, and then took her upstairs
and laid her down to sleep; and this was the end of Peggy’s
adventures for that day.

You might also like